From 379d3a2d70ddd7a30aef8908d64c9f53da40bce8 Mon Sep 17 00:00:00 2001 From: XGudron Date: Mon, 1 Feb 2021 23:25:57 +0300 Subject: [PATCH] Zeroing ADC buffer if it shutdown --- .gitignore | 34 +- FPGA/DEBUG.sopcinfo | 4 +- FPGA/DEBUG2.sopcinfo | 4 +- FPGA/WOLF-LITE.qws | Bin 1839 -> 1531 bytes FPGA/clock_buffer.sopcinfo | 4 +- FPGA/db/WOLF-LITE.db_info | 2 +- FPGA/nco.sopcinfo | 4 +- FPGA/output_files/WOLF-LITE.asm.rpt | 116 - FPGA/output_files/WOLF-LITE.cdf | 13 - FPGA/output_files/WOLF-LITE.done | 1 - FPGA/output_files/WOLF-LITE.fit.rpt | 2753 -- FPGA/output_files/WOLF-LITE.fit.smsg | 11 - FPGA/output_files/WOLF-LITE.fit.summary | 16 - FPGA/output_files/WOLF-LITE.flow.rpt | 343 - FPGA/output_files/WOLF-LITE.jdi | 123 - FPGA/output_files/WOLF-LITE.jic | Bin 2097377 -> 0 bytes FPGA/output_files/WOLF-LITE.map | 19 - FPGA/output_files/WOLF-LITE.map.rpt | 6912 --- FPGA/output_files/WOLF-LITE.map.smsg | 22 - FPGA/output_files/WOLF-LITE.map.summary | 14 - FPGA/output_files/WOLF-LITE.merge.rpt | 562 - FPGA/output_files/WOLF-LITE.merge.smsg | 1 - FPGA/output_files/WOLF-LITE.merge.summary | 14 - FPGA/output_files/WOLF-LITE.pin | 215 - FPGA/output_files/WOLF-LITE.pof | Bin 2097359 -> 0 bytes FPGA/output_files/WOLF-LITE.pow.rpt | 39576 ---------------- FPGA/output_files/WOLF-LITE.pow.smsg | 1 - FPGA/output_files/WOLF-LITE.pow.summary | 12 - FPGA/output_files/WOLF-LITE.sld | 49 - FPGA/output_files/WOLF-LITE.sof | Bin 389125 -> 0 bytes FPGA/output_files/WOLF-LITE.sta.rpt | 4623 -- FPGA/output_files/WOLF-LITE.sta.smsg | 5 - FPGA/output_files/WOLF-LITE.sta.summary | 197 - FPGA/output_files/WOLF.cdf | 13 - FPGA/output_files/WOLF.done | 1 - FPGA/output_files/rx_ciccomp.xml | 45 - FPGA/output_files/signal_activity.saf | 22540 --------- FPGA/rx_cic.sopcinfo | 4 +- FPGA/stm32_interface.bsf | 6 + FPGA/stm32_interface.v | 34 +- FPGA/tx_cic.sopcinfo | 4 +- FPGA/tx_nco.sopcinfo | 4 +- STM32/MDK-ARM/WOLF-Lite.uvguix (2).uu5jp | Bin 91566 -> 0 bytes STM32/MDK-ARM/WOLF-Lite.uvguix.R7KBI | 1954 - STM32/MDK-ARM/WOLF-Lite.uvguix.XGudr | 3601 -- STM32/MDK-ARM/WOLF-Lite.uvguix.uu5jp | 1932 - STM32/MDK-ARM/WOLF-Lite/WOLF-Lite.axf | Bin 923192 -> 0 bytes .../MDK-ARM/WOLF-Lite/WOLF-Lite.build_log.htm | 79 - STM32/MDK-ARM/WOLF-Lite/WOLF-Lite.hex | 17618 ------- STM32/MDK-ARM/WOLF-Lite/WOLF-Lite.htm | 4480 -- STM32/MDK-ARM/WOLF-Lite/WOLF-Lite.map | 30672 ------------ .../MDK-ARM/WOLF-Lite/WOLF-Lite_WOLF-Lite.dep | 4054 -- STM32/MDK-ARM/WOLF-Lite/WOLF-Lite_sct.Bak | 28 - STM32/MDK-ARM/WOLF-Lite/agc.d | 60 - STM32/MDK-ARM/WOLF-Lite/agc.o | Bin 13608 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/audio_filters.__i | 10 - STM32/MDK-ARM/WOLF-Lite/audio_filters.d | 60 - STM32/MDK-ARM/WOLF-Lite/audio_filters.o | Bin 59012 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/audio_processor.d | 65 - STM32/MDK-ARM/WOLF-Lite/audio_processor.o | Bin 73052 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/auto_notch.d | 55 - STM32/MDK-ARM/WOLF-Lite/auto_notch.o | Bin 12160 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/bands.d | 54 - STM32/MDK-ARM/WOLF-Lite/bands.o | Bin 16880 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/basicmathfunctions.d | 59 - STM32/MDK-ARM/WOLF-Lite/basicmathfunctions.o | Bin 264088 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/bayesfunctions.d | 13 - STM32/MDK-ARM/WOLF-Lite/bayesfunctions.o | Bin 10016 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/bootloader.d | 60 - STM32/MDK-ARM/WOLF-Lite/bootloader.o | Bin 10452 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/commontables.d | 19 - STM32/MDK-ARM/WOLF-Lite/commontables.o | Bin 1144892 -> 0 bytes .../MDK-ARM/WOLF-Lite/complexmathfunctions.d | 30 - .../MDK-ARM/WOLF-Lite/complexmathfunctions.o | Bin 160496 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/controllerfunctions.d | 22 - STM32/MDK-ARM/WOLF-Lite/controllerfunctions.o | Bin 20060 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/distancefunctions.d | 32 - STM32/MDK-ARM/WOLF-Lite/distancefunctions.o | Bin 117188 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/fastmathfunctions.d | 24 - STM32/MDK-ARM/WOLF-Lite/fastmathfunctions.o | Bin 21752 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/fft.d | 63 - STM32/MDK-ARM/WOLF-Lite/fft.o | Bin 91644 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/filteringfunctions.d | 113 - STM32/MDK-ARM/WOLF-Lite/filteringfunctions.o | Bin 2234368 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/fpga.d | 59 - STM32/MDK-ARM/WOLF-Lite/fpga.o | Bin 31460 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/front_unit.d | 62 - STM32/MDK-ARM/WOLF-Lite/front_unit.o | Bin 46268 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/functions.d | 65 - STM32/MDK-ARM/WOLF-Lite/functions.o | Bin 58136 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/i2c.d | 54 - STM32/MDK-ARM/WOLF-Lite/i2c.o | Bin 31228 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/lcd.d | 65 - STM32/MDK-ARM/WOLF-Lite/lcd.o | Bin 134956 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/lcd_480x320.d | 58 - STM32/MDK-ARM/WOLF-Lite/lcd_480x320.o | Bin 2444 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/lcd_driver.d | 57 - STM32/MDK-ARM/WOLF-Lite/lcd_driver.o | Bin 40440 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/lcd_driver_ili9481.d | 58 - STM32/MDK-ARM/WOLF-Lite/lcd_driver_ili9481.o | Bin 35328 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/main.d | 66 - STM32/MDK-ARM/WOLF-Lite/main.o | Bin 122644 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/matrixfunctions.d | 37 - STM32/MDK-ARM/WOLF-Lite/matrixfunctions.o | Bin 334768 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/noise_blanker.d | 56 - STM32/MDK-ARM/WOLF-Lite/noise_blanker.o | Bin 21808 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/noise_reduction.d | 59 - STM32/MDK-ARM/WOLF-Lite/noise_reduction.o | Bin 32816 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/profiler.d | 52 - STM32/MDK-ARM/WOLF-Lite/profiler.o | Bin 17072 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/rf_unit.d | 61 - STM32/MDK-ARM/WOLF-Lite/rf_unit.o | Bin 9052 -> 0 bytes .../MDK-ARM/WOLF-Lite/screen_layout_480x320.d | 74 - .../MDK-ARM/WOLF-Lite/screen_layout_480x320.o | Bin 121316 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/settings.d | 60 - STM32/MDK-ARM/WOLF-Lite/settings.o | Bin 81084 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/startup_stm32f407xx.o | Bin 7880 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/statisticsfunctions.d | 44 - STM32/MDK-ARM/WOLF-Lite/statisticsfunctions.o | Bin 200604 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal.d | 45 - STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal.o | Bin 29408 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_adc.d | 45 - STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_adc.o | Bin 43608 -> 0 bytes .../MDK-ARM/WOLF-Lite/stm32f4xx_hal_adc_ex.d | 45 - .../MDK-ARM/WOLF-Lite/stm32f4xx_hal_adc_ex.o | Bin 35372 -> 0 bytes .../MDK-ARM/WOLF-Lite/stm32f4xx_hal_cortex.d | 45 - .../MDK-ARM/WOLF-Lite/stm32f4xx_hal_cortex.o | Bin 32968 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_dma.d | 45 - STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_dma.o | Bin 33508 -> 0 bytes .../MDK-ARM/WOLF-Lite/stm32f4xx_hal_dma_ex.d | 45 - .../MDK-ARM/WOLF-Lite/stm32f4xx_hal_dma_ex.o | Bin 14188 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_exti.d | 45 - STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_exti.o | Bin 16964 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_flash.d | 45 - STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_flash.o | Bin 21880 -> 0 bytes .../WOLF-Lite/stm32f4xx_hal_flash_ex.d | 45 - .../WOLF-Lite/stm32f4xx_hal_flash_ex.o | Bin 20592 -> 0 bytes .../WOLF-Lite/stm32f4xx_hal_flash_ramfunc.d | 45 - .../WOLF-Lite/stm32f4xx_hal_flash_ramfunc.o | Bin 2548 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_gpio.d | 45 - STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_gpio.o | Bin 23540 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_i2s.d | 45 - STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_i2s.o | Bin 50536 -> 0 bytes .../MDK-ARM/WOLF-Lite/stm32f4xx_hal_i2s_ex.d | 45 - .../MDK-ARM/WOLF-Lite/stm32f4xx_hal_i2s_ex.o | Bin 40208 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_msp.d | 44 - STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_msp.o | Bin 57660 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_pcd.d | 45 - STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_pcd.o | Bin 53108 -> 0 bytes .../MDK-ARM/WOLF-Lite/stm32f4xx_hal_pcd_ex.d | 45 - .../MDK-ARM/WOLF-Lite/stm32f4xx_hal_pcd_ex.o | Bin 14432 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_pwr.d | 45 - STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_pwr.o | Bin 18036 -> 0 bytes .../MDK-ARM/WOLF-Lite/stm32f4xx_hal_pwr_ex.d | 45 - .../MDK-ARM/WOLF-Lite/stm32f4xx_hal_pwr_ex.o | Bin 14316 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_rcc.d | 45 - STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_rcc.o | Bin 31716 -> 0 bytes .../MDK-ARM/WOLF-Lite/stm32f4xx_hal_rcc_ex.d | 45 - .../MDK-ARM/WOLF-Lite/stm32f4xx_hal_rcc_ex.o | Bin 19812 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_rtc.d | 45 - STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_rtc.o | Bin 46344 -> 0 bytes .../MDK-ARM/WOLF-Lite/stm32f4xx_hal_rtc_ex.d | 45 - .../MDK-ARM/WOLF-Lite/stm32f4xx_hal_rtc_ex.o | Bin 44224 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_spi.d | 45 - STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_spi.o | Bin 100236 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_sram.d | 45 - STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_sram.o | Bin 34444 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_tim.d | 45 - STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_tim.o | Bin 139112 -> 0 bytes .../MDK-ARM/WOLF-Lite/stm32f4xx_hal_tim_ex.d | 45 - .../MDK-ARM/WOLF-Lite/stm32f4xx_hal_tim_ex.o | Bin 53228 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/stm32f4xx_it.d | 69 - STM32/MDK-ARM/WOLF-Lite/stm32f4xx_it.o | Bin 35648 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/stm32f4xx_ll_fsmc.d | 45 - STM32/MDK-ARM/WOLF-Lite/stm32f4xx_ll_fsmc.o | Bin 23656 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/stm32f4xx_ll_usb.d | 45 - STM32/MDK-ARM/WOLF-Lite/stm32f4xx_ll_usb.o | Bin 105828 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/supportfunctions.__i | 10 - STM32/MDK-ARM/WOLF-Lite/supportfunctions.d | 47 - STM32/MDK-ARM/WOLF-Lite/supportfunctions.o | Bin 208216 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/svmfunctions.d | 20 - STM32/MDK-ARM/WOLF-Lite/svmfunctions.o | Bin 42324 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/swr_analyzer.d | 60 - STM32/MDK-ARM/WOLF-Lite/swr_analyzer.o | Bin 19216 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/system_menu.d | 62 - STM32/MDK-ARM/WOLF-Lite/system_menu.o | Bin 99144 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/system_stm32f4xx.d | 44 - STM32/MDK-ARM/WOLF-Lite/system_stm32f4xx.o | Bin 11728 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/system_stm32f4xx_1.d | 44 - STM32/MDK-ARM/WOLF-Lite/system_stm32f4xx_1.o | Bin 11604 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/transformfunctions.d | 55 - STM32/MDK-ARM/WOLF-Lite/transformfunctions.o | Bin 574652 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/trx_manager.d | 66 - STM32/MDK-ARM/WOLF-Lite/trx_manager.o | Bin 35348 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/usb_device.d | 63 - STM32/MDK-ARM/WOLF-Lite/usb_device.o | Bin 15864 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/usbd_audio_if.d | 60 - STM32/MDK-ARM/WOLF-Lite/usbd_audio_if.o | Bin 13884 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/usbd_cat_if.d | 64 - STM32/MDK-ARM/WOLF-Lite/usbd_cat_if.o | Bin 48852 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/usbd_conf.d | 60 - STM32/MDK-ARM/WOLF-Lite/usbd_conf.o | Bin 42076 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/usbd_core.d | 51 - STM32/MDK-ARM/WOLF-Lite/usbd_core.o | Bin 25200 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/usbd_ctlreq.d | 50 - STM32/MDK-ARM/WOLF-Lite/usbd_ctlreq.o | Bin 30360 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/usbd_debug_if.d | 62 - STM32/MDK-ARM/WOLF-Lite/usbd_debug_if.o | Bin 19728 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/usbd_desc.d | 50 - STM32/MDK-ARM/WOLF-Lite/usbd_desc.o | Bin 17404 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/usbd_ioreq.d | 50 - STM32/MDK-ARM/WOLF-Lite/usbd_ioreq.o | Bin 12616 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/usbd_ua3reo.d | 64 - STM32/MDK-ARM/WOLF-Lite/usbd_ua3reo.o | Bin 58324 -> 0 bytes STM32/MDK-ARM/WOLF-Lite/wm8731.d | 63 - STM32/MDK-ARM/WOLF-Lite/wm8731.o | Bin 39680 -> 0 bytes 216 files changed, 82 insertions(+), 146736 deletions(-) delete mode 100644 FPGA/output_files/WOLF-LITE.asm.rpt delete mode 100644 FPGA/output_files/WOLF-LITE.cdf delete mode 100644 FPGA/output_files/WOLF-LITE.done delete mode 100644 FPGA/output_files/WOLF-LITE.fit.rpt delete mode 100644 FPGA/output_files/WOLF-LITE.fit.smsg delete mode 100644 FPGA/output_files/WOLF-LITE.fit.summary delete mode 100644 FPGA/output_files/WOLF-LITE.flow.rpt delete mode 100644 FPGA/output_files/WOLF-LITE.jdi delete mode 100644 FPGA/output_files/WOLF-LITE.jic delete mode 100644 FPGA/output_files/WOLF-LITE.map delete mode 100644 FPGA/output_files/WOLF-LITE.map.rpt delete mode 100644 FPGA/output_files/WOLF-LITE.map.smsg delete mode 100644 FPGA/output_files/WOLF-LITE.map.summary delete mode 100644 FPGA/output_files/WOLF-LITE.merge.rpt delete mode 100644 FPGA/output_files/WOLF-LITE.merge.smsg delete mode 100644 FPGA/output_files/WOLF-LITE.merge.summary delete mode 100644 FPGA/output_files/WOLF-LITE.pin delete mode 100644 FPGA/output_files/WOLF-LITE.pof delete mode 100644 FPGA/output_files/WOLF-LITE.pow.rpt delete mode 100644 FPGA/output_files/WOLF-LITE.pow.smsg delete mode 100644 FPGA/output_files/WOLF-LITE.pow.summary delete mode 100644 FPGA/output_files/WOLF-LITE.sld delete mode 100644 FPGA/output_files/WOLF-LITE.sof delete mode 100644 FPGA/output_files/WOLF-LITE.sta.rpt delete mode 100644 FPGA/output_files/WOLF-LITE.sta.smsg delete mode 100644 FPGA/output_files/WOLF-LITE.sta.summary delete mode 100644 FPGA/output_files/WOLF.cdf delete mode 100644 FPGA/output_files/WOLF.done delete mode 100644 FPGA/output_files/rx_ciccomp.xml delete mode 100644 FPGA/output_files/signal_activity.saf delete mode 100644 STM32/MDK-ARM/WOLF-Lite.uvguix (2).uu5jp delete mode 100644 STM32/MDK-ARM/WOLF-Lite.uvguix.R7KBI delete mode 100644 STM32/MDK-ARM/WOLF-Lite.uvguix.XGudr delete mode 100644 STM32/MDK-ARM/WOLF-Lite.uvguix.uu5jp delete mode 100644 STM32/MDK-ARM/WOLF-Lite/WOLF-Lite.axf delete mode 100644 STM32/MDK-ARM/WOLF-Lite/WOLF-Lite.build_log.htm delete mode 100644 STM32/MDK-ARM/WOLF-Lite/WOLF-Lite.hex delete mode 100644 STM32/MDK-ARM/WOLF-Lite/WOLF-Lite.htm delete mode 100644 STM32/MDK-ARM/WOLF-Lite/WOLF-Lite.map delete mode 100644 STM32/MDK-ARM/WOLF-Lite/WOLF-Lite_WOLF-Lite.dep delete mode 100644 STM32/MDK-ARM/WOLF-Lite/WOLF-Lite_sct.Bak delete mode 100644 STM32/MDK-ARM/WOLF-Lite/agc.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/agc.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/audio_filters.__i delete mode 100644 STM32/MDK-ARM/WOLF-Lite/audio_filters.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/audio_filters.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/audio_processor.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/audio_processor.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/auto_notch.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/auto_notch.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/bands.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/bands.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/basicmathfunctions.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/basicmathfunctions.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/bayesfunctions.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/bayesfunctions.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/bootloader.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/bootloader.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/commontables.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/commontables.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/complexmathfunctions.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/complexmathfunctions.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/controllerfunctions.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/controllerfunctions.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/distancefunctions.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/distancefunctions.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/fastmathfunctions.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/fastmathfunctions.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/fft.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/fft.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/filteringfunctions.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/filteringfunctions.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/fpga.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/fpga.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/front_unit.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/front_unit.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/functions.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/functions.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/i2c.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/i2c.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/lcd.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/lcd.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/lcd_480x320.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/lcd_480x320.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/lcd_driver.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/lcd_driver.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/lcd_driver_ili9481.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/lcd_driver_ili9481.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/main.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/main.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/matrixfunctions.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/matrixfunctions.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/noise_blanker.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/noise_blanker.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/noise_reduction.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/noise_reduction.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/profiler.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/profiler.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/rf_unit.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/rf_unit.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/screen_layout_480x320.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/screen_layout_480x320.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/settings.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/settings.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/startup_stm32f407xx.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/statisticsfunctions.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/statisticsfunctions.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_adc.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_adc.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_adc_ex.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_adc_ex.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_cortex.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_cortex.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_dma.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_dma.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_dma_ex.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_dma_ex.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_exti.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_exti.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_flash.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_flash.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_flash_ex.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_flash_ex.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_flash_ramfunc.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_flash_ramfunc.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_gpio.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_gpio.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_i2s.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_i2s.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_i2s_ex.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_i2s_ex.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_msp.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_msp.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_pcd.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_pcd.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_pcd_ex.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_pcd_ex.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_pwr.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_pwr.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_pwr_ex.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_pwr_ex.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_rcc.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_rcc.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_rcc_ex.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_rcc_ex.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_rtc.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_rtc.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_rtc_ex.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_rtc_ex.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_spi.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_spi.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_sram.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_sram.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_tim.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_tim.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_tim_ex.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_tim_ex.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_it.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_it.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_ll_fsmc.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_ll_fsmc.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_ll_usb.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/stm32f4xx_ll_usb.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/supportfunctions.__i delete mode 100644 STM32/MDK-ARM/WOLF-Lite/supportfunctions.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/supportfunctions.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/svmfunctions.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/svmfunctions.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/swr_analyzer.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/swr_analyzer.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/system_menu.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/system_menu.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/system_stm32f4xx.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/system_stm32f4xx.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/system_stm32f4xx_1.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/system_stm32f4xx_1.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/transformfunctions.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/transformfunctions.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/trx_manager.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/trx_manager.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/usb_device.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/usb_device.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/usbd_audio_if.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/usbd_audio_if.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/usbd_cat_if.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/usbd_cat_if.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/usbd_conf.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/usbd_conf.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/usbd_core.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/usbd_core.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/usbd_ctlreq.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/usbd_ctlreq.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/usbd_debug_if.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/usbd_debug_if.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/usbd_desc.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/usbd_desc.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/usbd_ioreq.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/usbd_ioreq.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/usbd_ua3reo.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/usbd_ua3reo.o delete mode 100644 STM32/MDK-ARM/WOLF-Lite/wm8731.d delete mode 100644 STM32/MDK-ARM/WOLF-Lite/wm8731.o diff --git a/.gitignore b/.gitignore index 9a38342..511da38 100644 --- a/.gitignore +++ b/.gitignore @@ -1,3 +1,31 @@ -gitignore -*.h -Inc/main.h +FPGA/db/* +FPGA/output_files/* +FPGA/greybox_tmp/* +FPGA/incremental_db/* +STM32/MDK-ARM/WOLF/*.htm +STM32/MDK-ARM/WOLF/*.hex +STM32/Debug/* +Status Report.txt +Design Rule Check* +*~*.zip +*.log +*.o +*.lnp +*.bak +*.uvguix.* +*.d +*.smsg +*.rpt +*.crf +*._2i +*.__i +*._ia +*.summary +*.axf +*.map +*.dep +*.jic +*.pof +*.rpt +*.sof +WOLF.uvguix.* diff --git a/FPGA/DEBUG.sopcinfo b/FPGA/DEBUG.sopcinfo index 000af04..c9f55cc 100644 --- a/FPGA/DEBUG.sopcinfo +++ b/FPGA/DEBUG.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1610032710 + 1612209581 false true false diff --git a/FPGA/DEBUG2.sopcinfo b/FPGA/DEBUG2.sopcinfo index 3109f14..dcd9945 100644 --- a/FPGA/DEBUG2.sopcinfo +++ b/FPGA/DEBUG2.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1610032720 + 1612209592 false true false diff --git a/FPGA/WOLF-LITE.qws b/FPGA/WOLF-LITE.qws index 43bacabb6ee11ebe52115a350c7a4b206751ac42..7e0a0b0dac88fc43fd059734fdeebf5288440472 100644 GIT binary patch literal 1531 zcmd^NbBrOz#27CiffT-mt*tL0)`E?# zl@DUPd^1S|FNlhj?lL?3pZ)jD?Ci`g#MEg++Ezw;s%c$^Dyym@sKU3LLP!y0)YnUz z(j=^cc3_7vH`}a)4q!!*6&)+7tkN)(aAq~HIAdA?+_+NofR#9$O-&48&nDt<(=%QA^+m7B_I z>nE1%$0lR$RONG5;k|Qpkd2{^-1ZU<7yOh}bL{P@$TN3%f^d|fSvX4Hx0s0B-dy{S z%!ap~5l(U1LFDSAiD3_%Ra&4KYG}rF;I%Xbp2YV~rKOl4#9PzSgRwGs?F)F{pTd~VqV8_j&1J^}=LkgfgKGZy3wwFyC7C7c- fZTvT~%ue{@Ec^Y`i8kd@k3!o9qE?>X&4i4zq-?C)6;fR lP4GNlww+c@JBhWiu_#tJ4eg1w^dRCZR2P+cmd(Yg@&$n$Ok4l} diff --git a/FPGA/clock_buffer.sopcinfo b/FPGA/clock_buffer.sopcinfo index 492191c..c90f938 100644 --- a/FPGA/clock_buffer.sopcinfo +++ b/FPGA/clock_buffer.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1610032656 + 1612209525 false true false diff --git a/FPGA/db/WOLF-LITE.db_info b/FPGA/db/WOLF-LITE.db_info index 5cff925..b97de35 100644 --- a/FPGA/db/WOLF-LITE.db_info +++ b/FPGA/db/WOLF-LITE.db_info @@ -1,3 +1,3 @@ Quartus_Version = Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition Version_Index = 486699264 -Creation_Time = Fri Jan 08 22:54:21 2021 +Creation_Time = Mon Feb 01 22:43:24 2021 diff --git a/FPGA/nco.sopcinfo b/FPGA/nco.sopcinfo index cbc7e8a..c8ed550 100644 --- a/FPGA/nco.sopcinfo +++ b/FPGA/nco.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1610032699 + 1612209570 false true false diff --git a/FPGA/output_files/WOLF-LITE.asm.rpt b/FPGA/output_files/WOLF-LITE.asm.rpt deleted file mode 100644 index b16a8e0..0000000 --- a/FPGA/output_files/WOLF-LITE.asm.rpt +++ /dev/null @@ -1,116 +0,0 @@ -Assembler report for WOLF-LITE -Thu Jan 07 18:22:10 2021 -Quartus Prime Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Assembler Summary - 3. Assembler Settings - 4. Assembler Encrypted IP Cores Summary - 5. Assembler Generated Files - 6. Assembler Device Options: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/output_files/WOLF-LITE.sof - 7. Assembler Device Options: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/output_files/WOLF-LITE.pof - 8. Assembler Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 2018 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details. - - - -+---------------------------------------------------------------+ -; Assembler Summary ; -+-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Thu Jan 07 18:22:10 2021 ; -; Revision Name ; WOLF-LITE ; -; Top-level Entity Name ; WOLF-LITE ; -; Family ; Cyclone IV E ; -; Device ; EP4CE10E22C8 ; -+-----------------------+---------------------------------------+ - - -+----------------------------------+ -; Assembler Settings ; -+--------+---------+---------------+ -; Option ; Setting ; Default Value ; -+--------+---------+---------------+ - - -+--------------------------------------------------+ -; Assembler Encrypted IP Cores Summary ; -+--------+--------------------------+--------------+ -; Vendor ; IP Core Name ; License Type ; -+--------+--------------------------+--------------+ -; Altera ; NCO Compiler (6AF7 0014) ; Licensed ; -; Altera ; CIC Compiler (6AF7 00BB) ; Licensed ; -; Altera ; Signal Tap (6AF7 BCE1) ; Licensed ; -; Altera ; Signal Tap (6AF7 BCEC) ; Licensed ; -+--------+--------------------------+--------------+ - - -+-----------------------------------------------------------------------+ -; Assembler Generated Files ; -+-----------------------------------------------------------------------+ -; File Name ; -+-----------------------------------------------------------------------+ -; D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/output_files/WOLF-LITE.sof ; -; D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/output_files/WOLF-LITE.pof ; -+-----------------------------------------------------------------------+ - - -+-------------------------------------------------------------------------------------------------+ -; Assembler Device Options: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/output_files/WOLF-LITE.sof ; -+----------------+--------------------------------------------------------------------------------+ -; Option ; Setting ; -+----------------+--------------------------------------------------------------------------------+ -; JTAG usercode ; 0x008A6DA1 ; -; Checksum ; 0x008A6DA1 ; -+----------------+--------------------------------------------------------------------------------+ - - -+-------------------------------------------------------------------------------------------------+ -; Assembler Device Options: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/output_files/WOLF-LITE.pof ; -+--------------------+----------------------------------------------------------------------------+ -; Option ; Setting ; -+--------------------+----------------------------------------------------------------------------+ -; JTAG usercode ; 0x00000000 ; -; Checksum ; 0x1DAFF3E6 ; -; Compression Ratio ; 1 ; -+--------------------+----------------------------------------------------------------------------+ - - -+--------------------+ -; Assembler Messages ; -+--------------------+ -Info: ******************************************************************* -Info: Running Quartus Prime Assembler - Info: Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition - Info: Processing started: Thu Jan 07 18:22:05 2021 -Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE -Info (115031): Writing out detailed assembly data for power analysis -Info (115030): Assembler is generating device programming files -Info: Quartus Prime Assembler was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 4705 megabytes - Info: Processing ended: Thu Jan 07 18:22:10 2021 - Info: Elapsed time: 00:00:05 - Info: Total CPU time (on all processors): 00:00:05 - - diff --git a/FPGA/output_files/WOLF-LITE.cdf b/FPGA/output_files/WOLF-LITE.cdf deleted file mode 100644 index 3ed0382..0000000 --- a/FPGA/output_files/WOLF-LITE.cdf +++ /dev/null @@ -1,13 +0,0 @@ -/* Quartus Prime Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition */ -JedecChain; - FileRevision(JESD32A); - DefaultMfr(6E); - - P ActionCode(Cfg) - Device PartName(EP4CE10) Path("D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/output_files/") File("WOLF-LITE.jic") MfrSpec(OpMask(1) SEC_Device(EPCS16) Child_OpMask(1 7)); - -ChainEnd; - -AlteraBegin; - ChainType(JTAG); -AlteraEnd; diff --git a/FPGA/output_files/WOLF-LITE.done b/FPGA/output_files/WOLF-LITE.done deleted file mode 100644 index d3210ad..0000000 --- a/FPGA/output_files/WOLF-LITE.done +++ /dev/null @@ -1 +0,0 @@ -Thu Jan 07 18:22:36 2021 diff --git a/FPGA/output_files/WOLF-LITE.fit.rpt b/FPGA/output_files/WOLF-LITE.fit.rpt deleted file mode 100644 index 211cce9..0000000 --- a/FPGA/output_files/WOLF-LITE.fit.rpt +++ /dev/null @@ -1,2753 +0,0 @@ -Fitter report for WOLF-LITE -Thu Jan 07 18:22:01 2021 -Quartus Prime Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Fitter Summary - 3. Fitter Settings - 4. Parallel Compilation - 5. Fitter Netlist Optimizations - 6. Ignored Assignments - 7. Incremental Compilation Preservation Summary - 8. Incremental Compilation Partition Settings - 9. Incremental Compilation Placement Preservation - 10. Pin-Out File - 11. Fitter Resource Usage Summary - 12. Fitter Partition Statistics - 13. Input Pins - 14. Output Pins - 15. Bidir Pins - 16. Dual Purpose and Dedicated Pins - 17. I/O Bank Usage - 18. All Package Pins - 19. PLL Summary - 20. PLL Usage - 21. I/O Assignment Warnings - 22. Fitter Resource Utilization by Entity - 23. Delay Chain Summary - 24. Pad To Core Delay Chain Fanout - 25. Control Signals - 26. Global & Other Fast Signals - 27. Non-Global High Fan-Out Signals - 28. Fitter RAM Summary - 29. Fitter DSP Block Usage Summary - 30. DSP Block Details - 31. Routing Usage Summary - 32. LAB Logic Elements - 33. LAB-wide Signals - 34. LAB Signals Sourced - 35. LAB Signals Sourced Out - 36. LAB Distinct Inputs - 37. I/O Rules Summary - 38. I/O Rules Details - 39. I/O Rules Matrix - 40. Fitter Device Options - 41. Operating Settings and Conditions - 42. Estimated Delay Added for Hold Timing Summary - 43. Estimated Delay Added for Hold Timing Details - 44. Fitter Messages - 45. Fitter Suppressed Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 2018 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details. - - - -+--------------------------------------------------------------------------------------+ -; Fitter Summary ; -+------------------------------------+-------------------------------------------------+ -; Fitter Status ; Successful - Thu Jan 07 18:22:01 2021 ; -; Quartus Prime Version ; 18.1.0 Build 625 09/12/2018 SJ Standard Edition ; -; Revision Name ; WOLF-LITE ; -; Top-level Entity Name ; WOLF-LITE ; -; Family ; Cyclone IV E ; -; Device ; EP4CE10E22C8 ; -; Timing Models ; Final ; -; Total logic elements ; 9,774 / 10,320 ( 95 % ) ; -; Total combinational functions ; 7,373 / 10,320 ( 71 % ) ; -; Dedicated logic registers ; 7,487 / 10,320 ( 73 % ) ; -; Total registers ; 7487 ; -; Total pins ; 61 / 92 ( 66 % ) ; -; Total virtual pins ; 0 ; -; Total memory bits ; 183,280 / 423,936 ( 43 % ) ; -; Embedded Multiplier 9-bit elements ; 32 / 46 ( 70 % ) ; -; Total PLLs ; 2 / 2 ( 100 % ) ; -+------------------------------------+-------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Settings ; -+--------------------------------------------------------------------+--------------------------+---------------------------------------+ -; Option ; Setting ; Default Value ; -+--------------------------------------------------------------------+--------------------------+---------------------------------------+ -; Device ; EP4CE10E22C8 ; ; -; Use smart compilation ; On ; Off ; -; Maximum processors allowed for parallel compilation ; All ; ; -; Minimum Core Junction Temperature ; 0 ; ; -; Maximum Core Junction Temperature ; 85 ; ; -; Router Timing Optimization Level ; MAXIMUM ; Normal ; -; Perform Clocking Topology Analysis During Routing ; On ; Off ; -; Placement Effort Multiplier ; 4.0 ; 1.0 ; -; Fit Attempts to Skip ; 0 ; 0.0 ; -; SSN Optimization ; Normal compilation ; Off ; -; Auto Packed Registers ; Normal ; Auto ; -; Perform Physical Synthesis for Combinational Logic for Performance ; On ; Off ; -; Perform Register Duplication for Performance ; On ; Off ; -; Perform Logic to Memory Mapping for Fitting ; On ; Off ; -; Perform Asynchronous Signal Pipelining ; On ; Off ; -; Fitter Effort ; Standard Fit ; Auto Fit ; -; Logic Cell Insertion - Logic Duplication ; On ; Auto ; -; Reserve all unused pins ; As output driving ground ; As input tri-stated with weak pull-up ; -; Enable parallel Assembler and Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Auto Merge PLLs ; On ; On ; -; Router Effort Multiplier ; 1.0 ; 1.0 ; -; Optimize Hold Timing ; All Paths ; All Paths ; -; Optimize Multi-Corner Timing ; On ; On ; -; Power Optimization During Fitting ; Normal compilation ; Normal compilation ; -; Optimize Timing ; Normal compilation ; Normal compilation ; -; Optimize Timing for ECOs ; Off ; Off ; -; Regenerate Full Fit Report During ECO Compiles ; Off ; Off ; -; Optimize IOC Register Placement for Timing ; Normal ; Normal ; -; Limit to One Fitting Attempt ; Off ; Off ; -; Final Placement Optimizations ; Automatically ; Automatically ; -; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; -; Fitter Initial Placement Seed ; 1 ; 1 ; -; Periphery to Core Placement and Routing Optimization ; Off ; Off ; -; PCI I/O ; Off ; Off ; -; Weak Pull-Up Resistor ; Off ; Off ; -; Enable Bus-Hold Circuitry ; Off ; Off ; -; Auto Delay Chains ; On ; On ; -; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ; -; Allow Single-ended Buffer for Differential-XSTL Input ; Off ; Off ; -; Treat Bidirectional Pin as Output Pin ; Off ; Off ; -; Perform Physical Synthesis for Combinational Logic for Fitting ; Off ; Off ; -; Perform Register Retiming for Performance ; Off ; Off ; -; Physical Synthesis Effort Level ; Normal ; Normal ; -; Auto Register Duplication ; Auto ; Auto ; -; Auto Global Clock ; On ; On ; -; Auto Global Register Control Signals ; On ; On ; -; Synchronizer Identification ; Auto ; Auto ; -; Enable Beneficial Skew Optimization ; On ; On ; -; Optimize Design for Metastability ; On ; On ; -; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; -; Enable input tri-state on active configuration pins in user mode ; Off ; Off ; -+--------------------------------------------------------------------+--------------------------+---------------------------------------+ - - -+------------------------------------------+ -; Parallel Compilation ; -+----------------------------+-------------+ -; Processors ; Number ; -+----------------------------+-------------+ -; Number detected on machine ; 8 ; -; Maximum allowed ; 4 ; -; ; ; -; Average used ; 1.15 ; -; Maximum used ; 4 ; -; ; ; -; Usage by Processor ; % Time Used ; -; Processor 1 ; 100.0% ; -; Processor 2 ; 6.7% ; -; Processor 3 ; 4.4% ; -; Processor 4 ; 4.2% ; -+----------------------------+-------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Netlist Optimizations ; -+------------------------------------------------------------------------------------------------------------------------------------------+-----------------+------------------+---------------------+-----------+----------------+-----------------------------------------------------------------------------------+------------------+-----------------------+ -; Node ; Action ; Operation ; Reason ; Node Port ; Node Port Name ; Destination Node ; Destination Port ; Destination Port Name ; -+------------------------------------------------------------------------------------------------------------------------------------------+-----------------+------------------+---------------------+-----------+----------------+-----------------------------------------------------------------------------------+------------------+-----------------------+ -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[0] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1 ; DATAB ; ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[1] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1 ; DATAB ; ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[2] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1 ; DATAB ; ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[3] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1 ; DATAB ; ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[4] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1 ; DATAB ; ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[5] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1 ; DATAB ; ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[6] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1 ; DATAB ; ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[7] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1 ; DATAB ; ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[8] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1 ; DATAB ; ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[9] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1 ; DATAB ; ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[10] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1 ; DATAB ; ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[11] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1 ; DATAB ; ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[12] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1 ; DATAB ; ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[13] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1 ; DATAB ; ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[14] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1 ; DATAB ; ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[15] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1 ; DATAB ; ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[0] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1 ; DATAB ; ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[1] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1 ; DATAB ; ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[2] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1 ; DATAB ; ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[3] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1 ; DATAB ; ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[4] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1 ; DATAB ; ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[5] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1 ; DATAB ; ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[6] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1 ; DATAB ; ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[7] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1 ; DATAB ; ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[8] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1 ; DATAB ; ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[9] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1 ; DATAB ; ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[10] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1 ; DATAB ; ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[11] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1 ; DATAB ; ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[12] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1 ; DATAB ; ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[13] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1 ; DATAB ; ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[14] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1 ; DATAB ; ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[15] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1 ; DATAB ; ; -+------------------------------------------------------------------------------------------------------------------------------------------+-----------------+------------------+---------------------+-----------+----------------+-----------------------------------------------------------------------------------+------------------+-----------------------+ - - -+--------------------------------------------------------------------------------------------+ -; Ignored Assignments ; -+--------------+----------------+--------------+------------+---------------+----------------+ -; Name ; Ignored Entity ; Ignored From ; Ignored To ; Ignored Value ; Ignored Source ; -+--------------+----------------+--------------+------------+---------------+----------------+ -; I/O Standard ; WOLF-LITE ; ; DAC_OUTPUT ; 3.3-V LVTTL ; QSF Assignment ; -+--------------+----------------+--------------+------------+---------------+----------------+ - - -+----------------------------------------------------------------------------------------------------+ -; Incremental Compilation Preservation Summary ; -+---------------------+----------------------+----------------------------+--------------------------+ -; Type ; Total [A + B] ; From Design Partitions [A] ; From Rapid Recompile [B] ; -+---------------------+----------------------+----------------------------+--------------------------+ -; Placement (by node) ; ; ; ; -; -- Requested ; 0.00 % ( 0 / 15504 ) ; 0.00 % ( 0 / 15504 ) ; 0.00 % ( 0 / 15504 ) ; -; -- Achieved ; 0.00 % ( 0 / 15504 ) ; 0.00 % ( 0 / 15504 ) ; 0.00 % ( 0 / 15504 ) ; -; ; ; ; ; -; Routing (by net) ; ; ; ; -; -- Requested ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; -; -- Achieved ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; -+---------------------+----------------------+----------------------------+--------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Incremental Compilation Partition Settings ; -+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ -; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; -+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ -; Top ; User-created ; Source File ; N/A ; Post-Fit ; Placement and Routing ; ; -; sld_hub:auto_hub ; Auto-generated ; Post-Synthesis ; N/A ; Post-Synthesis ; N/A ; sld_hub:auto_hub ; -; hard_block:auto_generated_inst ; Auto-generated ; Source File ; N/A ; Source File ; N/A ; hard_block:auto_generated_inst ; -+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------+ -; Incremental Compilation Placement Preservation ; -+--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ -; Partition Name ; Preservation Achieved ; Preservation Level Used ; Netlist Type Used ; Preservation Method ; Notes ; -+--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ -; Top ; 0.00 % ( 0 / 15301 ) ; N/A ; Source File ; N/A ; ; -; sld_hub:auto_hub ; 0.00 % ( 0 / 198 ) ; N/A ; Post-Synthesis ; N/A ; ; -; hard_block:auto_generated_inst ; 0.00 % ( 0 / 5 ) ; N/A ; Source File ; N/A ; ; -+--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ - - -+--------------+ -; Pin-Out File ; -+--------------+ -The pin-out file can be found in D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/output_files/WOLF-LITE.pin. - - -+--------------------------------------------------------------------------+ -; Fitter Resource Usage Summary ; -+---------------------------------------------+----------------------------+ -; Resource ; Usage ; -+---------------------------------------------+----------------------------+ -; Total logic elements ; 9,774 / 10,320 ( 95 % ) ; -; -- Combinational with no register ; 2287 ; -; -- Register only ; 2401 ; -; -- Combinational with a register ; 5086 ; -; ; ; -; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 2501 ; -; -- 3 input functions ; 3981 ; -; -- <=2 input functions ; 891 ; -; -- Register only ; 2401 ; -; ; ; -; Logic elements by mode ; ; -; -- normal mode ; 3471 ; -; -- arithmetic mode ; 3902 ; -; ; ; -; Total registers* ; 7,487 / 10,732 ( 70 % ) ; -; -- Dedicated logic registers ; 7,487 / 10,320 ( 73 % ) ; -; -- I/O registers ; 0 / 412 ( 0 % ) ; -; ; ; -; Total LABs: partially or completely used ; 643 / 645 ( 100 % ) ; -; Virtual pins ; 0 ; -; I/O pins ; 61 / 92 ( 66 % ) ; -; -- Clock pins ; 1 / 3 ( 33 % ) ; -; -- Dedicated input pins ; 3 / 9 ( 33 % ) ; -; ; ; -; M9Ks ; 37 / 46 ( 80 % ) ; -; Total block memory bits ; 183,280 / 423,936 ( 43 % ) ; -; Total block memory implementation bits ; 340,992 / 423,936 ( 80 % ) ; -; Embedded Multiplier 9-bit elements ; 32 / 46 ( 70 % ) ; -; PLLs ; 2 / 2 ( 100 % ) ; -; Global signals ; 8 ; -; -- Global clocks ; 8 / 10 ( 80 % ) ; -; JTAGs ; 1 / 1 ( 100 % ) ; -; CRC blocks ; 0 / 1 ( 0 % ) ; -; ASMI blocks ; 0 / 1 ( 0 % ) ; -; Oscillator blocks ; 0 / 1 ( 0 % ) ; -; Impedance control blocks ; 0 / 4 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 22.6% / 22.8% / 22.4% ; -; Peak interconnect usage (total/H/V) ; 29.1% / 29.9% / 27.9% ; -; Maximum fan-out ; 5113 ; -; Highest non-global fan-out ; 1337 ; -; Total fan-out ; 52197 ; -; Average fan-out ; 3.10 ; -+---------------------------------------------+----------------------------+ -* Register count does not include registers inside RAM blocks or DSP blocks. - - - -+-----------------------------------------------------------------------------------------------------------------------------+ -; Fitter Partition Statistics ; -+---------------------------------------------+-----------------------+----------------------+--------------------------------+ -; Statistic ; Top ; sld_hub:auto_hub ; hard_block:auto_generated_inst ; -+---------------------------------------------+-----------------------+----------------------+--------------------------------+ -; Difficulty Clustering Region ; Low ; Low ; Low ; -; ; ; ; ; -; Total logic elements ; 9639 / 10320 ( 93 % ) ; 135 / 10320 ( 1 % ) ; 0 / 10320 ( 0 % ) ; -; -- Combinational with no register ; 2231 ; 56 ; 0 ; -; -- Register only ; 2385 ; 16 ; 0 ; -; -- Combinational with a register ; 5023 ; 63 ; 0 ; -; ; ; ; ; -; Logic element usage by number of LUT inputs ; ; ; ; -; -- 4 input functions ; 2444 ; 57 ; 0 ; -; -- 3 input functions ; 3954 ; 27 ; 0 ; -; -- <=2 input functions ; 856 ; 35 ; 0 ; -; -- Register only ; 2385 ; 16 ; 0 ; -; ; ; ; ; -; Logic elements by mode ; ; ; ; -; -- normal mode ; 3360 ; 111 ; 0 ; -; -- arithmetic mode ; 3894 ; 8 ; 0 ; -; ; ; ; ; -; Total registers ; 7408 ; 79 ; 0 ; -; -- Dedicated logic registers ; 7408 / 10320 ( 72 % ) ; 79 / 10320 ( < 1 % ) ; 0 / 10320 ( 0 % ) ; -; -- I/O registers ; 0 ; 0 ; 0 ; -; ; ; ; ; -; Total LABs: partially or completely used ; 636 / 645 ( 99 % ) ; 12 / 645 ( 2 % ) ; 0 / 645 ( 0 % ) ; -; ; ; ; ; -; Virtual pins ; 0 ; 0 ; 0 ; -; I/O pins ; 61 ; 0 ; 0 ; -; Embedded Multiplier 9-bit elements ; 32 / 46 ( 70 % ) ; 0 / 46 ( 0 % ) ; 0 / 46 ( 0 % ) ; -; Total memory bits ; 183280 ; 0 ; 0 ; -; Total RAM block bits ; 340992 ; 0 ; 0 ; -; JTAG ; 1 / 1 ( 100 % ) ; 0 / 1 ( 0 % ) ; 0 / 1 ( 0 % ) ; -; PLL ; 0 / 2 ( 0 % ) ; 0 / 2 ( 0 % ) ; 2 / 2 ( 100 % ) ; -; M9K ; 37 / 46 ( 80 % ) ; 0 / 46 ( 0 % ) ; 0 / 46 ( 0 % ) ; -; Clock control block ; 5 / 12 ( 41 % ) ; 0 / 12 ( 0 % ) ; 3 / 12 ( 25 % ) ; -; ; ; ; ; -; Connections ; ; ; ; -; -- Input Connections ; 2211 ; 116 ; 2 ; -; -- Registered Input Connections ; 2161 ; 88 ; 0 ; -; -- Output Connections ; 151 ; 46 ; 2132 ; -; -- Registered Output Connections ; 0 ; 32 ; 0 ; -; ; ; ; ; -; Internal Connections ; ; ; ; -; -- Total Connections ; 52967 ; 682 ; 2139 ; -; -- Registered Connections ; 24470 ; 452 ; 0 ; -; ; ; ; ; -; External Connections ; ; ; ; -; -- Top ; 66 ; 162 ; 2134 ; -; -- sld_hub:auto_hub ; 162 ; 0 ; 0 ; -; -- hard_block:auto_generated_inst ; 2134 ; 0 ; 0 ; -; ; ; ; ; -; Partition Interface ; ; ; ; -; -- Input Ports ; 36 ; 39 ; 2 ; -; -- Output Ports ; 42 ; 56 ; 3 ; -; -- Bidir Ports ; 8 ; 0 ; 0 ; -; ; ; ; ; -; Registered Ports ; ; ; ; -; -- Registered Input Ports ; 0 ; 4 ; 0 ; -; -- Registered Output Ports ; 0 ; 23 ; 0 ; -; ; ; ; ; -; Port Connectivity ; ; ; ; -; -- Input Ports driven by GND ; 0 ; 5 ; 0 ; -; -- Output Ports driven by GND ; 0 ; 28 ; 0 ; -; -- Input Ports driven by VCC ; 0 ; 0 ; 0 ; -; -- Output Ports driven by VCC ; 0 ; 0 ; 0 ; -; -- Input Ports with no Source ; 0 ; 25 ; 0 ; -; -- Output Ports with no Source ; 0 ; 0 ; 0 ; -; -- Input Ports with no Fanout ; 0 ; 30 ; 1 ; -; -- Output Ports with no Fanout ; 0 ; 42 ; 0 ; -+---------------------------------------------+-----------------------+----------------------+--------------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Input Pins ; -+---------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ -; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination Control Block ; Location assigned by ; Slew Rate ; -+---------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ -; ADC_INPUT[0] ; 68 ; 4 ; 30 ; 0 ; 7 ; 7 ; 0 ; no ; no ; no ; yes ; no ; On ; 3.3-V LVTTL ; -- ; User ; no ; -; ADC_INPUT[10] ; 53 ; 3 ; 16 ; 0 ; 0 ; 7 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; no ; -; ADC_INPUT[11] ; 52 ; 3 ; 16 ; 0 ; 7 ; 7 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; no ; -; ADC_INPUT[1] ; 67 ; 4 ; 30 ; 0 ; 21 ; 7 ; 0 ; no ; no ; no ; yes ; no ; On ; 3.3-V LVTTL ; -- ; User ; no ; -; ADC_INPUT[2] ; 66 ; 4 ; 28 ; 0 ; 0 ; 7 ; 0 ; no ; no ; no ; yes ; no ; On ; 3.3-V LVTTL ; -- ; User ; no ; -; ADC_INPUT[3] ; 65 ; 4 ; 28 ; 0 ; 21 ; 7 ; 0 ; no ; no ; no ; yes ; no ; On ; 3.3-V LVTTL ; -- ; User ; no ; -; ADC_INPUT[4] ; 64 ; 4 ; 25 ; 0 ; 0 ; 7 ; 0 ; no ; no ; no ; yes ; no ; On ; 3.3-V LVTTL ; -- ; User ; no ; -; ADC_INPUT[5] ; 60 ; 4 ; 23 ; 0 ; 7 ; 7 ; 0 ; no ; no ; no ; yes ; no ; On ; 3.3-V LVTTL ; -- ; User ; no ; -; ADC_INPUT[6] ; 59 ; 4 ; 23 ; 0 ; 14 ; 7 ; 0 ; no ; no ; no ; yes ; no ; On ; 3.3-V LVTTL ; -- ; User ; no ; -; ADC_INPUT[7] ; 58 ; 4 ; 21 ; 0 ; 7 ; 7 ; 0 ; no ; no ; no ; yes ; no ; On ; 3.3-V LVTTL ; -- ; User ; no ; -; ADC_INPUT[8] ; 55 ; 4 ; 18 ; 0 ; 14 ; 7 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; no ; -; ADC_INPUT[9] ; 54 ; 4 ; 18 ; 0 ; 21 ; 7 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; no ; -; ADC_OTR ; 44 ; 3 ; 5 ; 0 ; 14 ; 1 ; 0 ; no ; no ; no ; yes ; no ; On ; 3.3-V LVTTL ; -- ; User ; no ; -; FLASH_MISO ; 13 ; 1 ; 0 ; 17 ; 21 ; 8 ; 0 ; no ; no ; no ; no ; no ; On ; 2.5 V ; -- ; User ; no ; -; STM32_CLK ; 33 ; 2 ; 0 ; 6 ; 21 ; 181 ; 0 ; no ; no ; no ; yes ; no ; On ; 3.3-V LVTTL ; -- ; User ; no ; -; STM32_SYNC ; 32 ; 2 ; 0 ; 6 ; 14 ; 32 ; 0 ; no ; no ; no ; yes ; no ; On ; 3.3-V LVTTL ; -- ; User ; no ; -; clk_sys ; 89 ; 5 ; 34 ; 12 ; 14 ; 5113 ; 0 ; yes ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; no ; -+---------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Output Pins ; -+-----------------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ -; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Termination Control Block ; Output Buffer Pre-emphasis ; Voltage Output Differential ; Location assigned by ; Output Enable Source ; Output Enable Group ; -+-----------------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ -; ATT_05 ; 86 ; 5 ; 34 ; 9 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; ATT_1 ; 87 ; 5 ; 34 ; 10 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; ATT_16 ; 85 ; 5 ; 34 ; 9 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; ATT_2 ; 98 ; 6 ; 34 ; 17 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; ATT_4 ; 99 ; 6 ; 34 ; 17 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; ATT_8 ; 100 ; 6 ; 34 ; 17 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; AUDIO_48K_CLOCK ; 135 ; 8 ; 11 ; 24 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; AUDIO_I2S_CLOCK ; 136 ; 8 ; 9 ; 24 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; BPF_A ; 80 ; 5 ; 34 ; 7 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; BPF_B ; 83 ; 5 ; 34 ; 9 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; BPF_OE1 ; 77 ; 5 ; 34 ; 4 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; BPF_OE2 ; 84 ; 5 ; 34 ; 9 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; DAC_CLK ; 125 ; 7 ; 18 ; 24 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; DAC_OUTPUT[0] ; 101 ; 6 ; 34 ; 18 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; DAC_OUTPUT[10] ; 115 ; 7 ; 28 ; 24 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; DAC_OUTPUT[11] ; 119 ; 7 ; 23 ; 24 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; DAC_OUTPUT[12] ; 120 ; 7 ; 23 ; 24 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; DAC_OUTPUT[13] ; 121 ; 7 ; 23 ; 24 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; DAC_OUTPUT[1] ; 103 ; 6 ; 34 ; 18 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; DAC_OUTPUT[2] ; 104 ; 6 ; 34 ; 18 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; DAC_OUTPUT[3] ; 105 ; 6 ; 34 ; 19 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; DAC_OUTPUT[4] ; 106 ; 6 ; 34 ; 20 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; DAC_OUTPUT[5] ; 110 ; 7 ; 30 ; 24 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; DAC_OUTPUT[6] ; 111 ; 7 ; 30 ; 24 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; DAC_OUTPUT[7] ; 112 ; 7 ; 28 ; 24 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; DAC_OUTPUT[8] ; 113 ; 7 ; 28 ; 24 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; DAC_OUTPUT[9] ; 114 ; 7 ; 28 ; 24 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; DAC_PD ; 124 ; 7 ; 18 ; 24 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; FLASH_C ; 12 ; 1 ; 0 ; 17 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; On ; 2.5 V ; Default ; Off ; -- ; no ; no ; User ; - ; - ; -; FLASH_MOSI ; 6 ; 1 ; 0 ; 22 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; On ; 2.5 V ; Default ; Off ; -- ; no ; no ; User ; - ; - ; -; FLASH_S ; 8 ; 1 ; 0 ; 21 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; On ; 2.5 V ; Default ; Off ; -- ; no ; no ; User ; - ; - ; -; LPF_1 ; 76 ; 5 ; 34 ; 4 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; LPF_2 ; 75 ; 5 ; 34 ; 3 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; LPF_3 ; 74 ; 5 ; 34 ; 2 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; PREAMP ; 69 ; 4 ; 30 ; 0 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; TXRX_OUT ; 72 ; 4 ; 32 ; 0 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -+-----------------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Bidir Pins ; -+-------------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------+-----------------+------------+----------+--------------+--------------+------------------+--------------------+---------------------------+----------------------------+----------------------+----------------------+--------------------------------------------------------+ -; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Output Termination ; Termination Control Block ; Output Buffer Pre-emphasis ; Location assigned by ; Output Enable Source ; Output Enable Group ; -+-------------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------+-----------------+------------+----------+--------------+--------------+------------------+--------------------+---------------------------+----------------------------+----------------------+----------------------+--------------------------------------------------------+ -; STM32_DATA_BUS[0] ; 49 ; 3 ; 13 ; 0 ; 14 ; 31 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; User ; 0 pF ; stm32_interface:STM32_INTERFACE|DATA_BUS_OE (inverted) ; -; STM32_DATA_BUS[1] ; 50 ; 3 ; 13 ; 0 ; 0 ; 29 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; User ; 0 pF ; stm32_interface:STM32_INTERFACE|DATA_BUS_OE (inverted) ; -; STM32_DATA_BUS[2] ; 51 ; 3 ; 16 ; 0 ; 21 ; 32 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; User ; 0 pF ; stm32_interface:STM32_INTERFACE|DATA_BUS_OE (inverted) ; -; STM32_DATA_BUS[3] ; 38 ; 3 ; 1 ; 0 ; 21 ; 20 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; User ; 0 pF ; stm32_interface:STM32_INTERFACE|DATA_BUS_OE (inverted) ; -; STM32_DATA_BUS[4] ; 39 ; 3 ; 1 ; 0 ; 14 ; 20 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; User ; 0 pF ; stm32_interface:STM32_INTERFACE|DATA_BUS_OE (inverted) ; -; STM32_DATA_BUS[5] ; 42 ; 3 ; 3 ; 0 ; 0 ; 18 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; User ; 0 pF ; stm32_interface:STM32_INTERFACE|DATA_BUS_OE (inverted) ; -; STM32_DATA_BUS[6] ; 43 ; 3 ; 5 ; 0 ; 21 ; 16 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; User ; 0 pF ; stm32_interface:STM32_INTERFACE|DATA_BUS_OE (inverted) ; -; STM32_DATA_BUS[7] ; 46 ; 3 ; 7 ; 0 ; 0 ; 14 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; User ; 0 pF ; stm32_interface:STM32_INTERFACE|DATA_BUS_OE (inverted) ; -+-------------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------+-----------------+------------+----------+--------------+--------------+------------------+--------------------+---------------------------+----------------------------+----------------------+----------------------+--------------------------------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------+ -; Dual Purpose and Dedicated Pins ; -+----------+-----------------------------+------------------------+---------------------+---------------------------+ -; Location ; Pin Name ; Reserved As ; User Signal Name ; Pin Type ; -+----------+-----------------------------+------------------------+---------------------+---------------------------+ -; 6 ; DIFFIO_L1n, DATA1, ASDO ; Use as regular IO ; FLASH_MOSI ; Dual Purpose Pin ; -; 8 ; DIFFIO_L2p, FLASH_nCE, nCSO ; Use as regular IO ; FLASH_S ; Dual Purpose Pin ; -; 9 ; nSTATUS ; - ; - ; Dedicated Programming Pin ; -; 12 ; DCLK ; Use as regular IO ; FLASH_C ; Dual Purpose Pin ; -; 13 ; DATA0 ; Use as regular IO ; FLASH_MISO ; Dual Purpose Pin ; -; 14 ; nCONFIG ; - ; - ; Dedicated Programming Pin ; -; 15 ; TDI ; - ; altera_reserved_tdi ; JTAG Pin ; -; 16 ; TCK ; - ; altera_reserved_tck ; JTAG Pin ; -; 18 ; TMS ; - ; altera_reserved_tms ; JTAG Pin ; -; 20 ; TDO ; - ; altera_reserved_tdo ; JTAG Pin ; -; 21 ; nCE ; - ; - ; Dedicated Programming Pin ; -; 86 ; DIFFIO_R7n, DEV_OE ; Use as regular IO ; ATT_05 ; Dual Purpose Pin ; -; 87 ; DIFFIO_R7p, DEV_CLRn ; Use as regular IO ; ATT_1 ; Dual Purpose Pin ; -; 92 ; CONF_DONE ; - ; - ; Dedicated Programming Pin ; -; 94 ; MSEL0 ; - ; - ; Dedicated Programming Pin ; -; 96 ; MSEL1 ; - ; - ; Dedicated Programming Pin ; -; 97 ; MSEL2 ; - ; - ; Dedicated Programming Pin ; -; 97 ; MSEL3 ; - ; - ; Dedicated Programming Pin ; -; 98 ; DIFFIO_R4n, INIT_DONE ; Use as regular IO ; ATT_2 ; Dual Purpose Pin ; -; 99 ; DIFFIO_R4p, CRC_ERROR ; Use as regular IO ; ATT_4 ; Dual Purpose Pin ; -; 101 ; DIFFIO_R3n, nCEO ; Use as programming pin ; DAC_OUTPUT[0] ; Dual Purpose Pin ; -; 103 ; DIFFIO_R3p, CLKUSR ; Use as regular IO ; DAC_OUTPUT[1] ; Dual Purpose Pin ; -+----------+-----------------------------+------------------------+---------------------+---------------------------+ - - -+-------------------------------------------------------------+ -; I/O Bank Usage ; -+----------+-------------------+---------------+--------------+ -; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; -+----------+-------------------+---------------+--------------+ -; 1 ; 4 / 11 ( 36 % ) ; 2.5V ; -- ; -; 2 ; 2 / 8 ( 25 % ) ; 3.3V ; -- ; -; 3 ; 11 / 11 ( 100 % ) ; 3.3V ; -- ; -; 4 ; 12 / 14 ( 86 % ) ; 3.3V ; -- ; -; 5 ; 11 / 13 ( 85 % ) ; 3.3V ; -- ; -; 6 ; 8 / 10 ( 80 % ) ; 3.3V ; -- ; -; 7 ; 11 / 13 ( 85 % ) ; 3.3V ; -- ; -; 8 ; 2 / 12 ( 17 % ) ; 3.3V ; -- ; -+----------+-------------------+---------------+--------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------+ -; All Package Pins ; -+----------+------------+----------+---------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ -; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; -+----------+------------+----------+---------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ -; 1 ; 0 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 2 ; 1 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 3 ; 2 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 5 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 6 ; 5 ; 1 ; FLASH_MOSI ; output ; 2.5 V ; ; Row I/O ; Y ; no ; On ; -; 7 ; 6 ; 1 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; -; 8 ; 7 ; 1 ; FLASH_S ; output ; 2.5 V ; ; Row I/O ; Y ; no ; On ; -; 9 ; 9 ; 1 ; ^nSTATUS ; ; ; ; -- ; ; -- ; -- ; -; 10 ; 13 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 11 ; 14 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 12 ; 15 ; 1 ; FLASH_C ; output ; 2.5 V ; ; Row I/O ; Y ; no ; On ; -; 13 ; 16 ; 1 ; FLASH_MISO ; input ; 2.5 V ; ; Row I/O ; Y ; no ; On ; -; 14 ; 17 ; 1 ; ^nCONFIG ; ; ; ; -- ; ; -- ; -- ; -; 15 ; 18 ; 1 ; altera_reserved_tdi ; input ; 2.5 V ; ; -- ; N ; no ; Off ; -; 16 ; 19 ; 1 ; altera_reserved_tck ; input ; 2.5 V ; ; -- ; N ; no ; Off ; -; 17 ; ; 1 ; VCCIO1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 18 ; 20 ; 1 ; altera_reserved_tms ; input ; 2.5 V ; ; -- ; N ; no ; Off ; -; 19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 20 ; 21 ; 1 ; altera_reserved_tdo ; output ; 2.5 V ; ; -- ; N ; no ; Off ; -; 21 ; 22 ; 1 ; ^nCE ; ; ; ; -- ; ; -- ; -- ; -; 22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 23 ; 24 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; 24 ; 25 ; 2 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; 25 ; 26 ; 2 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; 26 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 27 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 28 ; 31 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 29 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 30 ; 34 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 31 ; 36 ; 2 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; -; 32 ; 39 ; 2 ; STM32_SYNC ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; On ; -; 33 ; 40 ; 2 ; STM32_CLK ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; On ; -; 34 ; 41 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 35 ; ; -- ; VCCA1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 36 ; ; ; GNDA1 ; gnd ; ; ; -- ; ; -- ; -- ; -; 37 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 38 ; 45 ; 3 ; STM32_DATA_BUS[3] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; -; 39 ; 46 ; 3 ; STM32_DATA_BUS[4] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; -; 40 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 41 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 42 ; 52 ; 3 ; STM32_DATA_BUS[5] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; -; 43 ; 53 ; 3 ; STM32_DATA_BUS[6] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; -; 44 ; 54 ; 3 ; ADC_OTR ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; -; 45 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 46 ; 58 ; 3 ; STM32_DATA_BUS[7] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; -; 47 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 48 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 49 ; 68 ; 3 ; STM32_DATA_BUS[0] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; -; 50 ; 69 ; 3 ; STM32_DATA_BUS[1] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; -; 51 ; 70 ; 3 ; STM32_DATA_BUS[2] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; -; 52 ; 72 ; 3 ; ADC_INPUT[11] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 53 ; 73 ; 3 ; ADC_INPUT[10] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 54 ; 74 ; 4 ; ADC_INPUT[9] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 55 ; 75 ; 4 ; ADC_INPUT[8] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 56 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 57 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 58 ; 80 ; 4 ; ADC_INPUT[7] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; -; 59 ; 83 ; 4 ; ADC_INPUT[6] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; -; 60 ; 84 ; 4 ; ADC_INPUT[5] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; -; 61 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 62 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 63 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 64 ; 89 ; 4 ; ADC_INPUT[4] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; -; 65 ; 90 ; 4 ; ADC_INPUT[3] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; -; 66 ; 93 ; 4 ; ADC_INPUT[2] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; -; 67 ; 94 ; 4 ; ADC_INPUT[1] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; -; 68 ; 96 ; 4 ; ADC_INPUT[0] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; -; 69 ; 97 ; 4 ; PREAMP ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; -; 70 ; 98 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 71 ; 99 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 72 ; 100 ; 4 ; TXRX_OUT ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 73 ; 102 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 74 ; 103 ; 5 ; LPF_3 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 75 ; 104 ; 5 ; LPF_2 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 76 ; 106 ; 5 ; LPF_1 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 77 ; 107 ; 5 ; BPF_OE1 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 78 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 79 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 80 ; 113 ; 5 ; BPF_A ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 81 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 82 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 83 ; 117 ; 5 ; BPF_B ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 84 ; 118 ; 5 ; BPF_OE2 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 85 ; 119 ; 5 ; ATT_16 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 86 ; 120 ; 5 ; ATT_05 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 87 ; 121 ; 5 ; ATT_1 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 88 ; 125 ; 5 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; 89 ; 126 ; 5 ; clk_sys ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 90 ; 127 ; 6 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; 91 ; 128 ; 6 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; 92 ; 129 ; 6 ; ^CONF_DONE ; ; ; ; -- ; ; -- ; -- ; -; 93 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 94 ; 130 ; 6 ; ^MSEL0 ; ; ; ; -- ; ; -- ; -- ; -; 95 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 96 ; 131 ; 6 ; ^MSEL1 ; ; ; ; -- ; ; -- ; -- ; -; 97 ; 132 ; 6 ; ^MSEL2 ; ; ; ; -- ; ; -- ; -- ; -; 97 ; 133 ; 6 ; ^MSEL3 ; ; ; ; -- ; ; -- ; -- ; -; 98 ; 136 ; 6 ; ATT_2 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 99 ; 137 ; 6 ; ATT_4 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 100 ; 138 ; 6 ; ATT_8 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 101 ; 139 ; 6 ; DAC_OUTPUT[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; On ; -; 102 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 103 ; 140 ; 6 ; DAC_OUTPUT[1] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; On ; -; 104 ; 141 ; 6 ; DAC_OUTPUT[2] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; On ; -; 105 ; 142 ; 6 ; DAC_OUTPUT[3] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; On ; -; 106 ; 146 ; 6 ; DAC_OUTPUT[4] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; On ; -; 107 ; ; -- ; VCCA2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 108 ; ; ; GNDA2 ; gnd ; ; ; -- ; ; -- ; -- ; -; 109 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 110 ; 152 ; 7 ; DAC_OUTPUT[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; -; 111 ; 154 ; 7 ; DAC_OUTPUT[6] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; -; 112 ; 155 ; 7 ; DAC_OUTPUT[7] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; -; 113 ; 156 ; 7 ; DAC_OUTPUT[8] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; -; 114 ; 157 ; 7 ; DAC_OUTPUT[9] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; -; 115 ; 158 ; 7 ; DAC_OUTPUT[10] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; -; 116 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 117 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 118 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 119 ; 163 ; 7 ; DAC_OUTPUT[11] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; -; 120 ; 164 ; 7 ; DAC_OUTPUT[12] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; -; 121 ; 165 ; 7 ; DAC_OUTPUT[13] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; -; 122 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 123 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 124 ; 173 ; 7 ; DAC_PD ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; -; 125 ; 174 ; 7 ; DAC_CLK ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 126 ; 175 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 127 ; 176 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 128 ; 177 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 129 ; 178 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 130 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 131 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 132 ; 181 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 133 ; 182 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 134 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 135 ; 185 ; 8 ; AUDIO_48K_CLOCK ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; -; 136 ; 187 ; 8 ; AUDIO_I2S_CLOCK ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; -; 137 ; 190 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 138 ; 191 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 139 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 140 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 141 ; 195 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 142 ; 201 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 143 ; 202 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 144 ; 203 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; EPAD ; ; ; GND ; ; ; ; -- ; ; -- ; -- ; -+----------+------------+----------+---------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ -Note: Pin directions (input, output or bidir) are based on device operating in user mode. - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; PLL Summary ; -+-------------------------------+-------------------------------------------------------------------------------+-------------------------------------------------------------------------+ -; Name ; MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|pll1 ; tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated|pll1 ; -+-------------------------------+-------------------------------------------------------------------------------+-------------------------------------------------------------------------+ -; SDC pin name ; MAIN_PLL|altpll_component|auto_generated|pll1 ; TX_PLL|altpll_component|auto_generated|pll1 ; -; PLL mode ; Normal ; Normal ; -; Compensate clock ; clock0 ; clock0 ; -; Compensated input/output pins ; -- ; -- ; -; Switchover type ; -- ; -- ; -; Input frequency 0 ; 64.32 MHz ; 64.32 MHz ; -; Input frequency 1 ; -- ; -- ; -; Nominal PFD frequency ; 12.9 MHz ; 64.3 MHz ; -; Nominal VCO frequency ; 823.3 MHz ; 643.2 MHz ; -; VCO post scale K counter ; -- ; 2 ; -; VCO frequency control ; Auto ; Auto ; -; VCO phase shift step ; 151 ps ; 194 ps ; -; VCO multiply ; -- ; -- ; -; VCO divide ; -- ; -- ; -; Freq min lock ; 49.0 MHz ; 30.0 MHz ; -; Freq max lock ; 101.6 MHz ; 65.02 MHz ; -; M VCO Tap ; 0 ; 0 ; -; M Initial ; 1 ; 1 ; -; M value ; 64 ; 10 ; -; N value ; 5 ; 1 ; -; Charge pump current ; setting 1 ; setting 1 ; -; Loop filter resistance ; setting 24 ; setting 27 ; -; Loop filter capacitance ; setting 0 ; setting 0 ; -; Bandwidth ; 450 kHz to 980 kHz ; 1.03 MHz to 1.97 MHz ; -; Bandwidth type ; Medium ; Medium ; -; Real time reconfigurable ; Off ; Off ; -; Scan chain MIF file ; -- ; -- ; -; Preserve PLL counter order ; Off ; Off ; -; PLL location ; PLL_2 ; PLL_1 ; -; Inclk0 signal ; clk_sys ; clk_sys ; -; Inclk1 signal ; -- ; -- ; -; Inclk0 signal type ; Global Clock ; Global Clock ; -; Inclk1 signal type ; -- ; -- ; -+-------------------------------+-------------------------------------------------------------------------------+-------------------------------------------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; PLL Usage ; -+------------------------------------------------------------------------------------------------------+--------------+------+------+------------------+-------------+------------------+------------+---------+---------------+--------------+---------------+---------+---------+------------------------------------------------------+ -; Name ; Output Clock ; Mult ; Div ; Output Frequency ; Phase Shift ; Phase Shift Step ; Duty Cycle ; Counter ; Counter Value ; High / Low ; Cascade Input ; Initial ; VCO Tap ; SDC Pin Name ; -+------------------------------------------------------------------------------------------------------+--------------+------+------+------------------+-------------+------------------+------------+---------+---------------+--------------+---------------+---------+---------+------------------------------------------------------+ -; MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|wire_pll1_clk[0] ; clock0 ; 64 ; 335 ; 12.29 MHz ; 0 (0 ps) ; 0.67 (151 ps) ; 50/50 ; C0 ; 67 ; 34/33 Odd ; -- ; 1 ; 0 ; MAIN_PLL|altpll_component|auto_generated|pll1|clk[0] ; -; MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|wire_pll1_clk[1] ; clock1 ; 1 ; 1340 ; 0.05 MHz ; 0 (0 ps) ; 0.17 (151 ps) ; 50/50 ; C2 ; 268 ; 134/134 Even ; C1 ; 1 ; 0 ; MAIN_PLL|altpll_component|auto_generated|pll1|clk[1] ; -; MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|wire_pll1_clk[1]~cascade_in ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; C1 ; 64 ; 32/32 Even ; -- ; 1 ; 0 ; ; -; tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated|wire_pll1_clk[0] ; clock0 ; 5 ; 2 ; 160.8 MHz ; 0 (0 ps) ; 11.25 (194 ps) ; 50/50 ; C0 ; 4 ; 2/2 Even ; -- ; 1 ; 0 ; TX_PLL|altpll_component|auto_generated|pll1|clk[0] ; -+------------------------------------------------------------------------------------------------------+--------------+------+------+------------------+-------------+------------------+------------+---------+---------------+--------------+---------------+---------+---------+------------------------------------------------------+ - - -+---------------------------------------------------+ -; I/O Assignment Warnings ; -+-------------------+-------------------------------+ -; Pin Name ; Reason ; -+-------------------+-------------------------------+ -; PREAMP ; Missing drive strength ; -; AUDIO_I2S_CLOCK ; Missing drive strength ; -; AUDIO_48K_CLOCK ; Missing drive strength ; -; FLASH_C ; Incomplete set of assignments ; -; FLASH_S ; Incomplete set of assignments ; -; FLASH_MOSI ; Incomplete set of assignments ; -; DAC_PD ; Missing drive strength ; -; DAC_CLK ; Missing drive strength ; -; ATT_05 ; Missing drive strength ; -; ATT_1 ; Missing drive strength ; -; ATT_2 ; Missing drive strength ; -; ATT_4 ; Missing drive strength ; -; ATT_8 ; Missing drive strength ; -; ATT_16 ; Missing drive strength ; -; BPF_A ; Missing drive strength ; -; BPF_B ; Missing drive strength ; -; BPF_OE1 ; Missing drive strength ; -; BPF_OE2 ; Missing drive strength ; -; LPF_1 ; Missing drive strength ; -; LPF_2 ; Missing drive strength ; -; LPF_3 ; Missing drive strength ; -; TXRX_OUT ; Missing drive strength ; -; DAC_OUTPUT[13] ; Missing drive strength ; -; DAC_OUTPUT[12] ; Missing drive strength ; -; DAC_OUTPUT[11] ; Missing drive strength ; -; DAC_OUTPUT[10] ; Missing drive strength ; -; DAC_OUTPUT[9] ; Missing drive strength ; -; DAC_OUTPUT[8] ; Missing drive strength ; -; DAC_OUTPUT[7] ; Missing drive strength ; -; DAC_OUTPUT[6] ; Missing drive strength ; -; DAC_OUTPUT[5] ; Missing drive strength ; -; DAC_OUTPUT[4] ; Missing drive strength ; -; DAC_OUTPUT[3] ; Missing drive strength ; -; DAC_OUTPUT[2] ; Missing drive strength ; -; DAC_OUTPUT[1] ; Missing drive strength ; -; DAC_OUTPUT[0] ; Missing drive strength ; -; STM32_DATA_BUS[7] ; Missing drive strength ; -; STM32_DATA_BUS[6] ; Missing drive strength ; -; STM32_DATA_BUS[5] ; Missing drive strength ; -; STM32_DATA_BUS[4] ; Missing drive strength ; -; STM32_DATA_BUS[3] ; Missing drive strength ; -; STM32_DATA_BUS[2] ; Missing drive strength ; -; STM32_DATA_BUS[1] ; Missing drive strength ; -; STM32_DATA_BUS[0] ; Missing drive strength ; -; FLASH_MISO ; Incomplete set of assignments ; -+-------------------+-------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Resource Utilization by Entity ; -+-----------------------------------------------------------------------------------------------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------+--------------+ -; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; -+-----------------------------------------------------------------------------------------------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------+--------------+ -; |WOLF-LITE ; 9774 (2) ; 7487 (0) ; 0 (0) ; 183280 ; 37 ; 32 ; 0 ; 16 ; 61 ; 0 ; 2287 (2) ; 2401 (0) ; 5086 (0) ; |WOLF-LITE ; WOLF-LITE ; work ; -; |DAC_corrector:DAC_CORRECTOR| ; 142 (142) ; 14 (14) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 126 (126) ; 0 (0) ; 16 (16) ; |WOLF-LITE|DAC_corrector:DAC_CORRECTOR ; DAC_corrector ; work ; -; |DEBUG:DBG_ADC| ; 41 (0) ; 22 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 19 (0) ; 0 (0) ; 22 (0) ; |WOLF-LITE|DEBUG:DBG_ADC ; DEBUG ; DEBUG ; -; |altsource_probe_top:in_system_sources_probes_0| ; 41 (0) ; 22 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 19 (0) ; 0 (0) ; 22 (0) ; |WOLF-LITE|DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0 ; altsource_probe_top ; DEBUG ; -; |altsource_probe:issp_impl| ; 41 (0) ; 22 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 19 (0) ; 0 (0) ; 22 (0) ; |WOLF-LITE|DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl ; altsource_probe ; work ; -; |altsource_probe_body:altsource_probe_body_inst| ; 41 (3) ; 22 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 19 (3) ; 0 (0) ; 22 (0) ; |WOLF-LITE|DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst ; altsource_probe_body ; work ; -; |altsource_probe_impl:\wider_probe_gen:wider_probe_inst| ; 38 (21) ; 22 (14) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (7) ; 0 (0) ; 22 (14) ; |WOLF-LITE|DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst ; altsource_probe_impl ; work ; -; |sld_rom_sr:\instance_id_gen:rom_info_inst| ; 17 (17) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 9 (9) ; 0 (0) ; 8 (8) ; |WOLF-LITE|DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst ; sld_rom_sr ; work ; -; |MAIN_PLL:MAIN_PLL| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|MAIN_PLL:MAIN_PLL ; MAIN_PLL ; work ; -; |altpll:altpll_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|MAIN_PLL:MAIN_PLL|altpll:altpll_component ; altpll ; work ; -; |MAIN_PLL_altpll:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated ; MAIN_PLL_altpll ; work ; -; |clock_buffer:SYSCLK_BUFFER| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|clock_buffer:SYSCLK_BUFFER ; clock_buffer ; clock_buffer ; -; |clock_buffer_altclkctrl_0:altclkctrl_0| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|clock_buffer:SYSCLK_BUFFER|clock_buffer_altclkctrl_0:altclkctrl_0 ; clock_buffer_altclkctrl_0 ; clock_buffer ; -; |clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|clock_buffer:SYSCLK_BUFFER|clock_buffer_altclkctrl_0:altclkctrl_0|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component ; clock_buffer_altclkctrl_0_sub ; clock_buffer ; -; |data_shifter:CIC_GAINER| ; 834 (834) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 787 (787) ; 0 (0) ; 47 (47) ; |WOLF-LITE|data_shifter:CIC_GAINER ; data_shifter ; work ; -; |data_shifter:RX_CICFIR_GAINER| ; 283 (283) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 196 (196) ; 0 (0) ; 87 (87) ; |WOLF-LITE|data_shifter:RX_CICFIR_GAINER ; data_shifter ; work ; -; |data_shifter:TX_CICCOMP_GAINER| ; 291 (291) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 223 (223) ; 0 (0) ; 68 (68) ; |WOLF-LITE|data_shifter:TX_CICCOMP_GAINER ; data_shifter ; work ; -; |mixer:RX_MIXER_I| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|mixer:RX_MIXER_I ; mixer ; work ; -; |lpm_mult:lpm_mult_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|mixer:RX_MIXER_I|lpm_mult:lpm_mult_component ; lpm_mult ; work ; -; |mult_jnp:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated ; mult_jnp ; work ; -; |mixer:RX_MIXER_Q| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|mixer:RX_MIXER_Q ; mixer ; work ; -; |lpm_mult:lpm_mult_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component ; lpm_mult ; work ; -; |mult_jnp:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated ; mult_jnp ; work ; -; |mux14:DAC_MUX| ; 14 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 13 (0) ; 0 (0) ; 1 (0) ; |WOLF-LITE|mux14:DAC_MUX ; mux14 ; work ; -; |lpm_mux:LPM_MUX_component| ; 14 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 13 (0) ; 0 (0) ; 1 (0) ; |WOLF-LITE|mux14:DAC_MUX|lpm_mux:LPM_MUX_component ; lpm_mux ; work ; -; |mux_rsc:auto_generated| ; 14 (14) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 13 (13) ; 0 (0) ; 1 (1) ; |WOLF-LITE|mux14:DAC_MUX|lpm_mux:LPM_MUX_component|mux_rsc:auto_generated ; mux_rsc ; work ; -; |nco:RX_NCO| ; 181 (0) ; 149 (0) ; 0 (0) ; 73728 ; 9 ; 8 ; 0 ; 4 ; 0 ; 0 ; 31 (0) ; 0 (0) ; 150 (0) ; |WOLF-LITE|nco:RX_NCO ; nco ; nco ; -; |nco_nco_ii_0:nco_ii_0| ; 181 (0) ; 149 (0) ; 0 (0) ; 73728 ; 9 ; 8 ; 0 ; 4 ; 0 ; 0 ; 31 (0) ; 0 (0) ; 150 (0) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0 ; nco_nco_ii_0 ; nco ; -; |asj_altqmcpipe:ux000| ; 44 (22) ; 44 (22) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 44 (22) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000 ; asj_altqmcpipe ; nco ; -; |lpm_add_sub:acc| ; 22 (0) ; 22 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 22 (0) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc ; lpm_add_sub ; work ; -; |add_sub_u4i:auto_generated| ; 22 (22) ; 22 (22) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 22 (22) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated ; add_sub_u4i ; work ; -; |asj_gam_dp:ux008| ; 24 (24) ; 24 (24) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 24 (24) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008 ; asj_gam_dp ; nco ; -; |asj_nco_as_m_cen:ux0122| ; 0 (0) ; 0 (0) ; 0 (0) ; 24576 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122 ; asj_nco_as_m_cen ; nco ; -; |altsyncram:altsyncram_component0| ; 0 (0) ; 0 (0) ; 0 (0) ; 24576 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0 ; altsyncram ; work ; -; |altsyncram_fu91:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 24576 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_fu91:auto_generated ; altsyncram_fu91 ; work ; -; |asj_nco_as_m_cen:ux0123| ; 0 (0) ; 0 (0) ; 0 (0) ; 24576 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123 ; asj_nco_as_m_cen ; nco ; -; |altsyncram:altsyncram_component0| ; 0 (0) ; 0 (0) ; 0 (0) ; 24576 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0 ; altsyncram ; work ; -; |altsyncram_au91:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 24576 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_au91:auto_generated ; altsyncram_au91 ; work ; -; |asj_nco_as_m_dp_cen:ux0220| ; 0 (0) ; 0 (0) ; 0 (0) ; 24576 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220 ; asj_nco_as_m_dp_cen ; nco ; -; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 24576 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component ; altsyncram ; work ; -; |altsyncram_h982:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 24576 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_h982:auto_generated ; altsyncram_h982 ; work ; -; |asj_nco_isdr:ux710isdr| ; 6 (2) ; 5 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 5 (1) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr ; asj_nco_isdr ; nco ; -; |lpm_counter:lpm_counter_component| ; 4 (0) ; 4 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 4 (0) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr|lpm_counter:lpm_counter_component ; lpm_counter ; work ; -; |cntr_asi:auto_generated| ; 4 (4) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 4 (4) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr|lpm_counter:lpm_counter_component|cntr_asi:auto_generated ; cntr_asi ; work ; -; |asj_nco_madx_cen:m1| ; 24 (24) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 4 ; 0 ; 2 ; 0 ; 0 ; 10 (10) ; 0 (0) ; 14 (14) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1 ; asj_nco_madx_cen ; nco ; -; |lpm_mult:Mult0| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0 ; lpm_mult ; work ; -; |mult_t5t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated ; mult_t5t ; work ; -; |lpm_mult:Mult1| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1 ; lpm_mult ; work ; -; |mult_t5t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated ; mult_t5t ; work ; -; |asj_nco_mady_cen:m0| ; 24 (24) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 4 ; 0 ; 2 ; 0 ; 0 ; 11 (11) ; 0 (0) ; 13 (13) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0 ; asj_nco_mady_cen ; nco ; -; |lpm_mult:Mult0| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0 ; lpm_mult ; work ; -; |mult_t5t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated ; mult_t5t ; work ; -; |lpm_mult:Mult1| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1 ; lpm_mult ; work ; -; |mult_t5t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated ; mult_t5t ; work ; -; |asj_nco_mob_w:blk0| ; 30 (18) ; 25 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 0 (0) ; 25 (13) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0 ; asj_nco_mob_w ; nco ; -; |lpm_add_sub:lpm_add_sub_component| ; 12 (0) ; 12 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 12 (0) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component ; lpm_add_sub ; work ; -; |add_sub_fpk:auto_generated| ; 12 (12) ; 12 (12) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 12 (12) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated ; add_sub_fpk ; work ; -; |asj_nco_mob_w:blk1| ; 29 (17) ; 25 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 0 (0) ; 25 (13) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1 ; asj_nco_mob_w ; nco ; -; |lpm_add_sub:lpm_add_sub_component| ; 12 (0) ; 12 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 12 (0) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component ; lpm_add_sub ; work ; -; |add_sub_fpk:auto_generated| ; 12 (12) ; 12 (12) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 12 (12) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated ; add_sub_fpk ; work ; -; |rx_cic:RX_CIC_I| ; 2340 (0) ; 2249 (0) ; 0 (0) ; 2936 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 90 (0) ; 936 (0) ; 1314 (0) ; |WOLF-LITE|rx_cic:RX_CIC_I ; rx_cic ; rx_cic ; -; |rx_cic_cic_ii_0:cic_ii_0| ; 2340 (0) ; 2249 (0) ; 0 (0) ; 2936 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 90 (0) ; 936 (0) ; 1314 (0) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0 ; rx_cic_cic_ii_0 ; rx_cic ; -; |alt_cic_core:core| ; 2340 (0) ; 2249 (0) ; 0 (0) ; 2936 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 90 (0) ; 936 (0) ; 1314 (0) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core ; alt_cic_core ; rx_cic ; -; |alt_cic_dec_siso:dec_one| ; 2233 (9) ; 2191 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 42 (4) ; 936 (1) ; 1255 (4) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one ; alt_cic_dec_siso ; rx_cic ; -; |auk_dspip_channel_buffer:fifo_regulator| ; 633 (0) ; 617 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (0) ; 426 (0) ; 191 (0) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator ; auk_dspip_channel_buffer ; rx_cic ; -; |scfifo:buffer_FIFO| ; 633 (0) ; 617 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (0) ; 426 (0) ; 191 (0) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO ; scfifo ; work ; -; |scfifo_qm51:auto_generated| ; 633 (0) ; 617 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (0) ; 426 (0) ; 191 (0) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated ; scfifo_qm51 ; work ; -; |a_dpfifo_5ku:dpfifo| ; 633 (20) ; 617 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (13) ; 426 (0) ; 191 (7) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo ; a_dpfifo_5ku ; work ; -; |altsyncram_m7h1:FIFOram| ; 605 (0) ; 605 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 426 (0) ; 179 (0) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram ; altsyncram_m7h1 ; work ; -; |altsyncram:ram_block1a0| ; 605 (0) ; 605 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 426 (0) ; 179 (0) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0 ; altsyncram ; work ; -; |altsyncram_nci3:auto_generated| ; 605 (605) ; 605 (605) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 426 (426) ; 179 (3) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated ; altsyncram_nci3 ; work ; -; |decode_msa:address_decoder| ; 4 (4) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 4 (4) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|decode_msa:address_decoder ; decode_msa ; work ; -; |mux_sob:output_mux| ; 172 (172) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 172 (172) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux ; mux_sob ; work ; -; |cntr_7a7:usedw_counter| ; 3 (3) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 2 (2) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_7a7:usedw_counter ; cntr_7a7 ; work ; -; |cntr_q9b:rd_ptr_msb| ; 2 (2) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 1 (1) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_q9b:rd_ptr_msb ; cntr_q9b ; work ; -; |cntr_r9b:wr_ptr| ; 3 (3) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 2 (2) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_r9b:wr_ptr ; cntr_r9b ; work ; -; |auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff| ; 175 (89) ; 173 (87) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 86 (0) ; 89 (89) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff ; auk_dspip_differentiator ; rx_cic ; -; |auk_dspip_delay:\glogic:u0| ; 86 (86) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 86 (86) ; 0 (0) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; auk_dspip_delay ; rx_cic ; -; |auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff| ; 174 (88) ; 173 (87) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 83 (0) ; 91 (89) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff ; auk_dspip_differentiator ; rx_cic ; -; |auk_dspip_delay:\glogic:u0| ; 86 (86) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 83 (83) ; 3 (3) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; auk_dspip_delay ; rx_cic ; -; |auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff| ; 175 (89) ; 173 (87) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 86 (0) ; 87 (87) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff ; auk_dspip_differentiator ; rx_cic ; -; |auk_dspip_delay:\glogic:u0| ; 86 (86) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 86 (86) ; 0 (0) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; auk_dspip_delay ; rx_cic ; -; |auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff| ; 173 (88) ; 173 (87) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 84 (0) ; 89 (89) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff ; auk_dspip_differentiator ; rx_cic ; -; |auk_dspip_delay:\glogic:u0| ; 86 (86) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 84 (84) ; 2 (2) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; auk_dspip_delay ; rx_cic ; -; |auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff| ; 176 (90) ; 173 (87) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 85 (0) ; 90 (89) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff ; auk_dspip_differentiator ; rx_cic ; -; |auk_dspip_delay:\glogic:u0| ; 86 (86) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 85 (85) ; 1 (1) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; auk_dspip_delay ; rx_cic ; -; |auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff| ; 175 (89) ; 173 (87) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 80 (0) ; 93 (87) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff ; auk_dspip_differentiator ; rx_cic ; -; |auk_dspip_delay:\glogic:u0| ; 86 (86) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 80 (80) ; 6 (6) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; auk_dspip_delay ; rx_cic ; -; |auk_dspip_downsample:vrc_en_0.first_dsample| ; 22 (0) ; 11 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 11 (0) ; 0 (0) ; 11 (0) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample ; auk_dspip_downsample ; rx_cic ; -; |counter_module:counter_fs_inst| ; 22 (22) ; 11 (11) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 11 (11) ; 0 (0) ; 11 (11) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst ; counter_module ; rx_cic ; -; |auk_dspip_integrator:integrator[0].integration| ; 86 (0) ; 86 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 86 (0) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration ; auk_dspip_integrator ; rx_cic ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1| ; 86 (86) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 86 (86) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; auk_dspip_delay ; rx_cic ; -; |auk_dspip_integrator:integrator[1].integration| ; 87 (0) ; 86 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 1 (0) ; 85 (0) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration ; auk_dspip_integrator ; rx_cic ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1| ; 87 (87) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 1 (1) ; 85 (85) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; auk_dspip_delay ; rx_cic ; -; |auk_dspip_integrator:integrator[2].integration| ; 87 (0) ; 86 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 1 (0) ; 85 (0) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration ; auk_dspip_integrator ; rx_cic ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1| ; 87 (87) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 1 (1) ; 85 (85) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; auk_dspip_delay ; rx_cic ; -; |auk_dspip_integrator:integrator[3].integration| ; 87 (0) ; 86 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 1 (0) ; 85 (0) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration ; auk_dspip_integrator ; rx_cic ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1| ; 87 (87) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 1 (1) ; 85 (85) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; auk_dspip_delay ; rx_cic ; -; |auk_dspip_integrator:integrator[4].integration| ; 87 (0) ; 86 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (0) ; 86 (0) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration ; auk_dspip_integrator ; rx_cic ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1| ; 87 (87) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 86 (86) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; auk_dspip_delay ; rx_cic ; -; |auk_dspip_integrator:integrator[5].integration| ; 87 (0) ; 86 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (0) ; 86 (0) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration ; auk_dspip_integrator ; rx_cic ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1| ; 87 (87) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 86 (86) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; auk_dspip_delay ; rx_cic ; -; |counter_module:latency_cnt_inst| ; 7 (7) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 4 (4) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst ; counter_module ; rx_cic ; -; |auk_dspip_avalon_streaming_controller:avalon_controller| ; 33 (7) ; 16 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (5) ; 0 (0) ; 17 (2) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller ; auk_dspip_avalon_streaming_controller ; rx_cic ; -; |auk_dspip_avalon_streaming_small_fifo:ready_FIFO| ; 26 (26) ; 15 (15) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 11 (11) ; 0 (0) ; 15 (15) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO ; auk_dspip_avalon_streaming_small_fifo ; rx_cic ; -; |auk_dspip_avalon_streaming_sink:input_sink| ; 33 (0) ; 17 (0) ; 0 (0) ; 184 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 17 (0) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink ; auk_dspip_avalon_streaming_sink ; rx_cic ; -; |scfifo:sink_FIFO| ; 33 (0) ; 17 (0) ; 0 (0) ; 184 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 17 (0) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO ; scfifo ; work ; -; |scfifo_ef71:auto_generated| ; 33 (2) ; 17 (1) ; 0 (0) ; 184 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (1) ; 0 (0) ; 17 (1) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated ; scfifo_ef71 ; work ; -; |a_dpfifo_vkv:dpfifo| ; 31 (20) ; 16 (8) ; 0 (0) ; 184 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 15 (12) ; 0 (0) ; 16 (8) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo ; a_dpfifo_vkv ; work ; -; |altsyncram_h7h1:FIFOram| ; 0 (0) ; 0 (0) ; 0 (0) ; 184 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram ; altsyncram_h7h1 ; work ; -; |cntr_8a7:usedw_counter| ; 4 (4) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 3 (3) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_8a7:usedw_counter ; cntr_8a7 ; work ; -; |cntr_r9b:rd_ptr_msb| ; 3 (3) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 2 (2) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_r9b:rd_ptr_msb ; cntr_r9b ; work ; -; |cntr_s9b:wr_ptr| ; 4 (4) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 3 (3) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_s9b:wr_ptr ; cntr_s9b ; work ; -; |auk_dspip_avalon_streaming_source:output_source_0| ; 41 (1) ; 25 (1) ; 0 (0) ; 2752 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 25 (1) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0 ; auk_dspip_avalon_streaming_source ; rx_cic ; -; |scfifo:source_FIFO| ; 40 (0) ; 24 (0) ; 0 (0) ; 2752 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 24 (0) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO ; scfifo ; work ; -; |scfifo_ji71:auto_generated| ; 40 (0) ; 24 (0) ; 0 (0) ; 2752 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 24 (0) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated ; scfifo_ji71 ; work ; -; |a_dpfifo_gqv:dpfifo| ; 40 (23) ; 24 (10) ; 0 (0) ; 2752 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (13) ; 0 (0) ; 24 (10) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo ; a_dpfifo_gqv ; work ; -; |altsyncram_vah1:FIFOram| ; 0 (0) ; 0 (0) ; 0 (0) ; 2752 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram ; altsyncram_vah1 ; work ; -; |cntr_aa7:usedw_counter| ; 6 (6) ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 5 (5) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter ; cntr_aa7 ; work ; -; |cntr_t9b:rd_ptr_msb| ; 5 (5) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 4 (4) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb ; cntr_t9b ; work ; -; |cntr_u9b:wr_ptr| ; 6 (6) ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 5 (5) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr ; cntr_u9b ; work ; -; |rx_cic:RX_CIC_Q| ; 2347 (0) ; 2249 (0) ; 0 (0) ; 2936 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 95 (0) ; 938 (0) ; 1314 (0) ; |WOLF-LITE|rx_cic:RX_CIC_Q ; rx_cic ; rx_cic ; -; |rx_cic_cic_ii_0:cic_ii_0| ; 2347 (0) ; 2249 (0) ; 0 (0) ; 2936 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 95 (0) ; 938 (0) ; 1314 (0) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0 ; rx_cic_cic_ii_0 ; rx_cic ; -; |alt_cic_core:core| ; 2347 (0) ; 2249 (0) ; 0 (0) ; 2936 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 95 (0) ; 938 (0) ; 1314 (0) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core ; alt_cic_core ; rx_cic ; -; |alt_cic_dec_siso:dec_one| ; 2237 (9) ; 2191 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 43 (4) ; 938 (1) ; 1256 (4) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one ; alt_cic_dec_siso ; rx_cic ; -; |auk_dspip_channel_buffer:fifo_regulator| ; 637 (0) ; 617 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 (0) ; 427 (0) ; 190 (0) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator ; auk_dspip_channel_buffer ; rx_cic ; -; |scfifo:buffer_FIFO| ; 637 (0) ; 617 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 (0) ; 427 (0) ; 190 (0) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO ; scfifo ; work ; -; |scfifo_qm51:auto_generated| ; 637 (0) ; 617 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 (0) ; 427 (0) ; 190 (0) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated ; scfifo_qm51 ; work ; -; |a_dpfifo_5ku:dpfifo| ; 637 (20) ; 617 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 (13) ; 427 (0) ; 190 (7) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo ; a_dpfifo_5ku ; work ; -; |altsyncram_m7h1:FIFOram| ; 609 (0) ; 605 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (0) ; 427 (0) ; 178 (0) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram ; altsyncram_m7h1 ; work ; -; |altsyncram:ram_block1a0| ; 609 (0) ; 605 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (0) ; 427 (0) ; 178 (0) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0 ; altsyncram ; work ; -; |altsyncram_nci3:auto_generated| ; 609 (605) ; 605 (605) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (0) ; 427 (427) ; 178 (6) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated ; altsyncram_nci3 ; work ; -; |decode_msa:address_decoder| ; 4 (4) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 0 (0) ; 0 (0) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|decode_msa:address_decoder ; decode_msa ; work ; -; |mux_sob:output_mux| ; 172 (172) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 172 (172) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux ; mux_sob ; work ; -; |cntr_7a7:usedw_counter| ; 3 (3) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 2 (2) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_7a7:usedw_counter ; cntr_7a7 ; work ; -; |cntr_q9b:rd_ptr_msb| ; 2 (2) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 1 (1) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_q9b:rd_ptr_msb ; cntr_q9b ; work ; -; |cntr_r9b:wr_ptr| ; 3 (3) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 2 (2) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_r9b:wr_ptr ; cntr_r9b ; work ; -; |auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff| ; 173 (88) ; 173 (87) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 83 (0) ; 90 (89) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff ; auk_dspip_differentiator ; rx_cic ; -; |auk_dspip_delay:\glogic:u0| ; 86 (86) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 83 (83) ; 3 (3) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; auk_dspip_delay ; rx_cic ; -; |auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff| ; 175 (89) ; 173 (87) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 86 (0) ; 89 (89) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff ; auk_dspip_differentiator ; rx_cic ; -; |auk_dspip_delay:\glogic:u0| ; 86 (86) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 86 (86) ; 0 (0) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; auk_dspip_delay ; rx_cic ; -; |auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff| ; 175 (89) ; 173 (87) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 86 (0) ; 89 (89) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff ; auk_dspip_differentiator ; rx_cic ; -; |auk_dspip_delay:\glogic:u0| ; 86 (86) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 86 (86) ; 0 (0) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; auk_dspip_delay ; rx_cic ; -; |auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff| ; 176 (90) ; 173 (87) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 83 (0) ; 92 (89) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff ; auk_dspip_differentiator ; rx_cic ; -; |auk_dspip_delay:\glogic:u0| ; 86 (86) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 83 (83) ; 3 (3) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; auk_dspip_delay ; rx_cic ; -; |auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff| ; 175 (89) ; 173 (87) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 86 (0) ; 88 (88) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff ; auk_dspip_differentiator ; rx_cic ; -; |auk_dspip_delay:\glogic:u0| ; 86 (86) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 86 (86) ; 0 (0) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; auk_dspip_delay ; rx_cic ; -; |auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff| ; 173 (88) ; 173 (87) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 82 (0) ; 91 (89) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff ; auk_dspip_differentiator ; rx_cic ; -; |auk_dspip_delay:\glogic:u0| ; 86 (86) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 82 (82) ; 4 (4) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; auk_dspip_delay ; rx_cic ; -; |auk_dspip_downsample:vrc_en_0.first_dsample| ; 22 (0) ; 11 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 11 (0) ; 0 (0) ; 11 (0) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample ; auk_dspip_downsample ; rx_cic ; -; |counter_module:counter_fs_inst| ; 22 (22) ; 11 (11) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 11 (11) ; 0 (0) ; 11 (11) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst ; counter_module ; rx_cic ; -; |auk_dspip_integrator:integrator[0].integration| ; 86 (0) ; 86 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 86 (0) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration ; auk_dspip_integrator ; rx_cic ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1| ; 86 (86) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 86 (86) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; auk_dspip_delay ; rx_cic ; -; |auk_dspip_integrator:integrator[1].integration| ; 87 (0) ; 86 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 1 (0) ; 85 (0) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration ; auk_dspip_integrator ; rx_cic ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1| ; 87 (87) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 1 (1) ; 85 (85) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; auk_dspip_delay ; rx_cic ; -; |auk_dspip_integrator:integrator[2].integration| ; 87 (0) ; 86 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 1 (0) ; 85 (0) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration ; auk_dspip_integrator ; rx_cic ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1| ; 87 (87) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 1 (1) ; 85 (85) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; auk_dspip_delay ; rx_cic ; -; |auk_dspip_integrator:integrator[3].integration| ; 86 (0) ; 86 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 86 (0) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration ; auk_dspip_integrator ; rx_cic ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1| ; 86 (86) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 86 (86) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; auk_dspip_delay ; rx_cic ; -; |auk_dspip_integrator:integrator[4].integration| ; 87 (0) ; 86 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 1 (0) ; 85 (0) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration ; auk_dspip_integrator ; rx_cic ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1| ; 87 (87) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 1 (1) ; 85 (85) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; auk_dspip_delay ; rx_cic ; -; |auk_dspip_integrator:integrator[5].integration| ; 87 (0) ; 86 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (0) ; 86 (0) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration ; auk_dspip_integrator ; rx_cic ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1| ; 87 (87) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 86 (86) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; auk_dspip_delay ; rx_cic ; -; |counter_module:latency_cnt_inst| ; 7 (7) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 4 (4) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst ; counter_module ; rx_cic ; -; |auk_dspip_avalon_streaming_controller:avalon_controller| ; 33 (7) ; 16 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 17 (6) ; 0 (0) ; 16 (1) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller ; auk_dspip_avalon_streaming_controller ; rx_cic ; -; |auk_dspip_avalon_streaming_small_fifo:ready_FIFO| ; 26 (26) ; 15 (15) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 11 (11) ; 0 (0) ; 15 (15) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO ; auk_dspip_avalon_streaming_small_fifo ; rx_cic ; -; |auk_dspip_avalon_streaming_sink:input_sink| ; 36 (0) ; 17 (0) ; 0 (0) ; 184 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 19 (0) ; 0 (0) ; 17 (0) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink ; auk_dspip_avalon_streaming_sink ; rx_cic ; -; |scfifo:sink_FIFO| ; 36 (0) ; 17 (0) ; 0 (0) ; 184 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 19 (0) ; 0 (0) ; 17 (0) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO ; scfifo ; work ; -; |scfifo_ef71:auto_generated| ; 36 (2) ; 17 (1) ; 0 (0) ; 184 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 19 (1) ; 0 (0) ; 17 (1) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated ; scfifo_ef71 ; work ; -; |a_dpfifo_vkv:dpfifo| ; 34 (23) ; 16 (8) ; 0 (0) ; 184 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 18 (15) ; 0 (0) ; 16 (8) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo ; a_dpfifo_vkv ; work ; -; |altsyncram_h7h1:FIFOram| ; 0 (0) ; 0 (0) ; 0 (0) ; 184 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram ; altsyncram_h7h1 ; work ; -; |cntr_8a7:usedw_counter| ; 4 (4) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 3 (3) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_8a7:usedw_counter ; cntr_8a7 ; work ; -; |cntr_r9b:rd_ptr_msb| ; 3 (3) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 2 (2) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_r9b:rd_ptr_msb ; cntr_r9b ; work ; -; |cntr_s9b:wr_ptr| ; 4 (4) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 3 (3) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_s9b:wr_ptr ; cntr_s9b ; work ; -; |auk_dspip_avalon_streaming_source:output_source_0| ; 41 (1) ; 25 (1) ; 0 (0) ; 2752 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 25 (1) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0 ; auk_dspip_avalon_streaming_source ; rx_cic ; -; |scfifo:source_FIFO| ; 40 (0) ; 24 (0) ; 0 (0) ; 2752 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 24 (0) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO ; scfifo ; work ; -; |scfifo_ji71:auto_generated| ; 40 (0) ; 24 (0) ; 0 (0) ; 2752 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 24 (0) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated ; scfifo_ji71 ; work ; -; |a_dpfifo_gqv:dpfifo| ; 40 (23) ; 24 (10) ; 0 (0) ; 2752 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (13) ; 0 (0) ; 24 (10) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo ; a_dpfifo_gqv ; work ; -; |altsyncram_vah1:FIFOram| ; 0 (0) ; 0 (0) ; 0 (0) ; 2752 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram ; altsyncram_vah1 ; work ; -; |cntr_aa7:usedw_counter| ; 6 (6) ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 5 (5) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter ; cntr_aa7 ; work ; -; |cntr_t9b:rd_ptr_msb| ; 5 (5) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 4 (4) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb ; cntr_t9b ; work ; -; |cntr_u9b:wr_ptr| ; 6 (6) ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 5 (5) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr ; cntr_u9b ; work ; -; |rx_ciccomp:RX_CICCOMP_I| ; 244 (0) ; 183 (0) ; 0 (0) ; 1024 ; 1 ; 2 ; 0 ; 1 ; 0 ; 0 ; 60 (0) ; 34 (0) ; 150 (0) ; |WOLF-LITE|rx_ciccomp:RX_CICCOMP_I ; rx_ciccomp ; rx_ciccomp ; -; |rx_ciccomp_0002:rx_ciccomp_inst| ; 244 (0) ; 183 (0) ; 0 (0) ; 1024 ; 1 ; 2 ; 0 ; 1 ; 0 ; 0 ; 60 (0) ; 34 (0) ; 150 (0) ; |WOLF-LITE|rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst ; rx_ciccomp_0002 ; rx_ciccomp ; -; |rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst| ; 244 (0) ; 183 (0) ; 0 (0) ; 1024 ; 1 ; 2 ; 0 ; 1 ; 0 ; 0 ; 60 (0) ; 34 (0) ; 150 (0) ; |WOLF-LITE|rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst ; rx_ciccomp_0002_ast ; rx_ciccomp ; -; |auk_dspip_avalon_streaming_source_hpfir:source| ; 30 (30) ; 30 (30) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 5 (5) ; 25 (25) ; |WOLF-LITE|rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source ; auk_dspip_avalon_streaming_source_hpfir ; rx_ciccomp ; -; |rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core| ; 214 (160) ; 153 (96) ; 0 (0) ; 1024 ; 1 ; 2 ; 0 ; 1 ; 0 ; 0 ; 60 (60) ; 29 (1) ; 125 (106) ; |WOLF-LITE|rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core ; rx_ciccomp_0002_rtl_core ; rx_ciccomp ; -; |altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem| ; 0 (0) ; 0 (0) ; 0 (0) ; 1024 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem ; altsyncram ; work ; -; |altsyncram_0mn3:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 1024 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated ; altsyncram_0mn3 ; work ; -; |dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 2 (2) ; |WOLF-LITE|rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13 ; dspba_delay ; rx_ciccomp ; -; |dspba_delay:d_u0_m0_wo0_compute_q_14| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 0 (0) ; |WOLF-LITE|rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14 ; dspba_delay ; rx_ciccomp ; -; |dspba_delay:d_u0_m0_wo0_compute_q_15| ; 1 (1) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 0 (0) ; |WOLF-LITE|rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_15 ; dspba_delay ; rx_ciccomp ; -; |dspba_delay:d_xIn_0_13| ; 48 (48) ; 48 (48) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 22 (22) ; 26 (26) ; |WOLF-LITE|rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13 ; dspba_delay ; rx_ciccomp ; -; |dspba_delay:u0_m0_wo0_compute| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 0 (0) ; |WOLF-LITE|rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute ; dspba_delay ; rx_ciccomp ; -; |dspba_delay:u0_m0_wo0_memread| ; 1 (1) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |WOLF-LITE|rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_memread ; dspba_delay ; rx_ciccomp ; -; |lpm_mult:u0_m0_wo0_mtree_mult1_0_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component ; lpm_mult ; work ; -; |mult_ncu:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated ; mult_ncu ; work ; -; |rx_ciccomp:RX_CICOMP_Q| ; 273 (0) ; 195 (0) ; 0 (0) ; 1024 ; 1 ; 2 ; 0 ; 1 ; 0 ; 0 ; 75 (0) ; 32 (0) ; 166 (0) ; |WOLF-LITE|rx_ciccomp:RX_CICOMP_Q ; rx_ciccomp ; rx_ciccomp ; -; |rx_ciccomp_0002:rx_ciccomp_inst| ; 273 (0) ; 195 (0) ; 0 (0) ; 1024 ; 1 ; 2 ; 0 ; 1 ; 0 ; 0 ; 75 (0) ; 32 (0) ; 166 (0) ; |WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst ; rx_ciccomp_0002 ; rx_ciccomp ; -; |rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst| ; 273 (0) ; 195 (0) ; 0 (0) ; 1024 ; 1 ; 2 ; 0 ; 1 ; 0 ; 0 ; 75 (0) ; 32 (0) ; 166 (0) ; |WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst ; rx_ciccomp_0002_ast ; rx_ciccomp ; -; |auk_dspip_avalon_streaming_source_hpfir:source| ; 31 (31) ; 31 (31) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 3 (3) ; 28 (28) ; |WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source ; auk_dspip_avalon_streaming_source_hpfir ; rx_ciccomp ; -; |rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core| ; 242 (188) ; 164 (107) ; 0 (0) ; 1024 ; 1 ; 2 ; 0 ; 1 ; 0 ; 0 ; 75 (75) ; 29 (1) ; 138 (118) ; |WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core ; rx_ciccomp_0002_rtl_core ; rx_ciccomp ; -; |altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem| ; 0 (0) ; 0 (0) ; 0 (0) ; 1024 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem ; altsyncram ; work ; -; |altsyncram_0mn3:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 1024 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated ; altsyncram_0mn3 ; work ; -; |dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 3 (3) ; 0 (0) ; |WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13 ; dspba_delay ; rx_ciccomp ; -; |dspba_delay:d_u0_m0_wo0_compute_q_14| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 0 (0) ; |WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14 ; dspba_delay ; rx_ciccomp ; -; |dspba_delay:d_u0_m0_wo0_compute_q_15| ; 1 (1) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 0 (0) ; |WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_15 ; dspba_delay ; rx_ciccomp ; -; |dspba_delay:d_xIn_0_13| ; 48 (48) ; 48 (48) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 19 (19) ; 29 (29) ; |WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13 ; dspba_delay ; rx_ciccomp ; -; |dspba_delay:u0_m0_wo0_compute| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 0 (0) ; |WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute ; dspba_delay ; rx_ciccomp ; -; |dspba_delay:u0_m0_wo0_memread| ; 1 (1) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 0 (0) ; |WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_memread ; dspba_delay ; rx_ciccomp ; -; |lpm_mult:u0_m0_wo0_mtree_mult1_0_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component ; lpm_mult ; work ; -; |mult_ncu:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated ; mult_ncu ; work ; -; |sld_hub:auto_hub| ; 135 (1) ; 79 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 56 (1) ; 16 (0) ; 63 (0) ; |WOLF-LITE|sld_hub:auto_hub ; sld_hub ; altera_sld ; -; |alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric| ; 134 (0) ; 79 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 55 (0) ; 16 (0) ; 63 (0) ; |WOLF-LITE|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric ; alt_sld_fab_with_jtag_input ; altera_sld ; -; |alt_sld_fab:instrumentation_fabric| ; 134 (0) ; 79 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 55 (0) ; 16 (0) ; 63 (0) ; |WOLF-LITE|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric ; alt_sld_fab ; alt_sld_fab ; -; |alt_sld_fab_alt_sld_fab:alt_sld_fab| ; 134 (6) ; 79 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 55 (1) ; 16 (0) ; 63 (0) ; |WOLF-LITE|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab ; alt_sld_fab_alt_sld_fab ; alt_sld_fab ; -; |alt_sld_fab_alt_sld_fab_ident:ident| ; 12 (12) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (8) ; 0 (0) ; 4 (4) ; |WOLF-LITE|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_ident:ident ; alt_sld_fab_alt_sld_fab_ident ; alt_sld_fab ; -; |alt_sld_fab_alt_sld_fab_sldfabric:sldfabric| ; 121 (0) ; 74 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 46 (0) ; 16 (0) ; 59 (0) ; |WOLF-LITE|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric ; alt_sld_fab_alt_sld_fab_sldfabric ; alt_sld_fab ; -; |sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub| ; 121 (79) ; 74 (46) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 46 (32) ; 16 (15) ; 59 (33) ; |WOLF-LITE|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub ; sld_jtag_hub ; work ; -; |sld_rom_sr:hub_info_reg| ; 22 (22) ; 9 (9) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 13 (13) ; 0 (0) ; 9 (9) ; |WOLF-LITE|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg ; sld_rom_sr ; work ; -; |sld_shadow_jsm:shadow_jsm| ; 20 (20) ; 19 (19) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 1 (1) ; 18 (18) ; |WOLF-LITE|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm ; sld_shadow_jsm ; altera_sld ; -; |spi_interface:FLASH| ; 72 (72) ; 26 (26) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 41 (41) ; 0 (0) ; 31 (31) ; |WOLF-LITE|spi_interface:FLASH ; spi_interface ; work ; -; |stm32_interface:STM32_INTERFACE| ; 448 (448) ; 238 (238) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 205 (205) ; 87 (87) ; 156 (156) ; |WOLF-LITE|stm32_interface:STM32_INTERFACE ; stm32_interface ; work ; -; |tx_cic:TX_CIC_I| ; 812 (0) ; 757 (0) ; 0 (0) ; 640 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 54 (0) ; 96 (0) ; 662 (0) ; |WOLF-LITE|tx_cic:TX_CIC_I ; tx_cic ; tx_cic ; -; |tx_cic_cic_ii_0:cic_ii_0| ; 812 (0) ; 757 (0) ; 0 (0) ; 640 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 54 (0) ; 96 (0) ; 662 (0) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0 ; tx_cic_cic_ii_0 ; tx_cic ; -; |alt_cic_core:core| ; 812 (0) ; 757 (0) ; 0 (0) ; 640 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 54 (0) ; 96 (0) ; 662 (0) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core ; alt_cic_core ; tx_cic ; -; |alt_cic_int_siso:int_one| ; 715 (4) ; 697 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 18 (3) ; 95 (0) ; 602 (1) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one ; alt_cic_int_siso ; tx_cic ; -; |auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff| ; 34 (18) ; 34 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 14 (0) ; 20 (19) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff ; auk_dspip_differentiator ; tx_cic ; -; |auk_dspip_delay:\glogic:u0| ; 16 (16) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 14 (14) ; 2 (2) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; auk_dspip_delay ; tx_cic ; -; |auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff| ; 37 (20) ; 36 (19) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 17 (0) ; 20 (20) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff ; auk_dspip_differentiator ; tx_cic ; -; |auk_dspip_delay:\glogic:u0| ; 17 (17) ; 17 (17) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 17 (17) ; 0 (0) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; auk_dspip_delay ; tx_cic ; -; |auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff| ; 39 (22) ; 38 (20) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 18 (1) ; 21 (21) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff ; auk_dspip_differentiator ; tx_cic ; -; |auk_dspip_delay:\glogic:u0| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 17 (17) ; 1 (1) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; auk_dspip_delay ; tx_cic ; -; |auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff| ; 41 (23) ; 40 (21) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 19 (1) ; 22 (22) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff ; auk_dspip_differentiator ; tx_cic ; -; |auk_dspip_delay:\glogic:u0| ; 19 (19) ; 19 (19) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 18 (18) ; 1 (1) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; auk_dspip_delay ; tx_cic ; -; |auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff| ; 43 (23) ; 42 (22) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 20 (0) ; 23 (23) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff ; auk_dspip_differentiator ; tx_cic ; -; |auk_dspip_delay:\glogic:u0| ; 20 (20) ; 20 (20) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 20 (20) ; 0 (0) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; auk_dspip_delay ; tx_cic ; -; |auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff| ; 45 (26) ; 44 (23) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 7 (1) ; 38 (25) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff ; auk_dspip_differentiator ; tx_cic ; -; |auk_dspip_delay:\glogic:u0| ; 21 (21) ; 21 (21) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 15 (15) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; auk_dspip_delay ; tx_cic ; -; |auk_dspip_integrator:integrator_loop[0].auK_integrator| ; 75 (0) ; 75 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 75 (0) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator ; auk_dspip_integrator ; tx_cic ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1| ; 75 (75) ; 75 (75) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 75 (75) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; auk_dspip_delay ; tx_cic ; -; |auk_dspip_integrator:integrator_loop[1].auK_integrator| ; 75 (0) ; 75 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 75 (0) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator ; auk_dspip_integrator ; tx_cic ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1| ; 75 (75) ; 75 (75) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 75 (75) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; auk_dspip_delay ; tx_cic ; -; |auk_dspip_integrator:integrator_loop[2].auK_integrator| ; 75 (0) ; 75 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 75 (0) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator ; auk_dspip_integrator ; tx_cic ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1| ; 75 (75) ; 75 (75) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 75 (75) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; auk_dspip_delay ; tx_cic ; -; |auk_dspip_integrator:integrator_loop[3].auK_integrator| ; 75 (0) ; 75 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 75 (0) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator ; auk_dspip_integrator ; tx_cic ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1| ; 75 (75) ; 75 (75) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 75 (75) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; auk_dspip_delay ; tx_cic ; -; |auk_dspip_integrator:integrator_loop[4].auK_integrator| ; 75 (0) ; 75 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 75 (0) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator ; auk_dspip_integrator ; tx_cic ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1| ; 75 (75) ; 75 (75) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 75 (75) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; auk_dspip_delay ; tx_cic ; -; |auk_dspip_integrator:integrator_loop[5].auK_integrator| ; 75 (0) ; 75 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 75 (0) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator ; auk_dspip_integrator ; tx_cic ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1| ; 75 (75) ; 75 (75) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 75 (75) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; auk_dspip_delay ; tx_cic ; -; |auk_dspip_upsample:first_upsample| ; 22 (22) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (7) ; 0 (0) ; 15 (15) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample ; auk_dspip_upsample ; tx_cic ; -; |counter_module:counter_fs_inst| ; 20 (20) ; 12 (12) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (8) ; 0 (0) ; 12 (12) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst ; counter_module ; tx_cic ; -; |auk_dspip_avalon_streaming_controller:avalon_controller| ; 35 (6) ; 16 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 18 (5) ; 1 (1) ; 16 (0) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller ; auk_dspip_avalon_streaming_controller ; tx_cic ; -; |auk_dspip_avalon_streaming_small_fifo:ready_FIFO| ; 29 (29) ; 15 (15) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 13 (13) ; 0 (0) ; 16 (16) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO ; auk_dspip_avalon_streaming_small_fifo ; tx_cic ; -; |auk_dspip_avalon_streaming_sink:input_sink| ; 26 (1) ; 18 (1) ; 0 (0) ; 128 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (0) ; 0 (0) ; 18 (0) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink ; auk_dspip_avalon_streaming_sink ; tx_cic ; -; |scfifo:sink_FIFO| ; 26 (0) ; 17 (0) ; 0 (0) ; 128 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (0) ; 0 (0) ; 18 (0) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO ; scfifo ; work ; -; |scfifo_gf71:auto_generated| ; 26 (2) ; 17 (1) ; 0 (0) ; 128 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (1) ; 0 (0) ; 18 (1) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated ; scfifo_gf71 ; work ; -; |a_dpfifo_1lv:dpfifo| ; 24 (16) ; 16 (8) ; 0 (0) ; 128 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (7) ; 0 (0) ; 17 (9) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo ; a_dpfifo_1lv ; work ; -; |altsyncram_l7h1:FIFOram| ; 0 (0) ; 0 (0) ; 0 (0) ; 128 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram ; altsyncram_l7h1 ; work ; -; |cntr_8a7:usedw_counter| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 3 (3) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_8a7:usedw_counter ; cntr_8a7 ; work ; -; |cntr_r9b:rd_ptr_msb| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_r9b:rd_ptr_msb ; cntr_r9b ; work ; -; |cntr_s9b:wr_ptr| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 3 (3) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_s9b:wr_ptr ; cntr_s9b ; work ; -; |auk_dspip_avalon_streaming_source:output_source_0| ; 36 (1) ; 26 (1) ; 0 (0) ; 512 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 10 (0) ; 0 (0) ; 26 (1) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0 ; auk_dspip_avalon_streaming_source ; tx_cic ; -; |scfifo:source_FIFO| ; 35 (0) ; 25 (0) ; 0 (0) ; 512 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 10 (0) ; 0 (0) ; 25 (0) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO ; scfifo ; work ; -; |scfifo_ci71:auto_generated| ; 35 (3) ; 25 (1) ; 0 (0) ; 512 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 10 (2) ; 0 (0) ; 25 (1) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated ; scfifo_ci71 ; work ; -; |a_dpfifo_9qv:dpfifo| ; 32 (18) ; 24 (10) ; 0 (0) ; 512 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (8) ; 0 (0) ; 24 (10) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo ; a_dpfifo_9qv ; work ; -; |altsyncram_hah1:FIFOram| ; 0 (0) ; 0 (0) ; 0 (0) ; 512 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram ; altsyncram_hah1 ; work ; -; |cntr_aa7:usedw_counter| ; 5 (5) ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 5 (5) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter ; cntr_aa7 ; work ; -; |cntr_t9b:rd_ptr_msb| ; 4 (4) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 4 (4) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb ; cntr_t9b ; work ; -; |cntr_u9b:wr_ptr| ; 5 (5) ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 5 (5) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr ; cntr_u9b ; work ; -; |tx_cic:TX_CIC_Q| ; 814 (0) ; 757 (0) ; 0 (0) ; 640 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 53 (0) ; 93 (0) ; 668 (0) ; |WOLF-LITE|tx_cic:TX_CIC_Q ; tx_cic ; tx_cic ; -; |tx_cic_cic_ii_0:cic_ii_0| ; 814 (0) ; 757 (0) ; 0 (0) ; 640 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 53 (0) ; 93 (0) ; 668 (0) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0 ; tx_cic_cic_ii_0 ; tx_cic ; -; |alt_cic_core:core| ; 814 (0) ; 757 (0) ; 0 (0) ; 640 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 53 (0) ; 93 (0) ; 668 (0) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core ; alt_cic_core ; tx_cic ; -; |alt_cic_int_siso:int_one| ; 718 (4) ; 697 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 17 (3) ; 92 (0) ; 609 (1) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one ; alt_cic_int_siso ; tx_cic ; -; |auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff| ; 35 (20) ; 34 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 16 (1) ; 18 (18) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff ; auk_dspip_differentiator ; tx_cic ; -; |auk_dspip_delay:\glogic:u0| ; 16 (16) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 15 (15) ; 1 (1) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; auk_dspip_delay ; tx_cic ; -; |auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff| ; 38 (21) ; 36 (19) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 18 (1) ; 20 (20) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff ; auk_dspip_differentiator ; tx_cic ; -; |auk_dspip_delay:\glogic:u0| ; 17 (17) ; 17 (17) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 17 (17) ; 0 (0) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; auk_dspip_delay ; tx_cic ; -; |auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff| ; 39 (21) ; 38 (20) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 14 (0) ; 25 (21) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff ; auk_dspip_differentiator ; tx_cic ; -; |auk_dspip_delay:\glogic:u0| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 14 (14) ; 4 (4) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; auk_dspip_delay ; tx_cic ; -; |auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff| ; 41 (23) ; 40 (21) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 16 (1) ; 25 (22) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff ; auk_dspip_differentiator ; tx_cic ; -; |auk_dspip_delay:\glogic:u0| ; 19 (19) ; 19 (19) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 15 (15) ; 4 (4) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; auk_dspip_delay ; tx_cic ; -; |auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff| ; 43 (24) ; 42 (22) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 18 (1) ; 25 (23) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff ; auk_dspip_differentiator ; tx_cic ; -; |auk_dspip_delay:\glogic:u0| ; 20 (20) ; 20 (20) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 17 (17) ; 3 (3) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; auk_dspip_delay ; tx_cic ; -; |auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff| ; 45 (29) ; 44 (23) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 10 (0) ; 35 (29) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff ; auk_dspip_differentiator ; tx_cic ; -; |auk_dspip_delay:\glogic:u0| ; 21 (21) ; 21 (21) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 10 (10) ; 11 (11) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; auk_dspip_delay ; tx_cic ; -; |auk_dspip_integrator:integrator_loop[0].auK_integrator| ; 75 (0) ; 75 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 75 (0) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator ; auk_dspip_integrator ; tx_cic ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1| ; 75 (75) ; 75 (75) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 75 (75) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; auk_dspip_delay ; tx_cic ; -; |auk_dspip_integrator:integrator_loop[1].auK_integrator| ; 75 (0) ; 75 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 75 (0) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator ; auk_dspip_integrator ; tx_cic ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1| ; 75 (75) ; 75 (75) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 75 (75) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; auk_dspip_delay ; tx_cic ; -; |auk_dspip_integrator:integrator_loop[2].auK_integrator| ; 75 (0) ; 75 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 75 (0) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator ; auk_dspip_integrator ; tx_cic ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1| ; 75 (75) ; 75 (75) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 75 (75) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; auk_dspip_delay ; tx_cic ; -; |auk_dspip_integrator:integrator_loop[3].auK_integrator| ; 75 (0) ; 75 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 75 (0) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator ; auk_dspip_integrator ; tx_cic ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1| ; 75 (75) ; 75 (75) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 75 (75) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; auk_dspip_delay ; tx_cic ; -; |auk_dspip_integrator:integrator_loop[4].auK_integrator| ; 75 (0) ; 75 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 75 (0) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator ; auk_dspip_integrator ; tx_cic ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1| ; 75 (75) ; 75 (75) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 75 (75) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; auk_dspip_delay ; tx_cic ; -; |auk_dspip_integrator:integrator_loop[5].auK_integrator| ; 75 (0) ; 75 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 75 (0) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator ; auk_dspip_integrator ; tx_cic ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1| ; 75 (75) ; 75 (75) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 75 (75) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; auk_dspip_delay ; tx_cic ; -; |auk_dspip_upsample:first_upsample| ; 22 (22) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 0 (0) ; 17 (17) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample ; auk_dspip_upsample ; tx_cic ; -; |counter_module:counter_fs_inst| ; 20 (20) ; 12 (12) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (8) ; 0 (0) ; 12 (12) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst ; counter_module ; tx_cic ; -; |auk_dspip_avalon_streaming_controller:avalon_controller| ; 35 (6) ; 16 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 19 (5) ; 1 (1) ; 15 (0) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller ; auk_dspip_avalon_streaming_controller ; tx_cic ; -; |auk_dspip_avalon_streaming_small_fifo:ready_FIFO| ; 29 (29) ; 15 (15) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 14 (14) ; 0 (0) ; 15 (15) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO ; auk_dspip_avalon_streaming_small_fifo ; tx_cic ; -; |auk_dspip_avalon_streaming_sink:input_sink| ; 26 (0) ; 18 (1) ; 0 (0) ; 128 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (0) ; 0 (0) ; 19 (0) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink ; auk_dspip_avalon_streaming_sink ; tx_cic ; -; |scfifo:sink_FIFO| ; 26 (0) ; 17 (0) ; 0 (0) ; 128 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (0) ; 0 (0) ; 19 (0) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO ; scfifo ; work ; -; |scfifo_gf71:auto_generated| ; 26 (2) ; 17 (1) ; 0 (0) ; 128 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (1) ; 0 (0) ; 19 (1) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated ; scfifo_gf71 ; work ; -; |a_dpfifo_1lv:dpfifo| ; 24 (16) ; 16 (8) ; 0 (0) ; 128 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 18 (10) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo ; a_dpfifo_1lv ; work ; -; |altsyncram_l7h1:FIFOram| ; 0 (0) ; 0 (0) ; 0 (0) ; 128 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram ; altsyncram_l7h1 ; work ; -; |cntr_8a7:usedw_counter| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 3 (3) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_8a7:usedw_counter ; cntr_8a7 ; work ; -; |cntr_r9b:rd_ptr_msb| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_r9b:rd_ptr_msb ; cntr_r9b ; work ; -; |cntr_s9b:wr_ptr| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 3 (3) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_s9b:wr_ptr ; cntr_s9b ; work ; -; |auk_dspip_avalon_streaming_source:output_source_0| ; 36 (2) ; 26 (1) ; 0 (0) ; 512 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 10 (1) ; 0 (0) ; 26 (1) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0 ; auk_dspip_avalon_streaming_source ; tx_cic ; -; |scfifo:source_FIFO| ; 34 (0) ; 25 (0) ; 0 (0) ; 512 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 9 (0) ; 0 (0) ; 25 (0) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO ; scfifo ; work ; -; |scfifo_ci71:auto_generated| ; 34 (3) ; 25 (1) ; 0 (0) ; 512 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 9 (2) ; 0 (0) ; 25 (1) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated ; scfifo_ci71 ; work ; -; |a_dpfifo_9qv:dpfifo| ; 31 (17) ; 24 (10) ; 0 (0) ; 512 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (7) ; 0 (0) ; 24 (10) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo ; a_dpfifo_9qv ; work ; -; |altsyncram_hah1:FIFOram| ; 0 (0) ; 0 (0) ; 0 (0) ; 512 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram ; altsyncram_hah1 ; work ; -; |cntr_aa7:usedw_counter| ; 5 (5) ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 5 (5) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter ; cntr_aa7 ; work ; -; |cntr_t9b:rd_ptr_msb| ; 4 (4) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 4 (4) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb ; cntr_t9b ; work ; -; |cntr_u9b:wr_ptr| ; 5 (5) ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 5 (5) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr ; cntr_u9b ; work ; -; |tx_ciccomp:TX_CICCOMP_I| ; 303 (0) ; 222 (0) ; 0 (0) ; 1024 ; 1 ; 2 ; 0 ; 1 ; 0 ; 0 ; 79 (0) ; 76 (0) ; 148 (0) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I ; tx_ciccomp ; tx_ciccomp ; -; |tx_ciccomp_0002:tx_ciccomp_inst| ; 303 (0) ; 222 (0) ; 0 (0) ; 1024 ; 1 ; 2 ; 0 ; 1 ; 0 ; 0 ; 79 (0) ; 76 (0) ; 148 (0) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst ; tx_ciccomp_0002 ; tx_ciccomp ; -; |tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst| ; 303 (0) ; 222 (0) ; 0 (0) ; 1024 ; 1 ; 2 ; 0 ; 1 ; 0 ; 0 ; 79 (0) ; 76 (0) ; 148 (0) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst ; tx_ciccomp_0002_ast ; tx_ciccomp ; -; |auk_dspip_avalon_streaming_source_hpfir:source| ; 31 (31) ; 31 (31) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 4 (4) ; 27 (27) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source ; auk_dspip_avalon_streaming_source_hpfir ; tx_ciccomp ; -; |tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core| ; 272 (190) ; 191 (108) ; 0 (0) ; 1024 ; 1 ; 2 ; 0 ; 1 ; 0 ; 0 ; 79 (79) ; 72 (1) ; 121 (117) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core ; tx_ciccomp_0002_rtl_core ; tx_ciccomp ; -; |altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem| ; 0 (0) ; 0 (0) ; 0 (0) ; 1024 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem ; altsyncram ; work ; -; |altsyncram_0mn3:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 1024 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated ; altsyncram_0mn3 ; work ; -; |dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 3 (3) ; 0 (0) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13 ; dspba_delay ; tx_ciccomp ; -; |dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17| ; 19 (19) ; 19 (19) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 15 (15) ; 4 (4) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17 ; dspba_delay ; tx_ciccomp ; -; |dspba_delay:d_u0_m0_wo0_aseq_q_16| ; 1 (1) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 0 (0) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_aseq_q_16 ; dspba_delay ; tx_ciccomp ; -; |dspba_delay:d_u0_m0_wo0_compute_q_14| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 0 (0) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14 ; dspba_delay ; tx_ciccomp ; -; |dspba_delay:d_u0_m0_wo0_compute_q_15| ; 1 (1) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 0 (0) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_15 ; dspba_delay ; tx_ciccomp ; -; |dspba_delay:d_u0_m0_wo0_compute_q_16| ; 1 (1) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 0 (0) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_16 ; dspba_delay ; tx_ciccomp ; -; |dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16| ; 5 (5) ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 4 (4) ; 1 (1) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16 ; dspba_delay ; tx_ciccomp ; -; |dspba_delay:d_xIn_0_13| ; 48 (48) ; 48 (48) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 42 (42) ; 6 (6) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13 ; dspba_delay ; tx_ciccomp ; -; |dspba_delay:u0_m0_wo0_compute| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 0 (0) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute ; dspba_delay ; tx_ciccomp ; -; |dspba_delay:u0_m0_wo0_memread| ; 1 (1) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_memread ; dspba_delay ; tx_ciccomp ; -; |lpm_mult:u0_m0_wo0_mtree_mult1_0_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component ; lpm_mult ; work ; -; |mult_ncu:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated ; mult_ncu ; work ; -; |tx_ciccomp:TX_CICCOMP_Q| ; 218 (0) ; 178 (0) ; 0 (0) ; 1024 ; 1 ; 2 ; 0 ; 1 ; 0 ; 0 ; 40 (0) ; 64 (0) ; 114 (0) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_Q ; tx_ciccomp ; tx_ciccomp ; -; |tx_ciccomp_0002:tx_ciccomp_inst| ; 218 (0) ; 178 (0) ; 0 (0) ; 1024 ; 1 ; 2 ; 0 ; 1 ; 0 ; 0 ; 40 (0) ; 64 (0) ; 114 (0) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst ; tx_ciccomp_0002 ; tx_ciccomp ; -; |tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst| ; 218 (0) ; 178 (0) ; 0 (0) ; 1024 ; 1 ; 2 ; 0 ; 1 ; 0 ; 0 ; 40 (0) ; 64 (0) ; 114 (0) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst ; tx_ciccomp_0002_ast ; tx_ciccomp ; -; |auk_dspip_avalon_streaming_source_hpfir:source| ; 30 (30) ; 30 (30) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 3 (3) ; 27 (27) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source ; auk_dspip_avalon_streaming_source_hpfir ; tx_ciccomp ; -; |tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core| ; 188 (116) ; 148 (76) ; 0 (0) ; 1024 ; 1 ; 2 ; 0 ; 1 ; 0 ; 0 ; 40 (40) ; 61 (0) ; 87 (82) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core ; tx_ciccomp_0002_rtl_core ; tx_ciccomp ; -; |altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem| ; 0 (0) ; 0 (0) ; 0 (0) ; 1024 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem ; altsyncram ; work ; -; |altsyncram_0mn3:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 1024 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated ; altsyncram_0mn3 ; work ; -; |dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17| ; 19 (19) ; 19 (19) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 16 (16) ; 3 (3) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17 ; dspba_delay ; tx_ciccomp ; -; |dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16| ; 5 (5) ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 4 (4) ; 1 (1) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16 ; dspba_delay ; tx_ciccomp ; -; |dspba_delay:d_xIn_0_13| ; 48 (48) ; 48 (48) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 41 (41) ; 7 (7) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13 ; dspba_delay ; tx_ciccomp ; -; |lpm_mult:u0_m0_wo0_mtree_mult1_0_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component ; lpm_mult ; work ; -; |mult_ncu:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated ; mult_ncu ; work ; -; |tx_mixer:TX_MIXER_I| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|tx_mixer:TX_MIXER_I ; tx_mixer ; work ; -; |lpm_mult:lpm_mult_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component ; lpm_mult ; work ; -; |mult_abt:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated ; mult_abt ; work ; -; |tx_mixer:TX_MIXER_Q| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|tx_mixer:TX_MIXER_Q ; tx_mixer ; work ; -; |lpm_mult:lpm_mult_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component ; lpm_mult ; work ; -; |mult_abt:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated ; mult_abt ; work ; -; |tx_nco:TX_NCO| ; 178 (0) ; 136 (0) ; 0 (0) ; 98304 ; 12 ; 8 ; 0 ; 4 ; 0 ; 0 ; 41 (0) ; 29 (0) ; 108 (0) ; |WOLF-LITE|tx_nco:TX_NCO ; tx_nco ; tx_nco ; -; |tx_nco_nco_ii_0:nco_ii_0| ; 178 (0) ; 136 (0) ; 0 (0) ; 98304 ; 12 ; 8 ; 0 ; 4 ; 0 ; 0 ; 41 (0) ; 29 (0) ; 108 (0) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0 ; tx_nco_nco_ii_0 ; tx_nco ; -; |asj_altqmcpipe:ux000| ; 44 (21) ; 44 (22) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 7 (6) ; 37 (15) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000 ; asj_altqmcpipe ; tx_nco ; -; |lpm_add_sub:acc| ; 23 (0) ; 22 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (0) ; 22 (0) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc ; lpm_add_sub ; work ; -; |add_sub_u4i:auto_generated| ; 23 (23) ; 22 (22) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 22 (22) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated ; add_sub_u4i ; work ; -; |asj_gam_dp:ux008| ; 24 (24) ; 24 (24) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 22 (22) ; 2 (2) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008 ; asj_gam_dp ; tx_nco ; -; |asj_nco_as_m_cen:ux0122| ; 0 (0) ; 0 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122 ; asj_nco_as_m_cen ; tx_nco ; -; |altsyncram:altsyncram_component0| ; 0 (0) ; 0 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0 ; altsyncram ; work ; -; |altsyncram_u8a1:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_u8a1:auto_generated ; altsyncram_u8a1 ; work ; -; |asj_nco_as_m_cen:ux0123| ; 0 (0) ; 0 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123 ; asj_nco_as_m_cen ; tx_nco ; -; |altsyncram:altsyncram_component0| ; 0 (0) ; 0 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0 ; altsyncram ; work ; -; |altsyncram_p8a1:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_p8a1:auto_generated ; altsyncram_p8a1 ; work ; -; |asj_nco_as_m_dp_cen:ux0220| ; 0 (0) ; 0 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220 ; asj_nco_as_m_dp_cen ; tx_nco ; -; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component ; altsyncram ; work ; -; |altsyncram_4k82:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated ; altsyncram_4k82 ; work ; -; |asj_nco_madx_cen:m1| ; 32 (32) ; 17 (17) ; 0 (0) ; 0 ; 0 ; 4 ; 0 ; 2 ; 0 ; 0 ; 15 (15) ; 0 (0) ; 17 (17) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1 ; asj_nco_madx_cen ; tx_nco ; -; |lpm_mult:Mult0| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0 ; lpm_mult ; work ; -; |mult_36t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated ; mult_36t ; work ; -; |lpm_mult:Mult1| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1 ; lpm_mult ; work ; -; |mult_36t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated ; mult_36t ; work ; -; |asj_nco_mady_cen:m0| ; 32 (32) ; 17 (17) ; 0 (0) ; 0 ; 0 ; 4 ; 0 ; 2 ; 0 ; 0 ; 15 (15) ; 0 (0) ; 17 (17) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0 ; asj_nco_mady_cen ; tx_nco ; -; |lpm_mult:Mult0| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0 ; lpm_mult ; work ; -; |mult_36t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated ; mult_36t ; work ; -; |lpm_mult:Mult1| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1 ; lpm_mult ; work ; -; |mult_36t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated ; mult_36t ; work ; -; |asj_nco_mob_w:blk0| ; 23 (7) ; 17 (17) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 17 (1) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0 ; asj_nco_mob_w ; tx_nco ; -; |lpm_add_sub:lpm_add_sub_component| ; 16 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 16 (0) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component ; lpm_add_sub ; work ; -; |add_sub_jpk:auto_generated| ; 16 (16) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 16 (16) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated ; add_sub_jpk ; work ; -; |asj_nco_mob_w:blk1| ; 23 (7) ; 17 (17) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (4) ; 0 (0) ; 18 (3) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1 ; asj_nco_mob_w ; tx_nco ; -; |lpm_add_sub:lpm_add_sub_component| ; 16 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 15 (0) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component ; lpm_add_sub ; work ; -; |add_sub_jpk:auto_generated| ; 16 (16) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 15 (15) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated ; add_sub_jpk ; work ; -; |tx_pll:TX_PLL| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|tx_pll:TX_PLL ; tx_pll ; work ; -; |altpll:altpll_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|tx_pll:TX_PLL|altpll:altpll_component ; altpll ; work ; -; |tx_pll_altpll:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |WOLF-LITE|tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated ; tx_pll_altpll ; work ; -; |tx_summator:TX_SUMMATOR| ; 34 (0) ; 33 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 33 (0) ; |WOLF-LITE|tx_summator:TX_SUMMATOR ; tx_summator ; work ; -; |lpm_add_sub:LPM_ADD_SUB_component| ; 34 (0) ; 33 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 33 (0) ; |WOLF-LITE|tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component ; lpm_add_sub ; work ; -; |add_sub_1vk:auto_generated| ; 34 (34) ; 33 (33) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 33 (33) ; |WOLF-LITE|tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated ; add_sub_1vk ; work ; -+-----------------------------------------------------------------------------------------------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------+--------------+ -Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. - - -+---------------------------------------------------------------------------------------------------+ -; Delay Chain Summary ; -+-------------------+----------+---------------+---------------+-----------------------+-----+------+ -; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; TCOE ; -+-------------------+----------+---------------+---------------+-----------------------+-----+------+ -; PREAMP ; Output ; -- ; -- ; -- ; -- ; -- ; -; AUDIO_I2S_CLOCK ; Output ; -- ; -- ; -- ; -- ; -- ; -; AUDIO_48K_CLOCK ; Output ; -- ; -- ; -- ; -- ; -- ; -; FLASH_C ; Output ; -- ; -- ; -- ; -- ; -- ; -; FLASH_S ; Output ; -- ; -- ; -- ; -- ; -- ; -; FLASH_MOSI ; Output ; -- ; -- ; -- ; -- ; -- ; -; DAC_PD ; Output ; -- ; -- ; -- ; -- ; -- ; -; DAC_CLK ; Output ; -- ; -- ; -- ; -- ; -- ; -; ATT_05 ; Output ; -- ; -- ; -- ; -- ; -- ; -; ATT_1 ; Output ; -- ; -- ; -- ; -- ; -- ; -; ATT_2 ; Output ; -- ; -- ; -- ; -- ; -- ; -; ATT_4 ; Output ; -- ; -- ; -- ; -- ; -- ; -; ATT_8 ; Output ; -- ; -- ; -- ; -- ; -- ; -; ATT_16 ; Output ; -- ; -- ; -- ; -- ; -- ; -; BPF_A ; Output ; -- ; -- ; -- ; -- ; -- ; -; BPF_B ; Output ; -- ; -- ; -- ; -- ; -- ; -; BPF_OE1 ; Output ; -- ; -- ; -- ; -- ; -- ; -; BPF_OE2 ; Output ; -- ; -- ; -- ; -- ; -- ; -; LPF_1 ; Output ; -- ; -- ; -- ; -- ; -- ; -; LPF_2 ; Output ; -- ; -- ; -- ; -- ; -- ; -; LPF_3 ; Output ; -- ; -- ; -- ; -- ; -- ; -; TXRX_OUT ; Output ; -- ; -- ; -- ; -- ; -- ; -; DAC_OUTPUT[13] ; Output ; -- ; -- ; -- ; -- ; -- ; -; DAC_OUTPUT[12] ; Output ; -- ; -- ; -- ; -- ; -- ; -; DAC_OUTPUT[11] ; Output ; -- ; -- ; -- ; -- ; -- ; -; DAC_OUTPUT[10] ; Output ; -- ; -- ; -- ; -- ; -- ; -; DAC_OUTPUT[9] ; Output ; -- ; -- ; -- ; -- ; -- ; -; DAC_OUTPUT[8] ; Output ; -- ; -- ; -- ; -- ; -- ; -; DAC_OUTPUT[7] ; Output ; -- ; -- ; -- ; -- ; -- ; -; DAC_OUTPUT[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -; DAC_OUTPUT[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -; DAC_OUTPUT[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -; DAC_OUTPUT[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -; DAC_OUTPUT[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -; DAC_OUTPUT[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -; DAC_OUTPUT[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -; STM32_DATA_BUS[7] ; Bidir ; (6) 1314 ps ; (6) 1314 ps ; -- ; -- ; -- ; -; STM32_DATA_BUS[6] ; Bidir ; (6) 1314 ps ; (6) 1314 ps ; -- ; -- ; -- ; -; STM32_DATA_BUS[5] ; Bidir ; (6) 1314 ps ; (6) 1314 ps ; -- ; -- ; -- ; -; STM32_DATA_BUS[4] ; Bidir ; (6) 1314 ps ; (6) 1314 ps ; -- ; -- ; -- ; -; STM32_DATA_BUS[3] ; Bidir ; (6) 1314 ps ; (6) 1314 ps ; -- ; -- ; -- ; -; STM32_DATA_BUS[2] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; STM32_DATA_BUS[1] ; Bidir ; (6) 1314 ps ; (6) 1314 ps ; -- ; -- ; -- ; -; STM32_DATA_BUS[0] ; Bidir ; (6) 1314 ps ; (6) 1314 ps ; -- ; -- ; -- ; -; STM32_CLK ; Input ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -- ; -; STM32_SYNC ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; -; ADC_INPUT[0] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; clk_sys ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; -; ADC_INPUT[1] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; -; ADC_INPUT[2] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; -; ADC_OTR ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; ADC_INPUT[3] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; FLASH_MISO ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; ADC_INPUT[7] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; -; ADC_INPUT[6] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; -; ADC_INPUT[5] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; ADC_INPUT[4] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; -; ADC_INPUT[11] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; ADC_INPUT[10] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; ADC_INPUT[9] ; Input ; (6) 1314 ps ; (6) 1314 ps ; -- ; -- ; -- ; -; ADC_INPUT[8] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -+-------------------+----------+---------------+---------------+-----------------------+-----+------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Pad To Core Delay Chain Fanout ; -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+---------+ -; Source Pin / Fanout ; Pad To Core Index ; Setting ; -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+---------+ -; STM32_DATA_BUS[7] ; ; ; -; - stm32_interface:STM32_INTERFACE|ATT_16 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|FLASH_data_out[7] ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|DAC_GAIN[7] ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|Equal0~0 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~7 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|Q_HOLD[7]~0 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|I_HOLD[15]~0 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|I_HOLD[7]~3 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|Q_HOLD[15]~19 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[7]~4 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[15]~11 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[7]~4 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[15]~11 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_I[7]~feeder ; 0 ; 6 ; -; STM32_DATA_BUS[6] ; ; ; -; - stm32_interface:STM32_INTERFACE|FLASH_data_out[6] ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|Equal0~0 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~17 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|I_HOLD[14]~6 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|I_HOLD[6]~7 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|Q_HOLD[6]~4 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|CIC_GAIN[6] ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[6] ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|Q_HOLD[14]~18 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_I[6]~feeder ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[6]~feeder ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[14]~feeder ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[14]~feeder ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ATT_8~feeder ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|DAC_GAIN[6]~feeder ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|LPF_3~feeder ; 0 ; 6 ; -; STM32_DATA_BUS[5] ; ; ; -; - stm32_interface:STM32_INTERFACE|FLASH_data_out[5] ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|Equal0~1 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~22 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|I_HOLD[5]~8 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|Q_HOLD[5]~5 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|I_HOLD[13]~9 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|Q_HOLD[13]~17 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|DAC_GAIN[5]~1 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|CIC_GAIN[5]~1 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[5]~5 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[13]~10 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[5]~5 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[13]~10 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|LPF_2~feeder ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ATT_4~feeder ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_I[5]~feeder ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[21]~feeder ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[21]~feeder ; 0 ; 6 ; -; STM32_DATA_BUS[4] ; ; ; -; - stm32_interface:STM32_INTERFACE|FLASH_data_out[4] ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|DAC_GAIN[4] ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|Equal0~1 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~28 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|I_HOLD[12]~10 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|Q_HOLD[4]~6 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|I_HOLD[4]~11 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|CIC_GAIN[4] ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[4] ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[20] ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_CICFIR_GAIN[4] ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_I[4] ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|Q_HOLD[12]~16 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[12]~9 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[12]~9 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|LPF_1~feeder ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ATT_2~feeder ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[20]~feeder ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[4]~feeder ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|CICFIR_GAIN[4]~feeder ; 0 ; 6 ; -; STM32_DATA_BUS[3] ; ; ; -; - stm32_interface:STM32_INTERFACE|ATT_1 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|BPF_OE2 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|FLASH_data_out[3] ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|Equal0~1 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~33 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|I_HOLD[3]~12 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|Q_HOLD[3]~7 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|I_HOLD[11]~13 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|CIC_GAIN[3] ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_CICFIR_GAIN[3] ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|Q_HOLD[11]~15 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[3]~6 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[3]~6 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|DAC_GAIN[3]~feeder ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_I[3]~feeder ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[19]~feeder ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[19]~feeder ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[11]~feeder ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[11]~feeder ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|CICFIR_GAIN[3]~feeder ; 0 ; 6 ; -; STM32_DATA_BUS[2] ; ; ; -; - stm32_interface:STM32_INTERFACE|ATT_05 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|k~0 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|k~15 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|k~18 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|k~19 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|k~25 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|Equal4~0 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|k~33 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|k~40 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|k~45 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|FLASH_enable~0 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|DATA_BUS_OE~2 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~44 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|I_HOLD[10]~14 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|Q_HOLD[2]~8 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|I_HOLD[2]~15 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|sync_reset_n~0 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[18] ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_CICFIR_GAIN[2] ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|tx_iq_valid~0 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|Q_HOLD[10]~14 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|CIC_GAIN[2]~feeder ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|DAC_GAIN[2]~feeder ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_I[2]~feeder ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|BPF_OE1~feeder ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[10]~feeder ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[2]~feeder ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[10]~feeder ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[18]~feeder ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|CICFIR_GAIN[2]~feeder ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[2]~feeder ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|FLASH_data_out[2]~feeder ; 0 ; 6 ; -; STM32_DATA_BUS[1] ; ; ; -; - stm32_interface:STM32_INTERFACE|preamp_enable ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|BPF_B ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|DAC_GAIN[1] ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|Equal0~2 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|k~1 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|k~15 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|Equal2~0 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|k~19 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|Equal4~0 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|k~40 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|k~45 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|DATA_BUS_OE~2 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~57 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|CICFIR_GAIN[1] ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|I_HOLD[9]~16 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|Q_HOLD[1]~9 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|I_HOLD[1]~17 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|sync_reset_n~1 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|CIC_GAIN[1] ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_CICFIR_GAIN[1] ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|Q_HOLD[9]~13 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[9]~3 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[1]~7 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[17]~13 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[9]~3 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[1]~7 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[17]~13 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_I[1]~feeder ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|FLASH_data_out[1]~feeder ; 1 ; 6 ; -; STM32_DATA_BUS[0] ; ; ; -; - stm32_interface:STM32_INTERFACE|FLASH_data_out[0] ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|DAC_GAIN[0] ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|Equal0~2 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|k~1 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|k~15 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|Equal2~0 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|k~19 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|Equal4~0 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|k~33 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|k~40 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|k~45 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|DATA_BUS_OE~2 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~66 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|CICFIR_GAIN[0] ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|I_HOLD[8]~18 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|Q_HOLD[0]~10 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|I_HOLD[0]~19 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|sync_reset_n~1 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[8] ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_CICFIR_GAIN[0] ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|Q_HOLD[8]~11 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|tx~0 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|rx~0 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[0]~8 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[16]~12 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[0]~8 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[16]~12 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_I[0]~feeder ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|BPF_A~feeder ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|CIC_GAIN[0]~feeder ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[8]~feeder ; 0 ; 6 ; -; STM32_CLK ; ; ; -; - stm32_interface:STM32_INTERFACE|LPF_3 ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|LPF_2 ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|LPF_1 ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|BPF_OE2 ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|BPF_OE1 ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|BPF_B ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|BPF_A ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|ATT_16 ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|ATT_8 ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|ATT_4 ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|ATT_2 ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|ATT_1 ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|ATT_05 ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|preamp_enable ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|tx ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|FLASH_continue_read ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|ADC_MINMAX_RESET ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[7] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|DATA_BUS_OE ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[6] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[5] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[4] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|k[6] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|k[4] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|k[8] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|k[9] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|k[5] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|k[7] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|k[2] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|k[3] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|k[0] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|k[1] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|FLASH_enable ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|FLASH_data_out[2] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|FLASH_data_out[1] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|FLASH_data_out[0] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|FLASH_data_out[3] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|FLASH_data_out[5] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|FLASH_data_out[6] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|FLASH_data_out[4] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|FLASH_data_out[7] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|DAC_GAIN[1] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|DAC_GAIN[0] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|DAC_GAIN[2] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|DAC_GAIN[3] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|DAC_GAIN[4] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|DAC_GAIN[6] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|DAC_GAIN[7] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|DAC_GAIN[5] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[3] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[2] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[1] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[0] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|Q_HOLD[7] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|I_HOLD[15] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|I_HOLD[7] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|I_HOLD[14] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|I_HOLD[6] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|Q_HOLD[6] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|I_HOLD[5] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|Q_HOLD[5] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|I_HOLD[13] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|I_HOLD[12] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|Q_HOLD[4] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|I_HOLD[4] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|I_HOLD[3] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|Q_HOLD[3] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|I_HOLD[11] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|I_HOLD[10] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|Q_HOLD[2] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|I_HOLD[2] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|I_HOLD[9] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|Q_HOLD[1] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|I_HOLD[1] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|I_HOLD[8] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|Q_HOLD[0] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|I_HOLD[0] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|CICFIR_GAIN[1] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|CICFIR_GAIN[0] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|CICFIR_GAIN[2] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|CICFIR_GAIN[3] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|CICFIR_GAIN[4] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|rx ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|sync_reset_n ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|CIC_GAIN[4] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|CIC_GAIN[6] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|CIC_GAIN[1] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|CIC_GAIN[0] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|CIC_GAIN[3] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|CIC_GAIN[2] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|CIC_GAIN[5] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[11] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[10] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[9] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[8] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[7] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[6] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[5] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[4] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[3] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[2] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[1] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[0] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[12] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[13] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[14] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[15] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[16] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[17] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[18] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[19] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[20] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[21] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|tx_iq_valid ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_CICFIR_GAIN[0] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_CICFIR_GAIN[1] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_CICFIR_GAIN[3] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_CICFIR_GAIN[2] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_CICFIR_GAIN[4] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_Q[0] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_Q[1] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_Q[2] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_Q[3] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_Q[4] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_Q[5] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_Q[6] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_Q[7] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_Q[8] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_Q[9] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_Q[10] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_Q[11] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_Q[12] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_Q[13] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_Q[14] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_Q[15] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_I[0] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_I[1] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_I[2] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_I[3] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_I[4] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_I[5] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_I[6] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_I[7] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_I[8] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_I[9] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_I[10] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_I[11] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_I[12] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_I[13] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_I[14] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|TX_I[15] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|Q_HOLD[8] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|Q_HOLD[9] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|Q_HOLD[10] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|Q_HOLD[11] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|Q_HOLD[12] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|Q_HOLD[13] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|Q_HOLD[14] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|Q_HOLD[15] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[11] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[10] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[9] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[8] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[7] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[6] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[5] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[4] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[3] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[2] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[1] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[0] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[12] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[13] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[14] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[15] ; 0 ; 0 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[16] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[17] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[18] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[19] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[20] ; 1 ; 0 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[21] ; 1 ; 0 ; -; STM32_SYNC ; ; ; -; - stm32_interface:STM32_INTERFACE|FLASH_continue_read ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MINMAX_RESET ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|DATA_BUS_OE ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ATT_1~0 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|BPF_A~0 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|k~2 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|k~4 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|k~14 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|k~16 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|k~18 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|k~24 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|k~28 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|k~34 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|k~41 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|k~46 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|k~48 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|k~52 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|FLASH_enable~0 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|FLASH_enable~1 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|FLASH_data_out[7]~0 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[4]~12 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|CICFIR_GAIN[0]~0 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|sync_reset_n~0 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|CIC_GAIN[0]~0 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[15]~0 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[7]~1 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_NCO_freq[21]~2 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|tx_iq_valid~0 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_CICFIR_GAIN[0]~0 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|TX_Q[0]~0 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[9]~0 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|NCO_freq[16]~2 ; 1 ; 6 ; -; ADC_INPUT[0] ; ; ; -; - mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1 ; 0 ; 6 ; -; - mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MIN[0] ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MIN[0]~20 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MAX[0] ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MAX[0]~20 ; 0 ; 6 ; -; - DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~1 ; 0 ; 6 ; -; clk_sys ; ; ; -; ADC_INPUT[1] ; ; ; -; - mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1 ; 1 ; 6 ; -; - mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MIN[1] ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MIN[1]~22 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MAX[1] ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MAX[1]~22 ; 1 ; 6 ; -; - DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~5 ; 1 ; 6 ; -; ADC_INPUT[2] ; ; ; -; - mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1 ; 1 ; 6 ; -; - mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MIN[2] ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MIN[2]~24 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MAX[2] ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MAX[2]~24 ; 1 ; 6 ; -; - DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~7 ; 1 ; 6 ; -; ADC_OTR ; ; ; -; - stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~69 ; 0 ; 6 ; -; ADC_INPUT[3] ; ; ; -; - mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1 ; 0 ; 6 ; -; - mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MIN[3] ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MIN[3]~26 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MAX[3] ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MAX[3]~26 ; 0 ; 6 ; -; - DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~8 ; 0 ; 6 ; -; FLASH_MISO ; ; ; -; - spi_interface:FLASH|data_out[7]~2 ; 0 ; 6 ; -; - spi_interface:FLASH|data_out[6]~5 ; 0 ; 6 ; -; - spi_interface:FLASH|data_out[5]~8 ; 0 ; 6 ; -; - spi_interface:FLASH|data_out[4]~11 ; 0 ; 6 ; -; - spi_interface:FLASH|data_out[3]~14 ; 0 ; 6 ; -; - spi_interface:FLASH|data_out[2]~17 ; 0 ; 6 ; -; - spi_interface:FLASH|data_out[1]~20 ; 0 ; 6 ; -; - spi_interface:FLASH|data_out[0]~21 ; 0 ; 6 ; -; ADC_INPUT[7] ; ; ; -; - mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1 ; 1 ; 6 ; -; - mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MAX[7] ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MAX[7]~34 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MIN[7] ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MIN[7]~34 ; 1 ; 6 ; -; - DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~12 ; 1 ; 6 ; -; ADC_INPUT[6] ; ; ; -; - mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1 ; 1 ; 6 ; -; - mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MAX[6] ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MAX[6]~32 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MIN[6] ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MIN[6]~32 ; 1 ; 6 ; -; - DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~11 ; 1 ; 6 ; -; ADC_INPUT[5] ; ; ; -; - mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1 ; 0 ; 6 ; -; - mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MAX[5] ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MAX[5]~30 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MIN[5] ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MIN[5]~30 ; 0 ; 6 ; -; - DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~10 ; 0 ; 6 ; -; ADC_INPUT[4] ; ; ; -; - mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1 ; 1 ; 6 ; -; - mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MAX[4] ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MAX[4]~28 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MIN[4] ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MIN[4]~28 ; 1 ; 6 ; -; - DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~9 ; 1 ; 6 ; -; ADC_INPUT[11] ; ; ; -; - mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1 ; 0 ; 6 ; -; - mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MIN[11] ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MIN[11]~46 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MAX[11] ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MAX[11]~46 ; 0 ; 6 ; -; - DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~16 ; 0 ; 6 ; -; ADC_INPUT[10] ; ; ; -; - mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1 ; 0 ; 6 ; -; - mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MIN[10] ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MIN[10]~44 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MAX[10] ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MAX[10]~44 ; 0 ; 6 ; -; - DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~15 ; 0 ; 6 ; -; ADC_INPUT[9] ; ; ; -; - mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1 ; 1 ; 6 ; -; - mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MIN[9] ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MIN[9]~42 ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MAX[9] ; 1 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MAX[9]~42 ; 1 ; 6 ; -; - DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~14 ; 1 ; 6 ; -; ADC_INPUT[8] ; ; ; -; - mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1 ; 0 ; 6 ; -; - mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MIN[8] ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MIN[8]~40 ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MAX[8] ; 0 ; 6 ; -; - stm32_interface:STM32_INTERFACE|ADC_MAX[8]~40 ; 0 ; 6 ; -; - DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~13 ; 0 ; 6 ; -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+---------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Control Signals ; -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------------+---------+---------------------------------------+--------+----------------------+------------------+---------------------------+ -; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------------+---------+---------------------------------------+--------+----------------------+------------------+---------------------------+ -; DAC_corrector:DAC_CORRECTOR|LessThan0~1 ; LCCOMB_X16_Y9_N28 ; 14 ; Sync. load ; no ; -- ; -- ; -- ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~3 ; LCCOMB_X9_Y19_N18 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|WORD_SR~1 ; LCCOMB_X9_Y23_N22 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|word_counter~2 ; LCCOMB_X9_Y23_N4 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; STM32_CLK ; PIN_33 ; 181 ; Clock ; no ; -- ; -- ; -- ; -; STM32_SYNC ; PIN_32 ; 32 ; Sync. clear, Sync. load ; no ; -- ; -- ; -- ; -; altera_internal_jtag~TCKUTAP ; JTAG_X1_Y12_N0 ; 101 ; Clock ; yes ; Global Clock ; GCLK0 ; -- ; -; altera_internal_jtag~TMSUTAP ; JTAG_X1_Y12_N0 ; 23 ; Sync. clear ; no ; -- ; -- ; -- ; -; clk_sys ; PIN_89 ; 5103 ; Clock ; yes ; Global Clock ; GCLK9 ; VCC ; -; data_shifter:RX_CICFIR_GAINER|data_valid_out_Q ; LCCOMB_X1_Y11_N30 ; 32 ; Clock ; yes ; Global Clock ; GCLK4 ; -- ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|is_zero~0 ; LCCOMB_X19_Y7_N4 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|decode_msa:address_decoder|w_anode19w[2]~0 ; LCCOMB_X8_Y18_N0 ; 86 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|decode_msa:address_decoder|w_anode32w[2]~0 ; LCCOMB_X9_Y18_N30 ; 86 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|decode_msa:address_decoder|w_anode40w[2]~0 ; LCCOMB_X9_Y18_N2 ; 86 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|decode_msa:address_decoder|w_anode48w[2]~0 ; LCCOMB_X9_Y18_N22 ; 86 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_7a7:usedw_counter|_~0 ; LCCOMB_X14_Y18_N4 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_q9b:rd_ptr_msb|_~0 ; LCCOMB_X14_Y18_N26 ; 1 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_r9b:wr_ptr|_~0 ; LCCOMB_X14_Y18_N28 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|rd_ptr_lsb~1 ; LCCOMB_X14_Y23_N14 ; 1 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|valid_rreq ; LCCOMB_X18_Y23_N4 ; 92 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout~259 ; LCCOMB_X12_Y19_N20 ; 86 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout~98 ; LCCOMB_X12_Y19_N28 ; 86 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout~259 ; LCCOMB_X12_Y19_N4 ; 86 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout~98 ; LCCOMB_X12_Y19_N2 ; 86 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout~259 ; LCCOMB_X17_Y18_N0 ; 86 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout~98 ; LCCOMB_X17_Y18_N4 ; 86 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout~259 ; LCCOMB_X18_Y19_N26 ; 86 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout~98 ; LCCOMB_X18_Y19_N10 ; 86 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout_valid~0 ; LCCOMB_X23_Y19_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout~259 ; LCCOMB_X23_Y19_N6 ; 86 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout~98 ; LCCOMB_X23_Y19_N14 ; 86 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout~259 ; LCCOMB_X23_Y19_N2 ; 86 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout~98 ; LCCOMB_X23_Y19_N16 ; 86 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count~1 ; LCCOMB_X18_Y23_N16 ; 11 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|stall_reg ; FF_X14_Y12_N29 ; 547 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_8a7:usedw_counter|_~0 ; LCCOMB_X10_Y12_N4 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_r9b:rd_ptr_msb|_~0 ; LCCOMB_X14_Y12_N30 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_s9b:wr_ptr|_~0 ; LCCOMB_X14_Y12_N10 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|rd_ptr_lsb~1 ; LCCOMB_X14_Y12_N24 ; 1 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|valid_rreq ; LCCOMB_X14_Y12_N22 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|valid_wreq ; LCCOMB_X10_Y12_N18 ; 6 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|_~0 ; LCCOMB_X23_Y21_N18 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|_~0 ; LCCOMB_X24_Y21_N8 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|_~0 ; LCCOMB_X24_Y21_N20 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|empty_dff ; FF_X23_Y21_N17 ; 21 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|rd_ptr_lsb~1 ; LCCOMB_X18_Y21_N18 ; 1 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|valid_wreq~0 ; LCCOMB_X18_Y21_N8 ; 14 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|decode_msa:address_decoder|w_anode19w[2]~0 ; LCCOMB_X25_Y7_N22 ; 86 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|decode_msa:address_decoder|w_anode32w[2]~0 ; LCCOMB_X25_Y7_N2 ; 86 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|decode_msa:address_decoder|w_anode40w[2]~0 ; LCCOMB_X25_Y7_N16 ; 86 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|decode_msa:address_decoder|w_anode48w[2]~0 ; LCCOMB_X25_Y7_N10 ; 86 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_7a7:usedw_counter|_~0 ; LCCOMB_X22_Y7_N12 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_q9b:rd_ptr_msb|_~0 ; LCCOMB_X25_Y7_N0 ; 1 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_r9b:wr_ptr|_~0 ; LCCOMB_X24_Y7_N26 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|rd_ptr_lsb~1 ; LCCOMB_X22_Y7_N16 ; 1 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|valid_rreq ; LCCOMB_X22_Y7_N20 ; 92 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout~108 ; LCCOMB_X31_Y6_N28 ; 86 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout~259 ; LCCOMB_X31_Y6_N14 ; 86 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout~108 ; LCCOMB_X31_Y6_N8 ; 86 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout~259 ; LCCOMB_X31_Y6_N18 ; 86 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout~108 ; LCCOMB_X25_Y6_N14 ; 86 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout~259 ; LCCOMB_X25_Y6_N28 ; 86 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout_valid~0 ; LCCOMB_X22_Y7_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout~108 ; LCCOMB_X22_Y6_N14 ; 86 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout~259 ; LCCOMB_X22_Y6_N16 ; 86 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout~108 ; LCCOMB_X22_Y6_N20 ; 86 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout~259 ; LCCOMB_X22_Y6_N24 ; 86 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout~108 ; LCCOMB_X25_Y8_N8 ; 86 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout~259 ; LCCOMB_X25_Y8_N14 ; 86 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count~1 ; LCCOMB_X22_Y7_N28 ; 11 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|stall_reg ; FF_X10_Y8_N25 ; 547 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_8a7:usedw_counter|_~0 ; LCCOMB_X9_Y8_N26 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_r9b:rd_ptr_msb|_~0 ; LCCOMB_X11_Y8_N4 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_s9b:wr_ptr|_~0 ; LCCOMB_X19_Y5_N18 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|rd_ptr_lsb~0 ; LCCOMB_X11_Y8_N18 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|valid_rreq ; LCCOMB_X11_Y8_N20 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|valid_wreq ; LCCOMB_X19_Y5_N20 ; 5 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|_~0 ; LCCOMB_X24_Y8_N0 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|_~0 ; LCCOMB_X23_Y8_N0 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|_~0 ; LCCOMB_X24_Y8_N2 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|empty_dff ; FF_X24_Y8_N9 ; 21 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|rd_ptr_lsb~1 ; LCCOMB_X23_Y8_N28 ; 1 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|valid_wreq~0 ; LCCOMB_X22_Y8_N0 ; 14 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[8] ; FF_X13_Y13_N23 ; 33 ; Sync. load ; no ; -- ; -- ; -- ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[0][0] ; FF_X14_Y17_N29 ; 7 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14|delay_signals[0][0] ; FF_X13_Y13_N27 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_15|delay_signals[0][0] ; FF_X12_Y13_N31 ; 30 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute|delay_signals[0][0] ; FF_X16_Y14_N31 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_memread|delay_signals[0][0] ; FF_X16_Y17_N1 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_aseq_eq ; FF_X13_Y13_N31 ; 10 ; Sync. load ; no ; -- ; -- ; -- ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_count[1] ; FF_X14_Y17_N3 ; 11 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_clkproc~0 ; LCCOMB_X16_Y17_N0 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6] ; FF_X14_Y14_N31 ; 11 ; Sync. load ; no ; -- ; -- ; -- ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[8] ; FF_X3_Y11_N17 ; 33 ; Sync. load ; no ; -- ; -- ; -- ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[0][0] ; FF_X16_Y16_N31 ; 7 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14|delay_signals[0][0] ; FF_X3_Y11_N29 ; 21 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_15|delay_signals[0][0] ; FF_X2_Y11_N21 ; 31 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute|delay_signals[0][0] ; FF_X16_Y13_N27 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_memread|delay_signals[0][0] ; FF_X16_Y16_N15 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_aseq_eq ; FF_X3_Y11_N25 ; 9 ; Sync. load ; no ; -- ; -- ; -- ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_oseq_eq ; FF_X2_Y11_N19 ; 9 ; Sync. load ; no ; -- ; -- ; -- ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_count[1] ; FF_X16_Y16_N7 ; 11 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_clkproc~0 ; LCCOMB_X16_Y13_N30 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6] ; FF_X16_Y12_N15 ; 11 ; Sync. load ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|clr_reg ; FF_X13_Y16_N21 ; 14 ; Async. clear ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|design_hash_proc~0 ; LCCOMB_X11_Y13_N6 ; 4 ; Sync. load ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_info_reg_ena~0 ; LCCOMB_X12_Y16_N14 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0]~1 ; LCCOMB_X11_Y16_N6 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~0 ; LCCOMB_X11_Y16_N28 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2]~3 ; LCCOMB_X12_Y16_N4 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal~7 ; LCCOMB_X10_Y13_N24 ; 5 ; Sync. load ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal~8 ; LCCOMB_X11_Y16_N2 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|reset_ena_reg_proc~0 ; LCCOMB_X13_Y16_N6 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|WORD_SR~1 ; LCCOMB_X13_Y16_N18 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|word_counter~1 ; LCCOMB_X13_Y16_N0 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[0] ; FF_X13_Y15_N5 ; 15 ; Async. clear ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[0]~_wirecell ; LCCOMB_X12_Y23_N24 ; 14 ; Async. clear ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[11] ; FF_X13_Y15_N13 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[3] ; FF_X13_Y15_N11 ; 24 ; Sync. load ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|virtual_ir_dr_scan_proc~0 ; LCCOMB_X13_Y15_N14 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg ; FF_X12_Y23_N9 ; 22 ; Async. clear, Clock enable ; no ; -- ; -- ; -- ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|sldfabric_ident_writedata[0]~0 ; LCCOMB_X11_Y16_N14 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; spi_interface:FLASH|spi_bit_position~2 ; LCCOMB_X2_Y10_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; stm32_interface:STM32_INTERFACE|ATT_1~0 ; LCCOMB_X1_Y7_N22 ; 9 ; Clock enable ; no ; -- ; -- ; -- ; -; stm32_interface:STM32_INTERFACE|BPF_A~1 ; LCCOMB_X5_Y9_N20 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; stm32_interface:STM32_INTERFACE|CICFIR_GAIN[0]~0 ; LCCOMB_X5_Y9_N30 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; stm32_interface:STM32_INTERFACE|CIC_GAIN[0]~0 ; LCCOMB_X5_Y9_N26 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; stm32_interface:STM32_INTERFACE|DAC_GAIN[0]~0 ; LCCOMB_X5_Y9_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OE ; FF_X1_Y6_N29 ; 10 ; Output enable ; no ; -- ; -- ; -- ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[3]~43 ; LCCOMB_X5_Y7_N14 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[4]~12 ; LCCOMB_X4_Y7_N6 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[4]~15 ; LCCOMB_X4_Y7_N0 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; stm32_interface:STM32_INTERFACE|Equal22~0 ; LCCOMB_X4_Y7_N12 ; 7 ; Sync. load ; no ; -- ; -- ; -- ; -; stm32_interface:STM32_INTERFACE|FLASH_data_out[7]~0 ; LCCOMB_X4_Y10_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; stm32_interface:STM32_INTERFACE|FLASH_enable ; FF_X1_Y10_N15 ; 28 ; Sync. clear ; no ; -- ; -- ; -- ; -; stm32_interface:STM32_INTERFACE|I_HOLD[0]~5 ; LCCOMB_X3_Y6_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; stm32_interface:STM32_INTERFACE|I_HOLD[8]~2 ; LCCOMB_X5_Y6_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; stm32_interface:STM32_INTERFACE|LessThan0~0 ; LCCOMB_X5_Y8_N24 ; 12 ; Sync. load ; no ; -- ; -- ; -- ; -; stm32_interface:STM32_INTERFACE|LessThan1~0 ; LCCOMB_X3_Y8_N24 ; 12 ; Sync. load ; no ; -- ; -- ; -- ; -; stm32_interface:STM32_INTERFACE|NCO_freq[16]~2 ; LCCOMB_X1_Y7_N16 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; stm32_interface:STM32_INTERFACE|NCO_freq[7]~1 ; LCCOMB_X5_Y9_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; stm32_interface:STM32_INTERFACE|NCO_freq[9]~0 ; LCCOMB_X3_Y7_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[0]~3 ; LCCOMB_X5_Y6_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[8]~12 ; LCCOMB_X6_Y7_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; stm32_interface:STM32_INTERFACE|TX_CICFIR_GAIN[0]~0 ; LCCOMB_X5_Y9_N2 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[15]~0 ; LCCOMB_X2_Y8_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[21]~2 ; LCCOMB_X2_Y8_N6 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[7]~1 ; LCCOMB_X3_Y9_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; stm32_interface:STM32_INTERFACE|TX_Q[0]~0 ; LCCOMB_X2_Y6_N10 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; -; stm32_interface:STM32_INTERFACE|reset_n ; FF_X1_Y6_N25 ; 1338 ; Clock enable, Sync. clear, Sync. load ; no ; -- ; -- ; -- ; -; stm32_interface:STM32_INTERFACE|reset_n ; FF_X1_Y6_N25 ; 2468 ; Async. clear ; yes ; Global Clock ; GCLK1 ; -- ; -; stm32_interface:STM32_INTERFACE|rx ; FF_X1_Y11_N19 ; 234 ; Clock enable, Sync. clear, Sync. load ; no ; -- ; -- ; -- ; -; stm32_interface:STM32_INTERFACE|tx ; FF_X1_Y11_N21 ; 404 ; Async. clear ; yes ; Global Clock ; GCLK2 ; -- ; -; stm32_interface:STM32_INTERFACE|tx ; FF_X1_Y11_N21 ; 249 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[0]~51 ; LCCOMB_X11_Y6_N14 ; 33 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[74]~54 ; LCCOMB_X7_Y7_N8 ; 35 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[74]~57 ; LCCOMB_X7_Y7_N28 ; 37 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[74]~60 ; LCCOMB_X7_Y5_N0 ; 39 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[74]~63 ; LCCOMB_X3_Y5_N2 ; 41 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[74]~66 ; LCCOMB_X3_Y5_N4 ; 43 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|usedw_process~1 ; LCCOMB_X10_Y1_N24 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|usedw_process~2 ; LCCOMB_X10_Y1_N4 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|stall_reg ; FF_X10_Y1_N31 ; 481 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~0 ; LCCOMB_X11_Y4_N6 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~8 ; LCCOMB_X11_Y4_N4 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|valid_rreq ; LCCOMB_X11_Y4_N24 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|valid_wreq ; LCCOMB_X12_Y4_N10 ; 9 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|_~5 ; LCCOMB_X13_Y1_N0 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|_~6 ; LCCOMB_X13_Y1_N8 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|valid_rreq~0 ; LCCOMB_X13_Y1_N6 ; 11 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|valid_wreq ; LCCOMB_X14_Y1_N28 ; 13 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[0]~51 ; LCCOMB_X16_Y11_N12 ; 33 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[74]~54 ; LCCOMB_X16_Y11_N26 ; 35 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[74]~57 ; LCCOMB_X16_Y11_N30 ; 37 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[74]~60 ; LCCOMB_X16_Y11_N16 ; 39 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[74]~63 ; LCCOMB_X28_Y19_N30 ; 41 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[74]~66 ; LCCOMB_X30_Y23_N4 ; 43 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|usedw_process~1 ; LCCOMB_X18_Y13_N18 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|usedw_process~2 ; LCCOMB_X18_Y13_N20 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|stall_reg ; FF_X18_Y13_N7 ; 481 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~0 ; LCCOMB_X14_Y11_N14 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~8 ; LCCOMB_X14_Y11_N24 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|valid_rreq ; LCCOMB_X14_Y11_N8 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|valid_wreq ; LCCOMB_X14_Y11_N30 ; 9 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|_~5 ; LCCOMB_X19_Y15_N30 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|_~6 ; LCCOMB_X19_Y16_N16 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|valid_wreq ; LCCOMB_X19_Y16_N24 ; 13 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|source_valid_s_process~0 ; LCCOMB_X19_Y15_N18 ; 11 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[8] ; FF_X17_Y1_N25 ; 44 ; Sync. load ; no ; -- ; -- ; -- ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[0][0] ; FF_X16_Y3_N31 ; 14 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_aseq_q_16|delay_signals[0][0] ; FF_X13_Y3_N1 ; 22 ; Sync. load ; no ; -- ; -- ; -- ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14|delay_signals[0][0] ; FF_X17_Y1_N1 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_15|delay_signals[0][0] ; FF_X17_Y1_N31 ; 51 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_16|delay_signals[0][0] ; FF_X17_Y3_N31 ; 23 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute|delay_signals[0][0] ; FF_X17_Y2_N31 ; 13 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_memread|delay_signals[0][0] ; FF_X12_Y1_N13 ; 31 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_aseq_eq ; FF_X17_Y1_N27 ; 10 ; Sync. load ; no ; -- ; -- ; -- ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_oseq_eq ; FF_X17_Y3_N9 ; 9 ; Sync. load ; no ; -- ; -- ; -- ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_count[1] ; FF_X11_Y1_N5 ; 11 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_clkproc~0 ; LCCOMB_X16_Y2_N28 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6] ; FF_X26_Y2_N13 ; 11 ; Sync. load ; no ; -- ; -- ; -- ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_clkproc~0 ; LCCOMB_X10_Y7_N22 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6] ; FF_X7_Y11_N13 ; 11 ; Sync. load ; no ; -- ; -- ; -- ; -; tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated|wire_pll1_clk[0] ; PLL_1 ; 2124 ; Clock ; yes ; Global Clock ; GCLK3 ; -- ; -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------------+---------+---------------------------------------+--------+----------------------+------------------+---------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Global & Other Fast Signals ; -+--------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+---------+--------------------------------------+----------------------+------------------+---------------------------+ -; Name ; Location ; Fan-Out ; Fan-Out Using Intentional Clock Skew ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; -+--------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+---------+--------------------------------------+----------------------+------------------+---------------------------+ -; MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|wire_pll1_clk[0] ; PLL_2 ; 1 ; 0 ; Global Clock ; GCLK8 ; -- ; -; MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|wire_pll1_clk[1] ; PLL_2 ; 1 ; 0 ; Global Clock ; GCLK7 ; -- ; -; altera_internal_jtag~TCKUTAP ; JTAG_X1_Y12_N0 ; 101 ; 0 ; Global Clock ; GCLK0 ; -- ; -; clock_buffer:SYSCLK_BUFFER|clock_buffer_altclkctrl_0:altclkctrl_0|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component|wire_clkctrl1_outclk ; CLKCTRL_G9 ; 5103 ; 2 ; Global Clock ; GCLK9 ; VCC ; -; data_shifter:RX_CICFIR_GAINER|data_valid_out_Q ; LCCOMB_X1_Y11_N30 ; 32 ; 0 ; Global Clock ; GCLK4 ; -- ; -; stm32_interface:STM32_INTERFACE|reset_n ; FF_X1_Y6_N25 ; 2468 ; 0 ; Global Clock ; GCLK1 ; -- ; -; stm32_interface:STM32_INTERFACE|tx ; FF_X1_Y11_N21 ; 404 ; 0 ; Global Clock ; GCLK2 ; -- ; -; tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated|wire_pll1_clk[0] ; PLL_1 ; 2124 ; 40 ; Global Clock ; GCLK3 ; -- ; -+--------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+---------+--------------------------------------+----------------------+------------------+---------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------+ -; Non-Global High Fan-Out Signals ; -+------------------------------------------------------------------------------------------------------------------------------+---------+ -; Name ; Fan-Out ; -+------------------------------------------------------------------------------------------------------------------------------+---------+ -; stm32_interface:STM32_INTERFACE|reset_n ; 1337 ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|stall_reg ; 547 ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|stall_reg ; 547 ; -+------------------------------------------------------------------------------------------------------------------------------+---------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter RAM Summary ; -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+-------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+---------------------------+----------------------------------------------------------------+----------------------+------------------------+------------------------+----------+------------------------+---------------+ -; Name ; Type ; Mode ; Clock Mode ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Port A Input Registers ; Port A Output Registers ; Port B Input Registers ; Port B Output Registers ; Size ; Implementation Port A Depth ; Implementation Port A Width ; Implementation Port B Depth ; Implementation Port B Width ; Implementation Bits ; M9Ks ; MIF ; Location ; Mixed Width RDW Mode ; Port A RDW Mode ; Port B RDW Mode ; ECC Mode ; ECC Pipeline Registers ; Fits in MLABs ; -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+-------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+---------------------------+----------------------------------------------------------------+----------------------+------------------------+------------------------+----------+------------------------+---------------+ -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_fu91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 2048 ; 12 ; -- ; -- ; yes ; yes ; -- ; -- ; 24576 ; 2048 ; 12 ; -- ; -- ; 24576 ; 3 ; nco_nco_ii_0_sin_f.hex ; M9K_X27_Y5_N0, M9K_X27_Y4_N0, M9K_X27_Y3_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_au91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 2048 ; 12 ; -- ; -- ; yes ; yes ; -- ; -- ; 24576 ; 2048 ; 12 ; -- ; -- ; 24576 ; 3 ; nco_nco_ii_0_cos_f.hex ; M9K_X27_Y2_N0, M9K_X15_Y5_N0, M9K_X27_Y6_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_h982:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; Single Clock ; 2048 ; 12 ; 2048 ; 12 ; yes ; yes ; yes ; yes ; 24576 ; 2048 ; 12 ; 2048 ; 12 ; 24576 ; 3 ; nco_nco_ii_0_sin_c.hex ; M9K_X15_Y6_N0, M9K_X15_Y7_N0, M9K_X15_Y8_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 8 ; 25 ; 8 ; 25 ; yes ; no ; yes ; yes ; 200 ; 8 ; 23 ; 8 ; 23 ; 184 ; 1 ; None ; M9K_X15_Y18_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 32 ; 87 ; 32 ; 87 ; yes ; no ; yes ; yes ; 2784 ; 32 ; 86 ; 32 ; 86 ; 2752 ; 3 ; None ; M9K_X27_Y20_N0, M9K_X27_Y18_N0, M9K_X27_Y19_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 8 ; 25 ; 8 ; 25 ; yes ; no ; yes ; yes ; 200 ; 8 ; 23 ; 8 ; 23 ; 184 ; 1 ; None ; M9K_X27_Y8_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 32 ; 87 ; 32 ; 87 ; yes ; no ; yes ; yes ; 2784 ; 32 ; 86 ; 32 ; 86 ; 2752 ; 3 ; None ; M9K_X27_Y10_N0, M9K_X27_Y9_N0, M9K_X27_Y7_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|ALTSYNCRAM ; M9K ; Simple Dual Port ; Single Clock ; 64 ; 16 ; 64 ; 16 ; yes ; no ; yes ; yes ; 1024 ; 64 ; 16 ; 64 ; 16 ; 1024 ; 1 ; None ; M9K_X15_Y17_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|ALTSYNCRAM ; M9K ; Simple Dual Port ; Single Clock ; 64 ; 16 ; 64 ; 16 ; yes ; no ; yes ; yes ; 1024 ; 64 ; 16 ; 64 ; 16 ; 1024 ; 1 ; None ; M9K_X15_Y15_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 8 ; 18 ; 8 ; 18 ; yes ; no ; yes ; yes ; 144 ; 8 ; 16 ; 8 ; 16 ; 128 ; 1 ; None ; M9K_X15_Y4_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 32 ; 17 ; 32 ; 17 ; yes ; no ; yes ; yes ; 544 ; 32 ; 16 ; 32 ; 16 ; 512 ; 1 ; None ; M9K_X15_Y1_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 8 ; 18 ; 8 ; 18 ; yes ; no ; yes ; yes ; 144 ; 8 ; 16 ; 8 ; 16 ; 128 ; 1 ; None ; M9K_X15_Y9_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 32 ; 17 ; 32 ; 17 ; yes ; no ; yes ; yes ; 544 ; 32 ; 16 ; 32 ; 16 ; 512 ; 1 ; None ; M9K_X27_Y16_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|ALTSYNCRAM ; M9K ; Simple Dual Port ; Single Clock ; 64 ; 16 ; 64 ; 16 ; yes ; no ; yes ; yes ; 1024 ; 64 ; 16 ; 64 ; 16 ; 1024 ; 1 ; None ; M9K_X15_Y2_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|ALTSYNCRAM ; M9K ; Simple Dual Port ; Single Clock ; 64 ; 16 ; 64 ; 16 ; yes ; no ; yes ; yes ; 1024 ; 64 ; 16 ; 64 ; 16 ; 1024 ; 1 ; None ; M9K_X15_Y3_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_u8a1:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 2048 ; 16 ; -- ; -- ; yes ; yes ; -- ; -- ; 32768 ; 2048 ; 16 ; -- ; -- ; 32768 ; 4 ; tx_nco_nco_ii_0_sin_f.hex ; M9K_X27_Y17_N0, M9K_X27_Y14_N0, M9K_X27_Y11_N0, M9K_X15_Y11_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_p8a1:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 2048 ; 16 ; -- ; -- ; yes ; yes ; -- ; -- ; 32768 ; 2048 ; 16 ; -- ; -- ; 32768 ; 4 ; tx_nco_nco_ii_0_cos_f.hex ; M9K_X27_Y13_N0, M9K_X27_Y15_N0, M9K_X15_Y16_N0, M9K_X27_Y12_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; Single Clock ; 2048 ; 16 ; 2048 ; 16 ; yes ; yes ; yes ; yes ; 32768 ; 2048 ; 16 ; 2048 ; 16 ; 32768 ; 4 ; tx_nco_nco_ii_0_sin_c.hex ; M9K_X15_Y13_N0, M9K_X15_Y12_N0, M9K_X15_Y14_N0, M9K_X15_Y10_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+-------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+---------------------------+----------------------------------------------------------------+----------------------+------------------------+------------------------+----------+------------------------+---------------+ -Note: Fitter may spread logical memories into multiple blocks to improve timing. The actual required RAM blocks can be found in the Fitter Resource Usage section. - - -+-----------------------------------------------------------------------------------------------+ -; Fitter DSP Block Usage Summary ; -+---------------------------------------+-------------+---------------------+-------------------+ -; Statistic ; Number Used ; Available per Block ; Maximum Available ; -+---------------------------------------+-------------+---------------------+-------------------+ -; Simple Multipliers (9-bit) ; 0 ; 2 ; 46 ; -; Simple Multipliers (18-bit) ; 16 ; 1 ; 23 ; -; Embedded Multiplier Blocks ; 16 ; -- ; 23 ; -; Embedded Multiplier 9-bit elements ; 32 ; 2 ; 46 ; -; Signed Embedded Multipliers ; 16 ; -- ; -- ; -; Unsigned Embedded Multipliers ; 0 ; -- ; -- ; -; Mixed Sign Embedded Multipliers ; 0 ; -- ; -- ; -; Variable Sign Embedded Multipliers ; 0 ; -- ; -- ; -; Dedicated Input Shift Register Chains ; 0 ; -- ; -- ; -+---------------------------------------+-------------+---------------------+-------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; DSP Block Details ; -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------+--------------------+---------------------+--------------------------------+-----------------------+-----------------------+-------------------+-----------------+ -; Name ; Mode ; Location ; Sign Representation ; Has Input Shift Register Chain ; Data A Input Register ; Data B Input Register ; Pipeline Register ; Output Register ; -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------+--------------------+---------------------+--------------------------------+-----------------------+-----------------------+-------------------+-----------------+ -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[0] ; Simple Multiplier (18-bit) ; DSPOUT_X20_Y10_N2 ; ; No ; ; ; ; yes ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1 ; ; DSPMULT_X20_Y10_N0 ; Signed ; ; no ; yes ; no ; ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[0] ; Simple Multiplier (18-bit) ; DSPOUT_X20_Y9_N2 ; ; No ; ; ; ; yes ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1 ; ; DSPMULT_X20_Y9_N0 ; Signed ; ; no ; yes ; no ; ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[0] ; Simple Multiplier (18-bit) ; DSPOUT_X20_Y15_N2 ; ; No ; ; ; ; yes ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1 ; ; DSPMULT_X20_Y15_N0 ; Signed ; ; yes ; yes ; no ; ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X20_Y14_N2 ; ; No ; ; ; ; no ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1 ; ; DSPMULT_X20_Y14_N0 ; Signed ; ; no ; no ; no ; ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X20_Y13_N2 ; ; No ; ; ; ; no ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1 ; ; DSPMULT_X20_Y13_N0 ; Signed ; ; no ; no ; no ; ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X20_Y11_N2 ; ; No ; ; ; ; no ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1 ; ; DSPMULT_X20_Y11_N0 ; Signed ; ; no ; no ; no ; ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X20_Y12_N2 ; ; No ; ; ; ; no ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1 ; ; DSPMULT_X20_Y12_N0 ; Signed ; ; no ; no ; no ; ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[0] ; Simple Multiplier (18-bit) ; DSPOUT_X20_Y17_N2 ; ; No ; ; ; ; yes ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1 ; ; DSPMULT_X20_Y17_N0 ; Signed ; ; yes ; yes ; no ; ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[0] ; Simple Multiplier (18-bit) ; DSPOUT_X20_Y3_N2 ; ; No ; ; ; ; yes ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1 ; ; DSPMULT_X20_Y3_N0 ; Signed ; ; yes ; yes ; no ; ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[0] ; Simple Multiplier (18-bit) ; DSPOUT_X20_Y2_N2 ; ; No ; ; ; ; yes ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1 ; ; DSPMULT_X20_Y2_N0 ; Signed ; ; yes ; yes ; no ; ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[0] ; Simple Multiplier (18-bit) ; DSPOUT_X20_Y8_N2 ; ; No ; ; ; ; yes ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1 ; ; DSPMULT_X20_Y8_N0 ; Signed ; ; no ; no ; no ; ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[0] ; Simple Multiplier (18-bit) ; DSPOUT_X20_Y18_N2 ; ; No ; ; ; ; yes ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1 ; ; DSPMULT_X20_Y18_N0 ; Signed ; ; no ; no ; no ; ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X20_Y4_N2 ; ; No ; ; ; ; no ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1 ; ; DSPMULT_X20_Y4_N0 ; Signed ; ; no ; no ; no ; ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X20_Y5_N2 ; ; No ; ; ; ; no ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1 ; ; DSPMULT_X20_Y5_N0 ; Signed ; ; no ; no ; no ; ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X20_Y7_N2 ; ; No ; ; ; ; no ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1 ; ; DSPMULT_X20_Y7_N0 ; Signed ; ; no ; no ; no ; ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X20_Y6_N2 ; ; No ; ; ; ; no ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1 ; ; DSPMULT_X20_Y6_N0 ; Signed ; ; no ; no ; no ; ; -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------+--------------------+---------------------+--------------------------------+-----------------------+-----------------------+-------------------+-----------------+ - - -+--------------------------------------------------+ -; Routing Usage Summary ; -+-----------------------+--------------------------+ -; Routing Resource Type ; Usage ; -+-----------------------+--------------------------+ -; Block interconnects ; 13,324 / 32,401 ( 41 % ) ; -; C16 interconnects ; 108 / 1,326 ( 8 % ) ; -; C4 interconnects ; 4,852 / 21,816 ( 22 % ) ; -; Direct links ; 4,015 / 32,401 ( 12 % ) ; -; Global clocks ; 8 / 10 ( 80 % ) ; -; Local interconnects ; 4,175 / 10,320 ( 40 % ) ; -; R24 interconnects ; 111 / 1,289 ( 9 % ) ; -; R4 interconnects ; 6,482 / 28,186 ( 23 % ) ; -+-----------------------+--------------------------+ - - -+-----------------------------------------------------------------------------+ -; LAB Logic Elements ; -+---------------------------------------------+-------------------------------+ -; Number of Logic Elements (Average = 15.20) ; Number of LABs (Total = 643) ; -+---------------------------------------------+-------------------------------+ -; 1 ; 1 ; -; 2 ; 1 ; -; 3 ; 1 ; -; 4 ; 2 ; -; 5 ; 7 ; -; 6 ; 2 ; -; 7 ; 3 ; -; 8 ; 4 ; -; 9 ; 2 ; -; 10 ; 8 ; -; 11 ; 6 ; -; 12 ; 14 ; -; 13 ; 21 ; -; 14 ; 24 ; -; 15 ; 33 ; -; 16 ; 514 ; -+---------------------------------------------+-------------------------------+ - - -+--------------------------------------------------------------------+ -; LAB-wide Signals ; -+------------------------------------+-------------------------------+ -; LAB-wide Signals (Average = 2.29) ; Number of LABs (Total = 643) ; -+------------------------------------+-------------------------------+ -; 1 Async. clear ; 263 ; -; 1 Clock ; 557 ; -; 1 Clock enable ; 341 ; -; 1 Sync. clear ; 114 ; -; 1 Sync. load ; 24 ; -; 2 Async. clears ; 2 ; -; 2 Clock enables ; 137 ; -; 2 Clocks ; 34 ; -+------------------------------------+-------------------------------+ - - -+------------------------------------------------------------------------------+ -; LAB Signals Sourced ; -+----------------------------------------------+-------------------------------+ -; Number of Signals Sourced (Average = 25.78) ; Number of LABs (Total = 643) ; -+----------------------------------------------+-------------------------------+ -; 0 ; 0 ; -; 1 ; 1 ; -; 2 ; 2 ; -; 3 ; 1 ; -; 4 ; 1 ; -; 5 ; 1 ; -; 6 ; 0 ; -; 7 ; 1 ; -; 8 ; 6 ; -; 9 ; 1 ; -; 10 ; 5 ; -; 11 ; 2 ; -; 12 ; 3 ; -; 13 ; 2 ; -; 14 ; 6 ; -; 15 ; 2 ; -; 16 ; 51 ; -; 17 ; 13 ; -; 18 ; 17 ; -; 19 ; 11 ; -; 20 ; 20 ; -; 21 ; 13 ; -; 22 ; 15 ; -; 23 ; 30 ; -; 24 ; 29 ; -; 25 ; 32 ; -; 26 ; 36 ; -; 27 ; 24 ; -; 28 ; 24 ; -; 29 ; 23 ; -; 30 ; 31 ; -; 31 ; 27 ; -; 32 ; 213 ; -+----------------------------------------------+-------------------------------+ - - -+----------------------------------------------------------------------------------+ -; LAB Signals Sourced Out ; -+--------------------------------------------------+-------------------------------+ -; Number of Signals Sourced Out (Average = 11.86) ; Number of LABs (Total = 643) ; -+--------------------------------------------------+-------------------------------+ -; 0 ; 5 ; -; 1 ; 5 ; -; 2 ; 6 ; -; 3 ; 7 ; -; 4 ; 23 ; -; 5 ; 17 ; -; 6 ; 20 ; -; 7 ; 20 ; -; 8 ; 34 ; -; 9 ; 51 ; -; 10 ; 47 ; -; 11 ; 61 ; -; 12 ; 32 ; -; 13 ; 31 ; -; 14 ; 33 ; -; 15 ; 25 ; -; 16 ; 208 ; -; 17 ; 7 ; -; 18 ; 7 ; -; 19 ; 1 ; -; 20 ; 3 ; -+--------------------------------------------------+-------------------------------+ - - -+------------------------------------------------------------------------------+ -; LAB Distinct Inputs ; -+----------------------------------------------+-------------------------------+ -; Number of Distinct Inputs (Average = 18.40) ; Number of LABs (Total = 643) ; -+----------------------------------------------+-------------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 1 ; -; 3 ; 2 ; -; 4 ; 11 ; -; 5 ; 13 ; -; 6 ; 12 ; -; 7 ; 12 ; -; 8 ; 12 ; -; 9 ; 7 ; -; 10 ; 18 ; -; 11 ; 18 ; -; 12 ; 22 ; -; 13 ; 38 ; -; 14 ; 39 ; -; 15 ; 36 ; -; 16 ; 29 ; -; 17 ; 31 ; -; 18 ; 26 ; -; 19 ; 71 ; -; 20 ; 73 ; -; 21 ; 14 ; -; 22 ; 24 ; -; 23 ; 23 ; -; 24 ; 6 ; -; 25 ; 5 ; -; 26 ; 8 ; -; 27 ; 7 ; -; 28 ; 4 ; -; 29 ; 6 ; -; 30 ; 8 ; -; 31 ; 4 ; -; 32 ; 2 ; -; 33 ; 1 ; -; 34 ; 5 ; -; 35 ; 4 ; -; 36 ; 51 ; -+----------------------------------------------+-------------------------------+ - - -+------------------------------------------+ -; I/O Rules Summary ; -+----------------------------------+-------+ -; I/O Rules Statistic ; Total ; -+----------------------------------+-------+ -; Total I/O Rules ; 30 ; -; Number of I/O Rules Passed ; 11 ; -; Number of I/O Rules Failed ; 0 ; -; Number of I/O Rules Unchecked ; 0 ; -; Number of I/O Rules Inapplicable ; 19 ; -+----------------------------------+-------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; I/O Rules Details ; -+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+-------------------------------------------------------+------+-------------------+ -; Status ; ID ; Category ; Rule Description ; Severity ; Information ; Area ; Extra Information ; -+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+-------------------------------------------------------+------+-------------------+ -; Pass ; IO_000001 ; Capacity Checks ; Number of pins in an I/O bank should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000002 ; Capacity Checks ; Number of clocks in an I/O bank should not exceed the number of clocks available. ; Critical ; No Global Signal assignments found. ; I/O ; ; -; Pass ; IO_000003 ; Capacity Checks ; Number of pins in a Vrefgroup should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000004 ; Voltage Compatibility Checks ; The I/O bank should support the requested VCCIO. ; Critical ; No IOBANK_VCCIO assignments found. ; I/O ; ; -; Inapplicable ; IO_000005 ; Voltage Compatibility Checks ; The I/O bank should not have competing VREF values. ; Critical ; No VREF I/O Standard assignments found. ; I/O ; ; -; Pass ; IO_000006 ; Voltage Compatibility Checks ; The I/O bank should not have competing VCCIO values. ; Critical ; 0 such failures found. ; I/O ; ; -; Pass ; IO_000007 ; Valid Location Checks ; Checks for unavailable locations. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000008 ; Valid Location Checks ; Checks for reserved locations. ; Critical ; No reserved LogicLock region found. ; I/O ; ; -; Pass ; IO_000009 ; I/O Properties Checks for One I/O ; The location should support the requested I/O standard. ; Critical ; 0 such failures found. ; I/O ; ; -; Pass ; IO_000010 ; I/O Properties Checks for One I/O ; The location should support the requested I/O direction. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000011 ; I/O Properties Checks for One I/O ; The location should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; -; Inapplicable ; IO_000012 ; I/O Properties Checks for One I/O ; The location should support the requested On Chip Termination value. ; Critical ; No Termination assignments found. ; I/O ; ; -; Inapplicable ; IO_000013 ; I/O Properties Checks for One I/O ; The location should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; -; Pass ; IO_000014 ; I/O Properties Checks for One I/O ; The location should support the requested Weak Pull Up value. ; Critical ; 0 such failures found. ; I/O ; ; -; Pass ; IO_000015 ; I/O Properties Checks for One I/O ; The location should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000018 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; -; Inapplicable ; IO_000019 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested On Chip Termination value. ; Critical ; No Termination assignments found. ; I/O ; ; -; Pass ; IO_000020 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; -; Pass ; IO_000021 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Weak Pull Up value. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000022 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; -; Inapplicable ; IO_000023 ; I/O Properties Checks for One I/O ; The I/O standard should support the Open Drain value. ; Critical ; No open drain assignments found. ; I/O ; ; -; Inapplicable ; IO_000024 ; I/O Properties Checks for One I/O ; The I/O direction should support the On Chip Termination value. ; Critical ; No Termination assignments found. ; I/O ; ; -; Inapplicable ; IO_000026 ; I/O Properties Checks for One I/O ; On Chip Termination and Current Strength should not be used at the same time. ; Critical ; No Current Strength or Termination assignments found. ; I/O ; ; -; Inapplicable ; IO_000027 ; I/O Properties Checks for One I/O ; Weak Pull Up and Bus Hold should not be used at the same time. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; -; Inapplicable ; IO_000045 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; -; Inapplicable ; IO_000046 ; I/O Properties Checks for One I/O ; The location should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; -; Inapplicable ; IO_000047 ; I/O Properties Checks for One I/O ; On Chip Termination and Slew Rate should not be used at the same time. ; Critical ; No Slew Rate assignments found. ; I/O ; ; -; Pass ; IO_000033 ; Electromigration Checks ; Current density for consecutive I/Os should not exceed 240mA for row I/Os and 240mA for column I/Os. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000034 ; SI Related Distance Checks ; Single-ended outputs should be 5 LAB row(s) away from a differential I/O. ; High ; No Differential I/O Standard assignments found. ; I/O ; ; -; Inapplicable ; IO_000042 ; SI Related SSO Limit Checks ; No more than 20 outputs are allowed in a VREF group when VREF is being read from. ; High ; No VREF I/O Standard assignments found. ; I/O ; ; -+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+-------------------------------------------------------+------+-------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; I/O Rules Matrix ; -+---------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ -; Pin/Rules ; IO_000001 ; IO_000002 ; IO_000003 ; IO_000004 ; IO_000005 ; IO_000006 ; IO_000007 ; IO_000008 ; IO_000009 ; IO_000010 ; IO_000011 ; IO_000012 ; IO_000013 ; IO_000014 ; IO_000015 ; IO_000018 ; IO_000019 ; IO_000020 ; IO_000021 ; IO_000022 ; IO_000023 ; IO_000024 ; IO_000026 ; IO_000027 ; IO_000045 ; IO_000046 ; IO_000047 ; IO_000033 ; IO_000034 ; IO_000042 ; -+---------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ -; Total Pass ; 61 ; 0 ; 61 ; 0 ; 0 ; 65 ; 61 ; 0 ; 65 ; 65 ; 0 ; 0 ; 0 ; 41 ; 24 ; 0 ; 0 ; 24 ; 41 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 65 ; 0 ; 0 ; -; Total Unchecked ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; Total Inapplicable ; 4 ; 65 ; 4 ; 65 ; 65 ; 0 ; 4 ; 65 ; 0 ; 0 ; 65 ; 65 ; 65 ; 24 ; 41 ; 65 ; 65 ; 41 ; 24 ; 65 ; 65 ; 65 ; 65 ; 65 ; 65 ; 65 ; 65 ; 0 ; 65 ; 65 ; -; Total Fail ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; PREAMP ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; AUDIO_I2S_CLOCK ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; AUDIO_48K_CLOCK ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FLASH_C ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FLASH_S ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FLASH_MOSI ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; DAC_PD ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; DAC_CLK ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; ATT_05 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; ATT_1 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; ATT_2 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; ATT_4 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; ATT_8 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; ATT_16 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; BPF_A ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; BPF_B ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; BPF_OE1 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; BPF_OE2 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; LPF_1 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; LPF_2 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; LPF_3 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; TXRX_OUT ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; DAC_OUTPUT[13] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; DAC_OUTPUT[12] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; DAC_OUTPUT[11] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; DAC_OUTPUT[10] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; DAC_OUTPUT[9] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; DAC_OUTPUT[8] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; DAC_OUTPUT[7] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; DAC_OUTPUT[6] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; DAC_OUTPUT[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; DAC_OUTPUT[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; DAC_OUTPUT[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; DAC_OUTPUT[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; DAC_OUTPUT[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; DAC_OUTPUT[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; STM32_DATA_BUS[7] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; STM32_DATA_BUS[6] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; STM32_DATA_BUS[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; STM32_DATA_BUS[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; STM32_DATA_BUS[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; STM32_DATA_BUS[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; STM32_DATA_BUS[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; STM32_DATA_BUS[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; STM32_CLK ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; STM32_SYNC ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; ADC_INPUT[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; clk_sys ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; ADC_INPUT[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; ADC_INPUT[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; ADC_OTR ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; ADC_INPUT[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FLASH_MISO ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; ADC_INPUT[7] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; ADC_INPUT[6] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; ADC_INPUT[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; ADC_INPUT[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; ADC_INPUT[11] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; ADC_INPUT[10] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; ADC_INPUT[9] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; ADC_INPUT[8] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; altera_reserved_tms ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; altera_reserved_tck ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; altera_reserved_tdi ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; altera_reserved_tdo ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -+---------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ - - -+----------------------------------------------------------------------------------+ -; Fitter Device Options ; -+------------------------------------------------------------------+---------------+ -; Option ; Setting ; -+------------------------------------------------------------------+---------------+ -; Enable user-supplied start-up clock (CLKUSR) ; Off ; -; Enable device-wide reset (DEV_CLRn) ; Off ; -; Enable device-wide output enable (DEV_OE) ; Off ; -; Enable INIT_DONE output ; Off ; -; Configuration scheme ; Active Serial ; -; Error detection CRC ; Off ; -; Enable open drain on CRC_ERROR pin ; Off ; -; Enable input tri-state on active configuration pins in user mode ; Off ; -; Configuration Voltage Level ; 2.5V ; -; Force Configuration Voltage Level ; Off ; -; nCEO ; Unreserved ; -; Data[0] ; Unreserved ; -; Data[1]/ASDO ; Unreserved ; -; Data[7..2] ; Unreserved ; -; FLASH_nCE/nCSO ; Unreserved ; -; Other Active Parallel pins ; Unreserved ; -; DCLK ; Unreserved ; -+------------------------------------------------------------------+---------------+ - - -+------------------------------------+ -; Operating Settings and Conditions ; -+---------------------------+--------+ -; Setting ; Value ; -+---------------------------+--------+ -; Nominal Core Voltage ; 1.20 V ; -; Low Junction Temperature ; 0 °C ; -; High Junction Temperature ; 85 °C ; -+---------------------------+--------+ - - -+-----------------------------------------------------------------------------------------------------------------------------+ -; Estimated Delay Added for Hold Timing Summary ; -+----------------------------------------------------+----------------------------------------------------+-------------------+ -; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ; -+----------------------------------------------------+----------------------------------------------------+-------------------+ -; I/O ; clock_stm32 ; 160.0 ; -; clock_stm32,I/O ; clock_stm32 ; 48.0 ; -; clk_sys ; clk_sys ; 16.4 ; -; TX_PLL|altpll_component|auto_generated|pll1|clk[0] ; TX_PLL|altpll_component|auto_generated|pll1|clk[0] ; 10.2 ; -+----------------------------------------------------+----------------------------------------------------+-------------------+ -Note: For more information on problematic transfers, consider running the Fitter again with the Optimize hold timing option (Settings Menu) turned off. -This will disable optimization of problematic paths and expose them for further analysis using the Timing Analyzer. - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Estimated Delay Added for Hold Timing Details ; -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ -; Source Register ; Destination Register ; Delay Added in ns ; -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ -; STM32_DATA_BUS[2] ; stm32_interface:STM32_INTERFACE|CIC_GAIN[2] ; 2.035 ; -; STM32_DATA_BUS[6] ; stm32_interface:STM32_INTERFACE|ATT_8 ; 1.959 ; -; STM32_DATA_BUS[0] ; stm32_interface:STM32_INTERFACE|TX_CICFIR_GAIN[0] ; 1.866 ; -; STM32_DATA_BUS[7] ; stm32_interface:STM32_INTERFACE|NCO_freq[15] ; 1.865 ; -; STM32_DATA_BUS[5] ; stm32_interface:STM32_INTERFACE|TX_NCO_freq[13] ; 1.846 ; -; STM32_DATA_BUS[4] ; stm32_interface:STM32_INTERFACE|CIC_GAIN[4] ; 1.802 ; -; STM32_DATA_BUS[3] ; stm32_interface:STM32_INTERFACE|CIC_GAIN[3] ; 1.802 ; -; STM32_SYNC ; stm32_interface:STM32_INTERFACE|k[8] ; 1.795 ; -; STM32_DATA_BUS[1] ; stm32_interface:STM32_INTERFACE|TX_I[1] ; 1.770 ; -; stm32_interface:STM32_INTERFACE|k[1] ; stm32_interface:STM32_INTERFACE|k[1] ; 1.673 ; -; stm32_interface:STM32_INTERFACE|k[3] ; stm32_interface:STM32_INTERFACE|k[3] ; 1.637 ; -; stm32_interface:STM32_INTERFACE|k[6] ; stm32_interface:STM32_INTERFACE|k[6] ; 1.635 ; -; stm32_interface:STM32_INTERFACE|k[0] ; stm32_interface:STM32_INTERFACE|k[0] ; 1.628 ; -; stm32_interface:STM32_INTERFACE|k[7] ; stm32_interface:STM32_INTERFACE|k[7] ; 1.547 ; -; stm32_interface:STM32_INTERFACE|k[4] ; stm32_interface:STM32_INTERFACE|k[4] ; 1.530 ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[12] ; stm32_interface:STM32_INTERFACE|Q_HOLD[12] ; 1.515 ; -; stm32_interface:STM32_INTERFACE|k[5] ; stm32_interface:STM32_INTERFACE|Q_HOLD[12] ; 1.515 ; -; stm32_interface:STM32_INTERFACE|k[9] ; stm32_interface:STM32_INTERFACE|Q_HOLD[12] ; 1.515 ; -; stm32_interface:STM32_INTERFACE|k[8] ; stm32_interface:STM32_INTERFACE|Q_HOLD[12] ; 1.515 ; -; stm32_interface:STM32_INTERFACE|k[2] ; stm32_interface:STM32_INTERFACE|Q_HOLD[12] ; 1.515 ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[3] ; stm32_interface:STM32_INTERFACE|Q_HOLD[3] ; 1.417 ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[13] ; stm32_interface:STM32_INTERFACE|Q_HOLD[13] ; 1.250 ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[4] ; stm32_interface:STM32_INTERFACE|Q_HOLD[4] ; 1.241 ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[11] ; stm32_interface:STM32_INTERFACE|Q_HOLD[11] ; 1.190 ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[0] ; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[0] ; 1.184 ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[0] ; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[0] ; 1.184 ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[8] ; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[0] ; 1.184 ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[0] ; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[0] ; 1.184 ; -; stm32_interface:STM32_INTERFACE|I_HOLD[8] ; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[0] ; 1.184 ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[9] ; stm32_interface:STM32_INTERFACE|Q_HOLD[9] ; 1.147 ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[7] ; stm32_interface:STM32_INTERFACE|Q_HOLD[7] ; 1.135 ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[2] ; stm32_interface:STM32_INTERFACE|Q_HOLD[2] ; 1.058 ; -; stm32_interface:STM32_INTERFACE|tx_iq_valid ; stm32_interface:STM32_INTERFACE|tx_iq_valid ; 1.050 ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[3] ; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[3] ; 1.043 ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[14] ; stm32_interface:STM32_INTERFACE|I_HOLD[14] ; 1.008 ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[12] ; stm32_interface:STM32_INTERFACE|I_HOLD[12] ; 1.004 ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[0] ; stm32_interface:STM32_INTERFACE|Q_HOLD[0] ; 0.991 ; -; stm32_interface:STM32_INTERFACE|sync_reset_n ; stm32_interface:STM32_INTERFACE|sync_reset_n ; 0.987 ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[15] ; stm32_interface:STM32_INTERFACE|Q_HOLD[15] ; 0.986 ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[7] ; stm32_interface:STM32_INTERFACE|I_HOLD[7] ; 0.965 ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[13] ; stm32_interface:STM32_INTERFACE|I_HOLD[13] ; 0.947 ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[3] ; stm32_interface:STM32_INTERFACE|I_HOLD[3] ; 0.885 ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[4] ; stm32_interface:STM32_INTERFACE|I_HOLD[4] ; 0.885 ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[2] ; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[2] ; 0.832 ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[14] ; stm32_interface:STM32_INTERFACE|Q_HOLD[14] ; 0.825 ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[1] ; stm32_interface:STM32_INTERFACE|I_HOLD[1] ; 0.768 ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[9] ; stm32_interface:STM32_INTERFACE|I_HOLD[9] ; 0.721 ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[10] ; stm32_interface:STM32_INTERFACE|Q_HOLD[10] ; 0.702 ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[6] ; stm32_interface:STM32_INTERFACE|I_HOLD[6] ; 0.692 ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[6] ; stm32_interface:STM32_INTERFACE|Q_HOLD[6] ; 0.689 ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[5] ; stm32_interface:STM32_INTERFACE|Q_HOLD[5] ; 0.683 ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[11] ; stm32_interface:STM32_INTERFACE|I_HOLD[11] ; 0.671 ; -; stm32_interface:STM32_INTERFACE|FLASH_enable ; stm32_interface:STM32_INTERFACE|FLASH_enable ; 0.651 ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[5] ; stm32_interface:STM32_INTERFACE|I_HOLD[5] ; 0.631 ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[2] ; stm32_interface:STM32_INTERFACE|I_HOLD[2] ; 0.600 ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[15] ; stm32_interface:STM32_INTERFACE|I_HOLD[15] ; 0.570 ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[1] ; stm32_interface:STM32_INTERFACE|Q_HOLD[1] ; 0.565 ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[8] ; stm32_interface:STM32_INTERFACE|I_HOLD[8] ; 0.429 ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][8] ; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|ram_block1a8~porta_datain_reg0 ; 0.405 ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][12] ; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|ram_block1a12~porta_datain_reg0 ; 0.405 ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][8] ; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|ram_block1a8~porta_datain_reg0 ; 0.405 ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][9] ; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|ram_block1a9~porta_datain_reg0 ; 0.405 ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][10] ; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|ram_block1a10~porta_datain_reg0 ; 0.405 ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][11] ; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|ram_block1a11~porta_datain_reg0 ; 0.405 ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][12] ; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|ram_block1a12~porta_datain_reg0 ; 0.405 ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][2] ; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|ram_block1a2~porta_datain_reg0 ; 0.405 ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][3] ; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|ram_block1a3~porta_datain_reg0 ; 0.405 ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][4] ; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|ram_block1a4~porta_datain_reg0 ; 0.405 ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][8] ; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|ram_block1a8~porta_datain_reg0 ; 0.402 ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][1] ; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|ram_block1a1~porta_datain_reg0 ; 0.402 ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][4] ; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|ram_block1a4~porta_datain_reg0 ; 0.402 ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[10] ; stm32_interface:STM32_INTERFACE|I_HOLD[10] ; 0.394 ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[77] ; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|ram_block1a77~porta_datain_reg0 ; 0.388 ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[76] ; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|ram_block1a76~porta_datain_reg0 ; 0.388 ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[75] ; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|ram_block1a75~porta_datain_reg0 ; 0.388 ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[78] ; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|ram_block1a78~porta_datain_reg0 ; 0.388 ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[79] ; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|ram_block1a79~porta_datain_reg0 ; 0.388 ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[44] ; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|ram_block1a44~porta_datain_reg0 ; 0.388 ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[24] ; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|ram_block1a24~porta_datain_reg0 ; 0.387 ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[25] ; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|ram_block1a25~porta_datain_reg0 ; 0.387 ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[23] ; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|ram_block1a23~porta_datain_reg0 ; 0.387 ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[8] ; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|ram_block1a8~porta_datain_reg0 ; 0.387 ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[9] ; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|ram_block1a9~porta_datain_reg0 ; 0.387 ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[7] ; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|ram_block1a7~porta_datain_reg0 ; 0.387 ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[10] ; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|ram_block1a10~porta_datain_reg0 ; 0.387 ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[21] ; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|ram_block1a21~porta_datain_reg0 ; 0.387 ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[20] ; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|ram_block1a20~porta_datain_reg0 ; 0.387 ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[22] ; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|ram_block1a22~porta_datain_reg0 ; 0.387 ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[5] ; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|ram_block1a5~porta_datain_reg0 ; 0.387 ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[4] ; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|ram_block1a4~porta_datain_reg0 ; 0.387 ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[3] ; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|ram_block1a3~porta_datain_reg0 ; 0.387 ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[6] ; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|ram_block1a6~porta_datain_reg0 ; 0.387 ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[2] ; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|ram_block1a2~porta_datain_reg0 ; 0.387 ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[0] ; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|ram_block1a0~porta_datain_reg0 ; 0.387 ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[1] ; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|ram_block1a1~porta_datain_reg0 ; 0.387 ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[3] ; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|ram_block1a15~porta_address_reg0 ; 0.379 ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[2] ; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|ram_block1a15~porta_address_reg0 ; 0.379 ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[1] ; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|ram_block1a15~porta_address_reg0 ; 0.379 ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[4] ; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|ram_block1a15~porta_address_reg0 ; 0.379 ; -; spi_interface:FLASH|data_out[7] ; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[7] ; 0.378 ; -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ -Note: This table only shows the top 100 path(s) that have the largest delay added for hold. - - -+-----------------+ -; Fitter Messages ; -+-----------------+ -Info (16303): Aggressive Performance optimization mode selected -- timing performance will be prioritized at the potential cost of increased logic area and compilation time -Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected -Info (119006): Selected device EP4CE10E22C8 for design "WOLF-LITE" -Info (21077): Low junction temperature is 0 degrees C -Info (21077): High junction temperature is 85 degrees C -Info (15535): Implemented PLL "MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|pll1" as Cyclone IV E PLL type File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/main_pll_altpll.v Line: 43 - Info (15099): Implementing clock multiplication of 64, clock division of 335, and phase shift of 0 degrees (0 ps) for MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|wire_pll1_clk[0] port File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/main_pll_altpll.v Line: 43 - Info (15099): Implementing clock multiplication of 1, clock division of 1340, and phase shift of 0 degrees (0 ps) for MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|wire_pll1_clk[1] port File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/main_pll_altpll.v Line: 43 -Info (15535): Implemented PLL "tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated|pll1" as Cyclone IV E PLL type File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/tx_pll_altpll.v Line: 43 - Info (15099): Implementing clock multiplication of 5, clock division of 2, and phase shift of 0 degrees (0 ps) for tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated|wire_pll1_clk[0] port File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/tx_pll_altpll.v Line: 43 -Info (171004): Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance -Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices - Info (176445): Device EP4CE6E22C8 is compatible - Info (176445): Device EP4CE15E22C8 is compatible - Info (176445): Device EP4CE22E22C8 is compatible -Info (169141): DATA[0] dual-purpose pin not reserved -Info (12825): Data[1]/ASDO dual-purpose pin not reserved -Info (12825): nCSO dual-purpose pin not reserved -Info (12825): DCLK dual-purpose pin not reserved -Info (176045): Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements. -Info (169197): Configuration voltage level is automatically enforced for the device family 'Cyclone IV E' with the configuration scheme 'Active Serial' -Info (169213): Configuration voltage level of 2.5V is enforced on the I/O bank 1. The VCCIO of the I/O bank 1 is set to 2.5V. -Info (169213): Configuration voltage level of 2.5V is enforced on the I/O bank 1. The VCCIO of the I/O bank 1 is set to 2.5V. -Warning (176127): The parameters of the PLL tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated|pll1 and the PLL MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|pll1 do not have the same values - hence these PLLs cannot be merged File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/tx_pll_altpll.v Line: 77 - Info (176120): The values of the parameter "M" do not match for the PLL atoms MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|pll1 and PLL tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated|pll1 - Info (176121): The value of the parameter "M" for the PLL atom MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|pll1 is 64 - Info (176121): The value of the parameter "M" for the PLL atom tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated|pll1 is 10 - Info (176120): The values of the parameter "N" do not match for the PLL atoms MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|pll1 and PLL tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated|pll1 - Info (176121): The value of the parameter "N" for the PLL atom MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|pll1 is 5 - Info (176121): The value of the parameter "N" for the PLL atom tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated|pll1 is 1 - Info (176120): The values of the parameter "LOOP FILTER R" do not match for the PLL atoms MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|pll1 and PLL tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated|pll1 - Info (176121): The value of the parameter "LOOP FILTER R" for the PLL atom MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|pll1 is 6000 - Info (176121): The value of the parameter "LOOP FILTER R" for the PLL atom tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated|pll1 is 4000 - Info (176120): The values of the parameter "VCO POST SCALE" do not match for the PLL atoms MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|pll1 and PLL tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated|pll1 - Info (176121): The value of the parameter "VCO POST SCALE" for the PLL atom MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|pll1 is 1 - Info (176121): The value of the parameter "VCO POST SCALE" for the PLL atom tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated|pll1 is 2 - Info (176120): The values of the parameter "Min VCO Period" do not match for the PLL atoms MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|pll1 and PLL tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated|pll1 - Info (176121): The value of the parameter "Min VCO Period" for the PLL atom MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|pll1 is 769 - Info (176121): The value of the parameter "Min VCO Period" for the PLL atom tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated|pll1 is 1538 - Info (176120): The values of the parameter "Max VCO Period" do not match for the PLL atoms MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|pll1 and PLL tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated|pll1 - Info (176121): The value of the parameter "Max VCO Period" for the PLL atom MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|pll1 is 1666 - Info (176121): The value of the parameter "Max VCO Period" for the PLL atom tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated|pll1 is 3333 - Info (176120): The values of the parameter "Center VCO Period" do not match for the PLL atoms MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|pll1 and PLL tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated|pll1 - Info (176121): The value of the parameter "Center VCO Period" for the PLL atom MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|pll1 is 769 - Info (176121): The value of the parameter "Center VCO Period" for the PLL atom tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated|pll1 is 1538 - Info (176120): The values of the parameter "Min Lock Period" do not match for the PLL atoms MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|pll1 and PLL tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated|pll1 - Info (176121): The value of the parameter "Min Lock Period" for the PLL atom MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|pll1 is 9843 - Info (176121): The value of the parameter "Min Lock Period" for the PLL atom tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated|pll1 is 15380 - Info (176120): The values of the parameter "Max Lock Period" do not match for the PLL atoms MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|pll1 and PLL tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated|pll1 - Info (176121): The value of the parameter "Max Lock Period" for the PLL atom MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|pll1 is 20408 - Info (176121): The value of the parameter "Max Lock Period" for the PLL atom tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated|pll1 is 33330 -Info (332164): Evaluating HDL-embedded SDC commands - Info (332165): Entity sld_hub - Info (332166): create_clock -name altera_reserved_tck [get_ports {altera_reserved_tck}] -period 10MHz - Info (332166): set_clock_groups -asynchronous -group {altera_reserved_tck} -Info (332104): Reading SDC File: 'SDC.sdc' -Warning (332174): Ignored filter at SDC.sdc(5): rx_ciccomp:RX1_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_valid could not be matched with a port or pin or register or keeper or net or combinational node or node File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 5 -Warning (332049): Ignored create_clock at SDC.sdc(5): Argument is not an object ID File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 5 - Info (332050): create_clock -name "iq_valid" -period 48KHz {rx_ciccomp:RX1_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_valid} File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 5 -Warning (332174): Ignored filter at SDC.sdc(7): clock_sys could not be matched with a clock File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 7 -Warning (332174): Ignored filter at SDC.sdc(7): iq_valid could not be matched with a clock File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 7 -Info (332151): Clock uncertainty is not calculated until you update the timing netlist. -Info (332110): Deriving PLL clocks - Info (332110): create_generated_clock -source {MAIN_PLL|altpll_component|auto_generated|pll1|inclk[0]} -divide_by 335 -multiply_by 64 -duty_cycle 50.00 -name {MAIN_PLL|altpll_component|auto_generated|pll1|clk[0]} {MAIN_PLL|altpll_component|auto_generated|pll1|clk[0]} - Info (332110): create_generated_clock -source {MAIN_PLL|altpll_component|auto_generated|pll1|inclk[0]} -divide_by 1340 -duty_cycle 50.00 -name {MAIN_PLL|altpll_component|auto_generated|pll1|clk[1]} {MAIN_PLL|altpll_component|auto_generated|pll1|clk[1]} - Info (332110): create_generated_clock -source {TX_PLL|altpll_component|auto_generated|pll1|inclk[0]} -divide_by 2 -multiply_by 5 -duty_cycle 50.00 -name {TX_PLL|altpll_component|auto_generated|pll1|clk[0]} {TX_PLL|altpll_component|auto_generated|pll1|clk[0]} -Warning (332174): Ignored filter at SDC.sdc(13): clock_crystal could not be matched with a clock File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 13 -Warning (332049): Ignored set_output_delay at SDC.sdc(13): Argument -clock is not an object ID File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 13 - Info (332050): set_output_delay -clock clock_crystal -max 36ps [get_ports {DAC_OUTPUT[*]}] File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 13 -Warning (332049): Ignored set_output_delay at SDC.sdc(14): Argument -clock is not an object ID File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 14 - Info (332050): set_output_delay -clock clock_crystal -min 0ps [get_ports {DAC_OUTPUT[*]}] File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 14 -Warning (332174): Ignored filter at SDC.sdc(18): clock_adc could not be matched with a clock File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 18 -Warning (332049): Ignored set_input_delay at SDC.sdc(18): Argument -clock is not an object ID File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 18 - Info (332050): set_input_delay -clock clock_adc -max 36ps [get_ports ADC_INPUT[*]] File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 18 -Warning (332049): Ignored set_input_delay at SDC.sdc(19): Argument -clock is not an object ID File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 19 - Info (332050): set_input_delay -clock clock_adc -min 0ps [get_ports ADC_INPUT[*]] File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 19 -Warning (332049): Ignored set_input_delay at SDC.sdc(20): Argument -clock is not an object ID File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 20 - Info (332050): set_input_delay -clock clock_adc -max 36ps [get_ports ADC_OTR] File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 20 -Warning (332049): Ignored set_input_delay at SDC.sdc(21): Argument -clock is not an object ID File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 21 - Info (332050): set_input_delay -clock clock_adc -min 0ps [get_ports ADC_OTR] File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 21 -Warning (332174): Ignored filter at SDC.sdc(27): iq_valid could not be matched with a clock File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 27 -Warning (332049): Ignored set_multicycle_path at SDC.sdc(27): Argument is an empty collection File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 27 - Info (332050): set_multicycle_path -from [get_clocks {clock_stm32}] -to [get_clocks {iq_valid}] -setup -end 2 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 27 -Warning (332049): Ignored set_multicycle_path at SDC.sdc(28): Argument is an empty collection File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 28 - Info (332050): set_multicycle_path -from [get_clocks {clock_stm32}] -to [get_clocks {iq_valid}] -hold -end 2 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 28 -Warning (332049): Ignored set_multicycle_path at SDC.sdc(29): Argument is an empty collection File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 29 - Info (332050): set_multicycle_path -from [get_clocks {iq_valid}] -to [get_clocks {clock_stm32}] -setup -end 2 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 29 -Warning (332049): Ignored set_multicycle_path at SDC.sdc(30): Argument is an empty collection File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 30 - Info (332050): set_multicycle_path -from [get_clocks {iq_valid}] -to [get_clocks {clock_stm32}] -hold -end 2 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 30 -Warning (332174): Ignored filter at SDC.sdc(31): clock_sys could not be matched with a clock File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 31 -Warning (332049): Ignored set_multicycle_path at SDC.sdc(31): Argument is an empty collection File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 31 - Info (332050): set_multicycle_path -from [get_clocks {clock_stm32}] -to [get_clocks {clock_sys}] -setup -end 2 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 31 -Warning (332049): Ignored set_multicycle_path at SDC.sdc(32): Argument is an empty collection File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 32 - Info (332050): set_multicycle_path -from [get_clocks {clock_stm32}] -to [get_clocks {clock_sys}] -hold -end 2 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 32 -Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. -Info (332129): Detected timing requirements -- optimizing circuit to achieve only the specified requirements -Info (332111): Found 6 clocks - Info (332111): Period Clock Name - Info (332111): ======== ============ - Info (332111): 100.000 altera_reserved_tck - Info (332111): 15.547 clk_sys - Info (332111): 40.000 clock_stm32 - Info (332111): 81.378 MAIN_PLL|altpll_component|auto_generated|pll1|clk[0] - Info (332111): 20832.980 MAIN_PLL|altpll_component|auto_generated|pll1|clk[1] - Info (332111): 6.218 TX_PLL|altpll_component|auto_generated|pll1|clk[0] -Info (176352): Promoted node clk_sys~input (placed in PIN 89 (CLK6, DIFFCLK_3p)) - Info (176355): Automatically promoted clock_buffer:SYSCLK_BUFFER|clock_buffer_altclkctrl_0:altclkctrl_0|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component|clkctrl1 to use location or clock signal Global Clock CLKCTRL_G9 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v Line: 61 -Info (176353): Automatically promoted node MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|wire_pll1_clk[0] (placed in counter C0 of PLL_2) File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/main_pll_altpll.v Line: 77 - Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G8 -Info (176353): Automatically promoted node MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|wire_pll1_clk[1] (placed in counter C2 of PLL_2) File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/main_pll_altpll.v Line: 77 - Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G7 -Info (176353): Automatically promoted node tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated|wire_pll1_clk[0] (placed in counter C0 of PLL_1) File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/tx_pll_altpll.v Line: 77 - Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G3 -Info (176353): Automatically promoted node altera_internal_jtag~TCKUTAP - Info (176355): Automatically promoted destinations to use location or clock signal Global Clock -Info (176353): Automatically promoted node data_shifter:RX_CICFIR_GAINER|data_valid_out_Q File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/data_shifter.v Line: 13 - Info (176355): Automatically promoted destinations to use location or clock signal Global Clock -Info (176353): Automatically promoted node stm32_interface:STM32_INTERFACE|reset_n File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/stm32_interface.v Line: 64 - Info (176355): Automatically promoted destinations to use location or clock signal Global Clock - Info (176356): Following destination nodes may be non-global or may not use global or regional clocks - Info (176357): Destination node mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_out2 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/mult_jnp.tdf Line: 46 - Info (176357): Destination node mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_out2 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/mult_jnp.tdf Line: 46 - Info (176357): Destination node rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_r9b:wr_ptr|counter_reg_bit[1] File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/cntr_r9b.tdf Line: 43 - Info (176357): Destination node rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_r9b:wr_ptr|counter_reg_bit[0] File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/cntr_r9b.tdf Line: 43 - Info (176357): Destination node rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_7a7:usedw_counter|counter_reg_bit[1] File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/cntr_7a7.tdf Line: 44 - Info (176357): Destination node rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_7a7:usedw_counter|counter_reg_bit[0] File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/cntr_7a7.tdf Line: 44 - Info (176357): Destination node rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_q9b:rd_ptr_msb|counter_reg_bit[0] File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/cntr_q9b.tdf Line: 38 - Info (176357): Destination node rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[4] File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/cntr_u9b.tdf Line: 58 - Info (176357): Destination node rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[3] File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/cntr_u9b.tdf Line: 58 - Info (176357): Destination node rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[2] File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/cntr_u9b.tdf Line: 58 - Info (176358): Non-global destination nodes limited to 10 nodes -Info (176353): Automatically promoted node stm32_interface:STM32_INTERFACE|tx File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/stm32_interface.v Line: 63 - Info (176355): Automatically promoted destinations to use location or clock signal Global Clock - Info (176356): Following destination nodes may be non-global or may not use global or regional clocks - Info (176357): Destination node tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_out2 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/mult_abt.tdf Line: 46 - Info (176357): Destination node tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|ram_block1a0 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_4k82.tdf Line: 39 - Info (176357): Destination node tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|ram_block1a1 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_4k82.tdf Line: 76 - Info (176357): Destination node tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|ram_block1a2 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_4k82.tdf Line: 113 - Info (176357): Destination node tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|ram_block1a3 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_4k82.tdf Line: 150 - Info (176357): Destination node tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|ram_block1a4 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_4k82.tdf Line: 187 - Info (176357): Destination node tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|ram_block1a5 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_4k82.tdf Line: 224 - Info (176357): Destination node tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|ram_block1a6 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_4k82.tdf Line: 261 - Info (176357): Destination node tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|ram_block1a7 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_4k82.tdf Line: 298 - Info (176357): Destination node tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|ram_block1a8 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_4k82.tdf Line: 335 - Info (176358): Non-global destination nodes limited to 10 nodes -Info (176233): Starting register packing -Info (176235): Finished register packing - Extra Info (176218): Packed 32 registers into blocks of type Embedded multiplier block -Warning (15055): PLL "MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|pll1" input clock inclk[0] is not fully compensated and may have reduced jitter performance because it is fed by a non-dedicated input File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/main_pll_altpll.v Line: 43 - Info (15024): Input port INCLK[0] of node "MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|pll1" is driven by clock_buffer:SYSCLK_BUFFER|clock_buffer_altclkctrl_0:altclkctrl_0|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component|wire_clkctrl1_outclk which is OUTCLK output port of Clock control block type node clock_buffer:SYSCLK_BUFFER|clock_buffer_altclkctrl_0:altclkctrl_0|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component|clkctrl1 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/main_pll_altpll.v Line: 43 -Warning (15058): PLL "MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|pll1" is in normal or source synchronous mode with output clock "compensate_clock" set to clk[0] that is not fully compensated because it feeds an output pin -- only PLLs in zero delay buffer mode can fully compensate output pins File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/main_pll_altpll.v Line: 43 -Warning (15064): PLL "MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|pll1" output port clk[0] feeds output pin "AUDIO_I2S_CLOCK~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/main_pll_altpll.v Line: 43 -Warning (15064): PLL "MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|pll1" output port clk[1] feeds output pin "AUDIO_48K_CLOCK~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/main_pll_altpll.v Line: 43 -Warning (15055): PLL "tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated|pll1" input clock inclk[0] is not fully compensated and may have reduced jitter performance because it is fed by a non-dedicated input File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/tx_pll_altpll.v Line: 43 - Info (15024): Input port INCLK[0] of node "tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated|pll1" is driven by clock_buffer:SYSCLK_BUFFER|clock_buffer_altclkctrl_0:altclkctrl_0|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component|wire_clkctrl1_outclk which is OUTCLK output port of Clock control block type node clock_buffer:SYSCLK_BUFFER|clock_buffer_altclkctrl_0:altclkctrl_0|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component|clkctrl1 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/tx_pll_altpll.v Line: 43 -Warning (15064): PLL "tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated|pll1" output port clk[0] feeds output pin "DAC_CLK~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/tx_pll_altpll.v Line: 43 -Info (128000): Starting physical synthesis optimizations for speed -Info (128001): Physical synthesis optimizations for speed complete: elapsed time is 00:00:03 -Info (171121): Fitter preparation operations ending: elapsed time is 00:00:09 -Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family. -Info (170189): Fitter placement preparation operations beginning -Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:03 -Info (170191): Fitter placement operations beginning -Info (170137): Fitter placement was successful -Info (170192): Fitter placement operations ending: elapsed time is 00:00:56 -Info (170193): Fitter routing operations beginning -Info (170195): Router estimated average interconnect usage is 20% of the available device resources - Info (170196): Router estimated peak interconnect usage is 26% of the available device resources in the region that extends from location X11_Y0 to location X22_Y11 -Info (170194): Fitter routing operations ending: elapsed time is 00:00:16 -Info (11888): Total time spent on timing analysis during the Fitter is 14.39 seconds. -Info (334003): Started post-fitting delay annotation -Info (334004): Delay annotation completed successfully -Info (334003): Started post-fitting delay annotation -Info (334004): Delay annotation completed successfully -Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:05 -Info (169197): Configuration voltage level is automatically enforced for the device family 'Cyclone IV E' with the configuration scheme 'Active Serial' -Info (169213): Configuration voltage level of 2.5V is enforced on the I/O bank 1. The VCCIO of the I/O bank 1 is set to 2.5V. -Info (169213): Configuration voltage level of 2.5V is enforced on the I/O bank 1. The VCCIO of the I/O bank 1 is set to 2.5V. -Info (144001): Generated suppressed messages file D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/output_files/WOLF-LITE.fit.smsg -Info: Quartus Prime Fitter was successful. 0 errors, 27 warnings - Info: Peak virtual memory: 5738 megabytes - Info: Processing ended: Thu Jan 07 18:22:03 2021 - Info: Elapsed time: 00:01:41 - Info: Total CPU time (on all processors): 00:03:38 - - -+----------------------------+ -; Fitter Suppressed Messages ; -+----------------------------+ -The suppressed messages can be found in D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/output_files/WOLF-LITE.fit.smsg. - - diff --git a/FPGA/output_files/WOLF-LITE.fit.smsg b/FPGA/output_files/WOLF-LITE.fit.smsg deleted file mode 100644 index b8388c6..0000000 --- a/FPGA/output_files/WOLF-LITE.fit.smsg +++ /dev/null @@ -1,11 +0,0 @@ -Warning (18550): Found RAM instances implemented as ROM because the write logic is disabled. One instance is listed below as an example. -Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details -Warning (332060): Node: rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_valid was determined to be a clock but was found without an associated clock assignment. -Extra Info (176273): Performing register packing on registers with non-logic cell location assignments -Extra Info (176274): Completed register packing on registers with non-logic cell location assignments -Extra Info (176236): Started Fast Input/Output/OE register processing -Extra Info (176237): Finished Fast Input/Output/OE register processing -Extra Info (176248): Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density -Extra Info (176249): Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks -Warning (171167): Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information. -Warning (169180): Following 1 pins must use external clamping diodes. diff --git a/FPGA/output_files/WOLF-LITE.fit.summary b/FPGA/output_files/WOLF-LITE.fit.summary deleted file mode 100644 index 2f32654..0000000 --- a/FPGA/output_files/WOLF-LITE.fit.summary +++ /dev/null @@ -1,16 +0,0 @@ -Fitter Status : Successful - Thu Jan 07 18:22:01 2021 -Quartus Prime Version : 18.1.0 Build 625 09/12/2018 SJ Standard Edition -Revision Name : WOLF-LITE -Top-level Entity Name : WOLF-LITE -Family : Cyclone IV E -Device : EP4CE10E22C8 -Timing Models : Final -Total logic elements : 9,774 / 10,320 ( 95 % ) - Total combinational functions : 7,373 / 10,320 ( 71 % ) - Dedicated logic registers : 7,487 / 10,320 ( 73 % ) -Total registers : 7487 -Total pins : 61 / 92 ( 66 % ) -Total virtual pins : 0 -Total memory bits : 183,280 / 423,936 ( 43 % ) -Embedded Multiplier 9-bit elements : 32 / 46 ( 70 % ) -Total PLLs : 2 / 2 ( 100 % ) diff --git a/FPGA/output_files/WOLF-LITE.flow.rpt b/FPGA/output_files/WOLF-LITE.flow.rpt deleted file mode 100644 index 7fef20e..0000000 --- a/FPGA/output_files/WOLF-LITE.flow.rpt +++ /dev/null @@ -1,343 +0,0 @@ -Flow report for WOLF-LITE -Thu Jan 07 18:22:32 2021 -Quartus Prime Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Flow Summary - 3. Flow Settings - 4. Flow Non-Default Global Settings - 5. Flow Elapsed Time - 6. Flow OS Summary - 7. Flow Log - 8. Flow Messages - 9. Flow Suppressed Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 2018 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details. - - - -+--------------------------------------------------------------------------------------+ -; Flow Summary ; -+------------------------------------+-------------------------------------------------+ -; Flow Status ; Successful - Thu Jan 07 18:22:22 2021 ; -; Quartus Prime Version ; 18.1.0 Build 625 09/12/2018 SJ Standard Edition ; -; Revision Name ; WOLF-LITE ; -; Top-level Entity Name ; WOLF-LITE ; -; Family ; Cyclone IV E ; -; Device ; EP4CE10E22C8 ; -; Timing Models ; Final ; -; Total logic elements ; 9,774 / 10,320 ( 95 % ) ; -; Total combinational functions ; 7,373 / 10,320 ( 71 % ) ; -; Dedicated logic registers ; 7,487 / 10,320 ( 73 % ) ; -; Total registers ; 7487 ; -; Total pins ; 61 / 92 ( 66 % ) ; -; Total virtual pins ; 0 ; -; Total memory bits ; 183,280 / 423,936 ( 43 % ) ; -; Embedded Multiplier 9-bit elements ; 32 / 46 ( 70 % ) ; -; Total PLLs ; 2 / 2 ( 100 % ) ; -+------------------------------------+-------------------------------------------------+ - - -+-----------------------------------------+ -; Flow Settings ; -+-------------------+---------------------+ -; Option ; Setting ; -+-------------------+---------------------+ -; Start date & time ; 01/07/2021 00:35:09 ; -; Main task ; Compilation ; -; Revision Name ; WOLF-LITE ; -+-------------------+---------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Flow Non-Default Global Settings ; -+--------------------------------------------------------+----------------------------------------------------------------+--------------------------------------+-------------+------------+ -; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; -+--------------------------------------------------------+----------------------------------------------------------------+--------------------------------------+-------------+------------+ -; ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP ; On ; Off ; -- ; -- ; -; ALLOW_REGISTER_RETIMING ; Off ; On ; -- ; -- ; -; AUTO_RAM_TO_LCELL_CONVERSION ; On ; Off ; -- ; -- ; -; COMPILER_SIGNATURE_ID ; 1095501251834.160996890908644 ; -- ; -- ; -- ; -; CYCLONEII_OPTIMIZATION_TECHNIQUE ; Speed ; Balanced ; -- ; -- ; -; ENABLE_LOGIC_ANALYZER_INTERFACE ; Off ; -- ; -- ; -- ; -; ENABLE_SIGNALTAP ; Off ; -- ; -- ; -- ; -; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ; -; FLOW_ENABLE_IO_ASSIGNMENT_ANALYSIS ; On ; Off ; -- ; -- ; -; FLOW_ENABLE_POWER_ANALYZER ; On ; Off ; -- ; -- ; -; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; -; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; -; MISC_FILE ; mixer.bsf ; -- ; -- ; -- ; -; MISC_FILE ; mux16.bsf ; -- ; -- ; -- ; -; MISC_FILE ; MAIN_PLL.bsf ; -- ; -- ; -- ; -; MISC_FILE ; MAIN_PLL.ppf ; -- ; -- ; -- ; -; MISC_FILE ; mux14.bsf ; -- ; -- ; -- ; -; MISC_FILE ; mux1.bsf ; -- ; -- ; -- ; -; MISC_FILE ; tx_mixer.bsf ; -- ; -- ; -- ; -; MISC_FILE ; tx_summator.bsf ; -- ; -- ; -- ; -; MISC_FILE ; ADC_Latch.bsf ; -- ; -- ; -- ; -; MISC_FILE ; dac_null.bsf ; -- ; -- ; -- ; -; MISC_FILE ; rx_ciccomp.cmp ; -- ; -- ; -- ; -; MISC_FILE ; rx_ciccomp_sim/dspba_library_package.vhd ; -- ; -- ; -- ; -; MISC_FILE ; rx_ciccomp_sim/dspba_library.vhd ; -- ; -- ; -- ; -; MISC_FILE ; rx_ciccomp_sim/auk_dspip_math_pkg_hpfir.vhd ; -- ; -- ; -- ; -; MISC_FILE ; rx_ciccomp_sim/auk_dspip_lib_pkg_hpfir.vhd ; -- ; -- ; -- ; -; MISC_FILE ; rx_ciccomp_sim/auk_dspip_avalon_streaming_controller_hpfir.vhd ; -- ; -- ; -- ; -; MISC_FILE ; rx_ciccomp_sim/auk_dspip_avalon_streaming_sink_hpfir.vhd ; -- ; -- ; -- ; -; MISC_FILE ; rx_ciccomp_sim/auk_dspip_avalon_streaming_source_hpfir.vhd ; -- ; -- ; -- ; -; MISC_FILE ; rx_ciccomp_sim/auk_dspip_roundsat_hpfir.vhd ; -- ; -- ; -- ; -; MISC_FILE ; rx_ciccomp_sim/altera_avalon_sc_fifo.v ; -- ; -- ; -- ; -; MISC_FILE ; rx_ciccomp_sim/rx_ciccomp_rtl_core.vhd ; -- ; -- ; -- ; -; MISC_FILE ; rx_ciccomp_sim/rx_ciccomp_ast.vhd ; -- ; -- ; -- ; -; MISC_FILE ; rx_ciccomp_sim/rx_ciccomp.vhd ; -- ; -- ; -- ; -; MISC_FILE ; rx_ciccomp_sim/rx_ciccomp_nativelink.tcl ; -- ; -- ; -- ; -; MISC_FILE ; rx_ciccomp_sim/rx_ciccomp_msim.tcl ; -- ; -- ; -- ; -; MISC_FILE ; rx_ciccomp_sim/rx_ciccomp_tb.vhd ; -- ; -- ; -- ; -; MISC_FILE ; rx_ciccomp_sim/rx_ciccomp_mlab.m ; -- ; -- ; -- ; -; MISC_FILE ; rx_ciccomp_sim/rx_ciccomp_model.m ; -- ; -- ; -- ; -; MISC_FILE ; rx_ciccomp_sim/rx_ciccomp_coef_int.txt ; -- ; -- ; -- ; -; MISC_FILE ; rx_ciccomp_sim/rx_ciccomp_input.txt ; -- ; -- ; -- ; -; MISC_FILE ; rx_ciccomp_sim/rx_ciccomp_param.txt ; -- ; -- ; -- ; -; MISC_FILE ; tx_ciccomp.cmp ; -- ; -- ; -- ; -; MISC_FILE ; tx_ciccomp_sim/dspba_library_package.vhd ; -- ; -- ; -- ; -; MISC_FILE ; tx_ciccomp_sim/dspba_library.vhd ; -- ; -- ; -- ; -; MISC_FILE ; tx_ciccomp_sim/auk_dspip_math_pkg_hpfir.vhd ; -- ; -- ; -- ; -; MISC_FILE ; tx_ciccomp_sim/auk_dspip_lib_pkg_hpfir.vhd ; -- ; -- ; -- ; -; MISC_FILE ; tx_ciccomp_sim/auk_dspip_avalon_streaming_controller_hpfir.vhd ; -- ; -- ; -- ; -; MISC_FILE ; tx_ciccomp_sim/auk_dspip_avalon_streaming_sink_hpfir.vhd ; -- ; -- ; -- ; -; MISC_FILE ; tx_ciccomp_sim/auk_dspip_avalon_streaming_source_hpfir.vhd ; -- ; -- ; -- ; -; MISC_FILE ; tx_ciccomp_sim/auk_dspip_roundsat_hpfir.vhd ; -- ; -- ; -- ; -; MISC_FILE ; tx_ciccomp_sim/altera_avalon_sc_fifo.v ; -- ; -- ; -- ; -; MISC_FILE ; tx_ciccomp_sim/tx_ciccomp_rtl_core.vhd ; -- ; -- ; -- ; -; MISC_FILE ; tx_ciccomp_sim/tx_ciccomp_ast.vhd ; -- ; -- ; -- ; -; MISC_FILE ; tx_ciccomp_sim/tx_ciccomp.vhd ; -- ; -- ; -- ; -; MISC_FILE ; tx_ciccomp_sim/tx_ciccomp_nativelink.tcl ; -- ; -- ; -- ; -; MISC_FILE ; tx_ciccomp_sim/tx_ciccomp_msim.tcl ; -- ; -- ; -- ; -; MISC_FILE ; tx_ciccomp_sim/tx_ciccomp_tb.vhd ; -- ; -- ; -- ; -; MISC_FILE ; tx_ciccomp_sim/tx_ciccomp_mlab.m ; -- ; -- ; -- ; -; MISC_FILE ; tx_ciccomp_sim/tx_ciccomp_model.m ; -- ; -- ; -- ; -; MISC_FILE ; tx_ciccomp_sim/tx_ciccomp_coef_int.txt ; -- ; -- ; -- ; -; MISC_FILE ; tx_ciccomp_sim/tx_ciccomp_input.txt ; -- ; -- ; -- ; -; MISC_FILE ; tx_ciccomp_sim/tx_ciccomp_param.txt ; -- ; -- ; -- ; -; MISC_FILE ; diffclock_buff.bsf ; -- ; -- ; -- ; -; MISC_FILE ; dcdc_pll.bsf ; -- ; -- ; -- ; -; MISC_FILE ; dcdc_pll.ppf ; -- ; -- ; -- ; -; MISC_FILE ; tx_pll.bsf ; -- ; -- ; -- ; -; MISC_FILE ; tx_pll.ppf ; -- ; -- ; -- ; -; MUX_RESTRUCTURE ; Off ; Auto ; -- ; -- ; -; NUM_PARALLEL_PROCESSORS ; All ; -- ; -- ; -- ; -; OCP_HW_EVAL ; Disable ; Enable ; -- ; -- ; -; OPTIMIZATION_MODE ; Aggressive Performance ; Balanced ; -- ; -- ; -; OPTIMIZE_SSN ; Normal compilation ; Off ; -- ; -- ; -; OUTPUT_IO_TIMING_FAR_END_VMEAS ; Half Signal Swing ; -- ; -- ; -- ; -; OUTPUT_IO_TIMING_FAR_END_VMEAS ; Half Signal Swing ; -- ; -- ; -- ; -; OUTPUT_IO_TIMING_NEAR_END_VMEAS ; Half Vccio ; -- ; -- ; -- ; -; OUTPUT_IO_TIMING_NEAR_END_VMEAS ; Half Vccio ; -- ; -- ; -- ; -; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; -- ; Top ; -; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; -- ; Top ; -; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; -- ; Top ; -; PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING ; On ; Off ; -- ; -- ; -; PHYSICAL_SYNTHESIS_COMBO_LOGIC ; On ; Off ; -- ; -- ; -; PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA ; On ; Off ; -- ; -- ; -; PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ; On ; Off ; -- ; -- ; -; PLACEMENT_EFFORT_MULTIPLIER ; 4.0 ; 1.0 ; -- ; -- ; -; POST_FLOW_SCRIPT_FILE ; quartus_sh:auto_convert.tcl ; -- ; -- ; -- ; -; POWER_BOARD_THERMAL_MODEL ; None (CONSERVATIVE) ; -- ; -- ; -- ; -; POWER_DEFAULT_INPUT_IO_TOGGLE_RATE ; 80 % ; 12.5% ; -- ; -- ; -; POWER_OUTPUT_SAF_NAME ; output_files/signal_activity.saf ; -- ; -- ; -- ; -; POWER_PRESET_COOLING_SOLUTION ; No Heat Sink With Still Air ; -- ; -- ; -- ; -; POWER_REPORT_POWER_DISSIPATION ; On ; Off ; -- ; -- ; -; POWER_REPORT_SIGNAL_ACTIVITY ; On ; Off ; -- ; -- ; -; POWER_USE_DEVICE_CHARACTERISTICS ; MAXIMUM ; TYPICAL ; -- ; -- ; -; PRE_MAPPING_RESYNTHESIS ; On ; Off ; -- ; -- ; -; PROJECT_IP_REGENERATION_POLICY ; ALWAYS_REGENERATE_IP ; SKIP_REGENERATING_IP_IF_HDL_MODIFIED ; -- ; -- ; -; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; -; QII_AUTO_PACKED_REGISTERS ; Normal ; Auto ; -- ; -- ; -; ROUTER_CLOCKING_TOPOLOGY_ANALYSIS ; On ; Off ; -- ; -- ; -; ROUTER_LCELL_INSERTION_AND_LOGIC_DUPLICATION ; On ; Auto ; -- ; -- ; -; ROUTER_TIMING_OPTIMIZATION_LEVEL ; MAXIMUM ; Normal ; -- ; -- ; -; SAVE_DISK_SPACE ; Off ; On ; -- ; -- ; -; SMART_RECOMPILE ; On ; Off ; -- ; -- ; -; SPD_FILE ; rx_ciccomp.spd ; -- ; -- ; -- ; -; SPD_FILE ; tx_ciccomp.spd ; -- ; -- ; -- ; -; SYNTHESIS_ONLY_QIP ; On ; -- ; -- ; -- ; -; SYNTHESIS_ONLY_QIP ; On ; -- ; -- ; -- ; -; SYNTH_GATED_CLOCK_CONVERSION ; On ; Off ; -- ; -- ; -; TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS ; Off ; On ; -- ; -- ; -; USE_LOGIC_ANALYZER_INTERFACE_FILE ; debugger1.lai ; -- ; -- ; -- ; -; USE_SIGNALTAP_FILE ; stp1.stp ; -- ; -- ; -- ; -+--------------------------------------------------------+----------------------------------------------------------------+--------------------------------------+-------------+------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------+ -; Flow Elapsed Time ; -+-------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; -+-------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:02:11 ; 1.0 ; 4805 MB ; 00:04:21 ; -; Analysis & Synthesis ; 00:03:47 ; 1.0 ; 4924 MB ; 00:05:28 ; -; Partition Merge ; 00:00:06 ; 1.0 ; 4696 MB ; 00:00:06 ; -; I/O Assignment Analysis ; 00:00:23 ; 1.0 ; 4893 MB ; 00:00:13 ; -; Fitter ; 00:01:52 ; 1.1 ; 5725 MB ; 00:04:07 ; -; Assembler ; 00:00:06 ; 1.0 ; 4702 MB ; 00:00:05 ; -; Power Analyzer ; 00:00:11 ; 1.1 ; 4843 MB ; 00:00:12 ; -; Timing Analyzer ; 00:00:09 ; 1.6 ; 4873 MB ; 00:00:12 ; -; Analysis & Synthesis ; 00:03:26 ; 1.0 ; 5005 MB ; 00:05:54 ; -; Partition Merge ; 00:00:05 ; 1.0 ; 4702 MB ; 00:00:05 ; -; I/O Assignment Analysis ; 00:00:14 ; 1.0 ; 4902 MB ; 00:00:13 ; -; Fitter ; 00:02:11 ; 1.2 ; 5706 MB ; 00:04:54 ; -; Assembler ; 00:00:08 ; 1.0 ; 4705 MB ; 00:00:07 ; -; Power Analyzer ; 00:00:13 ; 1.1 ; 4841 MB ; 00:00:15 ; -; Timing Analyzer ; 00:00:11 ; 1.5 ; 4874 MB ; 00:00:13 ; -; Analysis & Synthesis ; 00:03:00 ; 1.0 ; 5007 MB ; 00:05:23 ; -; Partition Merge ; 00:00:04 ; 1.0 ; 4703 MB ; 00:00:04 ; -; I/O Assignment Analysis ; 00:00:11 ; 1.0 ; 4902 MB ; 00:00:12 ; -; Fitter ; 00:01:38 ; 1.1 ; 5728 MB ; 00:03:36 ; -; Assembler ; 00:00:05 ; 1.0 ; 4704 MB ; 00:00:05 ; -; Power Analyzer ; 00:00:11 ; 1.2 ; 4841 MB ; 00:00:12 ; -; Timing Analyzer ; 00:00:08 ; 1.5 ; 4873 MB ; 00:00:10 ; -; Analysis & Synthesis ; 00:02:48 ; 1.0 ; 5005 MB ; 00:04:47 ; -; Partition Merge ; 00:00:03 ; 1.0 ; 4704 MB ; 00:00:04 ; -; I/O Assignment Analysis ; 00:00:10 ; 1.0 ; 4899 MB ; 00:00:11 ; -; Fitter ; 00:01:30 ; 1.1 ; 5701 MB ; 00:03:15 ; -; Assembler ; 00:00:05 ; 1.0 ; 4702 MB ; 00:00:05 ; -; Power Analyzer ; 00:00:10 ; 1.1 ; 4837 MB ; 00:00:12 ; -; Timing Analyzer ; 00:00:09 ; 1.5 ; 4862 MB ; 00:00:10 ; -; Analysis & Synthesis ; 00:03:16 ; 1.2 ; 4953 MB ; 00:05:36 ; -; Partition Merge ; 00:00:06 ; 1.0 ; 4708 MB ; 00:00:06 ; -; I/O Assignment Analysis ; 00:00:14 ; 1.0 ; 4901 MB ; 00:00:15 ; -; Fitter ; 00:02:35 ; 1.2 ; 5738 MB ; 00:05:42 ; -; Assembler ; 00:00:07 ; 1.0 ; 4707 MB ; 00:00:07 ; -; Power Analyzer ; 00:00:14 ; 1.1 ; 4840 MB ; 00:00:16 ; -; Timing Analyzer ; 00:00:12 ; 1.5 ; 4873 MB ; 00:00:14 ; -; Analysis & Synthesis ; 00:02:43 ; 1.0 ; 5011 MB ; 00:04:38 ; -; Partition Merge ; 00:00:03 ; 1.0 ; 4705 MB ; 00:00:04 ; -; I/O Assignment Analysis ; 00:00:12 ; 1.0 ; 4904 MB ; 00:00:12 ; -; Fitter ; 00:01:39 ; 1.2 ; 5738 MB ; 00:03:35 ; -; Assembler ; 00:00:05 ; 1.0 ; 4705 MB ; 00:00:05 ; -; Power Analyzer ; 00:00:11 ; 1.2 ; 4846 MB ; 00:00:12 ; -; Timing Analyzer ; 00:00:08 ; 1.5 ; 4879 MB ; 00:00:10 ; -; Total ; 00:37:10 ; -- ; -- ; 01:06:03 ; -+-------------------------+--------------+-------------------------+---------------------+------------------------------------+ - - -+---------------------------------------------------------------------------------------+ -; Flow OS Summary ; -+-------------------------+------------------+------------+------------+----------------+ -; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; -+-------------------------+------------------+------------+------------+----------------+ -; Analysis & Synthesis ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; Analysis & Synthesis ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; Partition Merge ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; I/O Assignment Analysis ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; Fitter ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; Assembler ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; Power Analyzer ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; Timing Analyzer ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; Analysis & Synthesis ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; Partition Merge ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; I/O Assignment Analysis ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; Fitter ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; Assembler ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; Power Analyzer ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; Timing Analyzer ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; Analysis & Synthesis ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; Partition Merge ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; I/O Assignment Analysis ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; Fitter ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; Assembler ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; Power Analyzer ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; Timing Analyzer ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; Analysis & Synthesis ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; Partition Merge ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; I/O Assignment Analysis ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; Fitter ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; Assembler ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; Power Analyzer ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; Timing Analyzer ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; Analysis & Synthesis ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; Partition Merge ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; I/O Assignment Analysis ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; Fitter ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; Assembler ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; Power Analyzer ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; Timing Analyzer ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; Analysis & Synthesis ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; Partition Merge ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; I/O Assignment Analysis ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; Fitter ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; Assembler ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; Power Analyzer ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -; Timing Analyzer ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ; -+-------------------------+------------------+------------+------------+----------------+ - - ------------- -; Flow Log ; ------------- -quartus_map --read_settings_files=on --write_settings_files=off WOLF-LITE -c WOLF-LITE -quartus_map --read_settings_files=on --write_settings_files=off WOLF-LITE -c WOLF-LITE -quartus_cdb --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE --merge=on -quartus_fit --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE --plan -quartus_fit --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE -quartus_asm --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE -quartus_pow --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE -quartus_sta WOLF-LITE -c WOLF-LITE -quartus_map --read_settings_files=on --write_settings_files=off WOLF-LITE -c WOLF-LITE -quartus_cdb --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE --merge=on -quartus_fit --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE --plan -quartus_fit --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE -quartus_asm --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE -quartus_pow --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE -quartus_sta WOLF-LITE -c WOLF-LITE -quartus_map --read_settings_files=on --write_settings_files=off WOLF-LITE -c WOLF-LITE -quartus_cdb --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE --merge=on -quartus_fit --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE --plan -quartus_fit --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE -quartus_asm --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE -quartus_pow --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE -quartus_sta WOLF-LITE -c WOLF-LITE -quartus_map --read_settings_files=on --write_settings_files=off WOLF-LITE -c WOLF-LITE -quartus_cdb --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE --merge=on -quartus_fit --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE --plan -quartus_fit --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE -quartus_asm --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE -quartus_pow --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE -quartus_sta WOLF-LITE -c WOLF-LITE -quartus_map --read_settings_files=on --write_settings_files=off WOLF-LITE -c WOLF-LITE -quartus_cdb --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE --merge=on -quartus_fit --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE --plan -quartus_fit --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE -quartus_asm --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE -quartus_pow --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE -quartus_sta WOLF-LITE -c WOLF-LITE -quartus_map --read_settings_files=on --write_settings_files=off WOLF-LITE -c WOLF-LITE -quartus_cdb --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE --merge=on -quartus_fit --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE --plan -quartus_fit --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE -quartus_asm --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE -quartus_pow --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE -quartus_sta WOLF-LITE -c WOLF-LITE - - - diff --git a/FPGA/output_files/WOLF-LITE.jdi b/FPGA/output_files/WOLF-LITE.jdi deleted file mode 100644 index 42fc986..0000000 --- a/FPGA/output_files/WOLF-LITE.jdi +++ /dev/null @@ -1,123 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/FPGA/output_files/WOLF-LITE.jic b/FPGA/output_files/WOLF-LITE.jic deleted file mode 100644 index 628a5c7510481ba8bfd0e0b2098d16afa3888b7f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2097377 zcmeFa50q_rR@b+Gr_QSp7MfGl&rw8O``)e|)EKz0XM#gQl3jOdh9w#-9?CMBnERTB zEEKVs8J9#2{BCuR<9@Z++WW{En~kTW|cVTc5S?5*<^HopYdFzw@<|n`6?dV&V&fk3chBv+W*24De zQ)uKjeAU~(;_YAgWl!2?*lS?leEOy@zV$iwi|y0QVMi#%{^du3-}?V1{Me8G`1?Qj z{(ts?_y5EP-v8tOlJbKe{FguZfe(G?Lmznmhd%VdpZwqlKlsP{kK*ZPO7)cd$AkM3 zc5NovtHC!$*!5RF2AJ%2RVSJ7!?C=Q>|q`8Qyi1ui5H$^yLf7Ik{ttY1}3?%_z6yS z;K_$zr|Kkt)eiUwQ#(^!63=$v7H|NlJ;fsZsrt?isBRb3)NY%icVVhmyJILm#UMPj zvnvy=oaAQ#41i?2es}4qjY;+}FZm>sAH}hYyAkGqNYyDWf#O&Kk_)6GerjvNw*koo zPXNMGov_-MOz`EvF9g(%_;gGmUa?76c#`j8>1e*GUh(Y#yY-^gp6X8l^_^sjNwJF- z$dB~Ymat^17l;-}F1-o%0kth1$<)RXAes6}SfIK4nZP8Qumru2$SOtn# z^}>h1E*34g7(ujje?9PCppI}3Y=QRx!Y=|7Eq(&Y1m6ir=cy5d$F)V_57F`zi6_SG)}`Br<=I0&oF2|iqZO!7Yp zd>`;P0ktgHaR@ zp8$Uf_+~)k@Lhn$L|A*S)~~Sm9|nX~KY@6)FHj5ujf3_uVYNMh@>km$-){sYm+Xsy z?*}x81RDD3T|sii@R2}kSn;$d+Pzq`+85|KLm=7od>~%yL7@5l>A)`sn&j?X%_)t` zE=cxmfUxA6M;fzfK55;E*4hwgY%+j{irv^$rFr;HKzn>!!EFu8pZsfWN=Gos)Q;+e zCHsE>Veu2RC{9n+zghzmOk?wK99kp40#Ho<

%h%@sXMOtSw8nC8-d4`}Xa9!aLU zN%r>u)d?gMXvruZ#in=^>lBypB-gXM;+XnFb;@6HNcO3~7XX^Gp8^O^vOfU)9^e-N z|0eKj0LgUk(7jx8-E$PjTLI0%&jIv|qILvR9NP25f71x+JH;TF`a^3#?Tgp^RX%{2FgCDVG*ej=>C{)2$9V$wPmXw9fE1fMp7WO_bQoWBAonCTrudrBP`H5*#-5JVtE`8)_m2yLorQb^zi%?KY{iz(G#BNKQV%M zf#zh3;7h+$?Wi9Fq94+q-M z1gif_facj`BVT_5(A;QR-}sH%*E9yZu)D|Y$|t%-a$RZ;s6Dkaf%plgwkBTrD2_?4 zc*H9fVZkfK^$&n4HpOxsL8G)5ruK!W_9mHLYn}!q|2KgD6!;!MGQrma;&opW7VOeZ z@;%=(jh(RG0W|k_;o&%@_9v|MCJ--OL6fvnxogi-|4i~-dRI2}p=6W)e~tgu_Q3xI zXuo-tUhAv0d%*00iTyy$t7pFTm%Mu0p8=Nf@)*AHTV5{v*)936|BIi__&?!U7cY_U(ozW+wSO3-eY-7ZSb0z)meoB^-T|w|7%x9fb0G&m7NHBVt zG#Y-;#*Wj=j!NtZ+Ezi!80|tf^q}CH!-xbX_@S#$^(M(D8E1z~z4Gv0H_3@%hkSq@ z!;6Q*yb^r+GgR$=Kwc&ujF&6Gh*;u^mzSN5ZswSYT;` z*)I44HXnJ~H9NduvXKRiYsMgJ43erTIZ_95Bm{}XuBd*bhYLNR_^#t#QC{$W{j_z5Irzvjz7 zdQcB6Biom#iD>SCxyAu3mT zsbY!C%4n867K6VO)Bs9(+w}Rw2VUbDR5a+`BZXr->>~xZ#aCk#_tpk@8FaO)`dqNW z3c@sZS|vRW3#_f32x-BCSSS~2L(5FXf`|fIXm|>8f zgjOqo*8_sDG#?i*8Yw1_(ys&=*i>Ue0UO(PPg${^Cd5~IS?+3Bhz}Ww;%W(0vs3A0 z_#mKKZ_B1Km!i`tXZ8=@X`O1tX62p-1P)zpyV0E^3oe^CqJlCb5xCY5Hnl|$6P4mx zW@MB~V*yIf=TefaCe9)#qYfxoFF}+nRWyNn(lYC#$vQ>n75%fH{Cw4}RO~?P(2%h7 z{DUAqQlu;0R14K5a~AqelFbjsfKpFF9?IFmY`tufLhUIRwH1^&6g!s|do^h! z48|Nu(5{6zv?8yn$GYYgrcz&pqc*H{89A8)F`${(t?Y+?WmAGvtAOVm#C>w&!(lwD z4t*$Ywb&V(GCOlYnztpqq)ITGQxk4wS>VVKT>}}^(pA#v_$WIULZ7wSWMq2O0PoH+ zTx4?t+yhb>^c)}}qKXz|84Sjhkb9om3zIO&!>vQsvE3%nqf7jo9dcP0i8TmhLa z3Ik;niLSj$vXQl}qC$osqcUSbuM#XlL4iazw@D_8Ng|V8F9%MF<7nTZRO> z10gz#DU?i0()8l#wUrWOjNOHXHN>~bK$w^0RYbcgXa}<1Pf*;Klo`KMDRR>+ZT*dM zc1Gbjr_*)Fydt-D!7flbm`Y#+HK7IA=^T z=ttejWuK`U?E=BIgzmu81s`2+Klb)kP0fQ4u(|+u8g>YwYI8jhjNpmAPR)+vkP2u6 zWfNnx$?g`-h=7uqaB3jAcA1j8Yk5VxD4zmVC;07OPPxaPAqTGK0P6@v*Pip_95y^E z8ly*|Z3{H*s432xcq}?w)NrKi0(F6w8uCNtEBtZW2*M<9Fa#6fWa3b+Wn@ki8nx}B z`H=1-R2MH%z?Di}Qz%JdRr~Urw>v!;(7r~CmFcNOaYdvcE20TOgHPnxsWjiC&k3nH#|0&lOWL;+I>!}j@_goT2I4X#d7qB`&Fv@9VQz|*}g zcduGjY%Ckni05rJ-?ApoY=yqt>ul4Vl*fJx}&o+Yj`cAvv)<*=4e zHxdZn$hwuPyLvg zZ#)mJscY|MjYVD?KjEu-=~aBic-UaC79>|Aoof$DN7GxAg6IBu0 zrPnUHnec5QS4Js+c}D4_@{qJVeaHIZ89RwMKYxx4l+i^!jAbcyvsWgMy>Krv^Ge>e zpiJ02ke`v!Z+X#3=+?lZ2sfiiwnra2yIoe7wVow!iB~gk^({2?q<^?3RJv=qwr7}K z7L~x`@Hj5*;xM`b-gI%hWJ0IN)4H2*F}^C@X_?@qBXoP_A}lld!`3lf?BeXSGsfuV zJLsl3f9&WT418?N&uHDP`dNV8j_%#tC-aqU z)Jho55u2I$l+o??n$OJ5+S=_b3Jhap$L{Rr!*FbM51&zXv+gQORS)P`?1ot_Hus;!I|ZoYPa@6V}k^4H?sYE{JRiedw`DJt8j+yWws zdGQYHrcGN=H(gi+JhuQg6#H$z&V0^O%mC*!sukn}XVJMAXMbV!T~ZTE_*(hyF%2zH zYz=~IZ4KAW!RdgLdeLgvSQ%bscrmos7c?TP=lCu#?+-Utl=>vC`*9~;729+t9ZjvjX9SgWz?#M{|z$?Nd!Vd9I#w8r;l z*2t}s-T`?MNlFWm4ISD{u7Ng-hD}=3Z@}iYZqAlq5`y2_`T5uVQk&0b>vBq_@^kxe zc`P@}Wp`($Jr4|T%iAfZUOOrKZr2?v$ZmPIzFY@gaJF7QqcN%g&dYjj!m~@X8(-@o zDXz=KMZ-hlvc5SS-acE;g zCDQ^$Zvy{|4d$iK_Sn)`Zu2rcvW)WR z+zt{yUq3RQQ{Tn<>A4+$ap#v$H!shxo0o^IUqA8hJWsE$AMvBFgO``r^`n=YishYm zo0m!Jo#(@$VteN~v+D}myzw@F9rFE?diJ`X(EE}4M17Em`8(q7VO*t_J-^%eNk^-Q zXf?1+4B=P(f7QzcF?X1Qf*PN4u~-E)Q9|X5Ys*$S3P!i@cbueh0 z^`R;*XIH3HoZ6ufa7%@tY15BG&sc(UNMfw(5_4k=8@4=`%b?#D0y!R{w*%8Y{~5h5pb1J zawI~uf%2G<2%yVSNd{DHTwnDeO3I21&6_+GDq5b}F-e8L?LTf^$IDql>&LJas#PyR z2qJ|PF2?TAiJrrIW7zA0kW~mXEY@lm-7le zYZ;l%d8Qjy2SXS6SmR5V2RqoeVUN9lH}P^9sBj&MCuVseher|FQSj`E=mh#no1F!b z(+|rN@4H?t**+4%()#c@VNxxXHwz06$J`q?mPG4ZW|hma;!<{^D_$+&!BL@g*_bLu z6*@t=ONWkw_nPq-Vci%NYL7gon<0#xJOu9Yr~yn*D-fBe#HHC5G(>|9*q@FeN^2y_hH8EYi|Kr$jBL%Vv9X`d7Fvl+{r=WPT+OV=rrQ}b{(&f&$6F)#m{qs7aA}~Ti+BVEDeTAo;>3d0+AbvT*h@Gt_Hyl% zw82j!8mdCUzmkIQYX7QLl_Kv1*9Q47Vr@l^CJ3h`wUT5pr}HU10V zit7SjT>el7CyZFG+iizfX10aTpjx)Md32b`1Dd+n`6(Nq6{$H1tlOgWk|M5d-X=;n z*i0cE$cu>40RzY51y@>!)ma2Kfl`%-N#MDBYz~)e$@}=l(2I(x4NpM|R$Q@zA;)_y zR8VQrgbE+3^HhTa-@t7pYhLEWrP$EJi?yiJLGq;Gh#Qa$ciHTk zN>?=3b4X>@t)$5hbFs{A$Y<|t1Q88Wx6DYzCbT=>$T+5is3JE3kZdYfgJA{UAZ=c> z6jbeYhF9WhyeFmvhxL_CQYrp z!0@dUOlF$W@QmpxCf9vd#5GJDcv%r|@fI@TA)Fcx|0j1^YAYD$3a*C(N}1%Ln%S5|C7tFLoZyt+ zUAt*gm4Q!P8RjYt@iJ4D=C=Cb%G-4+yHrJ^hB^`0gy@c<6I)SCf^<9k+kd&`>8fJC z3*iQ5>M%7&u{UkWo?VRsn4jACXaWQ4T~9cx;b@9(XLc<$XQx@_V|{H=_m0U?b~uup zYFoTiq;)|Wffu6>cz!eAcXfUs0m2hejKuNx{60yEjW_^$Sj$+i3+n%x!n6*^Ku-X! zAa-xBs{Y}l5o3?Cczhs|s3 zsNAFbzsJqq%UroQdVF}#?Br(cPrI!z)rE(%ax&jK*%yno`-@u65&cW_p_@C?aA|M; zlYf?YhQ|p3Pp38z{j3YRmrT1;y;IyeyNI%QAK|{ZutzSuXrCU2EqBtW|xZ!|&YX@izXJL;pUL9VB_b~Md1k^GG zBSu_Yl@VojB=PZxL#>*H^eKR1zxQ3-182M_hvY2c)9%;{!x-J2dU^js7`}p!bq?9E z)kElzEovWTx%jbBN zSl_(cpL8)_bM|>sV*lPvw3f&Hr4{qOH)5%FZolb=mC-uAxS>N^ZHS0r%xIQY4AD5*a>x{m`$HK8LsTt? zhRD=bS|z^ZMSRMLq4B#tLx-0eeLs3Rp?=K?Dnn%F{e3b}M#46`*K;Cx7{_k$uipsTzk z7i`=Y>1}rNIq=F$&*sP4zKivWSk?pBjlBS=l@YtA7j&w{n~sHpeW~&2`B9&-)W7yy znRT<7DSu))TrQ6b2_>Fg@wK~+d|kn}8(xBoFAh1iTr+2v%ZBk%(Ne9n6K^)Mnq7)d zFZa{?x?Tv+9y8-ya=pH5CvUmktuI-Pr{SA=vCkRj46Xe~RlvO6tzQo6WE>rAP2gtQ5+ zru=fOZ}`sa(rok+x0l`JyZ#WT|0_Zs&omPg`bKA-j7)lA#A;o3jFEVyv~hWC@^ItdM6XHjh}FZkLEk|26?eU^$4T&n8}qj zdI=3xG6=l%qw}^Nit<8is*N3=h=3*t%wU8zBQD?YX2#|tomxH-<9Pi{V5oSA;SMx%cH80msTKB-|jaI0mEqv+!i)<(^{;0)5aQl=Ye6$7}Nhj6Sq4+f>L zC}PPdu-+~5Lc!)xBc#Hf{fM$4VCIG5033gcF~o3lH0;HT)sqv1!n=l6S-nXr%F)Qt zO0EZ)DWgega+ys^DCOGMBw($jw1H=m8XeW(CUU50VUk*EbQP#w)V4??QO02OU#&4FK%Xg zuKq)X2eKf~m#i>bbwp$xs&f0_qcT{?Fl3_N0o_=$~v$_ zD}q>S(t8Fzv*2LN%6FQT4sBHD+m*%hX3MU}nAvy)?k&EZh+O^Jp-YXpHsClq5X%`C zvVc@orIj#gR!6W*;W&JyQrS{O!jQ6hSa|5|0L`jlo$OWG6l~BfcxsaX1x>7ik{TQV zFJ&Y{(Ny^v?V+|~9g@HE7wHh3tvYvGA$R;ewHHy`9(_vX#+RG-GH7d?KN0J-+Yd%p zI6x(R-9!Fu0lylA0TTUK9LUpcysJ*VsT&sG(!AH*$Z%3*zHN2X69?c%Sg zZVA^%}o8mIbr8r##_kH8xBt1o~N7#?qLoxkuu3hS{(F z5tV{A<|C=Sz-ls3OPX#9NEMyHuL=ADfJpmSxM0=nNCI2}W4 z)F7%*RPZBNj&OQ8s&GW+3|Z>-m2M1-R3YfG*xHe_1S=Jx0;m}{IuQJo@1Ib4RcU_J zSJ_q9KB25*UWeQjH$k^kD$E#p$!pSCc$Qrxx++FiDF{Gn;uRonNEl90xkiXFlZn5= z-}Sw8S>dx!+&TXy5ET>C2v#ZQjn096rD97AVROZSCD3gaYmM;x0RBI{`r=*=SVg7=`GeYYb@osKosN-xY_24t+W;5a<1xw z1V0Qbi>l)`^u3Rz&(-mm=RU4z0JKSjgS@hwvt8zozYaY3_IE3tTyI9*jHc5 zQ5K9G&5mtiJ?q>gcnExl-_U%Fd{l@n&8{Y+naM*=t5GMPgPdJpe6XIeK@H2cEY{@JNQf`oKJZH3@f)vVuCct&3-n^V3%2VhqwLxaV)UwuRcq&}hb78*& zA(}!zwOvVMU}5{Ju#>mFNC|irQ!vR@M9kduz`{o7G{>gPo<%+%i4-`3UC0F`LFL+V z1=rYiXH`M7QgE6CRowvB9?ju)z(rRyxq8v*1p|EQN>LKMI_Dqo8yYobtuCBqA~Qj0 zoy!Pf?`jZ7U9CO_U^1mWRcyFk;);sbNROJTI8gt~OQDwG&|N;Eh1lq++(2x(R#ma( z0Anc!%;R(<>OsqpNKpRlZ=ziph1fbrgk_p(e^JfDGe?Tqj0kMd-y(?$6I}Flr`rk} z6km;*N!NGulicB(vr2$lIjAZ$T)D+gv1Csm10u@@i%C`?zVJ^F6DN7PdkNFP(4S^Z@$yt1IL|xqJihc{Z>YEI zFczffc=7&}-=#YYpW*F@2)a|dW%FT+7e1D<;c2(amA#%-_BempW)*J3Tlwa-SATMO zkOLK15p=#ZF@LRxJRt_GYiBop?i*={So zfR3_ShWI|}e*DmXV(uHuS>TQe;O>pzY$kc$7q082RnZeRjGa=P)WMVX!?@L3e>2_k zc@^qf5EI5hpOTVdiwJ5#R(PZ0>mm?+6X-J(szd5hpy1NZ`_F%XUnHJ6Z*=@~7IAcU ze8%V5;LUndlfU#4FD?#Mzh2bDGky_t(Y>2Kjdp6Oqn|vrjPBSbt2L8BJou1POJDcr z@@kiFcux4}2Hw4U_szQ=-DVFUkDZ6U(N5j{J|2Ufkwf^|8CXzD=4Lbv9+R zjO&cTh-GW%Ura*9W*Lf^WhgUW2>WHdf0o!cjTb&dZkt2lnfu}HsU@d#bZ>3i1U6b( zy|(VK_IvyCy7v1F^sztIJKtE$Q_GICPrGER3$J!hr>x`0MX>kx^prgf~nJ9>;~d7>ZZ-#11whHZn559KF=0P48KH zNo_0QyHl(;)(ew)zmIpU?b=K8HkfTv`xq^5YNy5S9rgReU}Qk#K&3vcVUS3?P-{Q& zW~QB`;~*qe1m}wQ?6_yZf@!8EPZ$Hd&s=x~wtu83kKykk%laJlZQS zeQnh>_g1DGGhY_YQ0-7k4s@4 zfoC(f#HH56tgFM@w~uG*x=B1^9qGK0*|uEHFW2wlU?HqF?V@c(Ue?T6a9NM?_2%BL zd{$^Ry?88d&)4hA@zjzw4vVa5gOS}-vC}?*#_N=(6fQ^WEB?N`-I=X74PP#-)7j^x z#qB5N?(39tHd`llt2*m^g;cImGkM|E()eGuoXNugp-xJ`c!PyoG(O8$C@Qkx zYW5HU&vK`DnSklB3l)Zr@JZIU`XWA3*h-N}QA`+70{sY1FGt_c2%0itai^2ic*Vkr zT&Z8-3Llw6idEa0jb6}77?G?R|3LnT@S+IavR|1#*}0>aG?{#&l|bYpF=9~V3cQrR z{@wPGPc4^`rpAEcvDNfBHpcoYcXIm)+vXYxO##mUFnntxpSfAjC4UZ23I z{V0W5~GTY4m8O zhpATgxoIyd3-Bg&AnrMP)C|X5o)Uh4u;w8H;~2)s)*e+_3lNU>Yg)lauX_FL*{}LV zs+EolH&51aprX5}S?z4GwWVTFWmo66x3aa{HFQ~7xvfNsFD}NqE_iuL$6F*pXEZOh zyJw|4fl&*Y5ut@WMsx6yCJ;ak>(c5agxf;M;zy`Gj8X~yfvBbOQ{P0jcb-bEx{xddpNVI3)lp zPiK{P+Z4M-7JAROHk^eWtk98{7xD5Us#2?AUC6qh9a4n`@1sXlm-*JX;geFeVZRby zwZnJld!9YN##>h>UG(;%hKH*Xi}yAc-Q$Am(|(nFF&gc573cs6*c$(;u}zthUDjtZ$(E%6WNdSXSG&llO4;X`$DL=+49564odS7ygg+d7iEK^~ofR#Fj`@_41lDe5OYmU#JGWyrk;sic zA?q%%pr2Cvm_g}DbdanXk)=GFtTA*!5L6Hgn&C%OtnkzOat#jt8dfbmN_NyUe9PXC z@X{ghN@!t!@+%E+RONC6Z#X5q3J6@0k?SnER*>0_QIkyqhZU`<2~-tURD{Z2)C9$o z3dNh?4XKQ4aO&Hc$R3Cq^h}xC5$1a81JxDz>T{1 zTeo|9kdkKoIsQ>a(x0g+xnp50I+z$ipG{t?p!U6`PR7dwGK6bxOUs}=wVi(mQG zB1gcu+2^#A(4`fr-!-eW_M(O?xDwPf5LsqXCsKOyx>H%1$Tm*9tddHDnt{j2G3f|< zH1*)?!q;AECb}Q0C%Z^i z`q8KUh)~V91WCqr(WF5)J4Lb`ue7S9Z(L3cK_CDEZP+gZ=bJ7HoDgvKUhtNSbv{oI z@`8gbBo_nZZY|;gsBrD_NJ5HL#(DK_3}{5*PqcgpSty>lsm!g3Coa+a0B(GKTNW^c zXA_1ThFU>s0mq5=n9Xl*#?TG(frJEaM(BtKUA0%=kAh( z3K$`cpTN0Yh0y1{K6wh_J+*FJjyao%p>^Y=vV&de0XU+J35(f|UUGNLOqv&`ZUjfa z!Ia$wNg%3@w@NTucCytCDG^2%4iTbrH{Zn}28d1g>Yx7o#vDe&o@kIMMaEr;yVC~K z@lXwIz_@-yCOGBp1yd0bTk(JZDpp|+%(6BB)HyxPbqxgHpbBLnqIq#2)3n^V(M{t} z&@1~gzEL%fgj*p4_5C0lrSu?F*x;Ir*j9(ZTR}veY!PCp(FH6}eAt8Jm21m&MQAkA zOWt5g$7@5c`UXA`wCy2=%3w19oNL2XC9!=d9%#Sz@2SE?k12@0h83i)Y+tjo7R9rV#jU-Zx}JuLT!%iLj)JiwkbHHeJd`tYq(+}Wpb_uErPd-D4-`V*RjY2 zmGncQ6!yf?kjkVg#J9Y_-RKbx@Io5_8O1?MkrOz2*Sph2d1COgSs;Ccc4riS7S%;oabzTJpDVSDg9ri3lw+e!ZQA?eQ1F`x-+R zwzkeTw6^k&BnG=ncx1Mp{~rf2b{eDVt26V}68C0@>Wr3$+-W<{()TvpDB@2~P$eu7}l zY@=siMBG)Q`()KCtJl5T?c{m4C-L$+P3(;lseWSSPnb?ESAS+@gKq}zmgd0t3FCyh zi_#~~^m`dj1LUd@Xn%YKtcU(t5spr;lYB{Vu zkn=4`d_-3l&!jclskP>;Q{FBY7qUKj;piO9>D|q9ymM;Fw<-snS{|Kn_NhN&N-X>L z&^qmAXzQDpGv6GYFKxMc4C`fp?v^H#|qav!lD5$10<$h?kKWjhTs8Mqp>gS?Ar>&cE-Aq+TpIDKsaM z!(*TM&_!Y!L#ay4FUM25HA97-6%M7ZPAy4HN5a9RzArDvsbqRt>x{EYURdXl>Gf`3 z+u5hSYl$@p?d#Ms2ine$?(=2QLYw#WcT{H^&N@lJ?>=^KKbEn2LAc}ZuJ#pgVZEWH zA+pbSo6RqoMw)b{p;0E5la0(g+b*?cY?oxBm@X|b8F3_hb!s_gh{WseYQ-&3*ml+$p1Vp5~sDH-C4Rw!W2*6UfNU!4a9sm=PIfMqsn6?^+&z z3EPq__$I3Xi^EWy^nDD%-oblyGDKc=nmH6=wv?+re|wrq$1cUj5N2q(YHb5PHLROA ztkOID(Z0NH{0;l6KRUt-)+R5E$-)B1)V@|)_j=|5xMnOC%{%ptA6v6H>gA;ZunpLrjVZdr;7xLn> z{#^CWUxT)xi~fcIqnFj(Ip#KQO@1UTKe~}t=APcJFgi86K&{16W7MaY`i9S9B^1_C zgd2G;m&b76+s88!YCN+}@--)j;MwJ-@zU#dzH7+}h_E`IQeJ{@qrH~(ZLB%#lr{Lu z+ihBz`Jy|N7muaB-pFc{7ru~qyDM1Tr;XwjtBx@o@v6#eM_OtP?ETSrYPtM_hQV4X zt&E&H8ZEOC7y1x>t%W4{Wu|h6psIo^YxH(cEn6^~rd2LSD`}J3#Luqe)7dAjj9(jF zlF~E!t|cjPN~ymPtM7R#l?~o@@s!dgTO&1MX{VO|zVhH_V2FhioLMF5LUOk&45x}# z;N`wqG;7o}XQ`dMxTmUk5k6T#2%MiG)$78v01=3FK7CBg(^$4GsVcLnmB<(yjVn1+ zH53B-x3@PwtU9y8;-&&<55P$zpM`s)g|nFoj&ys~D!o}oEzs!g3b&ev$_gJ>URV)h zEW2u>&ufuilS#2vG%w}-f1I)TNZ++wMtQ~WT1x(5Pc0w$NLJHn<%HX*25*k~ zT^RNBnok<}-%n6oz&paq{fz&H#yk)px=6Gcp69n4spXZ4(wXH9b$(xs`g6P7JGnR0 z$e}|eGXgK0Vs!=Wu&ywfAZ5rKmg8gF`>}OlQPG%L_ma+1AeYu-k;Apuf8D<)(jvX2 zYlrQ&relYpTbz+a)XttLP6gR@wil!&K%fhik|kasGIQG3Z~6^|dZ3~g*5%8zB$XE* z9=_#pvZWH^%D+HC(DPGGbDavR)K!DsKrs-fvUg#)?lXPIprh^|8NH1bz-l7%Y{uLJ z%9C+n-MWF2LT;@J2B=wrbWRU=mZI7q)&;}RR3)mFMip%JQ5T$zg7}Mj6h2;aa6-`< zIzS#6uFS#_JA>PW*#?RybuJTx^>6+f9Z527cIgOR=|xvI&|Ns^0GvD8fXYzLwze01 zE=u%W_H@7=0L4?H(@mHkIEOqsOC zc$LJgCMZVyUJ?MR%?hjE%m9hV7u81`wr{6 zC(Df$9p8DB{>ZvR?~B`w(x0W5-c4SP*|0HYjE>4VdlU1``xkAWp|=}b?_Q(r#a=p@ zM<&V$d6!-Axj%30(PE}-kLjg_2y^45X>J!YLUi+&s0fZR&y-JbV)iZ`q4AuxGtKm+ zRsOsVoB?sAlzoXb|5=;va&*H&cALQ zD^uH*@z^gGFvw4=SHf_}t+PM+DT!or1y1mQhsq04A`B__Vp>X&&a^#@BB4d=Al2$# z>ym-lupR&gg0A3l5k?{nlJJScd_J`ujGOk zV@EK6ndMz1i$Vj~gs44#bxdSUxBpJ|VOFVFsL0k*?%E%B0A95-VO=GE#)6mxS-L1e zpg%?~Lh)2r+X6zFfQ{?{h)e?!O^i^@Bh(=kpQ?Vq!Kh?!_%bLNak<%gk_!h{8Txo6()XZM0%la#wBkVcz^{OETBs-kgI!Ed`nRB(l;-2T*>9sF8XNR zzsfu^sJ`}ip>;vk6%=?$PhZP(-35CUk=H9fEPDYmnGG*NM^&L)v6?)X>*=MlO4}%@ z0HhDnC0d0KUJ+~|w+NaS4AYD7%*8l$g1({a(vvI%2emn}Ufu#4pm{d}!2vX4JF(*S z4Sx%Mr8%w&8dfOW6vY6}#p<%sNQnfV<%D1=k{G3`t2DB*Jt!Y9`JYyo0%v#QpD-Fn z5Rer?Ksc+Wh&Se9FE2%^0=%3wCA30qPBm6rV}JiMg-7NTgU}cVw1<0}3}Um>g%;u{ zl|29a0xr0mi_iM`lfBwtW^m0!ANb?4AowtFj=XY3T6l(IFWEki$BsB%&w12QYRTnB z*O+JxHzvLO?oY>?XYQl4p->DI*8F!o5xFu%0zleGHi61|MY=*0yV{@@o)z$2z{<2w ziS56F7uB3dsX?W&E6>3~cj~~Sf<~CIP9!oFZqDE8%=3am?-z-)Z%2dH%ia*HYhWp1 z#2bc|g>N0b5>QES)4{_aFRUL*^qc7}#Z;o{o?9^rTQ=$-CK&=ekhJk^ERr#IRm#XM zLbR{N!{x3}B{DJ%41SFNdsN_APN*>|qg`6yVmdYhEDMZWu&WU=I0f!-ooC&3aRK>W zgu%tFKK6MCYNQwL-(j8mz?Z;`}>g2;_S(+>wT zmzPNy{7Nt;Rl!^8qh?$VDdT+&AOw?vI;W0C@*g-P6Q2N;`5%5EMB(Qt)1!BrQK#(K zPQMI%OLApp*y5T-Oe{AUg@xd;6mRnu$^uE2t6Y1cc zEgrRtTXq&hc`J=nnkQy>+l%Uc9zN$L(sS&cUS8++wA*@RN2i?U*?Wq)_x5r?}dwJ_gC@8WIeslnf8KI7E#(P69ThezY0bd@dR zMY7@|n;ZU)Q~S)5$|!`!vs7ID6=I3bPu48BFn^H&+OPH~YuBFUG4jccEw|qLi;h=2 zTKY>m!HhZC?W4XlmK%NZZsXK4Ki#B1+9!|`wkv+nb2Rl2X7Sb}Al^aJ85jIP#8Qpk4LMI~pNXiJ$9Cx#V62Cl{Msts z`M{(Som$e`Nm=cmBjt>*e}R)i{)X+1OFv&m7dNm5TUj50 zm#hBVt1yYmOSSVi{u0*A%nBKW0eDfQ!pBGl@tZPS9EvA4$^9~M)5}9X59F7Nwfe<3 zL{3J2Nxqp;jvhA-NW3n*dDkBX^fft@tG6IjCe1(hC z7O~K&=xMqH|2E1%+RChBs3xANEBW1{lw+l%V_G@t$Ba&h`O1qwr;O~fuga*ra3isk ziFmtIMxBirnU5KjbNi9+W?OQ0W&`N+F!C@m%ZC{g&omki;k|-YUv+v3cE*(6LOt+( z=?gYXL)+6@TFolG`DjJGb?IfqM@~lRqdsft?zA@d{yc&wrctg|uyVJihl@VF)L(YUS^2DxWf*4N zZO#`9RSkQ$TprJ6n~Ux?c)i((FC(7K7>%n_%lYLcDX9qZf}Q-`JeIZ0;W}yE40bzM+khUOPIk)^n`D84F{C*RBhK@4?je-~FS80Z9-H zdDf2OUVN<*0l@8HP#QEQYZ@{#ehzp9zUR{}l9Tzf&>!viJD-6AYJTMJ4k5CRBM6&!(l z_3a1%)`^@NRi5EOB3EDeh-QQjIdWw;30>AS-^v)kkTH;Hxo% z@W}Dee2wzW|G8OBwV&F87_MS^uw`mKA^5lc8Qu4M=%m4XVe{QzVX z-Z_uytiaL*y;xxZ72L#2wHc4P$yZ0{m4S}}m4j1_UhMS1m6`OFm$^8n*UQicqRLKX zR%$s=f8*7wX++L(Z3Tr*zq0Z}$gI2SiSFEDX(+F(cSi}!y*T|-ARAT$q`Cyx-IkQZ zR{{<*eT&CI;`i{K!~z~rC}ta~BKb<`@YaPY1eI%LJV};->PN3@^Bz_=P9n#Pb2oLo zI1Z{&lZtZhl?k;ijxaOA@8kt-@8MKuUD$8ErjaL#ptI(|=EBd=suo@||8~hVA9VrzFCGaepTBL$<3#2Ms zgsjYd%`dbPOdEAX(2E>BGFi|X_Bwv+Hb}Qtj)-`zKHJ#d)_55W+tV1LyT@*csopSA z_4(Fj`prYzkmZ)Dt=U-=d9gEj;Q-@1v0}b;-_hGI2)q$=*I6k&D)PdKSdufA`fZ!S)M@GtRl)x5nbT^jFEARng29v6@ ze$VQSo{r~F&oUIJ)vA3FiJsfnS317G$Yu8RcBii1!dJ%axW?z75fvsHmZhz_6TI{` zC#yF-NkK>Rz&>a_9rN(ue1JX6A@eTitO2VGRiC=jk4t)xHc4BjmIEG(!`X6yoVP-w zOWe4NX`Hxxui{=%smsn|t#v^zBx*~X5g1;r=XwJ3o-QFaBgC%YYi^+rmMTnm)ZWA1 zEO*gSX@2heA+}R?ulXU!Y&jp{L?V}<#QBv!W4N_}-AgtV3g94w5hZ`2Z=dF9Am4$hzmx^j#1w)vi{>gs6 zPemZATw5-z_l+BpZbU5$d(8USO+i|6*Ed3CZI_cpGOi4+uVknqj&J>q$b{a7A0^)z++M>9tZb8rh6tAg5|tL@!A=#TMmIgksmMR}Kjn1|{2ZemKspX3b(K zQ$X)%xKaGGdesY2fRv0BDvl7xXiBt2J1|1SHf$J%K?|~F{}Dj>;rIs-AfNAjRXwvL zCB!h`B&X}$bI-ZwoO{mw^?uxX)irdgfK zbJXQ_PjC2YKzG8Mnx07Xy}zLgIFw%_cLBbTb#ZY17e#_6Zuj()v|yk#2$11$(mfKs5cS9Yj9rEy_7;4@4j7>(EXo*;ry)_) zMpga2vL{Lf;@7fG3RTE{6?SlJtuzJ4QSwG@ffb-)=x+cwxCM4WF4PSxVYNe`)I$z% z?ZtTpLnu-~`u5*o7IH1*5ehYmu4W_v z*K5hveX+J7)NCQW;0Q7vWPEF-Mv>kjF4v#ZMp{tn$G?myR{Wj5q-_XP(RXJGa@ZAJ z4^mfNhU^Fa4ldAkJ5dWYqAZ82Sx2;}lYfB)pewaDZdCLetB;e{9%%QS_ZxT2?pfvn zoP9o``E+SV_Ek>U;pntGw#Et%z>!E;sl)&Eu${xxWF>4AwzNI_@($kqsb0T@5C1AoD#yR(ht&4M_;_eU7^ZWX}XahYwfe;vK$zY|woCI1hk>wxny`;ox7 z*=$=1W8k1^;Bv?XGH|0}Npp|OaE+LP8|yK>g@BZ{*e#NM@1JCNb^ffe-{|i9Ir2U4 z+=LB0oad?Dk_6v#y)F3^wvIOxc}tRa2?IX6mb@j&u4TK~wd}Q|O(`2n-1MM5?)UcC zwH&WxeY`tfaaGH%CGT3!NBlx8yO#0ds+Okl{aTuCfM>STuEr`=M1|5CNshZyA}d73)<%uU6cKle zMC1(Kd||6^d&x%06i^s_w0Sepad7Hf{)o1vuZJ<5`k6n@(7v?CS+vUaPNBM}?pn6N za!~4qvbv;pjZ1rDrE0&;kJ-xlS+lD2?zpJ|E$gnOeovKvoG!}xh1kA}tXp=gy*a;h zdH+Viw{-9RjaA*XB=AfZc~hH(F0!9$HREbT?q;>_M7Y#xtXDl9&N3FSqoK4Fmx4V}7wrlT<^&#EskglQhTK8~nqkZ1v`x`4~V`{CtmGhZGA~c1B6rpry zb@x)C6r?JK53c1;(Qf!s)~j#(Y5I-6132$8YATQed{eT;;z{6=E^Zd0w|cd3qecE5 z)}S}Q@DOZ}Y4Fdn(lGcczb{DJ@_Ig2K|e8IhibhE-|ch8_!^ELh7X@^A6a!TwumVUFER9!IxjdGl_4YfCDRO{Av2;{~x=S zOzNn+l{*`pXYoyTE#Kf*eATiJV!^4`cte^9MtfTrKEqb6-3}|Nyvsy<2=65>+0fq{ z)GrO^KcQwnsY9$usnS+=D6rI%puUs};6!WV0BJWIhqJkq2`L_@BG5koKhH2JVr^Fgy&v6wY7-x$Re-erIH_gc!LQOAcG z88b6187pJ)3xWyQg}9x9!m;Q$6Cn=J1eR^5(?c8A#s+FYasshjt(>~qg{g|2OfjA| zweYYu3%+pQRTVr9I09QDS%(!y1#{QQI19I8fH9U(=y?NOq-XGNy^B@O0PMwv09S&fi9hyZ*w%`RYDkC1mq26-aPUq2i+xKM$w3EUYc?LI;85+99~yT!^zIIh-_J z+T0W?&8p=eB_tcK>^pzH_)cTHR=B^&h~Z48&qwmtvYnWfKm9@Y0yrrz$ONPC0xWl- zC~>eFz3ey^tb4nm^mfDTO8ift*8}E!5UY!QI#u~N z^OaPVOqXN&2v1Y0T~~(w)&+g^>B3YA!sd^6Egw$HQdUllM%6U1@-Xr*&-LoYBO(gi z2traHEt-N$UnQc@KdZ6tX>5GDCqrSUtBzRr*5mR$w$8hBkwTh8EA7#%{e~GuTv(icCdX%Wu0peb7{}!pyhT7w}pw)Q(9tS`;rKmy$5)S&xRyh$a+puc%Ci=mmIuY!_pStr7lw) znAq(tOO}`nFoUBuBZxMw&~`#m^V@`gw%H!j?==%uuhhI>3VAm9Bw!FSG(`RJUl#33 z;2E<>j&0?HH+A$#KSj(`>BoMUS8fiS0s5+G17mHW8$ezy^_soOGNKCDy>Kds1%kEV z$Ov3VQxFL{&gpuDypd13roaLE1fIJI!st&|Zqr!5mv{d$AJERxw{y+)S+Qfg28aB0 z23rNHcnS*n*qRpKbDiM?g~MXhD3oY2!W5%XL0_Es$saVDVjR&CZDc^AGfVzNRf^srJo(8C?*)9y_w};p)H%;xg$yUmu!0(TASuHGp z>gsd~nPzSi(s=SwAto%qb&6+Kx)NXqxam-AQk)WvzqnQE-(Dg9HUzUo?0T#lh$z_GyLULD=s zw@K28{e?|$tf7OWFxxn3sUIB1gdFOZt)$lKM`q^sp%zkG@|0Y}TO5ZR!NHo26&#g6 zJ%^E=>3;Z*8JdBE9L;eF1x`Wwul!ig+6;FDdkASq%@?JC9d|Mv2NS6m6hlC58g$Z8 zk5wq7Qzg;6QeGf`36~YY(QrMetpriF`n_los)@{w+TwfSxRSnJ;l^t?Z@*fy($) zy(hYxLJE8nq=$@*NRT4ZP|Fbp=9H}|78Y%+e1OJP(fvop@Ks(`U)#8 z0{G*<$NB>DK{s$vXU&uA!FyEW)*ZWQbGN?jcU0(B5p#)Vr{Fh@1#7y^NVe^r=Lod#ZC33rLWl?+5%3fLM`F~SMqCwqTX0+uID6X zE4RP+GfazMO^O< z>O{vwuOa%JA8WH`>36gjEkA*1X}O^N3KoJh?=m-K+8s2hqp~Gy&a~`mfTkY_Z@FG? zsYJmZ)P{S*no}3qX##klpk^pst(K8xD4dr0{&@$WB>@uOh%*z!ekkB64+O9aH|n+**u%BbmY znA0|S7h3YF<@3zDN2-L;#+rAyR4Yq44(fm?u2U9+V+`h*hGEH-jC5o#IYS*_*B7*N z)zU2N#!W+=scWQ`(v4kQ@s!do8%gcBK<7vf-)3Rp zoM#5c3Vo8AzxVIkaHpHJ+Hb_so#FA$l*)6(sT~fF^MdEwC(!qNJ$cy9ckXrUL$=Tz zKhZlJ?tE!~X|w!;J8QVwwJhzANV;3LC&PK-(S7?+)(k6omKQhPo;+%2JJa3!@Mk;s zZlr@ZX`bbK?%M}*q%-t_`@mI;^xbK*I(U5+;nE@$@c8wdckVUk<5kRNi}7mw`fQf< z)*Jj>n$_?A!^m}$A^*iMXo6u@L^KgTMCv1ov(AYq-=nKf<%1VaP4ldX2stei5!tK0 zw1;h^1#wGiN;{kmLlIqKd^94u^T>`f5fLF)Z}2`FTkgxc#kR4YsVZzH$)l%BR#OLPvqe`DRm zaF$jLR>8a6o(}neX}xPXqtVV(SGA&ukQ(x3K0hC?HQf9@Z)(!=i?yFO>Z7%>_3Ocy0ed3SDYbL7;4 zvT~Nd#$cm8q_w82W?2!PH}gEB?$cJ7my@5%ricz}MEKHM$$6%TPBra`=!*yR5n3xE z!k2AC)Hj=%Z6Zpm=KtW0DrPn|Onsy^PdRE5*Yb>ZS=YYX@uHnFrf2CU$mJ?;T7@jK z`H!WEerHzF_4j5=#fci*+-q?q%eYw+Ls;@N#x}M1coze<#Erwo;I{j7=v9MNd?-x) zh@f3q8L(cGb!!&2^m5s8_g@6bJ*HXz*9FrffIM@!)PEQI?|(5<0}A5rY|(z(iCqd21pipnusY#y^} zPF9wbqUACV?)kCs^%;#;CR2>}+%3tAzvYLm96 zcG*%eHb88GO+a-RJ)sNPs8;&&gRL(T`FijTV~bU}jLR2UvGgxKZ`qlojDzgXDRma9 z!{dtA<=4kd^;ZDE%6N^1e3XQPzyOTWeVN?%f+TRZAE42d~G5^BXs*o`N|97Du3Cb*_<$?p&Q zkwqEbI@Gu~9yeg9LSn~=%aGVlVnk5dq#TD_wKd6JJxmTxuTi$wq-k#SFeUD4_obc8 z4%vyZ{ae}g_ea5-qs{(zu+O>U41dOD8bvjl13&w5Y8si5X7us@*TDa^9J>D-Y50PP zmV*}M19;r-GkS+T!WNCa|C^bAjQpsN)tAOLXgeyyERGj|=Cq6@< z`R`flYBY)nsK$+p8u<=Ke3{rTupkJ$ zmLqG94;qeEYorytKGG^rc-a(DvvsO8<67pukp{`^)3(4w!+(_M-jg4<$~c}qVxovNOw_J zQc&NO_t3->5(#(>*8c23eZ=U;XPi@u%01T1f_I^g;42N>a2L*5>YRe|Lo5PAgr6-~ zD$IYc{Z%Aa3zZbyu{^C6w#r+^BLs7ODx6+pBCCsbcWXzqicCXn=Ee8rw6QMZ%tbk* zf~oB;ag0{>G^W#Lnm~~QUAo6@jCpI~cl|@-Oh8@K*N(zcv}qwCrAR33483Fj(Y`d< zu5Gu2ui?4LkXOAr_-IR-1sgWuk1NRz3GB8b1(;`vW@Bill$(*I4&IDXhi`|q(`{!4 z^CZk-N=7-|RWGbF{iB~l6l7`9n5`phyc~Vmvju;PDDK%Q&{&GU^@prZ3XwphIgJBQ zQ&`~#&u|6Oe}3by<1_0)7ZOrmR=`B$dO@iXNzHSvKUD%H*oalLl@YMyay4GXE=9yg zrho;sgKL(DMQIzC*w-KqlUr5iWkRAx30JWh_3pqC*7 zqY6~6*LP#m^2awXMl@=XLB-&#hbQ40WJnJI%xPfB@*(NxPQWF@KDKovu5i&y`} zbB;zCAng0EDU}t{4KRX~tF`y?4q17!x~MrawGOr4B}2?!V{1=+*5}o3sO&Tfz9=v7 ztzfO7Um!vSg3!mSUZs)Q1_td|I|N6cCnczLFhA~GD0C=oz%%ubVqWxiuCPA zIVEi!5dOqFxD{Go=7n;B75qoZkuN%Dh4@Sy;xhGdn-^I$N13SzUf>x+Nm{2>SL{tS z>Qm=@YCEzo~pE%KD4hcK0U36as8@p^?Yu@2T;qo|*1l3?!CE-KXM{8(g~ zY;-8&V%A+JD_PXhLuZQS>zI8JLeFB4Vo7l1kp_7vyRaO|cAKyYprTB14i=j_8)!Yx zKl@MnRTwq=+upfkh~97f7w~+h$CeycEeEevtY;3?NtXD??z{f44N!SxLxhz?;v8!Z z#5#~Y-cw4m_pr9)%B?w=zuS^Iq`(tBqD;q{NBKy0dhl(=Ub;yqimE;+qs0x*CGBD= z$#qTyIUq%klq#FBUF|r;H-HA7c|6Sz*_1=si}*^4Ca`$2O1P{y)wW8_d{aK&xFO!9Qy%E^4W9zDTq*@h5~*;~Q1s(udrsR<#r762xEpm5 zgj(D}64kZJlw-f}XNXE1V;F|XDovc49@Y9LDmqo>c~mZ;s8y+r5QHsHEWOP$Rj*5dNP)bp zg}s|0S1jGGyjrI6zFV=c=$ziEsG4-;CE%1PPZ-4zQCl|?ucl#}+;j4M z`YYK-b9*{5xZgW3Nhb2{S8 zo?F!0n$or>aZ=KE+s?!m?aso6PQeJtp@*N^t0f0UUmfpxUg$o3#DwHi#+ENe%_03n zaj|sH5LoDXj&lfGi!JAQR)sVqy8S7Y>KsEWKWty+heqaXH-w#Dz`D>y^`}p6sT3+< zU6_m5v9smsotsF{Qe0-VSMuL@&&E+PD9#X4c4Co<$)=EWG4sUAqO)5Ff!*(4ti!OO=Fqn&o;?D0c(%sR?X zj)yb%x@(y)+NIBCKR8<7dLx2nSx-)8$2;z4lZSbDcL9BB?R3#Wv&Y%7$M@!Jw$qKz z@34C}^BXtV^Be7a>GQjN;-)rt1Xdmsyjq}&#!|shc&&j8^-#&jm41B+# z`)2Gbo$t-^%-BDA-9{&|YHs~e8xqmZSBZqiWPfPd(YTxv?y{ROsk?P&XXW(Rrrp{4 z@k1gZLVJi6?|i}=Rk@^Mv)QY8ed{DbXTbJHj*oNdiai|W**#x7&*B4hc2_bH-9LD9 z7CVWE9wE2rZrnMrytBN&d&mVrlgdL{*T&<6gL$5IH_~dQNQnD@I;ng0x^N#+urF5f zEQdACc8~A(hgs*h%)%Cmh`F+0)a5+eSrAcc$2-$f*6b)V3F#xsp>YvkSQd!rL?`fw zMe(2Bk1#L1i8-4mUw4lgW_RH~W?oIB_$lKe+iJYdMAWUv=Lg609O(wNhP6dqMi3Jr=6A^gVP4Jx2DArJq z>`&=SoKDX8GnBz zP%MXKM`|8eaikfAxF;W|37;5U<8ii_Iq{m!!izh71>YETvSGic+CYG8bcnFY=v5@EnBLytxCX*Rf&Qt34UQLL1ot$u6tE=udp0Qr(8{a}R>C?e5Qi%wCZ1{FNC*;kr=-$S`DRc`wX&{eT^HM1UpCU%f&C8f zOHM7x=4D;2@Cfj4_mV82_)r~_H@(YSBWTh1GO~$qBT%5D3aL_x6}Y1==;=QHG%dc& zgP0-UHFS^xY9+KjxZ9Ba#22{VXjN*0f>uzTKJ`A97p6MHDZ4LOZX{?E~`L5-q7S}O-En7T>mX)V>Em`F2u4VcL>2t1v`f6u29EELL9w;evL5qHEfIeAb;ygc3)fp4hdt zkfw0mv4l)JNDOCnf0JJ*jF#TsPh2ZM>$X3#hVSdyex_pT;t{g`O#_#??c{{k+H2|; z60vXXx=6Z?M{B^Nf*ZK2WRLa3t#^Ot^>2ssZwv?(U|2l?xm%&spf<$62KtL%u$(Yf z+d(~|j2fE7yX?c5+N53XOlb7hPbhPQS+Qv#xi=&OcS-gl>289*#~hTwgDNO+mqj^D z&gGA6kLz69ZL-73>RL=R*1nF!PEm`?nL>+KE4&1?t^($E-|~&6p5Xy{9<&!WYFN$P zYb%MLQ}auI0tY1`AmgCuSpn@Lyk;mY6yiv#IfAKBNa5H8TFRet2+Dqu0!bectc?37 zU=9{(%ADeAttq?EcGjD6vI54Swm)6m5y{Hb_?Z$8>65d@mCB+EHGg5pMhSr=O0dm< zi}Gs4Q|7`n<*piIVQOh$;M2sw&3!F{$u9F6R}U*>q}P@(-ko-gD)VSx?)lMa^Y1SdTF2<3py>PkP=zQSjRt1*I%B4Qa9gG@T1 zlwa@TTC(qrDkS&VA`FTAW-5>udDW03bm}WjjKuvse67$L3z8kFp~-R7m8!426JDPKk#>8qUv##JO>^JY&_P3SDJzDP7tO z4^No&crf9ES#q~Ywfwco5$vF}N)2TdfiX8fx$)vIKVc|c7A8fGaQW{#7Y#z_%HB)B}R`qj6px71H3U*F$1z7D3lsO=HvIjAgmSFP3kl)DdF~ zmEmz%jv(T|1UhjjEAXl{a+YQ_t^$8i%la_0{lXiRqOt||ntQ@|vXJ#6@ec9Jg~_X% zy$C6Oxt{)M6sAjV%|K$^mG20FTpxt0d{V871&W$P1<_}fLf3*mOZ*!OvHTC&KcZIb zc1#z?IO`FW0>v5s%CJQBl~zw-IL9|sF8_hxs5450#Co>-hCJAaL33`TvdWi(2XF$j z;?OY#R4ZXc22jHfbFl&(V;An>>A`u(UOCR!f~aYgtJA(GpGsv7kWal|{kYq(YgrcFJ0 zRo_V<$4C>MAU4z5Yq@mxm29AF@JWyHq^@vK3W_GB+xzgOt|m5%>Yn392PJQo!U#0A zGss|O#TORFl=9mQ(xRmH`?xCGnBE1HG^EbpbuA(lzW=*~q;=`@){A)+xJ+1kC4%T? zepi)t6jh?D=@T149YCsVX{idJg^v`CHL;JHS2b3kKv1&D9o)CN#Con3T<plaRN-$6i(n;A@5CWTQ5t=1_*Iqk>3Rj>qD% z$~2+Adcq9}_3>_UwYcU(1699BKSHL0Z!p#lCM4U+xlh7X=nYG;_Jvf35^IVD5y&h@ z0re0dLKPg`Vx5#gaDYRJE8zfRN$ymVe9tG8+}{VC)FK3{Ku>51pr1AzX<#w17GB-z z&0&lW=QC2qiiE`Q&O!lY{n}*>G?LS3ml8#S69LDo}+h*p;w!rrZt|LbM(Sa=6qc^&N+cYt^+O{^`4KmHm7P^+-M_a9+--oThzL`@8u{R6(oZ2{;>^48Z z2y1=6{E>E?4$>qyHx@`ua@zeF)K1;}x9^+Z=6d?zPL~+AL)@<>)0q1bmrr%UBSQB3 zRI8=`@Q{dF#)jNFdq zBT7zXZ5 zti`k!H#)=Ftn1v^Ppp_HYX-_-BA zHQPr+PNZlb&tlrGZFqbfsbi=?r*z&N4395TH-7CA^67r_TFltBTn^{+>Egxl!Fz_s zcc(YTRcqRvH`F;px?zuII_+)<6BTrx1y7F;>)hCFe(o3S_D;WRX>`hv9k8zJCRzK7 zV0@^Uk}W-3;Cnuvr>;vadl;N#lIPhm`y}{6GqDqx+ntUFlI+p&coQpeeD~gR>BHms z^d3&_&1O4xe%?NJ%#>}$clL&(o#~@he2~3QD`oz%i1B!`cYHis+~5lDcy^bSnqAA; zPWSc=-<##USeHiF&(GOqfgbz#^*lPcST%o+?|Z+{)ji=bu5pg>rR#iX8$8DfJ~4|c zznvWi5jkCzh$xJqWrj%ILzJsC6OIx+ueQb zIebd1)!}UR>WitIuksWZ~{ih@C_qup24tIp#ZwQe%>XEzjl=xIT+?HsGXk8?&2v{i;5r{rIbBn-8=XpqOeczu$*_vI=QXYerdRynqGRKMv zB4&&xp1JTw*^L{k*Jt>0K6ZH?>vQ>Ue!hkVqX7S8xw3!BSFK0R&}oAL+P=-6)T-@l zAxxb}tCgisq_jG~=|(h|R_;Ojjkqqz)P@i}&4P(mp3P@LhS%C?5872@bZnCY=2u_^ zt&~i^KKkm&!2ruTV{x|Fr8Vcrvsj(ePDW%rW5Ct|F|5p(U(Bo7Otf|_ZDm*fG8Q2* z8Zg>CGwZNEn?-%daGJV{zi2t(BFx6YDa$c!esE?>O#MJ(*qEILh zEnIi5C$g)LBvDIjdT*J^%EF8Q!4ajb3usdgxBRU`837ox-Z`Bk`6n(^a}FPqHC1j4 zYnQx{s+4m100pWX@y%|!)hfx#8j(WNe!C78Q(tm@I%i~zDMp(IDrzNGEFg7WBXSXS zp5k+uO*>zKgDf-|D~2&q&psrlsv>7geVwA)5c>%|dn1GYJ_YtYs6gjXhWf0yhha65x)?N6qU{7 zO7#%3dREyYo&q&r1>u4oYQWR%?d+=seQ6EFkwVLnhE6ymcJfjqO{S+u?bZE$*Ft9`!WS_`Y^>{beo!TDch*K*WrTYfcIzE_rK z;4wHyDdUU!EgG#n04?^0#T>+aud|x6~mgpkvy7t}}}9wG(Ua-EAi+ zT6&H7aG_iAeU%P9+Ac0EN7yhZdohwP*hL*RX`+70O~RPX8VjSrQJ-G4?O$H$JA|!$ z@X&^bhi#r2>o()jnD|)Ahlgeh{A^G`v3_k=+VA?L{%^}Wp?xR$b(9PUa*zJsP3sK( zIu}0jZl2N?&1*ojp&iZK@|+9|Xo~i^Qy^QnaS~WXllqYiZKp$A{t7HU`LRmc2a?tY z2)f(ht;|juZc3t|N9aT~5x0JQhP)>${7Io$VEls=aVE7UE!stau|T+BbT7CLDM`(^ozykD&ygS{!E>l(4K3J2X&<@-Ug5kc6c*A(1|+QL}wqo}K% z393+KN+xj#TQFxDTeNlt;T&sfrpEI6hF0zU@qQuhQ^OJ&fhsw$;2r1?d6NhjB;<-l zWtGZGIa=DzQ_~NfTCyppSm(&5x?KBk(H?eDHf|hgCE{@@5nnx|!b7ARRV*l@xuuH( zE6|P)%|%me_seDvIM;m6)kS0bG9g8SG!S$gU*L5z0~<8|oac2l+H7`3+13%#5kmW$ zYSd%<)QXX9d!8UOKxl*$WYr;9CFVT{j+|k)YX}X~I{F3;k0l1wRNJj@0@|S1T&y}5 z20<)WQAnFvf8aI4pbli(CbDWmx2gKkPOjl+L4DrTaX&amj>jw#ln6}LUQ-VqouT+JVh}NHrj= zM!>t$%v~8yt+Y||IR2!~Tg<6R$FV-N6}A4&{1t1y^1g9=5T#$HC~Eu8Ev|>{mBvQ6 zJh<7k*Lg}Da>x`)92~j!%XHxg8#&|Om}t;35qYr-hwUbhg(=NGg1LhP+A@wda&2&F zjlMH)HA_@DmUF4O%3Q$d8KZHK^1-t#57+EyKQM94u3rlz@vFASwZWXw+K-@ZgYRS( z_;sZ0d)j=>##G+cv(Wo3m!_CwbgzkPwzj6k;LrSbICBXHk$gj>x~$+_TkF_Jk*8sA zDis^!JzQq`by$6fo*WWTL+x5QpD*Oii9KfruCk9Fk}&@HyEH=nC`%X%gU0pa(Nt-{ z#Be4qS%KpTs$pJnLqx?I``rm69G?!E+Mez!;r#bgyDY42Ab>zhaYRArOlN zpeej^VcyJKQt;y6ott6@YHf0|&QP^m4_&oZ*K3&t5NcGl*F{1qSca~KqAlbqgo>oo zn;Li(RS|0t-L?%)LaKe^rOGNaymsbDHYQJilq)< zFaw;d{6vUPDb&hfD;FYNtm@(>{rm`;TC@(MySXZm!O{n(z|_Yun#{4JachNrP_;y* z8=zupXjm6jQlLEPWq-|ufD^tMoL&-sI4sX3W2|mL^jy<|vLKWS#D(G}sQBwq~SCwjcU%1cJQQ~z~ z*)VFTTU%9C1SSGhwu~z5a5N^9wI9`mWr3!Zh-L#dgQyoH{DH`_5o`a{f78!bg*Wf5 z59-38ygaUg%#G9PG#*!A2I>l;d7uHcY2CWMv<$nwvMAILS4$Lp=BOaCiE^ALyrBu# zJ!!?o)!5wvLpo@%uj#C?LZK=0-qGZXDrSYHNtV9K(OT_HauvM1_b0ab4ZdvAOMZmf z!E(G}cBsxPHVjIeV0qe#r+^hlDjeVjc?3Xmx~i+;3Vw+vHdj#(*w&+&+3idEJqY4_G>?r&-s+X&<@XvLwyZpd^zo z99UKpRbRzXez!VLp4i0G%8o{+Q$@uJXpv#gqWng87>M4HpOz>vWmedv2 z-oP-2HLgl^xryKET4?+#g%s<{A`1g34P#f{$_h#0o*{i^Dn&JcOH))*tP1p*@$puR z)PlTmZ*=z;Epd8wC?!7V8-~kC5gV>h1-aq<>Y#}G`oJrWd?6nO*B9i$q{902%~Pd^ z#!!&m9Gjk;P|U0;sy#Z1-zu^1bV_4{&7Xg@52O;fiXfkCg4%jfH`1HRF#DO`M~`#$ z`hjS!xV>Tv=JjBIFqqg^uDv!m9K?gF-$wgrV5fifs{Mn((MKQ1_>i-uU2C1S{H}eO zFuyt&v=@W#^@}TkNgNwS;WD~A<$J%Y#yWa>J+cpKg*$a?-gcsRY_=GgJx;uqSzDZ| z?!oZFn%9_z-7nMC*{=B;C(U|Nn)TdmzEfJC+rcZ7a)*Bz+{TYMZNi#Bqm)TulzjWI zTJi(-jqK=m*b%3ibYwTL$MtqFYv0@aV=LbqWoq-1O8K~b>GUJ{)SM7B01GyR8ZollYZv3lx zLJK!vppOQnSzIZ{_J)Tyx0}UD^T{=%F1~2Z*G|pmGuswX`Xf*cy;fIc1^6{7_X-6;JI6prH-|JQ@_8E^p zbz`+U01wtH?K@uZRQ>Rv%>!oG_$X%M;c(E6$LFVQ zKDu&t4xI;A*5^FG8a}wvjT^4-2M@}M50|ezJM=Ci`n$`gttvx#WurJv$GPu|?TcmMvuUX5ti_K4`_ zc(o$3DG~8N;_6OnR?T6&`s3-n&(33DKRNzl9$vjW9goM)VJ)4n4jvAlf2M1i^GEYf zeE5|gzH$C$zWU6S2fQ5Cen=5`S{KwanBhDc0DDw#JR}W zNo+$l?15hSYyz2=?v-oI#~8fQnReiZvzsq7xFgSQUhDhl!RML}{*)qmV_$=lh=xOa;QF6O z3OiSJyLG0Ire{lr?A2$wv-_;G$N7j>$~o6QVg`I>S&T=vqaV{hDr8~2wkn)|o_T4o z())Q=779vBggUP$}PFd|yB7yi5= zvPaN^!FYYb@6NvTGF?VQi9MS<%d^3?F>eA_Tu0r5Ypds9)#9#r27axXuthOjjGM`D z#=ZCF)_1UW1Wlb0!FlYtSscZK(lpwggFa}l4{W6?FIE}W^g-M#<$!(GqgYwXXqzpH zl{f7=qsJegw%0!tt8*}yCFf{JQxhF*<_{C#@X9M8!M-C@OnL# z+)d3wXoZDbsRx7SPiD>m`u5xQ1#nI8A zlyVv|3lw?uLV^_IuCyg+%$sZG(Gk+VemKh?g1=I*_~s>!uG;F{3)@qR^$I4ZdaS5e zR?MQT*QX5Kqrv#Rzzu8eaG5$-cv%+lJPb}_sDi;PHyn+=W@ zePqytjL0Xi*(rTzL|#>Hp6gPOM;rrV)oQaf^pQ%jLmw7h&wO0x^gEms*xkoYd;Mrp zjHe{@q#~NnXW?L6#h1qxbLycsYcIs3SxjvXUahIK(mbL=su}yK_|#ST;Ff*rt-O-~ zRC@id(S~ESqAR=1#=?7+%_I**~Ed?5vS1VA^02`yF zQFap|5#h>A&7vkZTWxTu5vc7ec#lvkimW#Nh6PDP$Yu6iP*bUuzR)*TtcWe`iWEYX z+ty;`zh$7jl-fIsqpSQdHT#Q4^}1vzto_ATd;DyVTlnv-{3=IzKKkr(+}!)_>_4$= z4`k*1etUbP1+LOq-C|jll`Aj&qc5|23IF%6*!w*C>@U37u=nZzk`M9sz+hg1J zRalO=l3ok;rVi<%6(`b2ItArf!v%AF3ZCw2^qVbK&@|xqXpjyqO~!-kh@}1VLEF8^ zUhJ1IEYrzeR`q?Jp&FR0vmJVNhbzR-psN09z=J{8G%1a2aQnq({}z||`}Arz8rTb? zV&9SNz3sSZZ|6bF<+-|*vD1`nXK%@af0K~5mH9UFYhxnQD6W9RrO*BP>EHOflot!z z2eY6Jw5c<(kL_3?WACvgtr%PmLF{ql5u=v*;*oM4(5GT@Y#qgkgMcnrV|Ln|I=75p z|MA9dThmciU27@TzMwE?f>utHCQ#u6>_KlHLh>TnM|iGzqxA&^p>-_bfiW(|=CJU1 zteYgk-)HrH3PK&IyYQS$_jRf8EiNqA(S@@XFY39d!slj`$O<{V$yo)+e-8%=eSs8I z&z1Tm1sjjhN-l>B2c;T;H2^GC9!xc)01tAX<0Ou>k2xvz3^Zxe%_lXEiGC4Z+i7$S>iW|~SDc?z1;#88DY)C_aLD3Z6e~l?OaGZ_@*G}I zH2H9lVjy$2^kUxyc81Ze73&74h+a*euDxNVn`mQ&9h_aagB^sR+a(s)JmP$uZ&LJ| z5;v9@w;lT2$~dm%pt0J$^f*s*3dMTm1S{OrYA5)w%OWFtj&V7_g9f`wpMm$U5}Qk- zwbXp5?uYDfx?nlr6N3{U9ON-KjCMW#{AV#^yDG7Fb2f3ci`<|k*|*|rVEgvq3Wj#B zGYnLSp#EEn{Z}LKg5H9Wh9 z<}1Xrsx(L^V_yII9OuRpw_86%0M$H_8SxisZ01}Za}QrA;QGqx|LU|JB_5twbM>F& zMxrHVH<>~?4(+3Mg~qYwv$o!eG`eB$wfoQSmY0SDeEill!uwr*45xi?iz@D2Ir)I) zceTlvgWpyXLAVW0Z1mD+i0B#o+Hu}@<9DrS``IAbf5Mj?n{wCF3l^;3=_)@KoR`nP z$Dg&6>9dxv?7Y>?_scc*lJi@H`jGPj8=z^B+nv1dy}@&~cjbfZB%RFIt}VlBtW-I! z+Ud=`1Hamw*tg7|`!f9-M9>k2uH@L}=aK5WM-3rAm|Qz#h2YDHmab=8yo>*)tCxzd zr)wvSa$2>H2|G*+NcaVw9ng9E1Am?2ayZ~htMi2sX<+rx1h(v>ba~i3@GT~Ft#~x-7I5t3IbQR z5H&MbN~uIB_r~{Sd1>k*|QbsgDlj%b=mD z2h3y3T7D>Dmo>QzRwK#)jjD478g;g9KW?tTzd3i(>Y#D8f;6)vdfHB+sa;Ve3=2)R zpFLI>ThQ_?yC?*8um)B}1RMpbGFAzI!nv^4myP+FL!WXU|EqA@kC~oD)Ccw+q-QE% zil^kdFlC6zKwaQf0yy>yD|b+JlOeP?>aeY8c>t z%_p9e+Rszt5sX8P$}+gwHUll1)%^SVenL066)0322->wKgR6-TfdQw3nM`!_Ad$BY z6dP68XX z0|;36ql|-)#x3p41NkOBm-(W1V5)xY3g$>9W>Hf{d#fdry{uLzwY$2Es%^EYADbIM zfrevoma3DdrbE>OiImI^81euV`$srl_G=GlQclXUOYJs_G;x;2>`o zgHmb9DFmv?EJtVvQt;Z2JlH}csx>R>7{QU1-dp>fe;dh~XBO9%g2JjSv4(1D8xJR_ z7F?DThrxBvZGi1{3{4}i$3*@4oxvuZ#_$)O}zyf6^& z#o>kvdzA%}RYYds*I_D^kTRMMQ^qZ@U3tZ988CDn!uhB(WwZ)Asf?P$lPuPqZaYC; zrg~!otq2cPb9QF1*(dZLH0r{&iHDM2+@g-Am@BxOAs-qWEU;S8gXp9oOO+J+H$Q%JIg2qTVmmd9|bMK|L!`Xq=Z4t(u7Yjd~!NkpM>;Wy$I zofOPH*1nGz5B`zI8^6ZH+B^?Z%>~!nL*?45PC^DTJyNA=s%2%PJ^F>7yF{yt$XBc0 z8RSw5jwiG(i{WOuKt;8ED~J565}S1=F=6S9I&mWP8c{W=$f#j8$f3Zw4ul~A%}BHF z{wew>+x%N=J#BN1E0C)!ur%X7znuCm`#Yt5|5qQx^B3vp_D2q{#&sTq51McF&+Wgg zrDW3Rf@rFsj=3m39XuL*Y)gKDlZ?daKdh*iBnx`#>|F>`Z95_J}e2aesktl@D4vw2jBvkF<^yS>vDhmG={p z$IbRzCfrrR%!BDa%?9=o!NgpB5Baz9Apfnf{Z&j> z^uy#^?4#u-uH0l~p0_c1@w%7ab~I>5Jk-DQfNSyxN7wLwyrvhAUKxBlPm9_(8oWHl zd+-;|OWPjRNB5O(cxEcT3)@$iCx?7Lmyy{Vek6kP&%-%;pLHJ*DPt=PY0+qf_xOmX z$LF#F%@##)QN=}W`+<5P$Os&-zc6gIe6GqqPwLsNe56f>lz5R5e5D&>%?4oj%!-@B z?N`9_mM8uXK5K9N7%#8-ztgtwJ6gOvzVjRApLk{QTY0-HKJm&Yf6CW+{)y?$&z94N z^I`hryY10)^G{qk`iZjMv*)i2eyiPi)2=SR@?LvwFOF~6&#@m8gZKLv|4XC>?`H4Q zhSSB_`ipPQkEikW>hZ()#56uz9=%R2asM3pI4xeX@$2^d@`8(k+5gSk8$elhr1gE@ zt-jsd?rkl1TFuf@hPYnqjd-)na-Ouk_LvM%?@jOWW+d?2j=-`^>^Bp|X%WKN-LnLn zL+t9-n@LMZoR%_(#WC?4(UP@f2{LCn#&K|Z#j;0`HQ8W?Z~~6SdhN5A7!I66oWxF? z-~YR>dq!GKcP|{fy6>&3ud2TKzWToUs&3V-`|Kk(C(mU%#^~ko?solHF?yDrG25`- zwQHYzq24I2+;!%YFW6)ASKc#A{4w_a+CM{l&|#HM+JpA^xdOE0%M% zw1uKE;P9hUtcZ;FYSpFIC^RC1wP1g>5Mq(7nf$)`?SZZLAyOBz8}xE zm)})~ot<$${gv3NRu^M3NQ>=QZ@@~~>RSKN%TKgliunB^wz@g2EEJ!(8Fx1ZVLrBO z+wIcc`d%TxAp1`uwThHZ;Uq{ zT`0!ac5{E&Z^fd`Yt1xF!5k)T-`w4Tp$JEL!}{~(>?g;4SQzh(Z{Qm;y0Nixncd1g z`Sg%a-slsrj9OQohNYUdht<{uolU9Y)4BaYzh`HnZ4CNP#G8{G(&ZVlsVmGr*9KT(T z$Hb#Wqt>UN%HwbP45p3EWohl3#WR@wr4^fBv~2yy2Cd5S?$S{EYQUG+-DHm# zld;LXRIhKF7Qy>hGhKQ3Xd3Ng8!tUtrDw-wxwJA!3_az>kO8{n5u3Bz^VqB)Q$eju zODVQutQod)0U5TcS%wXvk6f7RIAL$ohCOz%BXt)ok#6@EXU`U03md6J6pN5X>?|+S z>{zK^85tyDER%KG1eZe9!mqLH^bgBJ_FY>Ouq>tdXZI*xRmE23tH+P&QLkNxg`KA= zIHG)kX-{cjpdR+EWzok+#vF)UN>?Zj(RQU1(b;>Yxt972B}6S5 zHQ7?XYTcZOCRDN&0fUT`rF5xi6%ixO^o)#Qqe|FkJ*Y4>`1)`5g|Jh@fnxS97Uoz? zVz=LB=Er0Xb@6^ zXvo_&oD{8z;`6Q6Bq;aR&FuN&%^1SvAKZ$RGyA%;lUcqNQsphWMgJW|zQz=qUH_uN zCafxLog&h0{A~z0xmZ*;srB+q5&61tKYbnflJ!#*2mN>SiWYvD5p^M@zWaUrXmA1u zKlJ+{SuW>7Vjg1OL?gz^me)}y)AWh|ThF_uCwGqnPQ-3-AeYRq{d$ zPZuN(Nh9Wk+$UR8)cfU0NkjO>L zwPD<%{ey|wE2>V;@1QK9)B&JL9#C$xEW87=F@`~mjVX|9XX4c{>a%zc)MH6Lls0k4 z7Mn^Ou3OX%7AM>f%aMOsU>t?KbQ5a;UP{pQ*vJL@8le`poOio+m!> zQ+IcOzcZys1Az1LIA36rh9nC2fyi?(`yF6b7->6DZT+j+hy z`7ySa$HgftPu;z}c+aV_y65h>>eN3Xe`~_q#namXkAnSO zejm2jW*g4ZVqMKy2Sat9X07M>#lsj#2$r_yKBx!I#bUl5V^u$Gv3L!nWvpm39ze2& zd`3ns8><(r6lzO45qp*XOed3LKh9I@oD$VPkSX?~8qq;z2v`O~2_S_FIHl#LMqBH{gpsDM5c}mM^0N-#tK@ei#3JiXzRk#Z0ai1Gt|`{Q3-p53s>MJ z*)8kZ6++48h8k+%Tdm?t?ezQ}lLH%(6<|tbF=G5yGSUD2J+uqs0y5%>y4feYmnj7M zA|ZE!6SZH=u#(F8ENC3#f0~N-qxg(VwnO1;WYk3l9GulKwv>#8vVzHnsRj*8HUmP9 z2aHI{xFCw;y1GS|P(HABp;@G(Ad4RCAQ1@BGA)Tn+u(o)+A;!skkWy8Mf~S}N|7lw z(Ngqa5zAsVMPwWw3CZ#R@=Hq=GMQI-%K+U>(3BZL=EH7bUr(a#_V=xo$Wq@hvrmKR zy4ls-)V&+FWLfO@w8Oy5*Q+KB9I~)d%^!F#0kAYY z!Ip)-LbxOarD-kp$inF(g>xhY-|GO}j74Q+It(*tQ*QE+f>Q>AO$bPM=usQRPR~Gr zrCX`F$rPdO1HVu1FjU8+nwW?rx_Ni*J_-y{{*cWjI}Jz3$TlqRFd2)dwCs9aOZ(*S z%&^ci$5Qt!t(aF+CR@2H8TBo^Z2bw;U!C3N+f|_m#a7pziv>Hp{kW8nk(D2?zXl0& z@6NO@^;ns0JJRa_;sQ^$Wen_ua#WG{PN&Rqc^p`8rgQDXftAVF!2|_M==8v^`R`nb z6C2Lt?=T}s;hZHT3WKv%wVmdQ@1zgrf^xjc61O?RHMrQ`S)uvsui-YwF=T#0hE!0{ zOLxzOoC+JQRNXk*A6ZY*PpS6WW`UI82lr}Al zW0ffSX|qimvf4s9I!anc&hd4aha9;7HN*PycDk*@+TsEap!Su&11U7sKprmnzd}qI zxevJO2rUJ0bn44gUX=qTW8OYe9-2lu ztxkF_mmY2WrU8WImh)jJD#JRsyqd4Sb}QNIBubgSLse^5DCs7rO5mNIX6#Ef4G1VS z)ii=$m{!U*k#MEOh(F^wBoxWbX=V5Qpmhm2XqABQt77Sgr8%R4nm9o@GCm4GsLb~L ze(MHGb`sG&T(tfu;MOe~q^9n2N3lpB%LeEM`T1)z zp)atHkeD>sKnC;1psd_887t#O36u(-|L8!b)Lz94f(DZi^KDGr2YH@)85OiA*x4VAtYq4z`<9 znCvs(%1VJdQpx&jAGQKx)Pu}LTD8Gz(W>+0f}c22b^y`Zdc~b(m-768E=SJJz*r@o zwrLSZTQ)bMks~i_(+H&vRSXNO1|>W69b>DstWA{sHFwpr=gPnu>@lF z5R9vH$l(ETC(46Ex*{t%Feb}zpNQqr*8)c$yyezO_ACd*Jv+D6X~`yDOiB;%1qTEK zk_M~_)c#2UxvG(wh6&L`&O`Kx8Vbjf1a+ruI8k3DB--?q`p=NnO`D|q7MH|b1gMJw znZlp=mjr3dB#>O>8XeGt8lYrZwaArBjv^7KQ5bM)Gr5!x>WjP&LmSBLYM>VFW%^&c* zwY!3I6mjTlGEHDjY5&bXm{#rNId5$ek~eaJk4v$slWvv9L@7r|gbE8| zd6I_%;*G!!4PfopCky5+?MeT^HaXd{0645{ftcZXc$`hg6TvB*TH!%T|b&87Z zdyNUaP6&{aS^p<|)MR$vd=p(69c#r{%-jZQxw6Ts=yj~uplaz{NJVK@v>l`pjR%7WzwLePkmDt$sc1A9|4O^X^8)Q zS}XzamRnFj#Vs=7Y9yctZOjfWjY|iCkPkv&a9X3yc$-lRSYU~Q?vg(qHsR(Trx9`0gs0s8!sAxt5pTzAvMyY9 z20g7E)3lxXXJcvY{f9dY9rscCqfBPLP_nC5eZcNYW&MFot2b@EEEdxKUHets8prw{ zGnke;ab=Tk9^G9U(8*5V5Mw-?!UHI+q>%{>UsQB^*NL$Tm2d>StaOX7&N^So0ukH zQ8gr1>krsW%0r*&S+*YY(4PGgubi$UuRo=k?r#|Rb9%g+Z4^^p8}YmYcOw+Uv|cf0 z&flR|Oe)_gTy66VO_5d`TiH)Vi+#t?uQ=bxisRCtXtm3FX(JV}y;d)!ef)KH7pQ^W z-CuPqiMi_@D`-pPVxN)3*ut+VWqDi&uoh#Ol3!#aZbeCFT(zyDY^Su;E}mi}?uodf z$whZgU>W202bbu=id$@hMSG(1`va_BnZs(8it>Z}&T@=8tu=_}c(m#>`+m=v7&bN@ zy7HdZCtnERp-a3Roa@KlarUm4I3-!Xqs2)x{7b#XcK7+EZk0b~qs#q|Y=fm<9wbgC z{z%w(N9z-|d!6mw(NkMDZVc=B-cH_S_wu3Mv)4+#X!%f&S>N;hrC&;mrW;MJ@e6%6x1Jf}FD(q7X-}5=oX6VZ7Z=k?#aT=IjqOppTz^-% z_i28$@ONG{w5-dS|N|tv|h;*zJxjt zXM8_2JZ)%G=&!C$7;H;+m-g1)wGkfbb)X@D_1Ud)uKN$c(T(T({fCN%qjxw*VdFBd z;+0_i!L7UaT}x{?V*2VFEj?7=ztA5JoFj5qi+gZ1WXG(8lSix0C*-40ZDG0h=`=1s z%1|ke>PLB2L`Gr2t4^~}_}IlKuHEd4qXHRP;{4}J1?OZ}X3mZ$aI{ifDof6XR+)}w zAza;>W{@XN z^xT$<2#)H@Gw>~r(v&04oVJ}KYmb-5+D<`4bWh%*YB<^|$KSyFbvU)|s0~XPqLJcY zB~mg@C3Y*<_)IJ5ZDZB3Pw7u`#u5|45T(hpMJj-}q(Wii{Qj z%BYGO^!PhjEE&7FSjMCWvE5t;P1R#gPDUh|d?F_146Q()+4JWG>rB?r=oEPwMC&DB z#pDri;zh2C(j(Xq5R(mDFrd@et=yu;bIBu}_KJ#EugE7qcccO(_Gix#19>?ZY&x8G zOq9rjK*xQhm1FD=pIWm?PSrwZoF_ME5Aq?TY6qi5&X{+))WEmA_Lgfjd;o`Iuuxty za;#z4#OtA#M#AJnMqp7sa>DSbQ=~H0LoFQYsh#M#dcAD)O0VwKU791t+{DpgHD_jj z`@U`tl-@0;lpL2}uhwss@<$c<-W4@rCOT4u@q3=MYNFP8k}gEI5p+_m+((Z1^3MEfWN&kOhc_ImYAMr3VP|D2@2s4V%hf8bq?(t4-dP6rs!C;ddl1b&M1MHNiaVV%AUT`kuT$GQG2!*8 zbr$a}Bw5lWv}4eGIa9Tw5u!mnFmiFJ-L*z(5c2*PhcWKXSFTxJQd1 z?-zADdE?kV0xVZaQKQy+#Dhh-Ksryha-K5~C@d)sE;%3xki)#q5{(@MjgSE$DhSL( zj|cD|0qcz;-+|KtJH%y=JHjr(nuVA3V67s;fOg7TAts&=dCNh=Or>4C4_lNOZwdxc zQ>WglqIJ0&a#Z%3;xqh7KtyeOkdTUHg@b7qtw^0(Sf@@Q(THPb6)DfD3_~M; zWfG{7RQ{`(q)cjforml(u^@=L_EcJ|L0_?&6p+0t1>?nNOZ@qvF;eW*MRKF*DcP=Y z#c(MmIOPv5-3Amazi4I7UlbjwEJCs<3`UAcPDc8^R{+(b~nfq^2o~k4)*xE zpyHht__|+5$XSyz7Z{lczK@q;$jFgWTE>_?1&gPPr|3sZ^wyXGr^2F5d{F__CVTbO z5Ijds+eG)wzAcn9G-$O{^q1&Yv|CsX$-b3cNCZTa&_R+FZ&bz9)o28uQ~rzr^=F%Yigrp@zO8v1-Dm6=whiGe;2 zHB^v@&)b9ok)&ZJin|Iwni_i+<+k*Z&yYkbqu2l_SqSXbUIDcQMR44xALp4{(i{3r zltoTd0UUAPP$x?wihjv zRNG|UK2Q#wl&n&sBV#O}IuWMIsmsMbR0sg5)*E1K;&|?Ap3#|43$dISVe5U0w1KHG zE=2e0=uO}dx{^D2tMp-h%llSqSpu3obGDGImx+4;XVA%az?Kr+C8M3HeZn;FVKq$r zr;gJ9d0$}yRRX&u?nJm~@Oxv@yu8!LM$p1hA32qW>*#BK*5+bukYm!T)=esdPLQ*4 zIYm8Vz-L?l8>w5yjZE%Jw1St<6sB*fi9~{2Dy+BK1m&gRVaeLtF%d;kM2qzadh%*k zRPL`x)ZacaOs^Y!5R_$#W0GPYZeUbAR56_M9#T)4wpB4@wbSUODK5%WZL7#(T0#IKa+!7OoV2WG`FBYD`U+|oZ^Wm4J1_4bs{ zEbwHrNVZZpvb8nF2j2kUa|`Hh0|(^U_FGh&7z~v8R^usI{YP&901SO(#>wsyNLiy9 zRs#@2oe&m<46m5Z+<_Lv4lS3ablrJ@HA`*CkNCOmRX_eM&ZmK@EThaUiQXCtC4!lY z`zUWhK@tthlH|+LvP;dWZHxd@58l&?fqYp=qGKcLG`arlGz&s2|v%>lY{*UI3gU22EEcdy1C0fgB*EjRkmvjDLawWRgAz% zhia&>L2^YjJfwg=9<`xe&8T zbk#nQ0I2YIx+?zegNk;_DJJCte{6Co=%JV)cR5GnT?-#@zJmnh6Ty)&c>B<(Rv(SI zJ;g68@>Ppf2rqMa58D-qAb$s{TI@HJXW*8Soy+*{LZl-ng1Yt(_#;Ap$$+e__9f0z ztq3E8L;GOe+nHK^{~vMOdCoo*l0BXrzdhd9hgMQ6JahHc_FjLa+2Y+80==qC6BS`-S6pZK_#G`kh?#ch}#S_Lt8vWK=KI_6+2GHkP@!YWeeJHF<>QS?^|x`L4;( zTD()<8I*ikXFZnvb(`(m`n7&4XW1y(OSW#kvOcq#HQ-b!e6F3suC42<5D1_doPWO+}=)o&R9my7(O;Xn$=mudoOLi zXS~M#<0ar9eW8A^c>URObUATK@@7u!Y4j6$?W;M@xcQzk&JC9?jn2e&7;Y44YtnvK z3O)SEw*2{se|dgzS2^6SIk9<{jr!>$TazEn!*$#Ip}ia1!-ZnBHQC)B_S2QEo4eOJ z4T)c0A1?H+-1X8j@xkWep8Py2+mD2L!S>61&%dl{_iQQaTF(K5#yc>^bJwv3aB@l>)whn=3~Us<{Q@pG-U<)xK{mTk3j zNTHQ)j?0ZlFJFnpvT?q#H$L-8>#y`%ZCF^Uo-W3-W+A=E0nh|Tal)y`M?1ep>=`(rc^9uS0gKx@(wa26gKs1Cr7~|+DkXjc z9Kk6{EROIwU5Y>8;4H5bhn4N8Fm6~yhylhjBid=s58;wEW0nMR|E?h!VEMkPTG`cz2qf@5MMNYW(m#Qm; z?Tt4=>ii^|o*SXMGRqfb!@WDkXNlKAX)U^J70YsMd3di~0ZX}YueDm>-C*Kf(s}#+ zWO$IC=-cucINNPDh^)GcxYjH zDYgxh%<&~}$X1?MI6XKEXN+h4cvBrn-xC?g!rrF1dQ z@|(0g*u|pQ3!EJsu~0Xs?^zcG-;fR$?b=I|&|jG8vER59?)^dR?0QI}nW|v8tTxS- z=h6aa3X2#v7G|#C&-K$qni-P93`3EH6m%NWf(3RgI9pP)b5YE$&xNaenVT0NEw)R& zX>c*N&vXMPGox|Vy&i^9jGx3Bh0!bd)zIf{z6pK;ZuTngSZwF9y}xbtj$-$#uit3Jw?TiEkiHe9=~EZ?HvxlI&ESvUT&wb6k@ zOmP9C@uaQnQWR9*QecAWsYJ5}N|MN%$fRKMxT_`f0Il-qc9R%<*SAw=;{0z44i5_n& zYuEDq&9_}2rz>CObmSK}CE52=lD>P{+8a;(W;e6d){dRN5WARAfRArACpPzdaCF0+?=r#mgcNwYwsC9YZ-<8 zGY?nsamTW=-+ug;;i$)1xs-Uvaotw`!uqNA_RYfm`JVT#@>`a3i^bgm%Q#mSGc-fs zITf?Reio-Bc~3jcRlH(R*><3#AP6*=z0Re%#e0BqQuCRj$eELyxo9z=Tzbv$Q+@Yq z`$cPCrzjP-;z>$xp|A1_{C(OR+w@v0ip1^*xzzq)6#`hfSbZ_$?cncBeG*eyy*O9q z{nc9xsc2zYDtbV7FuiIl@%_kK?rYOi)nR7>aSI~%5 zl)uDr43iMxNFD(Z!NXIS=oQ-pKGcLftlTzZpRG5U00Yk|1Cv%z^59v%jBv>#vQ|<^ zAX91n)JE3K1zNetmv21a?AWUG1P5?-*bci%-Olliuo0N_Zlj;QWIGwb}K}G=O$eQ0-TLl7s zx7NTdr1GEhJteg$%d`Mg{zXtL{A5T)0x+|0GcuzogSu~D#bG>_UCAGGoprK#DmAhO zN@mQs&~2yrxdkxfv;_~X@G&wE5{Q?2jAKR7kKTAsl39AW#Km*onEmEZMC|tNDtnFL z(S)c!N8Nd71Rr!o=Q9$v%6h~k_O~gWY4^~!WQ47>OWHqTYGUEMwcrO@BGr~=Bi{Pa zOd6M=7IA2K~ zScxTw3#EAd@?E@|z zXIcKd6_GFtg3)GSVY?@!Ro?E|Od@4pFD8Upn)+EQE@!3&3zS^IxBA(j@pV$B%nK~Y z$Eq!;Td4ZQ*67nSwBf1kn%7y7Sv^<3-||&EbroaN&tb?S%`Q@3>RX=Wb9tARmUHnS zx{HdX=QeV+!F=ZVobs}zh2hd*zrMFj$f!(V*iKisne6O_6!KNJ8=R>})_ZW#aHkF! z2dDtY%Qaj_z-4$Hv7@{&2ba19F4kmBvcYsvVu}EroVuBmXlaO^#<$2!)OMi z3BR)1pZhzCPMt#zBI+Beu7*S7r9igd#Ni_<4lg1`;v%0Sa#4vnB*d!bY+IQt`|s~3MY*E;k#0iCC6DO# z8eFa)#uNx1%Po;FR%E@?w5bbE6FOxJWf_4~isI-`a>+|6#OUQ?4;5<6aF-~T6k32H z4>WhknCx4Kj;4W=3End4Y7ehbkh?zaG3pH>MU z(ks$|^67m#0ay2-Za)T_;74FtSBi;yG_)Y`XA$VgihYViuhY9%hMkVd@w{S}K+WK9 zr2whq#RA$XDdH|QUMSS|;@2I+N6HET*>R6I`*=Q7>u@9~PFYq0E*@lRqD=-5XTLi;Du|0&C}>}~1IvtX)Gw$*1}rE!Dw&99B>>1{ zi7rbdlH%mUA!|`j>OH&36h;;?QJ2a7ryn5LxC8om=@0{RUijIqrlO@oBlUgOqil(U{r51?gyWxOH`1hkQwUk48kNNNB;GnXQyH3UXFN&(FUfCCp5P!3%5yWb9*64^x>OiA(+ zgh^CF&RvaJxvy~4ZR*HNocn9pRm{fupq`#*Ap^x2>Z~GVnauRsUQgl(6cG?jzXKn18}@sE)h>&Vk-+OlKJTo1!W}2kMD)( zE`+`36JT8uuv+5*ppi>O^={m5Y)YvQ0{U0K>q%FgYK_!(*}`LvtJ;2aV%1sek1TD+ z7cI16F5j@!uGVdNLZvZSn^vK%p=0<)Oejq5+3WqrB3hqG>1cup8bs0f+>!>NWLx|y zI!o7KrLr0=B#R#m+xtb;-?w+aw)2p^ zxNqBO+eT$BR`=h4yiZ#gUW&PE*D^njo6O>C%oyM$?~}S8p}dG`gqo&mG9o<<>QVi= zUix~3&(`do8Gi7Y{J{^F%e`cs*<$yeDz_=}_{LqkkvBR&I4TEQ^=z^K;l=e+A1bcZ zTWPWNob7zoWPSX>{K4=8*8AA|+n=*>`*XQHPBvoovSZ7%uD$cCZ8f%9_3q$OT#fC! zm->UVoiMk-@qVk<9Oy}^<@x$7Zq)z;o;D$cxgqh1=OqE)gczdHYm&%t#HTl_j^{RmT| zOx@%v#$$5#imhwo-HkN3bd5NhFPG-8-KaNGN=AHx0NY1(-Py^{jsN<;wgV|%L{fV>1$k zwfDlt#>Go#3x33`n%|n8P0;k?H_Z}n0CXlfr~YM|Zy7RrXnrSV=ZKB!U79<#_x5h^ zJlVS0((XfXB}N3j@bp%J5lG>_qE+bJ)ho7leQ9`U{+u|f2lF4_YR_%3+ptIXfuq4I zlc}XDI3D!yfx368+`P*)!18zj(!% zDfIg@^UrR@=QoySuC!Wh2G^%3P1*jBx8TI8^h!oXVPSN(g^a>Gt{5_6S0=(yX2)#A zE&_fLZ!#kFtD=W%a4=;ou+kQOFvAyEwkHMiWQ*f=-VTFaYqqtUhgA`6QqC>$rD4X~8X1l9UVfhLGs@AH z`ouv(>sHkHLx0g?jNKZOgddUrV3YV#pGtQoukNOWg$}K5{SbK3fmTlzty~+|mdM;S z9JC}1=7dy|BXwr{5xyL)O@K|_9b<`V1+yxjWm`Lg{tO54%o))#KmW`%eACK_FBs~hC^OxuyTx__S$7mIBR$LMams2{B7x8+-2jYB4GT+s9t-Z zP5b=;96cWrKd(EvB+kcb zL^u7YxYqupPM2P3mu+z}{}kzFt=85S`eGh|{qdwMYjVHThSOv=E^pkZk@eX#H%ff7 zJRWnmwskqtx|8$xJ%1mdKyc%CXN*u1JY%)^ozsa)Mw?S=s>QMm*2Gytam4S0mMW>- zigqk!7^@l?Rh^2`Y~R9B&h*`Z`^aR`@-8RCDcxo5PUj%YlA=%j%}n0S&ZG^pK?jRK z+gF`u%BbAZS!ydD+CZYnGOrF8^tT;f-QBHQ>zEu4D*llJ@Bzm7Lwx%nKa zaXc%Is(9@t998q8T(g{xdL3sjTP@-^Zu~Rph~rp-h1kzODO!XQ7L0082wYGqt!Xeg zGCU<$Dy=C7a3xzNPWBE)(w8rwK?RDOK*_%u#w}*~9;F$Q$;i?Ga$~NZm6>$3H8&@N z>%5Ld$pwTmYa-1lMWRAk&@Nisz>WQ$OC27On>f}u`JVSRaSSL75yo>DQsedUVpg5- zBVTbY0s`aZh_Q#SsJi5M81#%BF5IPd0p- zja&k%*nx9^u*v1-9%t|SFN|HwqWLXJb}jGz0AGS_^QF`NK>Ld9_u*@&Q2xt}f8T+B zKXG;~pKN}S^5ai7d!H}!fo5_yxj1Y2@|Zn6_jT41Y|q@ow_W`NC;N>$vAOd6+`~^j z*=E<0@63W_|FfLf1dHd=t|XEcIy;I#Co|#8SS8T4TW_VpNlXt7MLYQcGd2_5BZkP3pNEFD5CLSRe$+MOn5L*n3EP2NDZ4YyT_n zsp1kP!5|leI(e`Tfx%7j$SqdIL4x8{9jTa}%w;72yUHa8hQ`D8B|@PW=;~Lnv7Duh z6j0~q$w|N4NO~$Kbbks_6E4953<*hs;#MLnVIJZ$Ln3){0{~`Zie!t%uZv8rU)lM& z!v$#paY?vBLHR=6DYGf7775ljS8h6w=c7Jl^l;>!JcO?Z1$)!Ddokf91{(S9yi*<) zYG7fSmT4~p!!uk2vYZq7`qQ*jsqH1Cb$T03!@F#(ekNKq=o@nOYk#2Dr!6~-J93L$ zYC`RVH%|CeMQ+UG6ncx|O!istc65w#x0Y&c#}2=lecJD#K^LcSCm~a1-Z=9 z*a+~SJev91h_HwnfMbv+{ihzYZ#NKnxBE!YiT3C7(u%L}JeNF-ChOTbaSlC1NLuP0n#u#%y(u zrp9HkHLlD}m1>x1quRz*{R$|OGAPj|cnRU;O$Id%_2q_>u@MHz< z(&D&DOWwsti>mB#9Zwq@&)^!>t~srhaxI1+@0d_Fx>*?Aim_ORvB%AKL3 z8Yj`R)1}R;8!WV%hPoPH~iv8}!hpU6_5d8`P_;F(r`4!%2}~NtdLrK+PAr znKvl7&t*N`y1H4plg!o>nxryEaM7WJb>~lfg`lEMXmC>x@&mk1V^Jy2k&FdSOhDl+ zmu>~$5;{yd%sy^%v>I<(#bK$ekb3&mxh-vKJOqM@f6TV$31cyYbE4d!7Wf z$te!_?qbRUz?{SJu)$6mJ6cni%ZQZQ-N@;5866a~0$G9A8>VTEAsUU{C+`7UnM_UH znSkCxmpw~_<&-#ev`TWF_YN8pU~s&XDt_ztZb`y3i`=T`{3@GOZQavUAfZ7EB{7vs z_)?d_(B>j5u3;+AdZXUTyfqERTcBwu;UDm)CTJXc=g@!)jjADFEu%cL_zN8GFcni(_!t0 z&F+DWCdCqJL|)k-ntDVsfBMH|O%*)kg2UiN#mWYB&_o`c)=*yi?rHajUtomiU{a2dM}C?WwoJn($i* zAouN=h{_!{X%qeCUu^uh-Vqb-ZBV{7;~nGmLG6DCB-ybx_o-M9>jB-77(aTqXQ26e zO&RqiX$#;(nKXgJs4_IIm0SxbK|4{+c4G;F9=h8GmP6R>flMSxkwkcdg?b90xR~Qq zl@z-Z9}!x}mZn&~@qc8jWg^RCX$XnO1&2=ylSz80{{w~0fEt(a_Ok|lEVPCVVKK0n zV<9z}@*M3l$&N*K{s7BZShl8YSu3axB1Z>l8_-1V4nmg$xpJxlC|fx&QRDdTQVi zVouLVJ#UwxrUg(#lgYgWPs6fm$yp3&;@0(Nk3}2Lu`hs(vb}-uP#LnZA}1_w=ScP5 zmJ_SW!lKXMEM{nNEKLyx5>fM|E;(r0x*2k4f|1#udmnI~!(b@*PAAbC+2Be<4>hE` z*&RqI3yB&3(G__yky}N*S>9ac$XF&d`4kk?H0~5j-ucp|_)>^+Lx5T4N?`ekU+3{b z(`S)CC54cqm3KhC!f$msgCkjt8k!p{(Xr4%$upDTSoc;e>pT=VmMPyXKgKxs81*Iq%M3zWsD27y1uc?PfegeT!PGTll210v)xc9yJV=qB=>kcFM=@XT@Pub2&*u9) zwSnJVjCfq~zSK>hOtO%q28(DZ$+>O0I4D56JHg-TU~B3!SYjgbqknMS5^ZxcL&*Yl{8p#(H}sQNP~9 zvk$HF4TN+$^g-mmh7t~k+e~|_VGgLcy{c^dfn?t-XB0Q?us-6VqrjV8^ymSSx6&p= zLh}Z?70aD^OebwzQ=Q+N=5B=4+?&K%o{!YM<+u|{nyr4wmLCrs17GD}BSxb}Etkl_ zD0n+N2lc3MrZj|PGV#ov6elcE59Kv=dP8_$qD>AYeTaqpD$=;@xD?K1=8iT#Y$g4u zq-TIX0dw^3d8D~L@c3;Jf{Fh~`;LZH_Y=1AuKmTQ?E}~L?+b5yuvpu)dza%~3-#P= zHFIlf@MZ)eDyM8|vL9<COpETzJ7oOT9)(T)6r@9 zBD8eUy=(mTOg+a5kGb26e(Ha|J@)1>Sf@?Vz{}f48^R}anS9CfHgZ~bET_1Q zM)#0vLrVjE^Zj@JuQ$h;0=Q{<)a;AA$Fa})>tHs=IUCND=Zf&htza|buG?69YjNV4 zYh<}=87%*Yu;>Kq>~1#*OUJSBwYB50q}#B(0+wtG(P^o`vRMR9OR_lpC9Hk@k1#?; zz9P>+pjUh44i(#DZ-0y0+FL9+mb$oDmIS!B+0ay|&A3EV?(GXBwAy-@!(_Y~q+a{-7hNPBC}fwe!(E&m=VJ6y};he~&RKSI%90s7sas-BoSnS|g6c7{ejLPq|!+c*B0W{&(v^&@p8Zye}8 z+C`G9)5~rJ%H?J6H|MJA!m>nkt`tCOcPAu=G8&DfWJ!C#@^OL?Zl2MOAx1eR9cdhF zN%!pie@ktS;?d;j(SfY*M9CB$Db$J8@`c7Sh%;1gk(W@`P&vVOm%wiryvgLbZ8LxiXE4`M(Dh?m(jz;3yUQjVHl3ny2c8?1@Gaxhz27f3T@K7uyeG& zpl%7#XcQWzAu`ew|7U;O$#Yi3*2(yZs9n{q-5$6+e9pjYWAH(bqF)g>fvuZby1}Sp zu%Z7$2vvxdV`Mbth)zUE91ZP@U#pTb-|8DD=16+CvI6yp<^uGKkMi7kez#TQ(S>En zM^3ok&WQq?(~sJ1MmR#*27@UiZFZ*o9L3V1jBe-X>;J$j{IWQ5%fLEPSAB=Cx?sE2 zd&;uzo|Ce*H9nnC>%7mTQKxD|1Z0n>x(puupEg- zvUHyKMQs?MAD!mr%$+}vfxy^ZSeCswk=7v@c-f4VvnCli06l~rF?19y31Z)38Pf3M ze@g^bZtFl0$#YD@kRJ6{onKj#Jt$8vpN1pUt-W&Ir$gS4)RRu2bt6> z)xKQGc~3EoEHTD zG)$h*GBo{WGscm0O)_!_dMG_Oh7fTCBd`HyI_k^_o7nSZU_my_f@CkfQI0``kf^Sz zSC$!v=tnSs-tj=OQZox;$h{!Q31#G3Hi9G9Hu{nDPI?Gcuyl-&5>68Z$)}kG*|&WW z`k)XgF{Zh^j@DfoB{oIQcbNrYz%MMH_Ssx}v3vgXX-r-TL-Zs$a=)z;CAiV3nFVcj zrn4Yq)Idg^4vluakYI>;0`F5Zz$Un=hyVCriy^}(NKhS`*CLk<$L=WZOmK}i3k8`w%w$W>R7KCu%ND!>I%#@^bCln196mG~A$R`Zy zNhw|a4N_d~Ttx5&M@M1t>=CA_?)kL~*to?tWF(7FmMh&0Ych7rFnLlLZA#mai2K+G zIC4ll*(`{rq5ClN=I*lx`|$fEBXQxKNugsoqAaDmRpmOz6rq^~tu1!ybIiuq7?2iE zGOv>jkQ=2zY-T~5FsE72Xpp>Dix{u%PB$3^tetcYjnnJZY2kk`ECVf$wd+X6#|g-B zN5)sSE>Np;YuExT9pma6ha52n=a$zPrW)gYwAM8o!Ng{AJw*FP;t;#AIq-UpBS-Ae zxavnJU(=8N(SMB2!HLW_vWh3_NEBgzg_R%1p=D;N@WXhtysVF~>DfX6UB~pJDM#Xi z)h*XG`jGdl5rlf<9w{PcND^`(B^OftTmPQOlXQ4E(nGAod?XrmJF2T$sYf7-^d)(W zwQHwiN7JG;G*2v@h$C5Q>@_b1YB-uc8x4?}bVbA*O$$6BUNIzgke1nJKHrqlfCgtU z#Sm~b7Uw8-&sQCIX%a@Pk3kl-J26Mnu?o%_trL$9ah8tc2zjD*nsCc7 zpm{a-hhC+<#f9lH8_jE4M!-8dgsK@s^p&5}nrAZuYM0Avz818oQIXW z;e1Tjf({@qHE-Et8rSs>(P*UsqgT_Je))Z0zKmc#Vi`Ksg|dz9rspuuO6xiibAE1( z2R`^Y8An((*RhE2p>zO|uHf#}CW6x{TyK%l)%#H*w9S|JQ7V)g|x0>_SR-B zVvc}{VHsE*V&y^e2F)3yc6Xu&S{fNiD$=ot&$znTKxHfF1|sBWT9PVIFS^x_-lvZx zYlQ7YvC?q_M`Hm8wbkb(EhWZar$JpC8Q2*a*U|eDu}gvo_EXF&YinJuYrC#(%M>8 zX$|Ex){owGLal?7Mn=;fC5{>hO)O$m-yIz6pm)rI#E|Byn1cTD1Bx$q2U5&wX*3S4L&r3)^UzfD2G}VTK8hhnuAbxz zCs+k~RI0!3NsII$)D6bGKOK(+2{C6_i5)Drk3Q;4JSVO3(Ol-P7qmEZa*lk?pw%rp z6626_B&qon=D7BS8TBK?gUaDhz0+C!Ncx7_`XDR4Q{tT+95vQ^4IR@Hg>;QM!)Z8@ z#z{seo!3FT&l%u|j?{4E5I7P$N0O4@hDW`jJXr^r8rt{#mbA_w5lhF^&b97HG;oSE zkx|#$Shcm&5{aIQ)|StA+Vre7Yz5ZuB>iZFNF1CdYWCpO_g78efrcw7q)>}PEy=TOFovb&! zjR-Ulujk0db&lXe9El}3s_R#N1R2GKBVRsIvmA*=6;YtO0{!O}%L_1o320-zPj^=c zox8vTp9Z57j7Os1`IxRiHXKbM)N>LUO*s;GV#vPmei0b?haYFj4IjXx9J%YdTQlQk z?hQvQa_L!?RaPGSPP5DaXKw87ghYbCPCG~RBgv@gN4G(okRuycT)>C=(Gc4p-s<|D z|B+f;LlOL78ieLZETD+`ryre{Xf7;cvEfML(Ro%`H4D-l;)Gh)Sh!KAlCadHMg$m- zHajk%<9dJ^hM@?%kRVLV4SS0)gI&Q^RvL`}AIFeHs(#cx-$ppg7Z{barpoN02Eq*D z0=By`49AT}8cmyCQ{fYWBSA)^&4!j^iGdRtIXKZ+g!Qgx|6SQS*?vR>r6&+k!%_FV zbHtnhiJWWeX=064Vm;Mf(Aw4s`;l{mM8uJ-_LRrXhNI*9k+{)3fte6oG52NyewjxA zHMu51Tv2LwoRA`pCq5*iCsr&Qy@>v^d|?F$;}L6H;^#z`f!;H4iKrXN#v!9A?40{)$!qz}n_V<4Op-A=#~TE{9kPkfj<91@Xb5J%VwmQ-EuPRbElCypA+AdaMm z;>h*xj@+1J)R=5M%mb5$y8i6XAsd9F0SVq*=Z+T=wej|F-8=~)5IFNW11tIRF@G9$ zPo#D98#K*V@~3);+M#{Oh=x5z>%0h7}Wi=>az{MR2$2l6XW)=iT zJPk=CjI7u?^l(iO0Ir88l95ZM6XEPY>)h6fBe8T0Io^{n-t;8KS!vxj{5X<{hzL8! ztf9ej978J7?KZnDj7Q=KW4Cs?Ug5dItzTomPs)*Noot;9gRC7REmbte?kHls6TwKr zCe#Tb4K4lZH%ll?fuNFyB-#Xb6cW;+{;_r4)2t|HrmDATv=$^8@tn?76+JxJc*OIo z=04Ni7TLN3NW;<5#58sRLx+(=;avH?@;`A3JKmSvLL9@6ID#csPFA|iQGL#%6%;*{ z*WC+f8@3K(=L{Why_?maP2X*Ct2a7?K+|!30FDq7%7*2JBor^%Q=cSAb08tf={WJI z66c71#BLGupJh$seE*2&Bk{;A!bjbcSq9OMVAyTJ*2y9`M-EXdAH$OP(SStvLEjpV z?)$8p0!%`5rd;Wc;Y%`7Kk72;=82VVgp9D>7hG!eBRP$)C+J7c5&g(H@_uv(5l6>R zB7Ve)BsA4JTlvn$#&s}vSO>QZ&ZC5J2M6yw@zqF#$-)JVuvj=&PA<6A+86XVadNF| zZ1t3*0~s-QWwiHReFq{OoVcTPh)QBY>A3&Sw?jWRA<`PRjj>0eku;;mqw~D!#L67x zUtrE!E}sUXl@kCbwsl%L(RiellV&_RgmjLR5X`uU^niqfWbv2?efPbF!pV3W0-74T zBl%H35=UJm!g#d2#-s>{fK_?nv8~TxmbA;8VANhC`Q5m)J(X_x5Qi35j z3Nqp8pZq+c(S#0W4upIR7UxKoq08!4Gp|EN;^;j4uo_{%EX&Z0uwTLvTIU=cNet}3 z(h$pyv|Q_k!{7ZEa1_-R^dx8%g5#tu8O83(%6ayY_3nZ!11znb2ExkSnjj~g1xX?r zPsNezpUcQ0aC8hMFvP!xA#p@!GK|EZ`Z}1waw8*{Vd8Ldj%sn_mW_VIBj4IGwoY>f zde)a?8U6?yi48PP!x0@x-D#MrtSU6r8Sii5SP&jq=Z3`1xH?zmQ{aM)?4Di;9(q2z>qZ@oo_h0u!few z8CrMYJho1|6`JauaGjyix<4dGNm@rIf)xbi+E>?qmEC_hLhEE9Xcr0T7#%lW%sb;TJWV-r9g{>f z!fNh~jIee1GT$ej*Zt8rf+uw&96oFfhcA5=Rm1jA9Sq4GEu=%Oa~%1TF?vp(`&_%*X$e>cn@&Z!4+LEYXj3FV=gjFCRO+Vk?J~K~9 zGxuJp4Xf>*xo7XQ&p!M7zWeuQ|Ms7A?{qx$TM7tWmN8jx-;I_nL$=;NJ2cy9;{S$a z5FV_ZAmte6VL11B2!u#nA8eh>9syXBLPmtADj5?;WW8nUoNM&3-XHxbSOzCjpwz{- znC$C+5;Q^L7JTk;2BD(~2pemR__7!0mchNC>_x0%{a&3OP4Md`HE#rsthZDNn}=J6 z+54rpxz>n7AV&H)PGeGwXia`;98Drs-dm)PaWk(IZ$io2SYc)<;7cAJM}K(dwq_5#yw6>t^m@8N-2jlHbvdKX#WiALWj@d2meZax`5%YDMcd^ziCunxd;PdwI z$Y=8N%n5Tsk~%R+dVP9u<&hf%kFX9H@1}Xnmr)*ZgGKa6@X1+ydL6ow5g~OxyCnd5 zc59U$R=a4lSpMo}wGZxxvd1Z~eweS)fj=PX0ZB>!^P;C6 zgveOq6QX5T8qIFWGKg!{k!6_C)-eOr&eyR|6#>+Io#$jkrxBt2=pXqDSnenWp;>Qv zFNgv#To0!(S+x)x5i4RBHjypNf-D1OK&zHue6^Or=d_$hLee6VtpJg8Nzut6D%<@9 z1`{$1Li9G?wZza#>g1~SW?+#+3e0=2u}kaxU2+iaI?rZyR{z)7(RqFqQ#Z5Ju{V%e z;++tPl@gQMoawYUBBV{RjJQkPJMVF+liMI|a%G$(y4*{Ett_@^ZH1X1ATe9aXkvAP z6(!9M0ZDs>X7THe*H&0#$$Hf0paJN#8hApGWkBTQbvuLP4E?v?hY~>{SY*P{qls0t zwIg>3oz}nTVKX9DTQ07x(bq0(CVXaFC;DN_%U8s-q6DI8)F5P0;zs{!5lrA3{`x1p zKf>{bM`{;no&ghUd^mQD#?c-a!m1^y)r<(+J-Zjgs;k@T05aDo#4h(xj%YG5Vvr;a zn}FDXj1zn2O9TM35V#o*kvWCalpr8=tc)XV*;XTA%s}cLG4)W`^I2!N@;dSe9vxZl zbu@TnE|nmgC#mB>Q%I4lxzu48fF`Mnzr-&(xeg+8FbZH(Dxn7Sj-5_0sbWJ0 z>&UCb+153@tBmv!v>#v=%b*u?Wb10;Z0nA491%~O5TaxaVMFNTIH*%%pDOd-Ksw@4 zn1x3)AM3Ced>7DpnE|aUYkb5m8WQX|fm5?0atj9{nL2EQw8+O7w6B8bIW<5(ngnaG z+}MVpY{T$<|4waAcP28$rYV)Eb`^Es85Rr2cDV%XmwZ*~SEw>Cv z-EodcaVG+Q@Qed@}6N!m}guJ0bq$G79``6#XO#!zXvjB^PXJRFS zBt$US-@#mc+0K@(?tC3KZ>hB1b$DqS<(q zdm-o-5cj>0a~#rCTEr!UO*%xEMEpI!D*CY7a#V>GMDvu8eFnC2x~D4n(ufa?d=m|( z4z9^I%*3PYGaxk18}&wQj?3IsVkCGVk{^U7pi2*Ym!z#l)N??yVWNZ8?r!f-MSt#L ziI_Q2k2|BSgMRN%O^KFAZH_3N-n>VMWE_OZzK(CZM~R$AiWOAVq!46EnhUnUwxBL= zR^GL$mkiLOdSjL0Id8qWAkJVJ0OQ&-2t8uy&r5RLNKGY%rhr!b1!5e$Ve_s(1d!ZR z0xbe0u1~4P%Wkak-Uptx-b`c+f&Of=iI+J^#;}Y7+dlTRh7m_%_TV&zh~{hM@JRMf zIRj{dmdVX4v*3ooJ0g$?(sv!G!G(#t(Iw%TTn#M{`0%z4->xIA(VlOgIoag9XzpTf z{zYC5nQeR|`u$Tg&)|CGXCMwahjmo7*`Hd>^NQe}?{0Rrq((=e-AyQ7pN9>5E>5=f@)FE(ak$PDAqvqW|C!e9^ zFtVpcnb%^6~3>wyAM?=8;1>0gPvfd`Bc70Y2$lst7`Koa3!K zjTY4-EdmyJNX54#Nf92MP`P6VplQ|UmS#hU@Z!WHA>!EMo4B+;hsrpB1%f1>A?FNuigRfaEiQDDHE~HNa=!nAZwClC zxDi(femS>A_2eL_<6A+N8N*@+1gXmp`tqHzysfh-h%R(9@CXEwF>8?!0SRP!ydX^` z!zc|Bx!&^W8o0IgX;1{(yzP)?0VLqTF0A$VA_EzOWle4&b$11RNE9!R@33ptXfh%R z*AB8q$7(&rE_H$=scWGe#U~#v{@kPocM4*8|7&?6b1s?WpeUlm-fs>nx zZEzk8MPP-x|`>hX>A2*Ygb#uqc$h^jp)*eEpnWhK@H`mW<84WKY>0?o2W_L_mNsjY|>M$fJWjK6L`4hShI~ zXt*O>szjWgib%KgSermw@VNm1{b0&-`-}p?IHMlPOF-)U9WjIrsZ)-m&RcCt>vAuc zunaQau1Le?6F&=ak~qm-+bK;$1b719yM@f5KzQDGbCSBX4ZfxmX2G*r{5t6oAcUir z{W{MXWjV}BFu8F-M3Aim4*G;5*_VHX(7+xTT+3@9OjAf&fd5`|K@uik8>>JMTP<(! zJ&NQp-b3JA>{Q)JA(P^WUztoYQE~C1s;jdAYQ3O z_)KmX!J#m#d|e3}dW6Ke*pUMi_D5?5Z)QXY*+__pGuM;?qZ2c+bt80$H^3r@N5J~2 z{woko!J|sR6ng$$qqskt>U-#r_(neFRCyuxc$Q%XTPK~7^|s8STi$n1qB4b)C|X{Q z=y!khwIFgf@qMsz?BEfIe4bb{kQfL&Bkx{kv324HHapPD#NtuT*$L1pOp`!G%De*6 zN1x7!#N?h!-Q-GC1q|Y^7dCKr_~W(ftToGg#akuvUH^25HS7v)9!O9%6@;fN!((NAgmgHOvJ` z`h+F>MVbq87ER)jsl0~evUROxu&?}0+B`s>vxaDfAUx=i`S%6+8W{bm#I45+9_ob1 zxg<`PI`_LJZ_GeK8g@MA5PO$D5e`HMPXvqn1@sBi5SwrRh&U_q2>9PK*i6A8Sg85d z8KB6IlSQbQaAt;8=38>-szlingOj2|Ypv_B)3OLbRB8ZCvJ8_k2@jpCdRQ=##tHw_ zBjQg_UeK(Lsz?M74|IQyE{Pu1Edp~v;+8aNHZRC60Stlukt_rMvJFb9&ljADkiY<_ zA#B14lFz`|nMaJ)79s?fW|#0ytc2TgpbHZcdRFyF!oknll7l^$)R9d%D*W=8C+Fa{ zEk@?+ICnkr9Dc9CW!pi${N`Kdk)%2zSqOP|vJoGAySKNg$$MfJtuhS}B1bF0C|m{X zfxu`czk5CeVgLb&Oqm9%J27N90wLY;-%nbfHHJJ0b% zcm##I9bA(u5q;iz45$3}GC%^OWZ|kx-=hdLnZFEZ7DNuKuHlbk^CKrt&Xl$V5C1ZA zBtWA?^S}R^z=%1Rg-{2ckJxu= z=^Y(*Z1HWq8E1F&4~js<4feq1-t=0$1TuGlnGyf_K-l`$aS8k?*C0 z{uEoP9r2jq|ab;7}4FgjOa=aFOWITe%eK!||gd;f|4 z=zIR62t<)G5a;xiY6J-up-FsKo$p`A=VAVYows~zq9AViY@IMs_QU`knMWGok@A_7 zY!^I-9x6-ATEXa0a8L$n6X;KG`;K6$H{kHT!n?5^t7aGMk zLo!15U6HM0cOo1zUxElcqF*_#N5Tq97nfdB*-q(CqH*qIEgZI)pn_La-lx4WUJjp5yV$_Pya2^w(@ArmNd&PKqdU;gJU2a(xT9S(iZ$~+qtv<1 z_@0y8AGKPPzu$YRPPd5YTHQ9#1hIMR*GtrcdLsp?(L_G0G(ny!`-0@DB45au_N(%H z6ZmxK79K>aNV)VYkB|au;E0aMn&ue>u1Cs|)R`>sOnUS(%dqsETWYAZK%yv~ z>IT2gAj`nMAUp)PqLpP1{G&&0kMv3`Ip-MB08tbD^(gm88KdM7iWDZcFV$e}u-@1? ztOG4QZ2sPRiLr5N5FFLO+2l%8TwV}*)YBWw_&^%U%2Q>>pyu_NS9MD_lVxx(2>P=Z zB(7z@2#g{~pVNb!1^zG!Lyh-j^5XFKSp5$szYa_YA9yBKqUyPU{%AvcW^lC88iub} z2Yx|=9IT)BPuYB{_(_4LMY^1RN!h?>PLMWi9s1;Q=y*=L_f}pc33G_#+X)fS{)eA3 z`l4Ph)by{y1gJ^P;?=nq#GVsIMX*LboOVgG^VWQ~wB*oj_f($&U}%r;$H-m~7<0}* zO$#Lxn->_M5qJ2fH7d3OD{#o6tyr3Ca#KR4rIClLiyb@HhSz z_XNZZu>%#bCfA{QWEt4gxuJOyc6&2Z;$Bd-*|4t$+l{e6m7Gl~eo}C{MZ0_;1h4Kf z5d4zpXJ0GqfbWMC96Q;3n& z$sE8W06Dby@BS(P;0}fkb)t`@j%kR%B&p*U2I-F2y+E%j8&`FCXBh**`vy!sWJS^g zPYTC85(2o>3*yvBawtfoMa{I<1rQZ!x{Qw|t=Yk}4$2q~NI<1B_pNdGKMQ9VK zkiDP#NWPT$!%@|=r6)w%cgrpyxFZcxpDO=*V~q?X5ZRl>ugi#B#@e|c>5_tTElicV zmPZmfKw%(Ir}#b0*S%UEA)t75y@owAyd!ZQJnZ3;)GnfA}j;H2CK0iwpxfJXY6#si_lm?e$Z@E2L@RNA(BQp zqMRczlL3hsP=QC9WKe~5_*E=J;6zf_lhjemR3^#R;jON*YaKg~#}n+yS zWVcZo#z*jIO}?vkb-oHj?lXW$KDR7`V}xr$#FHj*Nf^{00n3$1$eO?Lc@bD6ZIU>k z%>;-W*#pT=Cp_;i@`jLMy~QI&Oz=pSVK%ADvL+splKy~5(znxdx+e38n~XoWBpq^4 z);#e5+!1@Yw?|6wCN+zm15dHu?D$?~mY8K%93Z{v%bt^lDSUdOi&*fP5CKAdDZkX2 zJyj`F&H-Z%lZl}nfFwNhM!4W4fAn`?PL?$s*0z$#wWtZuBNR`bDoe?{$0s|^IxIOC z@#{4E4W#M)kt9qOZoqt9ws9}Xp_Qi!j3Y7-fkoDe^S`T^{1y=k}xs@TZ>@qTC$8 zAyLf~NFb6Pfe4RIduH?w72ax>HxuvTJGdl>vw5mcKU(96T#sIo({lxwL-d)fmFa7r50 zB0@XpPZTC1ih?9C4oXaF?89HdT_^@vFy2%k(VbKa#koOxq~A>BEk3jb8DmbhyUcvu zrslS0oKuz5jesN`g^~A1ZO(xGb*(N;qD2+J7Z}9!3Ap44#l_cSv;d?5mRmfrXi_al zi0G6-w28mtc0j$-GP}8aR11%0)gwm)T|y+Q-C~Rx5f7V23y_3EVi)OV8~er^$eOG- zP7n!T%}@)KFyaG|My$(DzgveuPTt`;v#lEuoFgO2TSnC81ech}h{Plb9e`xK@q&i; z{eolQZYF|Ymvd-xEkMRHa0Bz+%-FMy6Rq)4x#qnYF`aigoXvU*5oG!PI!6*cM{)>F zQ^Y0X!S4o^IwZJd@k~dEYD4U>4IHM`!ebCqjgRUcT`B!4K4~5w(I4TqGGYP@Ql~)a z69cql>zzkKgLd9qmf<)j(7GaSQV@sGB!KV_B%Fuu`mo=?tx$+Qc^y-#p_uou>=@j{ z%0d5m=DV>7J`+w3kO;FOa{qK-8XqBbLPU%l%0{`FngEE>!Xe8bxszqE_{0yRNBpA| zJ+DNE36a_Q()5DXY$=L_}spBrUB0BdOCBxaL&k;|Vn=ODsZz5Ie@?{9%EI&6oWf z6hbN_?)VUil2Z`T0&eSSebcA_iAkCZLh8J4%_1k+JB1V|X%S`rbm03`vln!fGZ9O! zHxj8g0v3D{BI^CB`{k*+Wk76+J)B0!QNHF>5mLsl?(+zeM&-(3G=U7xEOnAK^{|Gt zKn2feR&qI#&BW_m6}~Jd(T-ξ;(mU6@u?+bj%HyM&cbM@a+%Awk z3y<7WfJf4w9rZ`qcOVB^^gkg)uE8S^F))|UAVmM7htN5XeA)zD(}fN>-QbrhdNuwj zqlWVWzH7hfkmf=Mz8VwSih zSQCQ~5riWP7`$Qp0N2z*IgjAmY&^=g+Ich*o)!_+&p?O-PR5%L-7MB_Xm7eCV@%%? zUfRcs+=;>aBV*a0@p#t_0oJ?Q<4yTC2S77Qoow|09tjB=MQC#%Kq@ea4zUP%!!Sth zz(w?V_f%_8p+liHb`xvyC&>4%LBtG@8@pxAt`%DqoUBs>`rwt~Pj zCeZ>Qio1^rtHdadZ~it}1_TcH8s23X0)UC7; zw-_^`{Jc|-5mC!>2b18I*`5C*QU@5!o=5t$h(@0nuL+D*t0nn(ttCeL&<;rnM zoRLS7{#1w@iN~JfkD5r0ctj~NiGeKLtXzlhgFgfq3@+KdDU$1tp%M`38z=A(WZ9q} zpW!^V&V2@QvKr0M!+KBq8WBNE^ndA(x+U4V%_k(Ocy!rm5T2Q&4(qLN%wW#P@i-zz zk({msXMag9=A<5G2%Y%mAl|}0Ulu?o*QuwoRLl7&~repP!sEdM+6_M zW_D;C3rB>}?Rm?j(<*6Yi^zEtUmGG5Bn+JxL;|8f_VE7+p1^~JNj5@Enh6o*T*jP7 z8kJYsG6<2xP%N5N>Ru}%L2@i0QA(KpL;cpXL-Xnt#bQKg2HRcocbh@*zu|%UEmIgb1HOmH~X?(Z7E^$}~EkNVf6+c2?Of zqT@Ihop2P7vK|SL=yyGm^~-Z6%KKB0I+6G?M6W-T)CDKLmm|?SEjW*|jEN=uNsru% z(A=Z`|CA6#2P8)*I?>HL{w(ef>cb$Ge?gn6LlPpFG3>6O4bj{kXhuIRNF}bS$SE1`pTS>?t59D+c}@v%8rnD z^(*%|{Q$4mCt@@4v_eF2M9fa zOBTQ3$5lXD#C%;3+c1+FxnJj=s{3`4F)Tytsb)_Pl(XBq35X`OuB-y12|QKMz$%c% z_~G9XqJS15aZK6Gib$ggX^pQRiAg@1koCJLzfN*Ev-Q^OoM_3j#O{ML-zB$Lxoae8LbY*og)&^6b`m zdRXnEaj^pqf$B~S(0ydRg=o+sI-ajnjuTR!c>8f?MC_bHVv)L}7$016-y1}#K>g9= zX32Fir>bEhZ|z|RBxB5OZC1-zKW15d#7EZ5h`{0VbBpx{;Bo9R2_7mt4#!fb&#Ws~mLA!48okGPft@E1Q0s}j4mwQ3`wzT^1hHe(A zV{enzlj|DM^Asm-4)plniC8>Sc7Z>5M8I%M>TLMC%xkGR0(fK@s6_vh0>+VhvG-k{ z`4NZC%lAId+d3LeIM>9v8T2UIgF(C6B0XwzAW1Y#BqdTHY61vcjgT?1I{e17Xb}D! z1cD5EMKvQ4%SWAprz1 zxqnq}1e>D${?Ca**u%X6%iwsZXi`8*S>vM(w71S>3}y2E$T8AGi9ce|bX&(7i`(jq z2yD(H%^N$SDUBP&BMxX19LmwJ{VNdh2NL+?mSHldfeNAx`QBPtW(E?%eJ?R9V!pEM z_FP<=5z!7Pe61XAxzuHQ4x}k93x@2Uh)=ropdSVbF**YQ8 zEHSqGysS6hyQTdAbhqG{okyC#fBEXaOI>EuL{gkVm~Ao0Cn2N#t#1_;+8vn#QxKe~ znAl$@sk4i0$Y33L8AfGW*Sn}4PuPhgV|N-k@FMrHqio^oFgUVxM>*n=!f92I?>v&s z#oW1);!7_+Egtp6BeY0~iFF)R&pIrK*fQg@+?of{_=s<*@^>hX=TD~V(TISoy?hr9 za;SEub&sBSN*uwZKSr+_z#@WY_Mi+*00B+VeSlIlF=XGH&2;X2YsVw&M|eR1@;;Zj z;@PaXBceYIqt;h|cd~vN(PS1mk0Six9}RNRv*CyT5|krrZoE-;v1mG%vSq0C8)68Y z`Xa6I!6V)pyX!orfzkS`h_W3Bpvactcz+sHO>12jJDCO>f(t_qviJLUb-L#bGRHF$ zBF0Dl7PgOu)T_#`%Wq-hLV$5bTPOORBOuECk>^MbgL7z#ya*E?@VI5b9a?=-JmO}! z1g?x`N-fAJjX`nVOO$0`rBd%2um`foHdXh6G_$I3n&?7BwhV0Q9EqeJy;Amq$Z)?% z#7yInSC7!~Cq;65cVj`U5fb4E6p`4SMo7*Vq76D^zBSQ31V(#!4{p7AyJ}Nz-i+@b zNq4ek=+P@>-}^YH2QB`8Dm63(L0+A(a73Gizj7Vs1jiwd!NW8?!jRxI;AimG8(M>R zhrccF0gUJoUW5?M%A@Qv^mbYg6^w08&+%|LHMEG-!7}iZ5&`MAZn~v&X@f}~CRL&W zAoB5%c6WP!DvOtU*o$moR}VY0t%H8BME|bNBObLmy{UTiQbfpIM)V{9nRpZ=brxDk z(|Ck%s)xN$cX+!YWcT;qhHGdU2xj#a_ zT+Vhvjd^fsyOkp*O{Rrfz=R$N83!S2p8Wu<2|ex(s=*Ia013aWw-CvTYY}0=8-4{+ zmOQT^%_Mbs#5Zz8nMZk43q~rJkrt=_FS-s(lHnq*AwDptGVO>@rZjoeY43DGFq>|PkO)*DD}#c|5i zx|0A251V&Pc=DVK;1)K>~(32}f4b$og*g9sn`1Vx3U_$*7^N{kryBGM*Sl-sb$D`Ms z6;YPD9{sE%0g-$LFp8GRO5FmI>n&K3Xc(74-1}Bg}y|uJ>9`6{!P}dl4X-CND8dosQ~eC3SK5miyEnIjA7X zo6XcAbyz!gqv2}%j2)|em^{y6gydkR`*reo)B^#@*UDX|+MMWuGEG`^KRv9_xL=3k z(EQPY;9wL2cT1P13!Rw6H}b7%wFMaHjpiUVdS#6dFApx^)@4+bJux`l8IemF%`B-? zA1hA}`QtVyf=egf-)5pkQ3B_#5GL>@IByWzEvJWt4G8C={tZS7Mb`% zBuLaYDTIL3@r``Et;5@*_(7~q(8I1?)UVeuza@;bc&d&_oY@&LOROGNRwDCi;9#I+ z5;5T6Um{0DH%l1Wmuw4$+qoJ8At006g#tl-T?3Ca7RUS6OupogUsEMU>?DT%Ke<23 zh+OJeKayW}lq0Fj44MQ8{sW2@<5`9?l|odH0?NPjdVA~+-ZG2tL-F-i`R zZME)HL~a-y6wny=edYopC$97`4neG*TuH`>)NNewx3JM3`P!OO^>0lCm%P-O{JKoP zq;4QYNS*VDBQ_7;OvFqKF<*7d-I@m*zWujbL>N1Dv#MlTMQ$0|Zy$9%VttSKEc7T~ z!uf7X=Ih{^!f6B{CRyqr9@a#Cn*o+V7?mSARQqOnPOC(Er0^#Zef2lJ7DRYK`h8gV zk?Ft4TW^RP->|A~30nc5WfjYBspxopiK11$C$JKB%*h{DVH;^7)ppAT0i0&%NxGI1vy0S zX6uhyo``;WR&ZptN$i5-nM{iiVYfMe%AFwk*)KYWnUuhBFSw{@YRky3(jQ%DHZ=am z>0v~{?h|ang$vq+g4k(xYZgxx3osCholxT}NRP;gE{8prer$s%Iwd%B0HGe1!O>8@ z!|+@Fs!9hKBws+R_L_)K4>W=Lqm2t1t->1+@n$SKrQfsT8|>iQj9!osi6JA+1qlhE z%?a7+P6C9mp*_eOg9x}3X=Xg|?LRV%f)K%<8q*+16RQz&^+!NrE{mYv$o-*<7xZmu zvVZLecB=Ju`eg{1ugm>Wo5Sx-NNA?Ct`LDm%)w=VOC0Q5e$0B}5d&EqhnoK>wPeY&tr-&Tf zF@0pcrAO+R=wZM0>n+606xxKj5G%bHWfBRA*jhzVeE`a?bEIt-7?+rX1! z9it8ep7Z_x$W#7I)smmR9ju@H0#B?b4cczi~pPRXtvW#p^m5TXE?k}^pk z@)M$vQ@YACIEf_C@!)s+RZ7)qpGzK^uA-=N9#UPUoVs<4Yxd+HaT-*8k8gJLOVo1| z^q|Hc(PpakgJ0#jjkiNB4%x^vK^<1PDK*L?BxfCS z)+n6YC2~r2flOxF$*h-hC$8D$Fki;123G6Nr3o^0T~-N7YyHJjoF4Z+o;o+X=58+wC}CEc3%ARw_|TT z_Esv9)F%WuGNxhA+j3YQ?bm7N@$l)55T9;#Y_QQoda32K=2Z=r4#6=@@t`++(C)7{ zEZucj|HGTQ^#7;uU%T&pDk5?8I0VVUs|&QThtS43Dqhm^q;CaI!qHheO0;o&+4*Uw zKQMN!7^2a-W%FxVCCMrgtJ2nDOIE2%S>(~(8e@z-=k}Jo$mJ%ac-TW6Vl_An(W|7G zl!6LXlt7GR$0S=>$VgVU>vhB`=~39uq{m>?ws>A)&s&vbXHwvjZA6UD*sL36L3)Q) z#W7`LWoulu$3OFjdP4puUS20Nho;L7vGi`_C=YAo$!qSN1iIb8`L^c)2Pz`zP{?^a z3OOYbv&tXk7uNI&OVj|hf!uDMKi_6WAaNydMdiB*dWkuUM8vCp{QK`G zivBku1yqO%RUJ#!98|6DA(XbF2i*!oou;7n$k~wwwDBQm6F0sP?0Iqs;t{YpCV;=$&jeOrgN@vs~FX79uQDk)u7R=n8o2=1!hX5mw+ zvB!3hN6p?!1yveBV{QA?rKj3{ATbzIs;}0soAmo1=7D4ypG6%wX=t;un3KE>_wLyW zW=paB5-XpzP>wmX=4@%jZrRwGGT0(F6lL)OH!c^{P>5kgS&oXbF0GumVtw64Tv;p& zJ1cPv{lI;ZLWRxo$b-_VT?C*gW3vb*k-UOP=Ne=}^|AP(V#oQR-UR z(*^j<>Ghsjr<@;J*&xnjY|XJv&iZ;*vt2yAYw-=CygRO+Tj(5iZnyHWA`}~EC>YP$ zTJtWmH_z$u=`xRwPXG{=1 z>XlEz(msX?hv|!d92%$qEv(Ge12C&KuG~gjA4HZ6_EPAtg{Q(BoXKy2!$rU0V$T+; zVqU7dW`~6pYO9zA8+BY&yC9e2XlvAbzyrF`>aCR!nriOwnjVNs)BGLD+EDjawqW;~ z#gtYo%Bh2nv|WVMBNb`lxHd$=ETYU+*s!>Rm1;084%+~4p-VlmpD+!Bc9%7F9phS(tDiCB`1ENsA%YcA`0=+3G($6u~1LlVg&$n)S$;LsP}*g>DaI5gms;&T*wH zOo~bcUEmOX#vp>PjMxY~Z5v_rR>%;&ks=DDfAH5m)f66upq5&!%Mz{dqRI}scm|5e zQja(EOsY|gP2@`9_s0bNk#amaBrBt}VWg)j@GW1^5R^nx47$*r{G<|N_mZH8P#@+m zHd{0}rR;)bul6l%aQGpNVyQt zuqskZB%xXf>oH^5YtP9-KFFm13DqBb6<3=B+M*Ep$RD;8-X_&Wwm#vwVM3pbP>ECo zP*$FE?wJF95%kE&fdtWUh{_Ebtr4hkL)g!fE_0D0FpE>@GLE|*hSVKPG)am@qQFN` zTEX@+GQ*pdOQxExc(eVhk5Lbn!fYX;9|U(31cCkv<#b?@mMv8)7&h_;aQ}!}a2wQd zJGCmyC)${j>rTSf7*p=ll+hlB>BW$1-hRQKU_EYv9#b6zsMz5&X3S}@Q z_*W3P$cH)=l9kbZ-E5;bvRL{KRkNGRZ<=Ekku=Fn9ZF^9xMV^SFr%fG@%SL1<~ zIeuP0i_2IU!Sykag6RWfZfJ4Ei<9MzEIOi26nY|%P|<_8o{1i{DDlGTlLrAw-2-Wq zgz^f>e*ZIuQCINcCwD*OXR1a4dx(ZXjzXeOOU1y`$F$sPGiLFMTyonBLqXC5If$5` z*!ns^Oj&sx&=RaP8QdA#_90_QmsL7B$w4Q4NidjI@TeWV^WaPsG%jMb{e@3>22vAb z&pfyUc`D@$$yD43?FrGAYA#VgWpM~ZwR{AdL#~_{9mpo)7&Dw`$fJlSGp0avSa46^ z{Uztdh!Z<&N7DS?IA@+UKK(LPiX_ zpAZGe!Qzws0nny*CLn4*zGISMfB~@l77f97rVO8XguLI;GEAkFFp=cW-wGK!l z!X)_wi(+)MXM>`O$|DT?j(d-}N*2x8QQuA|gi1V?j786~A`p+j8;J>_psVLHRhLeu z(n@rrC)`iS)o~uJ_%Hr0Ridg+@1m`iN!lLyr~*Yf)b_wjSWOLn!_ifbRV}V65qB=y zdhVHN=v>S3Q+bxc@wuPro}>f#hU7rOdX*M!&##8U>wr!NK%w9zW0sd(gC86FpMQYF zTDkOAtIpW=+Lmp9wcSZywS-@fL)u@9XRu1|oe!Vx4NKYIh^%Z6)_Eo}^BCMj6C$QJ zh6npiXL!CT4|mD{M?bN6?(o45E220VQ*DDQezs~5Eajm}78t;Jw=fgM{=)B>(8hc%X_<;o|QK&Gw~1FI1K84&8m!DO$I?ue<6nJ~+rRON^?OT4H=bPvghvYj2o{<$;#LrJAc} zxJ<3OJ8pGu@F1%f&++XKxUGwwqgoX+4-mR`fKza7*Z%r|VP?Q=uSUKKx_Vu6WwG~` zu(1;Ri}mZPgC~bqiqW~S@npQb6|dgtF2u{1Mjs^}u3USEGLXj&tFM z58`mJvpybwze>+{L#g;&RXrNZ#okB9;}zZg@f+hOn>&lqTdMJ1EVo9T>N}ro-n{aoaDgKUjR?W+*|l zc)hbp>0n|>!OQ_)GOI49lzwY1NEjCt}OIWPtr8;G?QNSY*(X+#h$8UD4eq6fL zJJ;Rs+tS@nUk|B2SlYUFy<4rUmG60)xNkt3rxx3L>{NX_qh6 zH*e5fOP4@oB_!{wLu|_4M?2l8t_=IJTcv|5!zHceQR|Xf^@(KAGNxy!;oNF7&Oy!_o zRP#6X%evnQof|a%(%si~$JVbeFA}GfwSK2k`-my$RvR_{<9Nk=`_S&j2dJg4Z!}MF z_m*AVH{#;zRZ82Eoxj1|wj#RHLTr(1FI^l(A`*s zZdI0bQE;hwa4?eFP+qZ1i{Zw8jCQHAl!j}zHIMo>nEKrWqLJAL4zM^obqa7ehQcU~ zVV@6Xu{Mt5gMJyen1?;E(niXPW$XZ?!aI>CP{n>II*H;tT-=W|Ibok3BXW1LrJ{55 z96Z7>+{i|$hn%@%y<9b`R;Fv$(*N<_F6t7~_ zd|9U~W4N1w{gSn4YAIgZ*pO2v8eW&R4&y_;H*~t zKDhKy1JV8;{(TE)7dvN$!zd67Hn?xFIKR43F5P!&aX#%eYq#xO+uhhJugq=He0wp@ zb}ngZFPOs9<{+7N*)lpvSwLl zrQ}PFQfgM3hL%C~hr`Q}pGse8R-U`|c>2f{TR+>gB1R;Jy8BD_VScJRtiCVW{QjdW zD|3q<-A#8iHfQNNw3c)A&8s)azpY-rx^YJtf8eoR#}MtgPTFO1jZz?3np=E&R|xK_ zd(-NyZnaR>b9o@#f8TDWv%NyTrNSZ>043z=;uBYO_ic-{r9HF_ zBnMA6OH0UL^JKZS1dl*Si`Y0UtPG#K_ObouA=pXSrcbR35|KZwdLn6-kOcZ+v!{lV zk7S8sRIDf$iYcVX-2tV8^U*ry7da|Kv%rCf>l{a%t6OeEBp&+}N@*n({;bA+dzG@m zt2jP4>*DxPNTC5xP^^>0-HCqu8xEXe<{Uh<&r10W?SoVcRX$bi3LZz|F(-<>&N`ST z)cq04Cbzwslxi=;OiUc)b527NAZ_9iV%0*~`sf*?3Dg!mp<12BqnHfN5x&IrNTtQ! z`%+s7@7Y>g9SxSkBVWC?^6vW=JCEGI_O^Qmi=EH@{MyRBoPTz|@%YB$`TQvEa=vi? zW6yS%ob@Y%GFkfXO78} z0po+ZF7LhnjdSI4y|Pfhajt*A&WpKsGx@V%#T;!>GB>Qw>sD;Vma#Y^YexIiOxsjc z#-bSEQ1(+ncWmW{Qt?*i+*bBdfydR%t;eDhlI zQqf61;lhNhR*>81go0%jz!R<Yf7Tqmh#FA2-{aUoG^%U$JL{MmVA=TErw=&d;YLY_AIre4>8{S z85FEsu=pqpDFb)W0YA+UoLsXV8thGAezd6H@=wW#7NXj)s;n;)3Dhz*_CPTvS%p|| zpwEp(1<4j8haMk-Ta=+vx}QcdOMZ-0N7l_{>joGPo4HWUWjhE6z3NLf9 zPb?0MxbW9$hO)9B&eDiTcC*!2Mh; zwQ87F(=Nt8EmkFvfrc}#@pzsT=eJcW^>&yz)}^@Mm+{-InD^xTVI`qi3@gkSlsqrM z-vNU`q#}f>SuX?ku{>3J-OV9j7)K*^`*!9RotmOp(nJHHzCCc<03dNeO;pEw@tm6) zTr}YzkQyspc&5Oyo)NZ35Kb+uYTa%RS-}Gf$T<_a*;HTDfr_?)TXDu|A81^L1Bh4E zWRd4Wkpd+Nu}je<+)!G3WTA{|^@dw0tCT4kTTfA$%)ad%)h$t=9J+Bc^&%i=zBJhn3I z+ByRQip_Itg?JVL;kLzDm|F28`q)nOH@#;_W8MJ&5JC|jktb6 zs@=E4WJ6{FBQi07b;It1Y{Tf=K8{6=@TcbU7Qz?*cBBqI){LXYU zZ2u0If-ulFITS~U1hAI3YKQVDUo-`Y77=#|X%57hTt$Qxqciq;CE{GA*Hk{q6v<&s z6mz!Hkz7AZIwQS}@GB&qM$O5t1yW7b=V~N}w>JX!XbpvIWuS@i?0@4a+weS|u4l7O zS5QPO-Git=k-(%x1UBBgEyN%NA^1TFmC1EV2b1L|D!5z|_1Bt;=U8H#~oVAc7RH~JMApz<2z}1|RBb~#S{hgzfI2HU!%83%}LMhq~^{#i7 zHjH$R5rUFb#XrwI$~>lP%S*{EvgAn)6(GDz$r;oti7NxA`cPlu7^3iS#I7+2jb+qB zR1u{T$MyKMP6#1cbns!wnWRpw`pdtnMiq7CJ!E@Z1ri}x?D1VDFG|8gicJy2LF7V| z$@W`)E?;RkSj0&c5(*uX4gu15TI2$JABg}t7eO&6F%`)#1`0U(#=2g}Zy)Uil|xq$ z8N3EU(m}VRc(jDqE#faX+VYMkt^$X5l6!=1^Sj12}^Ka$D4` zRS{@U7bRWeQlvmq5vUHytNOu@>nv>RNI0sO1UHma!u{Oa{8B=!Um@z4Blc>v-1@}7dIhUAUTOT5cbniItH^B$kBr& zy~BZaRk#jU0kgtGpoDq{eAqBmDZNBr3U%oSl*(}RYb$7jE|t`0o6i^zi}>1I5Prx9 zY6E3F2yOCz`{3t*(6yl_HB{VCgYO(!7b&Pf0E@1_&)%z|+{Z`N3c4(Q;x2R`=w>lU zeXeV7Y%PMyK5Uj0b5L;wh!zD31$FLnk|HN4XID>3Dy$GCavc(ZPl_DVil;f{>IhrU zwV>9BS?7YI%IOP76*Wo4DSDu0xU6v<)w_7Bcn*P43+=|o-Ti)1@5^3&PiqE|3THLyJ_yx9l-iFovwlRM`rucY_ zSMN{FGOqYwG`@2OlhBKH;aar%L70h#+*zlsoxf)DNeC|3yIFU{ZyP~Vw6K}r1U>Wo zVHoar?f1G5gxD;M;s@3r2+yxP64R%%ZAT^-hg@%-TNQL|HTFD4tj(TW{bDc?S@z20|&B*C(4p!%U`y?=vQV656~ zZ`JGF>J6+X_BsJ86$ha+W|Fx-xHP`Os%1aMbKR=B(vJm87f0~{3R4)6;+1Y^cV!q^ z`y3~gzSJSUf-k@_0=31h;I#}c>F$PFJ6-1OB5|Ni%6iaHO}`(;#^~u%y*}PAA~SA0 z+xlALys>X(pS91fpX)9&ofG#xu98_@pRqr)X&dJ{7aG=Vdfo0uGhC~~e217-maDrP z&6O+nJT&I3wIFJAm6>~;@9%G{^sl_{p^gc%?t8y3gEkW$kbTdspr4b4(Ol^7q~y0jd^9sRYfosW&}gZ-6z231NQWC4GtbA5ae-?=mjHh!}I&b?Tp z1AH^(piY0`ZhDRmY6QNj%i<-lJM-O2h_2tHTPm8$Ld@Y(Ah_yBk91agPW@73QR>r;Lgg@*6UfrD9gQZ=Zrl#=zZ)4ozQSFxcgjpr5p|xuV1y5{yQ%}#G=ef z|G~wr>z&ZVJ1>XvIINU+ULGu4ca{C%DcPfcnE`G=zw%(*=|T&O)}c7J38H$md$qgT zFSp>)_$i(i=C3~U!7EqhI@W#a$v(W&k0WM8+uPPZyW2Sv*EF|Id%W|WOP$UqKj=KV zzJZ~HNBhlcvvS|of`j_LfYO!nAuGu%1bk9Z7bbpW5T?LVyD>hK0 z+HPKN_E=>p!ahQ|(jVQVwh|uE+IOs!_iQmWg_H2U$F5a)B<1@$ySp*6t)?3+vOY3@ zZoIb=i{k1{Y8`GB`{Pd@GSdU&=LN?RE-pZgTI=cs{=`V#4kQ-KAsHCFfShV!?{ZxsC$U@Lbn?VQ(nW20{Osnpwa7zw<*|-W zkExYXeBot-%!Y2bfsv|1Jq~n4myq?qeV00{Wg!^_h$7XbM4ff)(SxqCV$rLRCR!KC zsa7LPdgsSoI;i5IWFi>?ENIy_TDOw(&HpxQd{jhR)pkB0b-WB+SHz9-yX;?B8eAJ6 zJVhBoSViy&t5u}Be5ctyEV3A=#p=OmA3BlYxG&B|3d!H2Aq=7Bdi)rV=4qYlH!BEO z+{*Xa;`}^?EorKs1cee&-8pB*qw2TJQ!5)+cF%QJg&>RrrBxmi$;-j#LDq0q+iz`I zNbm@sVQJaR2+<}F!_NhHpKtO(J`SKZTDq~Hd9FDLpJ$8@8Z6~9?E&AS zR^C+_dn1Pf?IM3*HXh%=1z3#ZRP9GvH9#s~c~#7x+vcf7ai+k&1US3etS#RC@tg2@ ztzZdbbu~V?IQsaxP5SO)E2U=+u*;)1Muwl_bsf}b{4iZN)xtRzIRkamw=5gaR;X54 zy@b^WfKHR`?|YmMJhqf*z;x~D8xlU!RE5Q?nnZk52NY9VRIBT57U8^L?4$E z-ULucHney)XI+vMD?(CSIO}DIJ#cr9bMdII=MR6(kK)h*T=A9+V}yH+i`+h_j# zyR|~Nf9B8Ms};lN&)lx{#?KM6a(MQ`iU*5l-?V?`2Nwp9{q&obpZ%pW{MJvetv<`z z=jWbSyS@Ly;@QvrJa-X)c>m1p)N=Od?$7O?S)n%aS+1v+cklbECACCWEkF9c#@9YS z_bFCNRm=0f#_6SX{$b8ltJm^Ez3%6Odi_nTeU^i3&%Nm@zK}+YTbfJA<}*}_Ay`2R zFNSqy$Ey45Go!;I93B>?&x`xk**i21*b6h;qjjF;>^UY+p0hx%_0`6acIWdjwUbqr z(dHB%9$FF1&Za}wBhiYzSd_}Is)YTP)m7$VNA`%NcRXNYQZGU-?{b3YhW5u%hvLY@a9xpcRKrYt0;?Vt;)(_U2g|t{18V4;~>X6ZKanx&x z0p2yKe!=!D`{tEUF?So`Djqi@;rl*nE9|(|%5?F5V^9k%Pf7?9y)#8si=`L=WW$5h zbML0yvo{pOYjmoIO&Km1y!pDkQpLseVeTwJq*|cmWr&VzpQ6l!a4Z<= z>Q+7xbESOzqv9-Ap5qfZB}<`$F9; zDuZMki{{VRD2z7YFV{)IYbt$I3+Qt>h%G|mfozDNbYh4Su;^}-1I6{Ju!50fl~1a$ z%@XGu%pVX-Yu-w&qQ>i-r~9Z9lg-tWReCR3+nl?fc$nM!ImawWIQscPcX6}dUzLiN zpl#Q7%YsL)W6Fb*0FuZfhDML=T@-K~oNDRV8K;gVMH;Ahl3I#CXGNi9@jCD-JpBX! zuk*Y{JbL`lHlELu*yXlW2hjO_&+6G!8L5x*0VXgKnN#Ld7)ppZH4ahJqsJ5x)O2)U11`4(2v8=+fBXSm%Tyf|QjWqwjyN*aN*O&VA9UQv zSM_B&9#R|Ms%_X7cF~kA@{l-IoJ9}?YeTL?h>pU761u54%6VxVUV1`W=lT%7^aYXt zilmQd6O(jpTL*=}$H@vx9u-%E5YS};>3qsrX|YHl*AXlp=(_0%LI1?DcibQaHRH#5 zP*kiRrI9KHWx^JZa+RLl9uSApzMwtH?eVw&+^qsm8YHS+%3IkMbzz^6;&kiM;HV5_ zDKP7D=;$fQ z&*&_&2>F?kLyKH&B9Bfn)ixKSYTRSgU=inpA_P*Z#N!zHNk#zbyy6r`q=TAlL?d{T z)H+#P{V`*|Ke10>WRPQLv?G(kp&}}Rq4**ug@V@W7{{PO#CpV0P+=>A{qh&v>pW?& zNcUyaOx9WPpg7!YZ{&o=?Ql4x!cu1uux=oA;sF%?40yo0Pb*MKOX!i;wit-TC~}$( z(U|zUkWwE-*ui0hFxm<@WEKiak*|)cY1e9LuH|TC!tR ztcqO~DT(cTzWtj$97xC|r+4Sq|6ad-@Ad2d{p%lVY?$_{p6@oLB}@tfwI&S>M*L)x zn@pR$m5*|%BIL-Xt@}Q~&|-)I{bWFJ%MA|e7tB!vp)pIHrPJvu0KQ!nk{oK3FqdN` z41mTIOJEd&lyH{_94ZG&rX1eJiF9It;#3IuOD*SP2m-;O~KbfES zCBl^gQuj!*fooQI`w*S+QY8gNuvh45;fUt>d^t7{!okn~KzB zrpYw0Y_f(&5^%h6INfn|}0 zok&oMco#U2+z1A^B=c3^Dx5^4FeVIJs5ZXs3nVG3YeYluAbyRn^W~T(?fO&Je%7W}qWc;>?&NE|_3OP(>Oo`& zoxXR{g{NwKKCeJJ+&H@e(qZJBdhzdH7WXKDN$aEqlmi-N?(ytkbWryfgL?aw`uGU0 zd0S~G-5li+@~EC2ZoSy$5c1B{h8-KH+`T(lb&gJ}8rl8vvEF=KA1}^x$o9PZ${Rc5 zPraCW`M&dZ*F(0|$#pvXZ|Jnn<@WYN)s5}#?8tX(5Y2jz+jpfnf{x=wZ!);w;?24H zV}5^+n$GiMeiF z8m@O2*nRv*?1x-R>t{QMhwS>VpFKL%mf3o{6Xva*>YCceB}?&4ySLVY z#pyKg_M;ykO{Z5$HGckpOxfeQ;TX<5`N6&Q_ISUwyK-SN8K;zv;#rplY5bW^bR%~E zzx~W~KVAIbGp}}z_WS*ZpSk9G^UK!ow_t~S6&G>4@aZpD_wimx6aRw!*o2f zAG>$);AF%Zg6~+o2E`lWY3JyLzV;pMT#GmG+8roof&8*Q_CBi`<$~m z-VXmyK$%>8PmhcPfE_%;2|y;&zXy7LT0$NubWambkhs~+g|T9gqR zWP?HK@7t%g>L-R(=CniW$^NjL=U1Ve{c7{AmX96e&hG66KJ9fX^)IF{JvxA~r2TBI zy>Zs!HS`h+F{u;u=}d?&x2iM7qw0ofAhJcera4 zakR*7hux)1+OAKpptSMosI{`Uu(;+uWi;t!YdzdvZ@+d`9DVlXct}%yB^tZn?A2up zoQl|wUtpJUcxBPKxz>8}Ve}!Mx>T>X**n)8h>^ZHQ%1Z$eKhqKFOECYBdYO`vl!4~ z;^=>U(UAF^AwaSKM=*pMaw@{ij;!0lW@j0=^$QPlj(7w3Vw$26NO=er@2lCh&G6R8R7TWF`t=Tb z!DOK`Cv427Wbnviyik1_R#)z%Ea5mVEPCxYt3M!fB$EbMk=S8M#B$v>ClZ)-wDh)m zj+tcC#>IRz;>uF(=O<(T`n513MHPGGzCP3Fz{W8@xRp375T|N_t1I2bO=a4B{s5Y& zAJYXn5w6_&G`KmQ&?1g7_A{R@TIWWoki-F5)U7QfdpH9emIiYw9gVAmW9JAEXe&Lb z3G9w1L+hxEl)plC-@*f(Xw(l$50lI?Co`b6q6`)C>d`ih9h<;xVAng@T>~hkOl<^< zO~Ij$Mor-?k$Sd(7D!wyA{N=fiCx@4UU}aSksF~Rsj~1NlNI9{q?eGmq?bB@)Fbxo zIk%w1)0}0GC+ILG+=Vxl5g6hLUxn)8>js_k*eQB&)sy+F(?~2ifkw21u;8uqlx9bU z-_G&@N#dPNR~bG+pv!4zXxFE4TJKyy9y){L-17(9029AhWDy>bApVM%n6vo}sEPP; z=DqU!lp8sv1X>7JVTmC^VhGt}I2I&YkaET$bXcHY(3!zvqyZs#VSza7bdWA@hHnNA z69I(6D+@Bo1qn!Tz+n&$;0}UXSa*hEVZftEqK{rCTHOnMqLLw0WrAH&Q$&jbmSXNJ=)uUM%V>wRJ%IM0WJlkw&O`%hvY_4I*P?FSo8(P6iYNEs`(!Y&C?wP#d2t9 zO|iikW5W+hSnzhS$a7rehzrG`%LH2^muAGQCO|nwMBp&1fIw_4ltLjze37P8p+IBd zXi}S?v%*0KIAzk1LN#r$<|s|KZrc@FVkeZ;4x5G;ffT8VPYi0Zw2LKwZUPqe6Te_S zo?W2=v2TChDm(7%$KMwiw=DPP`;RsI^a}sXw0{2^#pc~y!LhIJhu1!SU(oKq_U-q; z@|lCW#D0d@+o9!eux{yH<+7hI`#!pewSwjNZ#7ts-zr$j*#Ppq1^wtUm1sI5{88Rj z{@T*+2+L!}2 zfAAU7<=v9FW{l3fQ~wP1c4r6OSNGE(BfHI z5&R*i9Fdtz_dI2JO&o&WyrX4Uf#C?K-(BUI8Zv^}fBkRB+7pAjwjPv1S^?z2QA;{t znYsF3X31ic*<`pv|&Km2FXh9*52__$SO_LCiWz24q0PNSYWxXq1tc z4b5tTI~F2kM6f}vTESvc1p;Ik8`LE-QZ$J+I}ql93icsJsu=2i;*)`f3REB9vgIGE zs@|hE+e-1-ynWibKV_i{ah|5uyDgYrd(aQ#>OMl%;yAd}Y@`T_V{+vpn_cU<*W+EjUO9H|A;LgPdxA zxq74L(+{A}Z=R<;yAram>Zj~_c)_Os;!i_h&CB}MOB}z6)fVlKrMBZJ=sfRlMKs@u%MGxgE~{ z$x)Ko^0|6qZ^WGmFCt&rK+u%B>f}sl=Kk%8)w5hLC`kOzQF{$@H+>klEe(72NSms> zy=T#Q%d5Ye(6x~b0FJDAS^7=Z#XuHGlPvHgLMp)%yMz;nv~-?RtmC7$#_K)$K-{Ps zRT!3Jk{(bjo!~*k;s9j;f6Rv;h-&bIwh$?LBcaNl+9FrvH{u6wIR(L?4dE(4JJ=(D zmatLq#f?IeSp8eF|LbN6RMw6aOcAex{^(HmxtXT7)dLYCSn66H+rGjWN>)Du#< zBeCMJ2nuyw6oF6i3q8F;(=+1Agz?BK7tFREn7kApS!6N-Fe=z5Fc1KiSf~run3nwr zyDi{`IogFL@cU)qUcquDYaAVZm|cE^**uFz9?ie9jgE>+z*OB}rscC@1fBEJsYW)J zG==iKPqE{LE)bBF&o~%{;BL&V{Gl%=A}7h-7XM_HqmVJuNF>C~1P12vi+@fPD&udE zK2==hQIu0f+?bj>DT+&Z+b$o8ppQiSw6l?zoXw(uqX^v0(ei|!>EDuqr?$uvGGkAK zjWQ2$%V};=xg2Pf6d(w(So$Y9B7S~}Ux^?W1vm7Ln=pKWu@9SZmRKXAM7-m5$%I2O zBYp|wdkyB2*TfST0OVH;HvhfiRh-X@MqOgYN6*01Cb?w&DP$}fAruoqjzMNwc9VZb zy+fPfNvX62t~?a~f*v%=83FW`IvFBi<3a-tVdUTJPyMW(bcOt2o-EZwDWsT1st9gO zh@pO^slJ7YM%6=RV#p6gpzy;m z$m98gmGThCz>F5(A0GWqyGpEGc(iC^H#<*YlcG8Fn!S4wGdXu_Wurde5+UIwoaW&`2U?tJr6DpI`bWDnK ziA7tq1ziaQZ$U-}QvA)ciGs>vNEmTsei!%^Q|7W|NFmCI>oow1oEdeg1U4@+XWST{ zRB)%?ws=g!WcK}Is;M7tr6hsCs2|!_lZHQfq1fh|yCI*opb^B@eYUyE$bEY^+iJED zvX4~n#lD68OmmpS-TS!=_ZX8-UCsmh#gOf#Ouyr2+aJ&57$v&Gh3i@V5{*+uS~qM( zy(U%)ulh?~bnw4xv-DDivNW;zu^Ub&$*yLrU&_qXkJZB_q@S7BPrj2Gg7bBHIFObc z_(#(|jGeNj`XkBa(dpnkT6kYQ<79W_yWiv4p)3F7XpgprIcIO$QXO|rpI7hg;m%a%N zt0&owd~zRKvIqPDgQ#sg1SvNB}F=MP1q0(3l16dgNOVTIB3Y zv1EiHvk;iI+lvK&X`S-M=4H$2RN+u?jKQ`O%GT&P_k^U!osAw^sXW_S2!Wmi$5f8=1zW`rP6s zc>%rHCf3@Yz0rfFy=QLRnETYfaCw!xN>e{|r=2OOjw9Ph`?fJ-g!>2F{_Nu2sN)SNKfIYzh12~B7m0a8l(EupV+5Z=4H=;N!zH;9m!CP9IEH- z(2q7Qj9>07#9{Zd#A9E1K44#TTw4s5jR_a0roR2yjm4+Xr7BL9*9LMBM;q1Ib{mc^ zUbx`eHM_femk-W_HS;O*iRY^c8;+!}d1{aR4c)AW!dciNljktzn0@l8 z!x)YGnyDcFkmajgw?OSwNS$rOTR6golfoJH6zm&tBo6wzfq4!s&g9>LlSv5uR5;oh z4g(w!+qOyPP^s*|4!N)SvQ4-RdWS;05k;$e;AP@b&&rW2g*00Y0op_hJ>x5jqeg1t zsP^q^qGj~(GmE?^9L2ma@=UMfXrx+l|N;J-5}VzdND>RD*^7;$13{~{-5E92VWlf!2=t&{y}VY6(f zlj~ZTayNc*5a3y9a2dOwGd8Ir*fpolIYN_*vJ*-TXDkWr?X4ahi6(Z;M3W`64vRm6 z|Ba8RKitw}B^n@UBaKletw>#VOzixI!nqsSTX&WZr^c?_^HcoT)FboEa@Pa2#6n22 zI8$nRfX_#k%Dk;#7goge`KY&QIlzIR=qnRpy=d*IokUGFjNSjZnADjTj68wbu=b5C zTGm~Rb?#prj@u4WS8ku3pF;C{)N#KEAiV`k508te=y%U89eHr zy4P-O@#b^)fllWXsk>cAo;!D_cD6=OTpV{7@dfTeNHJoM8oSl_PqjEu9rB;}4{i4Y zaqBMbW$4EC_Nn^f9o=@jZq*k*Nc&Q^*xiqWb2wr}_NlsprZzV#*d1rjzC3TW(kEu0 zjd1MY`UD|T=&_2s_i38`!)-jLn@;4|^Y z;i-D~YuDoH;0IFtK%3Jd74P)3=|np-lL+}AYLtm^EHj%fZKFahIa1^a zR6+BuEKA7kkAx@lldY;FbAq&ZZas3wnSjPlOPi45FSZ^POV2OPsnlm(vuoLTq&a2P zc@z6B-c@EN@D1#v_p*oU?GA4nvuio&a7Qxxjgxv?`;A8j|CUrsY+o#IM6)0Ho>+5CQX4ypRD9=it@nY)o^cW>qE+@XA3 zwp+QHwzkmrk9+$Rsb7EC*Lz^4u~l)c_^`DVpyxi@>cwQc{U#imifL?y@x>o(e=X2i zeBpm-V!z=@C)ZF0cEZTIcLl%uAFwX3zqqAnvMP3o~T8Yh}EqxVh$Xkc65cMd z!cDAI-zuAI*jS(|^jf>eEAUvj5KvUV%m+uaAs1eEVwj<6@;9YO2P(gyE#r8leyJi!+SwJ~T{>T~VxXdBPx4Nn_vbAF5h>caRq4K8 zASvz&gg`NAVW?I`7&Nb8#Cp$0&{a8mbQkZlKB#V`$(oxU)k%>Bi_}5$}HYbxR750WgKPbdOBF* z=kdhn#K&Eg5WxyjeIkX)U*Ks+0UGz~bYeC924)?vglds0yc$UJZLl?+oX zHtlTIIoYvl|C`EaitsnxTkx+EF@~nv&cL4>>EDLtDOU?=H1i7UXUhOrqEYh(&xv3ueDbn*`^2W@DsXRfQ5le)}Ul>>)jhNrPAISp$fGQ; z>gh8Di!brRq|e!S_qaHDG}&cbG{FfNcINk3%Y+s@Sz;V)a8JYEL?+P6NWQVS{_w{MBjPJJV8;b#%1ON&lrK%g%ZYDk$HwON zw&DN@CW+}RM}jEoYbhFa^bKJrIO9anr3it#Ws+QKd+A;1=n!KQS|M&p?B?SYlXMR0kWU{2zb;1piC zSjcC&JZVu1dwEoX5=~QqS+WxfQ2v(m-qZv<&^0eaJHPpF6d52*r{Bn&RRt^(ZaFdvb`4*ektP@qPW{1VIUpg3cmn&M%7q zib$uAP7K|_iSyld#GCm$p|vO%8aK1@ik%`u$mhXLF`wWI4UT{O>Ip7k5mOsH6SNeA zC1|6y(VZmcvE>EI z(~Jke@GhnWfQjXK@_?Op0-A;@%*rm~d<;w~7^3R!E%OKWH_jHr0k|iw4@2F)-lL=E z8&y}<`eTN|zBDJefBDtvy8R=v&Bq9Jq4%Iy=e>u`U&c3p9bNu3Fcp_YR zoKv?uot>OflN^`5PR5rC761XD zva|K*2vus5MCnT|aQv zV26~)p^j5%B0{}vNfl;94jL@|r*S7%ocn!?KEbkCL_wM+X~vF7E2}r*mPz}C|C^8^;&dCyp#;A3xQ&+hPH5x0E$-b> z=^byp%`G9sTT!<~+zKm>c;Zbs!infgU@XtuA8F|k-T&rq-X25Y%J_GMy$hRSmId=} zp!e|I)(7VjeUh-`62C4*1M*IwRz74-q?>TRxAY=0@0fq_bB)AGX7QbHRiP6CN@!|& zp?8J7lS8^aB?)8WUqUw=WWrJtUY=8)ap^CO_|p9@>)rV&itF)6onmz1Z1- zj5Mbun{OXKyI*&zG&>hQmU{t0?1{&_VSIh3*CFT4Ih{esul|UU4jQ`LB-W+x;@AzX z2`hJ6yR~xgYb*?5=$9H z(cPHHpfN$Hl>~rw4f!PI(1el$bS5Anhm}4eaEDApS2ai_fCA!3yCYh zu+GNDeIo14NWzj4jHKe0zc}XhzcXe4y3ceNi+H%>lWT?8WLlXSW~gGEkaXfM0aK0b zQ7i>!`xXcy3LlTYJzKnUF|L-*8;Qr&o;@%bBO%I*We!x3G3Hn(E1R)wnr)@xnI)qT@h~R*^(7wBm-VjMsdQBqKe+dE*sA`k?b=im&*k zaS8xLqn)KuktF^wfCnJ(tVB{{5>g`D5`T{ffhKN$a=-60sLjvIyX8gcN*rC*UkoISqwdi=yfubOaYL>Ct7y|x{@4r|UIb?6#jZ8%?z@5-Z1$jPajw1B-wQpvebmKmkX z*q8+wrxKC3K7=9|ehbWic58B1dHD;xqdMdDZjk)suxEcSJ3j3|rZgRV^KW=3Bt+JB zK)zWYlSvdx4Zujgn|Zg)c%{Nu$2QwnL$hO#m_4_Br?es688b9hckr#Z;D3PJw*aZa zuUa||pyZNEqmlE&fiA(IL2=!N@C{ZS?b0GlM14(DFt5Hl*R0}K+PA5|iaO@s>J@gN z$1LsgkN_}@WvP)YIp_pqq*^S^ay&wP=F{)pC3HqAu#SH4gFTgQ4?U}W#$!6&A``N$ zNkLaN%0vguWowlLG<1kuRax=H%o|K6UIFZ@ZeFA&kyLP*K!O9I9F&6(kskio&%jBc zrjDhlYKx*!ub?6GC2sNyH678LksMqxYKPNqskj!WL!U;`#3G#N*0aq$;C ziYUe-W>;JptU1vRlRG~<1h5htOB0CUuqK})$VLP?vs~X`v>@71EY%0@lSLfD3FQM6 zGvZv}eibqzNP4Q!Lmc|YiV>F{oX^GghRi0^%MDj}0xa4|am=SqUp=92KmRu?ZPo)@=vx(HC5thd5#6?#RMA?`rL02 zk-!9HoY1q=%UI8Nt*F6p*Vy#c4h&G8s6wa1U{kJ801_n-Tl~UUPZoQUg)HXTly=%d zp-ck0EGWVWYHD%Lq^a|oQH6pKGvbFl;H~w#zGNwL9BiiYqK+n;<{=D>N`%=Z)f;|6 z3W#h6r^xfK{aM8pE=3kb^AcqaKR&4n4VyvIGMbnIjZG$L(vt%c_1{D(v0QXl1ZFZU z0SY3e$R$A47mNwj##f4dHdO_jBvTq|u;4Jqo-lAyRVLPqSiuXCV4@y5UR6v$l=2c#@<_P6Ahv0kByb^z zCeg=`0;l|;zEYaH5K4B@)W+(EX@#w6*|@-`YJ;K73mgyw`5Ut)D~WK6e~-;%{`Sa4 zLjrzEm=vcDfbPgHDxCTSF`{K@a)`+u$K&TvR= z1~Mbgf;Lg0oRPpQRuaE6e{#V zCX;6(kFhG~x|Ki?2BMNrNKJkO5#pxuMR{ZjO{Iaj&yDay6lgfsFOzT=nzKR)OHGc7 zrO8xCV@@2C#t}u6OsD7)xfEaX2mAL?v zhxALhkm>LI6j>EDEDIGQ68eT4;&Td+R@0%7UD!eTcIalB~puxWad;+bKZQ~db6i#YPOF%UucCx-LA+iu zcco|8wzG-(?;ff#MsR6rxudpiBXNN@2{`ZV_OS*Pc zw5N$JHlb!mQ;ifYPN!w*HBN)>ZL`?HCOUQob5?1fvICaL3&J%`FR@#7KcVae%E`tL zZTM4FY)-F;=DOBdnlPBRVl|wE|MRa|{XVzu(&H(8QwZODiF5CFu3BsKkP;#2jd zjlJthEPW0T{wzqloS{U{3*t>QHHR#`Q z`K%LdpO`#94SoNVcRQa#YU3(wXX|fVe)Zt+s>goi<<|}iM+b*2 z&)VncOZVN}g*CqQ=6+E(&&6qHN?!fFNzegxaWt*D{q8dMDX#fD`}}I&=H|KX^)O|T zexv(92&Y=rjL!^y?|;FR&TVathA3#*<||tdQ%1E<7d>Tkcz9{}@a2xxbMDr=;PT;g zbn)S{v9ry+Wc}_LakR0|m^%6X?uvbi??NR8nS7ILI%SbxYo=?k{VtS zeaP{oE)5=4!*?IB?KO0mk5nC>ri|RgrI9!a+m}Wc_EyolOZao1PI*h^0t2pkG}^l` zol*@?!%^Utv(x>`wWDvH-k(h;1K;=e#v9c5#2MJ5!_n!7E5{l1OT}JfaWu)(%y>Jt zX@j7gwUTpJ#gS?Tmp5cOXN51gdX6#*N1ek_|Ka3v*jH_{9QZ!riaYZ%x~y~Zi#Vl> zs_sz-PJOl6;iP*-CiV{;c$C7D`ik1~@hv=5-Db>?p6m}dr*$h0FAkBSZW^mr4;F{4 zVXT*A(uhnWW~FaQGz1~f>37^gFs4$&^~oZFcX+lFXh23oJIHwJq05UJ7pg%M&ENrb zB%bsBYKk>f>dgsttB$g%*PU}E+#U$JQO&uwv(nIX0yYPwNLo%SgnU};#2)3$6>9_{ zCNW0f3hm{5p16@NFK*8J30`j8Bz$O-HuWg2w-KQ7h2A- zEiN)p2~zQ3&Ms*BIM9bUBVY)mP?H^+HAt2zJLGUhk(es4LMyM29AGEHXZTWbZT#eB zF(VMf5hI@3GH;&U7^;k*g?Ks--5Dp2uxXBS&9^1{hkfB0Y)+e8c;eukX_$(}pb}n45 z+Bv6+``p}|tk-AH-$)SaCW{HmGOqFh9bI?p6R4mJxJhgdWwBZy5jg1}WoV93e(X-X zDb{nC{@I^gaw10Hgl@Lj3YFjb3An5xjFef;rzR7NOm!Mo!A}T@;}pcf$xC2;aRt!Fx=ky!4&nHOkO#I8l-EB$rjD- zmDvJuR<4>&P;eNUWf} zc>W^++j8ED)*yZadcsLhABiR~=HUrcv>-LaY%2juRXnjgOQPcBAv={PbLj@wTXcj% zQR6Re9+CQ0LSl#ktWhB{_<1G@ZU0}<Ic7%bDqyU{LZ)DxKXYB^kA#JH=+C4^k&1!I}4wo(PLCp?rD>KU-RC?$L|a2Qgct6 z-gw})H>EC6Z+wG&cL{&=t@VFj*ucKT^3z~B*0|W!dfUJ6XMW7qa`JVr5hdfvBC49h>MF9jH8pF;v{NSp z6RW$`H$>JV81W+2YG+>LCCNsD(PUhTZEUsAF%EZHv81Z#6p$?Q-H@%$dCOfj8AoMS z!#J4)TVTJ=*fwWw*5a3^&VBJs^yAp!u6MuoX63FPQ<2_U_xl-p#tCd_VQ`#1m)^Qh zQow&y6aR3)Mp^#w`1g+adOf;@C4B>Y{DzP}EZ|>rMN=;)DeDtOi#@X>)6A+lD>i%7 zrgLXEzr|OJ9PRjRn_ZsMHRK)08L9GB?2S+lQWq>Nd(yq)Mq?PXlW5$aeO$ zaH6G^1WTyS2oBlF8WHe=)wVr`fHDWEiFyJ|yiO9N7cz?s0g13agasB#$&|4{Y&1T1 zopq-)^)pjDn+~CCm~pRLB*~btIECOi{0#Ce2%85zD30Ix^MDof37`^R+CdNm`QEB+ zIx)LxXQ88GnKaOYK=~mb{xyv^pMw0Pm=lzZLd2`z>;{YQFgy^@cqI;MIQEFQR(N8|Lp(WXgWir%%zZ&@&_5L1(q`&i9*4_gi;L^D> z)OQ{VSCWh7BN{Cw#P0m0?XI|xZg}hZ$6EHjNnlFQ?K|IL9h`FhexxA1PV~mX@nZT~ z`ym^&&2KI2vt;m1P`!>S`PCH$&-c=VJVjZ3r@i6Gx^BbwK7(UUQryfI^B$L9Al1H2 zV&A0|%J0}{v2=dS-9K`?!Les=+pg_wATd5_(ew3Y9rh{JzdV56Z) z>%<~&sFNa~d1HHl0voH2)Xoz1nL5_<4B_sH(&^-^&8#ifKWdv)feou%*%wbKR+jAw z+XHzA>b4*Dd@@=IZ1hY@UKnTE8xi-CHtXsKD(*lV*^#<}DSi;vbKa8x| zg#E7VpQDN3zCJ#e2lo*=D2|k`exJd~Ej!N71F+8DNNact)>EH zIZn(7MNcCvniz zQSjJ=Dnb})`y@Y_5d5*BB#XUHRjozpgp?S5dg2NFj(NR~&zX~$Wg?Jjcj5ejqBV)Y zx=WKm0vGWhjkryoNHCV1QR&1EnzF{wA=AzGaEqI*_bZH6jOgf=D$NX<1( z`6s_wVOfoIABPI!osKxu-ysT`Sy~A7J6u31lc6fFBCJ(}9JR9f(-yj!n1xl_d0{?G z6Ck`X1dmO=G{BpUtK22(BGimvP7DB3Mjsrmk_)7mqzBF{8clA0=4*A?@4}wfU&R!k{T6K__U)sM<|V&4p!1IMz;T=g=s-q7EIb)>C956sr3)uQu`z4dfMCSQvO$O( zM=6A}3tiE^!7li;>rBaAI85$_mP&6&Mi?oP!r$l#(+=j$uei$-Tp2fV6vpSnsu!(d#Zt4XWgaCbN~=JT zk@81v;FQjm?C?gM2%M3lv_owz#-ZRe>oGR6MV=}XVJVn+zN)@Q)*aPD>Q}J z8#_XrDMdxfsBGB~(cnTwiGEsr0UI|tRhy;IsD)u`ZO%yPSe*rmiZ%&%$uRQG$ja{N z2ps`;;!(KXc^K_7=u8@^VxlS@&)xD~p@1@s^%>Hsp&}0{k0{cKN%C(@l$FS~OWK5c zx5;^dlbn9|bFyW``lbpi(bT5m&jim`K_AeZ67mpvI$*AsyvQHC*eA?8K%a`~`apdmFt)v!b zqZWbN5iCksSDQ4jnIhbVp7#O#7~#mVdSo*Rc|qW+yk@Jo)q<;fi>z+oF#f<(lZp(< z*i@J)D%&1eH6`kP>)%7g;I7;&*Dfl%0w^N}o)!ms!igHG?IMIa;feg9M)iu}0o(|h z3czDiTXeMTJ{R5z!VndU&7(L-B53Jy@n_t*WQl`(ATmo7ltbJyMQls68D$oVa^$jX z$&Qd&v6DO^>iEvjW3Qo>Xd2R>>?xP1H|!T~W^;S4YFqfluzQci(dJDiC3ZH=QA&T+hPyEG7ec?Rr|v(D6O?Tj&bYG`dDs6(WfyihRH|uJPolDney?$obx8JZC;P=%1>pNR(z0O^` z)x27J&2HGDc59nEy((VkhU>#lKL4hh^^VVG4gtP0@60TB_s`Ea@^-JS)~>3~z*PJU z=UJYz^IPNU0lS~q=~*?34@Y|t1$?jyHyJ&!_|qfQ=6qmlx7u-(1sHnvj}ZfYd*Jb^ z?nfFlI2chDPbD;jf*w%R-;EM&So#mXgdQx6ox=5Mx1&|Op?cKDK4OIfe=OlFm&-Q% z3bz^l!MyHOZ{^S#rkZu8Rz1AX#3rB%l%SPL{v)OC7CT{g&f$Lx`{_C3+F1@EQKc8L zRi|~JUSm8i=#EmcW(XE`I@*PnTYhMm68;u;QZ8?CL))kAq1E_Zb$t)}NAcV@r%M;o zoeX|PPwow$KYHy{dXiht*by8(xy~(T+o$T&7lwCEx48jny*e9vYxU{L>}SK^(bQjE ze8JKW4ac9HzV;VKmt6Pr(?g(y<=m;d$SIjb~^r$ z`Gb6SJ^G=_exB5Jdhg2TOX~E@=O^21&~Wz7)pOA1&VK&ob8G3zYP{Kb?ds^sD)RN0 z{rbCSv%j4GlkZ?hj7^);XR(LHjcUVHb^ysUJ>P+o{@(b^(arsIX}x>)NHku!^6eRp zHe6iooMQ%dExYO9L+O%>KRq8k!A)Y*`V<^h6Lt)1I5;@!tr`3uWbSV}&AoQh!xxa- zm9w3td^)=0=-TXHI_TR&H!_>K+{TpQpVV+fx711(CI`LN=+e47d!`5Wy=R_(8Cv@( zbvo0laAuwG6WlK~d+U1s*MFTRu+1%1?3?M^T$f;Jlih{>u)lZx#2catT%lxB7q`rHv`?VG{xT)Z%Snad!! z_3iq>e5z~lW|Jw{E?%(qxlpj3Imdl&``mRkpl#lt)Nb?yccV?Y^J}NiZZ3J${bydC zGVH7Gpp1G3E!}Gq(aJPB&gb~;fle>9s^@02=@-7hCGhQq=8&kmIOt#4TxD*YF7C}x z+CGJp+a2qt4L5b$+oHiHb@p8ERdJ9MEY~WR27Nx@&~P*j^Ner(`pd87R(0|6>_#-8 z-RuT_>t9$*bYI)W%i4|c)x|w?>X7!Y<-*ZR6XR-4+T^1tx4m7wytol-PpT=S25!@K zTy0ce8eU8{ZiLWJeJcHYerdQ7Lx{-lxoflOC;uj`1W;}l@5kO8E{oKIVHh}5Gww*%7D)szvvRP5E9 zWnrJ57P;nA-4RY%k~kY)Ag9IL8?g_r-1dM?D&3?^!JS?~bFWZ;0o6bzp2paJFTw2&zq>z<{@ zBU{ES=M2GBHU*Q}ja=kH3(TnpThBlNz3u|oyxMO}%^h)_bJk+9_)KS8@a@qe}z;#TM~8+*`}1*y(Ugr+Emmk|6Lz6bub7+MysEF%9k}^b+gL&S=2sPd=YhTnx8f!TETj34#|IhZ zFu2I`HP8KQ&Y?Db1)6(neDt7QIiOp2SXk9Oh=nYN_}D{VZ|wE3iU9lyJgJ$gLVe0k zuedvjHE6*gY)^fOzE$&?5f+OORDx{8CL4GZC4@(Rmz(Fv-H3{gFb{t$n1b86iG;ke zp4-!X-P2~1yzk-ItxApV;3$F>nio3x9PhZ1SHZ182i1mHVS``C*ZsKt&DyRbY>vD< zx4^X>xqy`>Q@!B|@d({k+U*95I8dJOUuY6)e-SceGd5DZ?;DQBX5{0ORssH}f2Byt z7NY@Zaj9rEB+jLB6$oi%hgd3`#hVRSQGrCTRGEf_U2Eo5E@pwvERtOCy`olKrQyGl z77}4(BDjj?o{nFN#@;6*J;Gs~dL$?fcMEVNyiW9)C45{0%~Fg7X(BU_VA=RjnCLFJ ziNsKqNn7ybQZvLugFKR%4C-0nrobhwo-4kavuIF*DGQA+d=_O^BK%4vCx%=alAG+4 zyu#81EB3^vV5AjGDhU@T42~r>`Ky>k3&C!{|ZQ*TF(V`Ze|&6|2;}sV z4Hn%QCoH-%PFQY135&jXg?)7W?)Sg(<@E=TuJfg~ zN8kH~5eXKxtNATX0S{UBd<$vPLTBa)J{d(^`L$qa^|QZ$=RHhQwC@q2Ab%@7-*Wvs zIF4_@Lg%%& z$c`UPQgAQyGRrek`f3Hq(L9xAWu0@F$zH;(eZj{F-@B)d|m{|E)`kt#6 z!o$+jl51u6+91S@2EtJ)D;iBaO?N2N$V7{AMn{#Yd!=cm2$;)?P|;LXtudZ^A%dcz zYfMB^*BEwAS{uvIC2Uv6daLZun!4fTq=__HD(E%MwC(X-qC_$*hl7 z(odVm?VmQ7O26=rtQd4YwaFmG?NnCm7*1)0EwTdvdK)87?C}(6z7B1_$IU+(cxqQ9 zD3Mo=dkFKUV`O>`HSr*WR6n*EK}v46;2A@MZGo09R$T(42XLk$-^<7xfpT&>KAx>@ zc#n>i3|0doPE1*qxQ$g z^Xg#$_V?^t_bos8iF$J{y<~e^U(G9@>c4E48C7|AubtVi)?fE~v%NSSzm62z;~&WJ zhOci7`Z@iTgXGfvb3eq8^25>k9m=gr_tMsxi^)bXJkn=? z>O^FV%hk}`&A7$_0t3y;o*Qt+uoqa3sh*0u?ydG5GjlhoEpK@3)6ZFiO><5==Fim^ z{hTj~?V2Sv6a)8Od=tX^RaJ*MK&i5MoTqGK#H?jTm#HPl*Jy=I#Wf4ZWIteaEpvUF z#?dnu?Jba)U$WH=2inSgvpU*ImJRV8RkxDQ(_4)`ze)?(iaZQhD#U2hg)F*yaj)+!PpH2 zxMq9H#f+Qe1v*)z(XmVb^~HP=StSiEhvg9u>+>TzA|zBu9?xT+y4%o*2b1UIK2X6y zb@|82crZDs_OSks8>c)RlB^lp%42W-eLbTdO@LfrE6+_1R1iuFC@+j@ca<_J@bLud zq_hZ6fW;Xrs1B#b;>C~#ER0e-Hs&}$ZT*=B zUhfzodB=y#Q*=%>FxpYvRP()QO2ex|i!OoB(p&)s4*KAyc%!PA1iY5>DJ8|KRP^pO z0wa5x59QJ1Q=*B<+m;jw%3?D0S5&)5XEm0+S9C_%GY=xHBT8UO-f-N9X`-(Tank7%erZ28p0z#ug`(zaLO#sY!`t5B|!1AOb{a}ik4_2h~cUwGM)nTcYmBmV^}7J9Top7zc$Jh z5ojbQye*IB5S^f9vlwYm}{Dc><%2O{m|*95b@GJ~B|AnHbh5hnK~3F<+jGL`o-|8w3EQ_aF~&V}3} zI=Nx*lV4&SfRANbwGs^d31x%>Vp@ZY#~XbpN#12>CseRWGEI>>il6@ib&QxY(KMgL z*LEihhZBjf;4~SD#fOacC-93WbS^3;Z6l-*dK{9%LnqV>sUSwhJslIu4E4@Gjz`4v ze_|32@d&-dHEvP4be5o0sHAG6sh|%fIgJ{TS&%fWx=&U=Nl&R~vKo_@c6VtnGm@_X z0*?yY?3mvkVfiF6870DMw_6CrU1%f-;}h3Od;*~X-9_$^*E%;IccsJTqFah?AD&my zmqo!R4)>Zoagt#~fxv@_C!|CNM-3sz0424dg->KwhY4-`4yj7ebwxFG11SEJ{6t2{ z_c=!kK^ylLzEtT-6cBSi3e{l7B@<)8q3-Mk`YJ?P`>s;c6F&9dH^t+U0vdy;N$=E& z&`T*31jDaN>v^ZNv-elq5!pcnAIc@pCmxuTd7x$0N~L9H1@Xl0o$fT9CtN~(M^Erh z6W|%=z%nHAKcn)o#l@(%jL3*WwH8?L86jgNXA)-VnyBOP9FHtoGXC%WZ>{sowXdJ4 zIDWzE^@Y>nj=<@w_YJ?HlNz zZGa@Zvii>RUcD;4sxFDwshXzL$ji-CwkCUMu_aCKAZ9VXl}hBSRxi#e#<)ZNh-|Hx zZ2=KGc7P3-HMdIHq9jVQrKXW*2tKkk)q?;HZiWW0*N$+GXb%m@W?;d~?mE_D_*mP4 z?HRl*W9%^d``?#YUDcIcv#r_Ks_oFN%6GrcJ@=e@&OPtG`|;koRj;R6Y8wmrvfZDD z%AB2X%mZJ1Z*I#>za6IECTT_s1C-VVZq}ZDiMBn%-tuBT^RugT1GWJ*;5Ho;c3+?O z5WmTe^Uou|#?>jlt6F4j`Y-VLV6MonOy*hd)WX#14>Lgzmam^LPE1u!`md}M_gHfI z7eSM0)Mg@jN*3mo2?();^Y;$&XC<*~*G%1|YxRo}HiNsc$;XR;O*X zZLiQyZi>-ljaFKvk!)cqa0cx9m(6J_U)s)G`r+9$f1P*yv?u5CR+1ih6EnAf;5=nF z+4KA~HsEU-*K+NpL6|+8c5SO8rrEwtHGbXkvK4y7Yjnsr?sN6QeOAX>xVc*9K7{@I z)|tYsFK3NbCAfQzX2sOZ`@h{%TVbjhO=IrxuvQ7G$1-M)@@coJ`8d*te$p$`t9AKn z)75%wqf$ddvpTKflB8Vw%t|Z?5{RWEbe@-`z)D;*`&cb%U7Jqot%C6)Q}uN?LYxsx zI>Zv8tt?f+k655}hz*)2MGJmQwY8J%rQf$l?yAmLI`=Q-Us*qyHs?<@9%7Z!A3at5 z_~k1vH}NlD!Y`V(-n%&0nP04ayn1zN`REhJA7YNaGQZaTp{zCQANjH5BZoWpw;Qiz z7dh>=H2q?7acX(qyVu;+IX~adKhEjM&e12TeOAnLW=`pyVriPWdQRj$zxV@_U|HC- zkMJpP-{7nhSRR{xE!&{<((%{oSC1@H_lw7_rpxnf2eu==XmjZ8GN+l^eCK81qP_Qp z{D-m+yoa@ksui4&vIb}2meXdVaqMKeoC3YMd7(4&SmU+Ls~46lk0s|<=2n~O((%j3 zuFNglW7XrwPV!}%7i^u5?cGN?4cMWMSFIW1Rbh zW}O}t_7j|MEYj7yXFvOGj5CrdXAJUogOy`@a;EzbOLqnS3Ag!jaVF{3>sK$@nTf^q zFxMmg%tM#Z_9qWL^U$%AtgGZ1Q5d<&Y_!=cmtSG>r8hUa@xGuJ<{&_~gV=y*Jmh zGtEdKufo}lDuS|(xKSk z`GjvSWfv;mx^DC2MnT<^mmJ@!nqIVEJs#WJa0R*%Lg}0<#e91@1Q!3=r&s~w{BX*k_KD%c>QVYb1I$~WqwndPQ6QayHAw9pQH+6T%FYgAC$ZIZyg4ht9I z5NzCuHM(+HsBp#*v~bKS;9B;+uQvC9J)Cqp)~#pnux8;s_CEC~FIh6iy7|OnHaUk4 zJhYf47tQ;&Uk}A|&7-L&pMUrb9$Hs}1>Z5hmTf%O1a8gdNcTQu*b~dUn^rtWc6ZH~ z$Z7j-=r07{rdH<|MFeBe19+ex;dguPO6U|oQGsXsXb)DogPrSf$MW>n_0aG6j&Jw- z3m2Cy?b1qc6rka6oM(7c?ej?1>{`O~fG@{G9!IqBoLM&cesR$zq1mX_dZFW+1aZUy zoHXTM#7g-<0GjXvP^AyDHqnh{)7qQ8IEUi-Rkz^!aubh2R$s;4Fkb zzW4o2YQez>G_)ygp=p%M!m4k24;Eaoe)vJgN@xc1XCZziLzZX&+Ji4wi9X2)7%j_C zKRgZO(+FpUC&TISAXNtM$YK=8vjW)w>p>!7VQ|J1!4atmej#*1iYGFljEq|Qy5ngMw3HCa6JRC~G#)FV$_pRR89ibp=P-Cr->t$fa2%75TNeAXLR z>e<dA1$DQMDba`my!H?{3CY_uVW1W4+?u$0-eV`Bkvoo1T82vzCj?oV9$m zT>JdkizU>@)(e$-04$|{<6BjgrYuGe1BzeAkE@m^7Lh0nyRL^nocFp$KSA_KSKY~y zYS&L!Yio&nbAX-%bD!}5S<+`6;#p>E2Zjn;%*9vS#kilI2y0fOQ_^jDu=SMg*~HU6 zas8fEpQcu4NiC&2WVYCxQYoNv_O@Bl!l(2^)8|RJo>-I8gMsoBe0BO!oHUaQ1N-wQ z@M45ZHVW+J`@J%6%0of6p&w?tp})-=le8`O_JW(g1$)7D+U5+a?$KO-&Wh%I;=E@b z$}>Xs%q?+gv%kkbZuzxO!+I!k-%`g3NtTBeZRJznZ_8(*w(I8b=U(5aAMOt<8}JM; zXrDKNSdzq6f89>3U9;@d17k%Sg0pm;DfZVX{b_!KYB3l<&Zo0t&@LK-59g9hZS$8b z{ZOZGJ!=()ygLJ4>hjMl32)NN+M0kzo_7!4|1$R5C)bFev|y`{AdjXUW8B0 zXMy;y54d%;M6-L&((9iA;?u+5Aa}?p`*gc-ga3iF-!#|zY--O@_qDe8b=LW{H1EA( zy!Y44+IBxOf~vu%F2GuRf+ra_0s54E-W}5+I>U!8oSzCVSjc=_I19A=+0adr*lr0o zGbbP@Ltx&~bo!q++lXmdAT}VlZ1!9`V)=l*OEYIHES6`9q_E;YfOPn#!7JTM3 zg}Q3TT_8#Le59m+CTipy0Z=mwT}0@`5mRYtAo0T+#)H;U-#ONf&9lcFM$_Wq&0PZ8(;!DXBvluTqUk9 zzsyk)v_x`(VT)zaJ;kX3k8~DSbPZp-1iW0#Vd~MxSRs!S4$^*FoQN9ED`4h8&{O3q z6x}S>B9vi^ez46FRUUydKD!88HZ4Tb+tP*1sT+_gx~L*Jp^pyqWXUa374^Ah2t)du zVCB3nj+;VGs86_MZ@fEVpc9Bswm79E*9}fMr64Mjc&>^$G*rYp=76l2T7~lqwoyU! z;;T+Q`IKEDTveRg_uTaS1v+S|mcu&gO$KQb{t4)`GL@W2Ra2mO0U~5mkj~E&q_G1X zPXK9P>eJHnG(d@)vdRnK1MjEko=D139h;b_)#XR=fNuP%O@u5AS;j^XV(U+yPG~u7 zBJ#8dYS=x&X;YVhK(*54Nn+4nyGYGRs5uHcrDQZlAdP;OXTfOQMt+U-x=XSqRoDG| z!Za(j=Qfkx4L=TWN++~6S3+lDu|a9RSSf~CkpVaJ@Ef4XG8@?a4UY5Ms$uD=DScW} zZvr&M#-u;`UfBRv4Ag)ioAWe#8wMJIrf;?sGK1O-M;5h9FFOZBM*Rkf-93w_#qzrDCt+LRp?-dziNTa?I3jlf7 z%=dvqnHtV40E_3;(q`ZDQ2<`ayic>REcIMkhgw636>5tdQ!7#gb%B%w;lgV#XHT zXhnpG1)$>IGDUEh%S5Y}D1N^!vMGWyGaK;<5cM)e0^c)hHO-g1>3N=Y?)c@ENB&d0!Q-%`Lfx9nVYqPnb zN6b-Ioew~bZ%X3Ktgn= zUe#+>TQ?Qi1)7t%3lY|wVlfg4>wMBAkCa5qmn zGRKN?p_Ap*Ph-HDQvIBw3F#yphFeG%AW@G*hYcS*yC*X#E*OlE2qy|`+NEP z^UR0b{ps9Et9_zXIKH!;-j#hg&02>UNuEJps;fK>-<3A(w;i|XON>Gp=dv#TCE17X zs@MgM)i*?!*4k6W3t#pA_|tmNi&d zN$=0>ch)U?Wdi$oc#@@ZcZdd^;H}~GN}FoWzQ?BWg~t1nulP##A*7ud_GK}2n@?sY z8%g?X{Q+CF#J@B7`TYyor%>qA`NB+g?qWBa=MAy;>W`uXLDHON&MU4r%Ga!3oUg+_UftYOoVl?w zJ;~&+O;_uzTBh^{q?@MB4Y9;rba>>~W2wdv>y7jh3$?W(_M5PjrEbtt#)m7a)6@-C zgC=~|K1W#EN%lX!R<2t5``h-F?&MUH)yU)Zh05~LQ^#MseDyij8Iu>!UwoN3YkenK zNqi!Yt9PvV-F@ujk>ZhOmwwn<_cRy2*Zn=#KHK?+vWs8p1r=8>pRn_+zBDV3gSF48a@F$W#q?cTwfuMAV71ioK2>gX^}0LK$xk&-9CJJgFEuW4&U3l4 zbbRGf?_%d@o9WBYYv!ktb*+h7leJIYGnO8ES2xe&+}<+U;8MB1Ut;(fJJM)jTK>= zId%LJYoA&JJwJJsRm{hde!WNjsr=P?m|IRyF`HiJjOq*ddiFIh%Z^o(;*9wdtSVK` z%l1=DoR%O4H6c zS7(LH*6!|EGi}yZY=y=>*WfL+*wLk&RZGU4i`k03obpmmyL>WKe0~=GL#3TQ1E(Q9 zWoPTv1?<(#^T0O^EO6w(y}7Vzo(J>@oj-Fd)Gro3J!k!FHTBkY{o;aXcEPR6XRL~h zz!J_camT7+-T9C_ootHMY*gzDR;e6neEj^y=PIWjf{|d_Mt8Y^ z6>M<0TgO4IWo8d)@HZhF-M2vp9Tcx)W7bRNDUdrIp?WSgWDm->}rf5t60h45McquRAoP#9J=S z>sDSO>e1nixe7p8Ch+ET-mimrraIjVNIp-hZnI+EPbd8aZWZjzsAqF5g|74{!rgqu zSe@mJY0sdc*{DW+d92!~_fyqf&+1n34E8pIRoE4AVv|}<%{Yb=NI`?ZfA&>Yt2y2s zZAj7%PkFuJG@-dTw##beRI}s#B>l)QTbE&_HGS&idZV#BAY%%_LRjhhmUUOGSn(Zh z-t;41Sz3I4rC9Zy3IAdep6hfT^J|m!UZ=9;U%ga+t}{Pzu2;Phd}pzX)NUK4iVnY1Tx41%PObkr^zfoe6XCp@n=#SX?JHiU)vRrs4=z9u5VHSTjWkfkklW zm(g(5SjW4J(n?O=ftPUm#%{15IMI%(;*dS$STV(zWeFoNoD(u|WuY#jpyk}hEL{T^ zJeaM-;k@qZVt{U0vgYdQKbAWeE2Ufpjpe8yVu|1&qmQO~<`xHFQ69ciGL_aL5v-(Z zi~y1$7Kl>ZWx!F1u&~2mQdnZDD9Ztg6oXON$Pmq70lPAsG9s4eev?Vy<4F-uNwN>> zk*8QkgoCYB%THa`Xh?5kEqzuHIq#^ILg0GPrd7*XzpPcu`G{rn#rc@4QV|-c?WHzH z@u9zkC7#&)@s*O6&GW-jH$m?#&B!d$f}zHbtCrnK%lcJhde%oi=}<@*DdW?OJo0(C zr!lZiNdMl?*;{nk-sMI*vNu$ji}LJnVHDd|ha08c zkfbwQ%*h+)CD!PC zt(B)T^`8M^9Z>qSn2v#E!P^IIHY_>|57>HVl`1SOUDWyuBdw5~Pl3qZPoXSKo2K|% zVNoWSF#xkqz58mDeM>^?c}qHPK*H>_^=$Ayrf!%&%BTEVp0)x{t08tVo-9!UW)2^* zp+jt-rUN_tv}5ODG}T+9a0SHRxfp^peff|lC3GpV%yYw9FaV-e#9q2++=6*AtwI>a zoH;{40?+8aRb;_K9*;x@rGcRWE(I?zT)oP$=JOz4&%)w@@4zBSXjjt7z*+DHR7!a4 zN4adElEHAzi%L%;3euXCX+x^WMMlmcnCu!xow#Rer}KmDI<4u7YAe>wVoMJ!K5Tl^ zoB$u^%eavc#wT95%@uG3?|OqG&=9t`&d4a_36uh42$%=Mo=Yg&ELK52)L&5CD*aaFp;K5q;c!I@7fVqf#S zM%y~loF#}8b>R^`{!)izSS~GSByW@!Gms5_If`>;WMEc<{Qv|Kk&Gw1#=O6?Ye@tn zlYrXU0YSSmES?dyDK#wsvcaTj*Ia6g2vH>2OSP;DJ&xVb#4JVXOq0}6$zmYITi{L^ zxv&e|zHojj1)nEmMg1p!$rA4ff&nn*LAil5?_gDk!edJ0Ui&&|nS!V|C(A9}9)L~e zn38m&6DC}mtU$LHOCDdhV~1d2SQwa@ipGXY+HNv9UK*vBFZNT1^731;)XvgaY8Ebi zvxn_lANJYl+VueO)6Qf-a#zLN_3?=n-4jSvUXSye))B z9R%1b`TJO_Kr$5?Of5!JJ^UGuF@lr@y8wYx4KP{*<^#U{)Q{?#da)Co*EO1gh(c{l z!dhbYfTE$?z@<+eZ9gwdWP;-oV1X=$gh-(ftSF;_r?o=XoSe8&3VYJkw5Qd`2>g4hSkr)J;%yIfz3L(M9hi zCgMQt)CWJNhCS>k0;f4D6bqvs5YaD>F{xlWr7OMY5eq0u(+iFjeBo2Sar{$%9JP76 zp?NYo{45p8DWz-?4kgJ^@4*>`y|B>I5Q29C|>QOkk?u~k!Ixnnsui+R*T zLg~uBitUw4U981;7!@q~9^r!W0%g_qPGNqyLx?BjPgG zj=Xw`iW<79%VI#ZBf9y_A<5y~FnO_{F82!wkL42iBmmRp-6V>OY+he3Eh)8?`#7}C z=9IXHRp_FIN{}h%c&B2WI^<|;ofI+qqfd#LjhLuglwQ052O%ctQmArruygulY7`Cg znbzc|iFs6t5(0{VfY7{K@u-v$A@=~dEL$_u1U5C`&<**e^T*72r}DWG~n-jj*&oo!ssfatsT!d9GpaAJ$X+tETLZ!qHg}VVN17S^;;!k4B>G) zhbmM9^*KBhQoo_W>^U+xqUS^`<0$rIFh-F+V@ORe53n93X+F4%1al=0iUoxz*8?MH zGf$K*Ty`RtBcfn$e2z3~L9$%ID(5^GR)!T<66yzrQSK0kgwnObFc5)8B-|(<1sY1U zu$Ia4n1$%)b3H}W2Ss7|(^ZyM<02PM z?VU$zvV}CO3xq03*2P(HfM$GCnR{oUbFSp{m841lBnZ_6qDzMUmM0-8Q$D{47p)O^ zi2ET`o~*SYI^7O|9y)*hH7ZnboUGie`V0+_)VUOMd8~UOl0p6~JJi)kXRtMSF-@hR zENJ+S-3w5_zvqc8#70B&wEhlooC|@0SOD0PY*%6iiSBVjLre@QbWvud2hU6MMk>Ij zh)0LFfvy?{yMjS5*N!d6Y)&?PUYJJ?kP)}|!QN>D72}@_=OUPT!8RxLjrpyzS^OLV zbR!Lu4#nFf6J4>*Q*FmMOr1dUk8SpJjKC*EqRUoCIpr-vl`Sx8-YBzG1hS$-kz6#2 z`7mK<&x`N=GMilGu7E}N`8|`V9j)u!WHV!8dzRCc$SkCDyxacJ2d5s*E3=I4-j9^I zKd@;|jWW0*d&EBUp^qxjyr{B6w@^TR#ZyK|&uoD!C&Qam) zdwhd?S7X*@-8wyL@yKH=>KDZ#1?d|cDtgC!%Dx~*#+qvv!lpJn{g_h2X*k6Jx@G<|wb)3Q0AfT-qI`R1h6IXRgn-1+aD3inUz z&QgO&+GUz2gz1M{v_Njp*RqoiED~KdYu~)d?jOD2yfr_a_Ga0H1yF1DKHi}VpR@K9 zbg0j}tNi-3E^+ol`IR3q-f@2)b0X7u&OBY^Xj{|Xn)_m2?qX3nrMdP^%^5N`)+>r; zo>%4jwd1T>7M!)b4m@L5*LNJGS^|o-Pfjf*y%CmSsTc>_CNnV1;Jd6>R6MhQtbNiM zuD_JA+aPs8XDycyb`LB-QCFpzLkrkg%&FF|Qn%&`H;r^^Bc5Jw#P`hB^7i5EGhbr0 zDPFA4TUqma>LP$6w$5suk`rdW&Yyjs~0FR5J{3j0`|M;Z^ zouFzwbWC+Ga)xm8x#cHkbZYWqar6{t4mmq^bS9t8{`5O+p=S3T?wmotw0>ADEkP-= zT7si18_Q2lK>5Z6&dBllU1)2vFmn0o2F)~m_c6!%(FY;$#aSv2+emSXc9E2wkDd-Xk~Pd&mqECYG( z(M{*3(o`}+L1&&gd+E|<&$mk%ak{Os4oAqyRxb5Jr<33~sRu`_YDSJwhcn*ycuOrZ zT4J^3NaZLpTDj8mPp0mej^gru%=Jr-Z)?JlZB&4J=AkOqEDzyDag?qG*qit5S-bMw z@|oPdDj78=s+)b*Waw^pC%ucly||cXz11dX>ehO_i#Bu0bLRyslPTG6|M!}Fd5O2O zVjAj>N%qC1Y4jtF99_B4Y)eMr0`J7NejiSjXY%ux`>c4t(BvF6zOW{n5@lqa$PrrC z@qXnzSkmU=%Hz1K7&@`C>aqo4Ydn-V zs4&(%PlAPSk#gTqxmo+q|C2^RkroOUI^PO5`Vlk{%4itnnj!NBxS$2Bv33>1MdB22 z4lr3(RW+iKXk9CThF+DU8S(`!PBz4udf_mBgDGrX26)0ofd0Tc_Vt&ssmMs-Rij3P3(Fh!z^=UzuFa7*SEs-oOo$^tL~P9z!m zQdKArG%v*XdH@IcloI8@^`f8K+^|+sqZ4JbanEOr##~x?_$-FbM(3hGd}fL=QgUt& zGp7ol?~qvbUQAy&;R09aFV>Z!7Xk5`b9OENMl6GCq!qliJZZ47w_ zn_R>aX(4h3%k7(H5-ZFWVDe+(Ala0%ixP!6lv5-k z=^^FhZ}Q`{j3cb-IDl0Fle!S)luX^xZ-}jz{4rt$Nz~OWkjzBGMdDtZ>#YWS2b?s_ zZ&foCqDD$pQZ?_X8cV6pN;}3>`W4PG-o|TF+ebf3pM}xL0VCeX2>gp5wL=;MdWwbb zEmqW1i1(*i_m_#&U3B)|zGd5sj3yWZYj`gfM~(@(2`vIZCaH##Ku#SPk&u`mXhyUzWb@`dbjK0cWbp0Kfayy_e%eP=yU$@ z1HHrF&#L9mKbU>;f8yP)e39~#*Js@C{i8zA5vm#e;@;1c@plh>o!}&&lbmf~DLzqR zdGpsImXmLmSZ+WKpU}kCLfM^-1?0oeY-ZCvOf) z#h_%GTH;y%^sJr^BzLWflFW}VC@48d>@z+o-t>plnwgPr&KE`37paH-ZbTLa$$z0Q zI^A$^aI^C_Cw%eo9ksaI#+TA2w|1ZZStiQ+2M*BZl?yXTd+yhbI#gFj(-@VQ zp5@7^AS!)bEyx5fAcw$`*#+zeXT6dPI%X1UL5W~IxP3W|qBsvIVLJTk-xqBTs=fJ4 zo2u(zfg_UO(zH~{BXuA~C8O>hqe_AvIpOmPBYz1X0XERxqlHPdNnNRt3Ld|igcuf- zeo;)BEDZ(4id8P&Rw2Ty2CApQ20%uWCzeg-TI9yQxjI9H8k093@k)n)Hfwr8qs80QoR9iaAfU zz}$Dwf>km56V_dG7i^jXdj%6{%%OtTH7)HY;exmNW3Ze8C1T;(c{}W2lE=c7I5P#7 zG%@a;QcXaX3C-BTYMZUNU2_%BGHTa?SkM@B?JocW&D?N|ojB2*F{kMA~^*WstpUHSa15T}!iWuo`k@=em8SX(7pi~AAGj`m3%sR!Ke8Jw2PJG0fXLUVEh6Gtv2tHd56$~&y$*epVgwd@KaWt zhM%xtrv`PVAJ`ApE$T3{*z-v<>$j(zmk`#d!s^UkVTN$&;B%M`lX$y|Q^4OVk5y4c zaY@T@74#X)p<5HIHs@mT4k!dbt3R2YUB@2{ZrC&KT&&S#! z4X144o=C(`)CClpH068UEK)y75QGs|YQ6ZxFw}2l{G%V^Lguu{fWAEO2n^rFk!I?R zm6CK2iNtIKXM* z4XZ+@ACBfX?m2Q)&G83iBoP4S61M)<$7zPFZlY>a-UvluJk}c@~ZEfoz1fqe=Vdy6QF>7>wYEI_SWI2$5wikT|o&8sYdj zkZKPGoGRK7#1MX~SWF^2KEvRt(>ft@A`++P4U$t|B&he&Odtjk)LP1%{vNAVy4?q` zQvm0zQ$~PQ1yLhB0nq{Oq>_F7QiRY=B~xuQC7CRIMF0}$5+J9^nKxFnbg@3mLfMl< zU(3`tXv|q|K3H^|6jlFiD7D8NaRAee2ur`kMKpncSE$}GNKW|!GFiz3;CfHIvKEWH zMl?Dp0po)>DWC)w)wj$`?SES-(ZUVdld;koozQU48=WtXSalI?(M)F6BN(MfkDP>x zp&M13oD_Mi^wqh<+z_GFRA3R9MlEu4#23n-c`N$b_vOJ&sky+Q7LzQCK_Vop+o%Iz`C?{qsw6$qO<6z} zA=L|P%L(x|*B4k&CE@reiwF(`x=gvUVt^8EN-;wF5~VS`8DQd?`vgP zqbkx0SLQ)+bX{GYd3nf;>c4TRlL??qT=k5D#Jhtux$-I84{*H zBl*04MB2?LZTUB&x0mhO#1O3Q7}4(b}B%1 zstWb6Ff1lZ5Hr_A31J+g=aQ2cqY7LsU&d)6AFCniVp7J^j~)sH23|6Q4%^Y!p>fpO zB~^mg&6m!iQJG{x37$eIq&sElgaMG~+7V%c3V!1+DLGaOrSU*CK0Bqd{4rj1(XCx!1u9pXNdz* z#LFQ=z#}bQ##;Okz_G#?-7KqXxSxL)yiuf<_;;dbQ>>CwBWsA*8EF=GuU6fXI-o7? zL*DV$SCNl}c!e}RqIFW+)N)^&I4Zl%}l4K*+;(Q=OrUO*DT(K?sslYdM}aZu-RB%8HKklV2=BsCPbIUDY_EX-E#XKEkv zzOQA8n~hd<+Go5t)ZF5ly;G^#z}|T}@btXK{#-^L?2dkgWq`^o`!@VMrrOvO$E#%V zGk%tDG}zDa0=<=y7nDxtjH8IOSwhF>_?l%sGf%HnJo?1ptBhYKy+zxDGeh2mMa^RE zGwU%w6-(oLm6oqk!*u)(SbM;!&u8zCU&mA{NvpJoz>)-Sh%Iw33W}9f`~ttX%~$E( z9QkX&dtq5SY`^t!eb@3Zn-o~Fs23B(%u@Gm$62wNrE_=J=cYQRrW<#=p|y1)z0_%F8!=E?y2|wcIU~MdxY;bo_n#sA-{Wm^WqVuzt}JE7ag@6 z=^XtQ0o!^|hAdbL#q0?MCH(9^mj zjPD43%0H6ySAtH1=9?REut@9mE_5pG>fOhBa3D^=Ug6!hm&IYb(c8?X-f!1n$%%|Z%0m_nB2U`3bW#K`ZDE-$;i_5?4-U( z`N|4dSi5T2=0>x9YN?U9Y#A27*5mVaNqv$NR5Zkiq~Bwm4S!)S-S=A!dEZ-%wof?T&(bx+SkI>jkJ@tUkz84-+ryPP~iI$nd7|Q z>RO&X`ldJ|dca^VaS|p*ZTF-`o&K^{gLK ze+Z8H7c0QM8faZV<2QTG#92JwXD_Ve+2ubm9FLq@x~lVt#dj+olFu^ktP8zioEwSG z#9^oLkFm~Jq?j_NGf`$4e?*;>js6j?+ua~wa}8gg#h~$w#(WcCvy`gve&&Z<2$lJ1dk7NKQ#roP6{n_?hcLCA;blo< zj+IkSyNZBj-e|r=vAieQBdO z;~D*J@a|vQ#h`eZ!;)2eUKp4hQ`$1eK2&9;y>iH9)ho{_e(V@$my3yNlANsA{GsZxWP#JP6V3rwiPKn?rf$M5(2tnr zv6DRFOjIq=&|$)zzvNc&tG&x^wa6zLmsa|#>Cwj;o0m49Yo2gm`BHziX@|NeY+m}zd7<#;Fwf}({s+T7sIDkx3eAYncSZY&l0ZkM_y*349EQP zGE9hGreYo}awQf98zmMcDO)c38EU0|lm&beg9W8Cl&44F^OT``sYbAIK&pZwMS1*b zFF`r{)N1B1LomW67Z@yRrSOmcVJs)y)LeR7zK!BBR{&*T1Q&>UB`KXs%Is0@5ZHQw zTwI9P;bqv0Dis9CGaS8m3(E)@oQ%-Kf@RxBh7^xoy!3|b+Rfb)w#)=Vaqr^-`XV3cP-hwMgHTba|f0StXhWp->$*Y*~fS* zm(N`-heDfv;3<89Fi#$MD#!Q9yZQDx{^55!(wqLihn?bf_+iH&EX@x~&0bG=`;xHC zFOZt9T2ggp*fxB9c`{FcmZelFyBDkvcYQL^^oJkrvULoL`AMdde?E1;^~u6MIbhXt zU=yzo4p-kC9G-aHA9h{pdfmqrg^`~&Ju9r#hVNWv7Z_WI$-#$jQimNvw*N2PR?Wp* zsMT$i`H81#xs{6dhqa!Jsmd!g?g6QvKFO}mPKx>BS)kE8oBCC&EPUOmeCoKKvD8`V zlvA#_i|x8RYTzm?Tor@7Qe>^QT3ReJJKoS}f5A=K>pG^l}8mn!xsZxXUb+n znmM*y&1aZDOIHGO(0ba-jll^oo=@4OIoDKGS#7YAT6_dB-HZ@tj!Pq|Ll z9u1C}mW2$sY$ANkUl%Rldx&`9tx5y&MmF<~hZmlp-+@xLHM!>I`(B_v=e}-f*GMFx z#(M@;BVE!`AFQu6p=`yGr=~t!0}BR-3Oof61NtScAk7vZ%oG8R=Su5jp{7r_Gqg2~xM%Os#m}8zC^u@0X0g$`iIoOtXZ0siD)0k&Q}d%~-l*C)Fw> z12&zlK5A24OKg)$SQ$wk*HY1%r6hH1Eq+W_9o3Dz*$i`Pe zQ@0li_jpd*r5$Jg0-rpspiKq)$A3kTum?kGH=J*AVG0C?1aPoIf2l^h+$m}QnC1GXrD?~tUj}li3ajF7vr=ysQDNHB`r#+}*I0mM!hL30!z2RcE8#3*{ zO*lHBwSHqRWoguqbAURhL@qUHjn;N?MC(~el*o?vbox!%Ad!d?NZ0RQ*Hbl34X*jv z&TaPjXv#pj@k#&^C5O@-vD&mWVMo{1i0sSC_Vp=L!BocNgR z6tgZAYcE3}_7q5r_mr#|W(j7vkN^SD>@?*tHK%c#5+o14LlbG@mR!onTrlg@0Wf#w zSM`eBN2uQybVtX_XheL{fs#H;AZOvmE%-!LWQUyrQ`9D#lzc2I;3Em#lAC%KSEEvO zXHyi@ClGL$^qQYw1|eDC#Aq=ImLfc0xo0~7rB+Rt)eIBRQeuHdjb^$`qHs|M~Q(wWieb{NS_B~)Zh|hAlu&%xrv9;l{gf3WkNK91X+jWN`t&mreoD1A)+gB zZo5wZoEpdhSU4XsRnWjhy9O9nLK|(!tXs^Y*+9PGIN3`)T?D+OVZxx1jSf(bi&KV4 zGw$?&J9?*9XqxF<_a)hs1t|KRg43$F5Xi}VrtbXgRDN0 z5@$MO&yDCJ2YVtom(kw@UFJCwVN*{+anz2XC~3iy%O34VX!W4@m?9gDQ$6XhUkMv= zuIvL+CT8R$hAjqfTwGbo4;h!Tbvn_K#}_0F!5v^=!g+bAtEmyyhURsVbFrmLD9w$^ z4V-15b}B3jsrHoFlY=+dR+8@M|I0^6a!Q9FHQWN=n!F&MQjvP_Q)D?+T8Vg4UX!eU z5{8@)iBQUd*U5iEj%_u*MOcn^Z%cD2&UJVrZ(16tk3Tpf*c2eyaxPxEF!taIOmH>@ zh*MU|&`QX9gmG{)WDVSz{Ux37#g#Re;T`eVd^gewxpGPiWaX|%3 zEL&M+V1)dpWJO)z;`ylw5eip1&*mJ~^ZDAP}5`t0XDkvn|5e4D{ zy3!Df`nG6U<)K4&LP~ETaxt#7?anI__zh?mVfnNFc3absUOG4Qx3CT4(Tj;A2=k+~ ztp<(>;Ev{3CXYspZYo|&e#&dSDTFfDMQxD+#FAl;N-SH&8pF_tt1`NstAO)h zk(n(|Ohj4&w0J?g_|tXh+=zDKC0ec{ENH+4>01qx3=7GNqToU=fq>!NH74Ew3Iw~c zQZja8DIw!%obqLC*v_$qZy;g&jEVS#Sm#bGs<~`p!EXyX#dkPi=|aopABt0lbYTh~ zE!N^3f05u!NhifZ7R2mm9UG zipsg6j0oPq@{1Q3kj~~=mSNBmBz=q02DQxigXIw(PQc8&5)6j2C3aJ;Rb`jyz`~H=;a8^$PBR{E;)x6G2^?EBz!`+AzFi_h((OY zS!AdkVxjEFkx~{Q!3`73-12$@%jwlonbJp%OLv3pQA+g71X&@|z=^h3>zB+3MjideopU???(y@Vejq*fzT{-Xj-Ir;j~ZW-o|v*^;-;co(O?7XhCzwtH5-d%CSqY|a0@H} z?jjaf+?p0nn={v)PHojp;2ZnfV>`ovsE-YXWat_#nmJmJt~s)+lexp>$Ra~KIr_3cchES3r6ET{RH0gI87hZU zV(AHdWNt_P4isCFF*5o)M-PaMdLxeTMKGL1i!kz)w%d*2=)01)IT|(8ZXMg5GJ@UV zOS`r^vtE=>KKE78xU>U;NkskkN>fk$-1!B=LwN`6WjZlVl|SR&I=p z{>nc%ATsKSo8fQBiMSd5ZiA!m{Fb*l8a3B$JFq)t1iQmWt#&wBhMtrS8I`^vn=C3@ z^!8A@FGvcU$)^0nnJ7omB!$+-lxGXE9Jt;fDOxP&b8UL?OI zj=t}A4hl!TAxE%Oax}6Dd+{|!MpwV}ZH}Z4a=Y!o?vxVprYmYSbka6S1YJWi`dzzL zrg$%_km(ZtZ$hyZ9V4Uv^7jsejK**jSrS`YQh`(^fT-g|pLf}uNzqtYITrNOljWz_PP*-%J? zZt2FzXyF|PKt@{}^+r-_wZz2U8YhS`9R19X91xCrSccyJ8yx+oFFPn4i6J=Zm0E|4 zB%zVTlRU<`F*2I?hPOEyHP!AB!tRt2><*tB&d`l|4K_POrR!ZA3@t+ob086A@^=*9 z5g8++zxjy+A*0@qrO_y;)rzbPS=zzGj>s5}?)v4oIU2RmZXMg5GJ@UV4^<9to7Q0! z@gLQG7>ajEw&7)B%yvoy3u4gEz1T`dcr@43_!R*aQ8sJCna#x-l~PEFbmS zxBU#I))`;hTq{*^?fO6g#sY2D4CO{=c+1$X>lltc@^{|mXw*)-?ZEDo5$q1X6o;p_)-_kiCGV0x$qdiy}!_o1l4g^Q*Aw-Ve7|555;uh~x zMz_b&H-741a3p@vx;L)LiyW1F@JWPQX`Ir>$mpRzew(9FlkK(xyHiH6JA6r@bT=%+ zIyYF-kdgdSMwz68jP?@J7#aQZ$pa#zJviEfr7;}+@K+rGj;>u_(}*GT)~;WZf0K-E zkE8V~2Z5tE$}vN4eKclhoi4`=d@Tf>iU7ux;v4h(lRi12xULgSfP~B9^Gi1W%$Ei zJ0LQ`Fhs2rOQqIf7^2p3y`>vt8Gdd5W=^(S294|PB#wIPIHyURdTF)O8j_5~`qi7k#i7Z3u z-xf!|x_^6#Z&(Itoh-wh#8G*NWd=pJqi7k*F$2%AcAJ*r!Tp<4-7cd&INHO~*|>i6 z8-H{l`jN&8(z?-@p_~I!Kf3L4-IM#bi)N>c%6_Cf?MUlN%W&KC4BzzLgCe778SV^@ ze7hVejAj`^(Ef}#R$za|ZI2nge*exNjkInIM{$;cyU-VyHyLXge)fCzUF%AY=sv@l zAY}B#aP;~8TUp*IBP>GXNS2}GD2uZU+>Z9J-(#L8Cimag4P|tPaU{t|MtDORA($wm z=+mXfyD>8QrJpzu{irwMNPg71sB2$fZfmS%NZxfoID!?)=!@s*^#1Ke+in@Sy~chN z{h^GIQj}4Y)_A2GH?I5X|Kp&@=#JtjuEN4m+_{C`4R>y7CP?-H<8UiC#xh*{*#jV> z@~LRFb1QOGa`6RbRL5F|)W6NqNC9@Qy6#RH!S3)e&(Ynm4CV7)DWhJgb-E2n>q>t| z`R$Q0TK9<;4~UH5B<>fyQ#e8}k)!C#G9Vx<0y2vJcCqXTkCD+Y?cWLC?OG?vNJh~w zIf9kQk^Eb_F&zEe{+)We(>dyuTGzuL%BZvq%r@LoLSwCW>t7uR%K#&{=4cO=#%SHt z{y&ws+HrqIZ@8xu8MWGRcA>NkdkSd`N7X0xT}G|b<;=-wPbVCm&ZDM%p?UnVTDSV6 z`^Zsg8(OE!-KpYel+yNM=`C^eD}VNYa70In9QCAir^OLN)N)-+%-zC`(Yl|!aBw*q zt{&}W?8b2PJue&xju1?gQF(fFD5JN=(Vw3^7#xWuw5~ioDvl(XFSH&u)-wFf%G(@` z`snUwhutY7*d2ZtIt<%DLD@R&LaBAvdPB>g+fXT^J#MfzrXPLzFB}jVjp1mx3JYtu zbYnRB<$rzvI4b8C%6_y>MsJOyZ#!`iIJze7)4to09-eMVEMI7aZ>(kbj_-JzqmfSU zwgbCUMzA}4$wTgjWzbH1^&`m$!+@=jjAR+&Jj0ICJ0oNI(VzOM10tg>j$moX5&h^b z%$!``&-uDhuZcVHM>6_iIr^(Ve;{PkgAZxlXv}cBm0`0bBl)*>W3=v#hu-FB)L^^q z!0wb0><*uhk|EBg_g{Qs7tH{K}63~#(K8Z(?O*IW1|~V@5&0`_~T$N3sl(Q8{Kf{nk19=64?yj z9H}2kMz?7h{`}v6o1>9NV~2LQa$LDRX#{zbABOJ6xb6*37m$dIMq`H4r{h=w8Qu1n z;eUPjK*(qeM{yJcN4I;2ecuBIgrm|jY?IMj<>>y89TbkDWfwhFqkKr(_UK7t?yxWY?mo$Az*Ihe z{AZ`vN{-g`3>$E)!^W8t*7$CJ=H&ZU_KTxPyH+T&*|jx|>o}JfeWjF~jQo*W?DogV z=!N}S5gXjZQ9Nl0M?K#0U2=j+h@54&r*-fBtpg&XJB_3D5Of-LO@1jOjTI!L+a5DC z?Lf##V}{5P{*WVdEpoJn&W)XC_}cG$o1>Az(LHFpyUqL2PRpQqhEhf&%b>ABDWlu8 z4Ey%=x;vYr^|iI0EJNw5A7K(SW{_n-R3o+6?T@=3%^iS#w7y>6VM{-9#Ivg`gPvjc za$`99)BE+dx9wVYXL1zJ$s?=MGDtSE4AI|1NMmI5E5Cn0v~CZMZm%D`_`e?rj><8^ z`ub?hfNJD4X}!4*Me8m9)^3c}{pH-EH4tzxw<3TkEb{w$@|J!1q9+e?vxZ zjiVp^#(n1~w;;zE?C6KV_2D?-3(PS{7sqJbtNS$rxutc`A33?>IMPmHamE+yhB9Jq zE1HDcv<(0F4-bgeZE?hV`G%YfN2%E!ERE5+`Td$x-KlllSVuDYVmZ3>;R7L~p4OGo zI{cy5A(^Okdr4@lWw?L8RxNLm(H+Q9*^d}9Y{{s!40}GpjO2wGMl;*4lna(&FZm$Aaa#A~`?b?)yNvEkj#v+iGAb>D zWFyNEeF@_hZppgJh)Bqos@xPq{vUkZwY- zEjp$j{p-JUAY?R#BkdQ2muwGy##)9CeEk98sI&~6OdQGRt#b4)4;>VaqGixo%c0h3 z9zV)xFDZ@Dx_|oY+Z>JhMI&Gt#L`}FjMjZq{Qzj4IFe&owrj>ZhF7Q!jDZcizVkOC*IRt=e2(H8U!40M$tbQ` z#<}mCC37P_Mn-?KU+=uVSw?pnN9^X*4vqNk|58Tm>eR~e?aL^9_r6stofweH$=?doJ@c}=HBhn69(AAO-G^D!JfIdcGH#K|MpCg3RU zNE1i#4c}OXawpA}lI;qN;pp@G^|hcKGP)xMXYMo>h*I~7Cxl1xP62{2rhyLV% zXx$i&#EpJ?ur!9F_WrMk<;Ll-IA-7(X;4~*D5JN=(Nkv+gpA5Nte*PHr-|0-a?CJV z6}zElJ40h+bozVV=4jMNyJr=4r;K2C_&3ipM6F|P+a5C<|M3GN zqb-hxwhm5WKf=E~%kUrf>zj!;?nkpWcw;zbC})aCGJ0zqtu*&tMy&o{*O&pTFq~&# zt-L*al0ftJa$_vRckJIO14&7i?Q|>XO%rf5SX(2Fl+4~bM;G?*iEk%IvI>%w)?rV# z;<`dYlh#`%V588oJgvnKIf8n-qLV`TKXe{le`ZV!&c*&c2T zM}K$zfN&(sAQ_dGA&$GT3{h6M$I*rTdROQ6JFH}+eiS*1mLYP4Wr+UmS%$mzZ!hr; z%Wx-hRNi5kK^cx2wk&sim&UEtZcIP=?EcNEZkN#>9POcVV|Qpw{8tCUGH_>&mSHqz z*e0Xf%x0Fd8$6qpjZ_JdM%1pZUMpdmkXlj{Cmv_j=zh-|Q`zw}T}-Kwzh5 zIS0*=pfU$uY^b8hy~80jw}dOhEhIT3vT6Xs)Io6~NOr2EM0WKq=HLMgDg)>Yfhxu| zhwL#&8d4oyh$F{U@c?yV9c5a9ESX|rRgAD0MJlBfMNU*IC9(7Q_ItCpcQ}xM$5HK3{cEavnz;GbRP%S&>wfVMf3EwZ>CuFB2K72_8R|BoVX9wK zeYbCQ-dlc4jH4ru(p`{x9epj$4Bx2F-F6)P^6Xp0qiSZrvwCLueS7qie|T@B9iP4R zR%hyUX`P{JBc3F*qWW(4Ccf{_eXF$5A1RO6h1DAx*Q>v(s@a=2w{*|IO#}Sh&ECy_ z|37L691#;DLu*exWlyY;32=L$W?=6Jr4TO$dl~$}&#uf-I%tpjX zfz~*RWGDg8kt|N!9)HY0Fkh6mC0DGJC88b&`GW+0oHae z72b}=;|XCIk=1%U`bIEb^;c7@`1kxh@oiN~b-WWJyz*4);wj5Op=vnlJ^FV~b-}-; zocD83Bfz{9bL#$E6&k;Pf)!mi-e}ohw0k>@qWAJot@QR-R7|h*6jVj|s}nO=PS0XCif&Qb#v8Vn1ys4TxN=SN)C8PC#Oj6R7R=)7zF$NuU9`@$@9eAF z+qQ7gFUIB^1`D229Ze83W2}-@m0Zrf?Bpg64ly7E&|`e#=?WQ;Nw8i6_il*`iRu3RzKN*96+OvW*ib$6D-&CiT!kZOqNTCql5xChs~ zumA{&R|du0D+4N|a2Jkoz8O{eIQJI29WHdaEf~oKoN!bz8~@S2WiAlKCm(Reo9cU{ zkP$#re428XEO>#vlzvQ41xL&$X(^09;XLgtMcH)~ildl{60Pu;mJkw+>xsI%vRn%+n9S~Ig9 zG#P?+D8(kW1mg6Zzk46{Iqo@#VehtOCj$I2c~q3H%)&$JUbNB2Fe11jJJ>-rkarBq zRwFM@Q8`hG*a?lSTg$+(6L$XlS6i#d#am*Oz!B0x2p>m3?=QUeI z>v|GPzvD}$E*m*C<2_gQI`&L9w-u_19^}jgJ3x^US(M$p`_jy>2fNt%S`o61wO+a5 z9ExY z1;PKa=!5O4dQ@aUMVeyXd~F%4IM(KLUZY2oKgSFzM!6ub1Id;h09y+qLT8z*swtu$ zsDotKHif5*$w*|>iPECOmcsUJ2Yci<0Gi1l(z)f1>Zin*M#`*3nG};}9)@fi4xp~d zq6r9rj8X9)`duXC^f;2F(tv_a-oRDXsD{YBdPC{swncMDG=1y!2osAMr{X4gTDMcD{) zsjL1IKWEhV0kEK;54?(v&XG#@K;{CyZUgzAZz5PlbJJ{L*_v%q4Q&)N)H3c5kTZ{% z=8a5kd5C)vk=*j|J_nPuv&p8WjAUGrNq&QRsu2!vno2ZBmCTif^%g_M5cSE>yv3b4 z4Z6mvp35x97jld}>e>&d}z0>I)v%FQBwRTxeU#5`|LDDd4M^`nO2UUb%%=T;?+y zD;|?9lXjv|F)FqSaIQr26!+vH5HY>d7o|%#ei@`Pv-NL5=ElV+tuV3pp^NGLM$#_7uxIiIqx~LsC+oPz_tg zm@2TXV-a!0b7-NyqX1PGR7@2~5-KOz0$Qpa44;w!R7DXK@&z$((AU0CXF=2`A;kp@ z5c&9D47Zc8)=0DM008C|=rx_tVv^^S0+QqvJ}5!as?ILL2bw*|+#@_TUAI!5am&RW ztua~y~jKv+Z$ zEykseShpXNU;it0KFtqY6^5GYhSGQ3u#zX>gYZ3vW)w&_vQQo*M8f~rNg_^b7M0|S zrDV+Jg8Ge;sx=2N-)=lCANRb%#;1IS%*r?JVDvN8X##F3gS!$mJnG^kMJ+tl1QIKuq z=ho=u-^RFEp@CL@%!>D~NFm={pv<}w@pSAoo_XGddU|&vra-@JYCq@f;)_-&z0^W=Bf7<_TJq7 z#ZQ=DaHSpN-~D!n;c3=42X5Pq*6lsHz26VZKap|GSQ%N9iSGT}GrISMS@v{koAjFf zoQ~FBvp3pJi#wJtKzcp*jZrLl%-aMor@FG@8YeE*JcWH{_EIM+ryej{jjhgpQRWM- z)wWuuYsZ2~=9=HK{zbp~dTuASicQH^NSTHn$kO4)C|w5orh=CB=&T;3-&DQ zDrTKLaWAcsc%I^2ei9%sd&M)BTjW~0oGQqAAU)lsWu?UUp#ibfkW)X-Zc zK7|j;vRe!{^QZnt+ji~Nouk&U_;%lU&)z+|r@HMkclC?iQ?FdU`r?TAbpO>CC4c3@ z)|TSWWmmUGt=-1P$=+7;!Dr7tw>rrC{59IAK*>Zo!=X>`IPxX-#ltyv#OpYy$msUg3@4ejqnN0QaT<0of%7cs6M{AlTj~Z7_F6D=%%}DZWwX4eF-1=(adTFlD~20~_9EJ$9k)Os_uLN3M~a<1<>tBea_>dkEyjL+=0$r1 z-Z^s@x6IKd=YHN?+rE%I@*VHokm*E=cEc0nwlRG0r0toE1Kc2ZBX(xVR`lf&*YCbO zYRBZ!q?0^o%<}`=#qS9mk50kmQ9Idy{BY|9$s3)mrAMG-ctouXi^DBxhm(^MEwu3n z5Af&=o>I=?8RRzZ9QuP&+@EQ3Dc!gD#oxvwkEO$5muYFOS!P}DJ8RBXipk;p>E2g)J2v5%3Ri0}w>zrA$QPR2# zE$K}`ety+ad%klxUt>Z*$*+~RLC7{S&6xVJJ2x7n|idIJTE9n{L=2KW!owN(z5JlV5W$bUhP^>xW`;c|MViSN3O< z@}8oY?w784ZfJIiTU&E!wk=!h)9GqHARcE~sZ>8J%hs;tmoIW5L~Eb;xm_*?uWs3J z7xO0E*7mJ)0n=N%-e)pEXhPYZ&$$K%_5VCQPhkt%-tQ}y^X-VZaNVx1>;)5X&ZVmb zN4cLcxGE`&h2Nb zli>RO{cJM^GREi+`u*(DeP15*_jY#n%?|o5d-QTleCJa&zvQFzc2<2Zl>Pk})`XJ9 zQ3=X?mQpXp`1IaA+0KPd{`8*P2@@mNZU~XlnRuKeP6ar(9-~dd|6$wA@~gqzSha;` zJK1sWEXDg~JMGmNmA=0ZnsZ6+`Um@$_QS~apWWZT5JEphwEC{Ub7^1P?d)He#D2fO zvoHB^zMm((jJ5(LYu}8LSxVg(@9$EkayvXxF1Qg-TzW281jQZLJg)FGu}+ia=G5-A z4tJ_L>qHwR&OKu#DA(Wx?OUbtIAg)A<8{|U!xMGRG82qWx<($6PyHy#mG1dp=|zHp zSVCctBBj)m8A?el@uN@@bK{!OlBv4;wmhN+_x|aRnN3qM2WDu4Rnam~^Td(`O0EMn z^BT(uHsxQf0B&dLS=92eGN8S|gz}@hf{Z1bCod4W@g>rbIN?mGwGyVrb(Vdz~ z<1Q%KGL4<}yDh)!X{~W!>t};8IcUl6axkFAm@5Ljvr+mGm{VhGd$DNIg z&1R9O&xJ|q-&~ZvIAvR5QCgg~$~S}c=CMML=z&ry9q#8-1C^q=$5w8h0|Q0Glv>WG zg&z-Fip#cgeqA=SvIQlLhh~fG)*BDY5>6bug;Kg^#xI3tplKfGvK7IxJYv&uN9YZQ`zmWv)srZK z!eNn$b!C_(orfs~%Hkkap~7EuIS!32A`kqVVPi>f$EZeisYYbxDDNYgr^0MO;zA__ z3PcYNu+ZX~*&77XBxBtHqQKF&Oc1Ssl15f|nvH`lZbEMzVx-Tbv&WI)Rw7SKDo?=9gAzO_fj0KuHXOx1Wv!SUn9q2i3(eTwS&!q4yR$oKo;d|>=eC(0lvH8a zzzB160ML^enC+>2U^4Tsg=S}%d1I@YhhVPx9{F02hRiMBRt~dgn02WFv;XV^X2I4} z*W79bxsSilXv*SQ9+e6$yk|&JrYchc!o`HX{e~8SRTD)7&&dEOgsO7bJ5%R-&d>>} zu5!Vt?kAPRB4vkkwJmezHPp6gfkJqoZAG_*nGiizSL_s7P=KedBt|l#PkI&061ji# zyRpI1JVR2-Y$Pqj|50D-G8DN*4;&R+ z%qcAJ?|wGQ3o6t8y0t!%mCokhK{p-k*?CqfPDa;^o0*SP$)L=r^5(V`pRoHgvlq-x z83~>(O0eQpt@y>2azgvN@J7ZmHfXl8<1M;A*GhB)%jU8gE6zE~t>u6DuhL^3Lvpi= z|6T2b&`eu?W3&7sGx zxE+lR_<0d~A@kH_(d$Q}_ZgjWWcHG^ranJ^077|p=-E1(y?&N9kRH_nh&sYSQWnG@sD z+cp)CIw>z*FO)pbGxKa)ml5uLvI~_a6<96D`ySm{8OqpK7OwMa#IuMiq=-Q|6G){(@BVITUvlRBUe-^uE}A^1=!zhmWNHGWT?UA@>FgoZ znYRUT5ePc|Tc$a)gRm@HF_q6j`zuBuan)jvSQePpF}_WBmPxa@VBj&%k{Vssc*E3_ zD$*#pQ3pwsclvT4FQ}5t?(#@uZF5qI!Uz`X~0U3Jc`J>dpcFbpvQOd2fu;qHN|Y>awRv;&iH23B67- zmeMPhL{ae>r8K=tVmct#YL{9>DIoEJ#F#e0jIQg6Lf-3KUF?cS$#{?ABJ#Ve=0sdC zU~F~>8!3s*}zO- zS&r2JB+G>IQGzj*PgYOl2#8<`=YR77#5YW=a{E*c14Iww%sxi<=%!M#WOw1BZhtBt zss>9aj3{xa2#JB5{M73+xyEt6qqa&=Ubh&`UNt2>F7j1WvC%S8iK1@k5P5pMg=DBt zDR>@IAVJu#d^-^h)lCa!TM5aVq>)Z#kl_-hgvv`q$Sv|_AelXhFVhR~GYya0D&?bL zh(#2Zq()^}N8%%$l-jgVdFFi8o|w3*?2wnaIf0I13P6z~1t$c23QnjW?tHxc#J%t( zNw!@@oVemT>1I-wOzPLAURjr4)pk(#kfvzL;S~@qlvU4BqRLON0FXNzRf8)a>s+wR zTTSs8%0w0?_f!$;o0T_Yw0=VE)eAewo&D|)8+R8ss46#5Zq7;9#Z@KVUG)#)7Mw_; zj21^El*m^(3%uUgsVqoVxIE2_cNflci)j`h8 zm_}u;yU>a>q2<<1ku#jh9qjWzuDGn}i8GbpMUx3ZGc${rRhq?gg{q9Mt0>l`*HOWQ z`e2&N)@8lpE?Nmtpmg%*vnuLSnaZ2Gp@fs9m1dh%s-q*P`vjLq83X_~#HtAW@94yl zc{xHj27|WdU-&P$8okHD9c1CgAL)uNKkG~g7}OTfQ|#1hp6TN?>3;v-qK#OkegT_% zC-Nsz9orw{I;C1`v5R2sNDi)K<+^Hiy+=c}Mwr9!=00i7=PMf}oh|okTddN!Xe&9L zZyjDv8JSz`ducy&f*0&(cRoVu!`xM@u4Q3@{oYR6VlB;wUZzkOJ#Gf~PyS`{Ei&rKU|*;yt4-lob93fpuMyFh-w#m!>7w1%fG(m*-O z{P>jRr+Je$JZ1Op91!+&nCIz+D|y+TH1qr;8%zXwx$5A231BPUXDy7|^jGtqh4+>n z_BjLH9$&ZYC#+oErh5Fk?f5lo-)CF-uTk&oev5}kZ@NRY_r5XxOYD%(*>Bv^niqRh z+)A>HUN-lLPvFb7u-$^SF??EQSF;m&1K>J5%y>MVQqw#q`gvq#BiEdJ!y(*9p0^aQ zcrl-F^&$>x;)le;bt-p2yco{%&M(OIa65Ez&w%nr@|S#i{n8a-VHieAx9V ze!ZJ^EqyV3KL6bxwBB~RcW2oep8xjFnLpMn-6{4F@7jB0_X&0>*GA`dpSb@dyOxhQ zb}@TRx4z!K|KvRGC3&x8kMB$O(rnIu_R`NhABMy8pP!#u?GJaK=(gD*MC%GWh5d88 zJJU;7XU!I%OKpDBlbC<79 znyvLyKXsX%($>cxy`1>&`B&y=gm#`iK6WkFi{~a=7i{~!c6YPf7$WW5#ny4<(Qt@I z`PSa<5RVR@9)XTWbjyN$+WEm~wEINoB-?WjKKo3u>Y2$7E?wOZ!*KozW%R@D6TW?Q zwufi0;0czWeeUu_%EZG9^aSzChZA|kYCG}u#!DB%L;bO9Ke$;I!{L>~!{pJ%$yaCc zXnOgO`dDv#?h?C`t=(`~c`|%ulF){D#Gdea@tH~Ev+TC`HZ2*(-uq^=TMeS0a4VUmVd6XMFsCX3dsGs?^X*gavoRiwHw(3P5 z9Ui_Qk6t;{uI(_)BNM4SnpAP`drdZ38q>*d|2$KS;Yf>*PxGy<^l}FKu+4i;U_HEK z0@9=B`Uxh|9R zWm)B(Zn7OQYMU!Q9Oe>dPCwB|tzeDD9V*K;h%>I5S*Bj+wzG^Y7}Y=FmD)yUxkPGm1>^9jKkMYhx^R7@hGH^`?xOc{BW!eRvow(jABS~|<%z$EbEEcM@q8B4I zi`IO~?j*S;IoVZS&gT}v>~W&wMbQqFXhoy#$>ZSw1;#T2GA;_ggO&|jZ7RK7471D+ zb=Ti$gU}142fMo$rsBN6d)+FIA!akPFa5ifRVjY#R5kfA!T(3WKX|$S&-Y+zbm>8V zWR6FsUMNal@8yP9Tg+TCxDLkj{9y4Svv|ne#xG^-N`bgl<^i8KkXSY#ia`Ynx+7bp zrP32Lgl)B0y|!>l51$!1Qcdzi#RG^@%N=E6WzE@?RC%Olz}_~6vX0we+XHdZ(J3}o ze8?@f8d)SdZ&E-vltP8rJh88SjR5mp;9?TwQHNxS^gwO{t~eOXLm{x9k`9pedCmXz zG?_>-E&i$N28GkX+))MvsR!w?1K+oCu>%k&h^GV`?}SD&u|tm}D-KFy+D+Ahj%+99 zgJs)NCP-8i`DRRsStEjd;JLvFJc_D!nC2u6|3g)Xw#+1ebD=BpZ0LU9^fY)+~WO-^M?<}I6a$^QE zZfQw9TUE+~(iPYeMIk_o;wN9QOcAXM30qp#Mba{Vj-;Wzk+V_7N*hYV+kBN~cfL+A za<}1#Lo1=;78Ik4(LCJwF~s39EBU^R_>L=B*6vQ93SqNHeB~=e7W^>V=5~&5Y<(|O zuiWab7~);FXt=P?e9Vq1@q@A(T=68R^efsIwbwyaD{Q-=WcCZ+!HLZ?uf?9=1Cd}) za)gL)p2t%JC65VAdP*Bbvp0~92@}lW#F+i2ftXWe1QK!(%td271r!%H=by!(YB0Mm zT9^-eg{CI5nhSIod##LdI3}x`+I}zNAu;OgUcXEW;Yh;{0_6vRmm`Dq)n995}FbIO- z2UQ#5DCCSBd&=8R3#q1+<`yc!xm(D%K3lL|wX)T+d$QFAR z&-z8j7F@dpWY_)5PHb2|i^087>}wtCV03;x&?b8aHjUmMiQ6{p<0^}4VOCSV7dJof^T33x8zO(E)`xt9OY>IW*jlFAT}8eWRe8xqk(_g zf7Ob0?q~|+U2`)g-#qm0~h2D1)ToX=adJ z3dZY@;W-K6hPpxwxJsZ&4Pr?#Q!~+3l$bkjp~}cyq=1k}QgWzy#dyaJt03={H8$-kfazHcVMwM>U}b4in=`#GY*lIe&uh+Hy9D!6i#qB4APx2u zp_^n=LP;;Ei9wj9q@*5^sY>}DglhKbpOZV05OY-E+OF;wQqNTnBf>G{d=r7624-DS zN(-EIkin&l(P#(HfL;oe=5@N6f>0LBg-fgJcFM=k}L;{{s04fv>Sl~RCG*3p2B%^{ciycp& zWI!m6IC6-jqDekfAp$;$l3G+E6qF07sLLdEE>y7)0|3%%rtxiFV(^1D$oQq#aXU$*AE`uCD2I3aD zOyMi7P`7-6o=pC=TCvEhcvWr$SE;HCFHdsE_-1xaC@x$jEpR~bMm|N!)%ZntNMZd9 z2)!mFlJTON);j%BRY?WfknWVfn{ea_@nwq;stDuRIH$^+sUbX4UG||^9WvL;SV&<; zfmo=U79kKUURQIB3%HV~;H^w_G@=#f-odBBX9=bl1%%)8b+EJ29@Y1E+Uu)s)pCBe zB^&Tv;gE{aQ5ho`Zc>IgJ~i1r;4}Uc48piA3v7q<5WNdb)C#AMN)UP9*5?;r%K3@Dx(d))G_um*Z z!|ifjy1;$RdU*wa-??f$m{==d41M5A*ZDI^v63ex0m~OeUB6ioZ z>qYy=cmV=4FX9C0_vr$yI?6tBP7G*S~vr6rqWgykvTXrD~`C{!Jah`rFt zDX>B4d~5l8U#En2Yb9*(t!3v7-xv`u%EdEcJqD@jtjKb>Dp-&$U&v>MNyOxoGx*04e;+P&Ga zFE+E6YLwZg%1ByepD#9NQP~Teq-v3FBx7iRc0=0%G zMZlw8Ia=?Zx^j6Q@u+>nqgO9tbB0HEjXC?1mHcAzxArKztw-f< zWBFaEr?h7IY~$i8D7}}nnZEn~$ zyK~jImU;cHCAN(3X8~GTA3E&l3r)V*RNS7}IP4wpZDsJ0VWw{^=e-w*4{^!aJ$%PE z+5AuC(WSJy&^7j`l1FFCa&Kk$%;9`S{Fp~)NN)_YIs4Lk@6@AJdGttjvq$=hQXcgi zS%@06~v2 zc$UOGFI!nPw1+$NQHaSfLhLUp?lgm=x5nbNQkmuyx4;n<+fg9h2Jj$+Ht{%XR_JhR z6rNn==xkS9@u+8AqchQe0%ed}cNOffQ8rQH#aZ9pr*pCBK!SED;gPr|9^~oe<}3e# zG;f=@;=Mcy#Iu~<7knopEJ{3B+$SGbv0`6F?pAsQp96B)2u!B%ls&>Q2W^aGz9x>o zX(KVo#dix2il)#CeLWT_H+OXzUmIg6N1zP8)Re1ARqm`kPjVm0J?fi>S)dfKxGp38 z#k1SCZHBg?(_G9RSvJV=iO_kdE50q z;sD4kC{*jMB&b^ameg1&d;h=x+q(0#91m;lw^;BN!L0>(Ix!yIgmSCSt#M5+iE7T( z|28N~rCPUQ8Zb8tQsI@z+v$Jb-qE*~4}6Us!$Woo9~&nAKp);AUVUphuD-QAqrK0= z3+#Cw(^9TFep`M6<=ua=$(>q4PrH`b!mj0){)yS#vv)Wi@PNR*#NZ(WfX5or4}_X`f;iSso1RcOo4JzG^}1@gWQS(?UC`K3=D9KEygP zC4^y7zInaHR}-!B+I4IPeQZqW`D|f7!g|o#QheK#WrCc#Erny!<6+ECz3W)=??32o zm-WB-HY(_K6*5lC9&AwDTW*Z=tTpC&x4VIOBd~cHw2cYE_}{<-N3nDX zCbGp*Z02Exbj0L7P!dmtkmp$QWyUN(24m-zx3#N_Br+(bDMleZ%<-Jn%?ZxUx)z+r zBd;{noaaJb%@0BXC@{SfXqq2SDX^m0+q2>{(WwVW20XxO2{!~~d7!%yk1Uy9S?`8+ ztw~c*^vK4tA(Ym=7q4?!;bqR$ata!Z@jD_JP}JanmpUWDWC}Nf*PU1Gs>1cpuJf_k z0Js6N0re6UhN@p^f(0TI zIHTJhinK%I=}6T&CAa;@AE&C!_t6}Ws&x>b^Rzw8Jfe&P@~fQmoSlW(<||)&KS?Xm zLf^Qpcje-supKO2pcZgU+@V4S@qLx&h^&LcuOO|&1@}#htnWhBK({Xx>ezMdDNufa z+(VAY;@#^!x}w3V1yBK0BB12N-GJ(61B!)ovRZW12z>UZw+#Y^uj#}@kr=^rpT-wh z0UM*`%YGmYsYsaW%&QB_4ZR0I!6VW{)MO1v-v|=SCGY~04Mdb4(F-=`223cDI!kwP zp2R%jn>vYfM0sV4Pm#)Vkj!RMhyag&<)34Nw-DqagN8(o)qXgser-FHf!=)8a$d|@ zm~L4tyu44XS$3UKyA$n$X-D#GU|Up)b}qFQ=dA9+_p8{doMss%QUXS6#!t%@xEM$Siek`8VL(j|DOBEF1fXX4^1>&Z5 zSv)eug~u36?Sh|G`~dHX)7Oh~!&4iB4+P758QVoMpN8#{7Y!K(KRo9Ok`)izcY)zq z26KxICLx;##&lNX6AU{|HPCV!obtnNIM|AhT5ISx-t5_D==*E}_9)(Ou9db;v!vcJ zi0nc7MGq8hIIfL-*1=+WY*wuGa&M27hZ!yA(*n?&Hault_NBX@6(8PAMX?h%VmT^+4~WOEZ5as+>wnlbpwyaT#X++&=Gbrtf}z8ss0*?@g6K9>1j*iF6(watC=uQT z1-Ra74i&8hpZM}r^)E7ku_P>F zP?Q#Wpio(51O;2vu`hnu=m<(3ax4o^fuJ}=LhPaX1W36%dZh~+_K{;73UVTH+?G7j z$N{Hpyug5F#~SQXXMM{z$sK?JC2*=J#p5z|ocjs|IKC;u3o42F7$g&xp!0dJ0*3lveZd3&K!#BMzG?`I6PEg zk|Ci)GOR;Jm9K?;g`bd|L1)j{@%Vmeo+a1$%<+_gNK z3s#d~1`fzA7PSm>4w()Mq6ZWYIRDm5*i3JX|Llmb*HC3vo%SJAfmNADV6q1b! zUpBs4m}4UG&9qPr`!u5$Om7QgNYfJf*&sWJ5Z$6-4zyicT4pU91XPQ^~AF;6L;_y@6tWPEZ1vZ z@}6sy&l^3rQLf~}^aVfrrfqJ5J2rd(l#=_z^1i}8%&?fzCpNe9a9UO53+t^}YsYWw z&>DsF`KL8Uvq4&+FceUub^o^I&**Nm$IE1of#RKA2gQC%_JeYDjoWOI$rhcp9spur=N?&3{nU$2?c!C2s)p!E7fr;iqYd z)4KAkgWE$|R9DS{Zz@MC%w_y&QNd5;o~7mSjICkrEq@&QwBp_L{BR2sQ*+Kd zU7@rKUPsGN zzjI^(?#0eqKZo`G4YTgfI>PSlS>vYvqfhA!-RW{Z{{6kr_NZ z!Ut*M=Z25YFN+s#aeY16UG-b8e0G0&!8ZD%?QH&hcz75-kk9{yD|dIUTtI77X^CIC zFuy2ycU62nQ+gF&qWHW&dU?OQDU{Do{QH*L*o5}{VnWMPuF!=ew<{CSMn9M0E9JAX z$bZea4z~Sf7$uLS_02uHV0VS3N4?}x-Ve#6(Gcv)@^|l%f%5XcY#D|tlcm4%NosJf5!HP4O@ke`YXj9;={Ay!d$%JFUKu6+C6(d zHPJdv6mu6I%lz{ZQ_ko9;z7B-bN<3yT02QgoJvme)s&ufl`g%TQTFGXlo8HPj#4ik zjCQ3h9-c@|w60QwZe5z_0Ebe%T#wV-w$AW_GX4vo9C_6J&^Pl4&-T8BJQ~#=;?Xnw zWB_ALD@&TDa~|87oeHL1Cn<9Y@jiHyXEf+#cK4o za8Q2WFUy=8P91k9IiO1A{45dcr)r(Zh zTX>P@{}=UAV*>EpK&cz3A4`ck0Sg@3>2EhqC(?7jAW}$Upi0 zTq7%TdX{;26j2Y$EOgJh2QMY>kVo{wO}c3u+$53#Cgw3?rM=_x?#VelDAAhd(x7Qx}^nPdK&40Ak``l}-GjD$Rp5E90Ve5fkmDZPA z4}21S_*@k~B)*i3r}#s6pZ?}3$8&vMXymF;TfGXU)$1f%URrei>Tl>FfXUZWO^>On zLgCmI+$PQ~TcKq*p6tvla(BLf1vqIQ5%+*|7*qV16DfWkxkDSWhX@D7d${%;VP?bX z?rjm^3*sDNfuo{DgfGYe5AXn&c2v0L(plt{&g7bY&)_*qWc9K>XA2*3zk&@oqf8ofaQ7< zv*8g%{;|x%lTSo(NEl*yF!SsgR3%&Ps=ZH*0X9P_Tj<~EPoQP%BXZb8C+e+AWHMqv zl!2o30Ls;WnpT@G{7u@3&Dua4p^#UH!2`Y0_-_MHI1o^?8yxo^*uo-lTv8j^dO&f3 zirVNp!ehr|I7@qu*HFF|_?&>BpHwR^@<>Y=33Q0VA+2qHA|kJXwi*$QN#Y6n zF&=Sy(Kgq(BVyOmTw!g(J{CPZPqpS2w&H_5za5IrG0*gUa(}?=-+0-|JN1Y?watTV z%k-e`j14C~PWec+lGireV@cn`9qsQIS@UJH%{eFs7!69t@$%V%f71 z{!jn7Okl`hqbym{s$7P^g+e5T*`l+!lmb+t@+#K|8KJySheTLWsx<|p4q`)LhFSoZ zYDlR;AliB4r7Q}<4Wbntp%6_L6|x0sqQE(Yqo4>e{Km`NtZAIn0OIB7KpU-QCF!qu zAGo(ekY8{tR<<*4t6L|=wnDw^vsy#Z@281_7Jo1+KA24QEJZAg7s2!FYTsVUK$$hI z__*)9FFfG#P3pZUS27REj@C#ii|i|Sf@SRm4H2mJIVb?z{JUe1M%nj1^7>%$dF$kM zkF#0ucF=SAFtt%rjWRVRuh(cxN8Mm^uZ@Z2alv|Qn^ta z;x?7v=1GKr9xWo>FB1qYrya7~TOY}QC>D|1h8?~&caQUX(EGWqsgHM}#5QK7$vduc zjNPZ_$YU4yd|E_L^MJB5qY-_GC8i5{bRhO+YL5KC%a$MbTLh^esLGUVNpT5|lRt`} z-%(_U1F#_Qgi-~L^i(Xh{59kd=|_~T zOA>)|JQU#`C!$3q5~P2VFi9vR_zHzIGW^CrEj0`)VqpKn zyt2oq3c%amHiNru8NMAE|gmcQ1BPo(#j!@9^LK!n1fkHI% z>^CM9)M>VTP?jW=xQr-Us3XzZih5AccIXJjasreNipG|Rfc?l{gsc8k13R9;aq>rz zP=#V*xxVgC#x{Miqt*yAR!2&&PT@v4E`tPOND;3$KSTsx5Q;pz4T@Bj6bM8LgH=>` z&76^}p8n1;qL$NNj$Zm$D;{GZg?pT-lT-=(B|QuuGenmo;}%brxnnAR8S_y+lRgCs zGeRTXL3ze>zaC#l^UN|w;(*+sD8oTf`y>>`8vzMZL*;6)_@ib~!eAAlt+{p}7Y5HW zOJwQ`ocMoRipQiW96)14gel*SEs6(hz&iz#G@e$DP{OfHZw->Vz@{MUuY(dn$@OMJ zLQ$`~L4ja(=u83s!3AZ-3-&vC-rz>xR`?xLI;6kh0*!!`Z=nzo1@+y9(nD2y9ql&; zE^*Wa8E>GVx1_iXz=OgSRJ;X67fPXo8YQB68;X+Ylu*9wSBUUKMUNKzCTXA*J9nEK zq!GATO?8$9HuiKU#4QvTwla(C$PHq7FiyLcy_LMXZ}0WCU)pQ9_c%4W$nUoCb7|MI zISG2-ugJDR36Z)Rntz%f9ei^m>s{mZFm~>GPQS5Q?66m=U;0JE4y||~2xY^{PfqR5 z0ZzRR%FDU+Wc-4Xo(?TuPqyAb?_v##C*8)(S>vB^TlgrKZ_m$Pj5SsR<>n=o)3X8Z z^aZRBYhOU@@vz*-EW&!LFMEK$w17R&X#er4Eo%qIFS=R@fPIY((AV|jCeuy(Rdy}k z%o`6x-T~S!>@>To@1NMFccFBTgA(l1@@l4C%PqUav-@G%6wr2Lak>vmWF&kN6twWN zw8zq}CD&33n_+8cAJDF4x!d+9*%9QgJupS1WyM$+H5Re6ryicw`roN2~F!aKj@$!3#W(Cyzj>%X&8+ zvDx?RJ4Lz0lci^PBosXQQ$NkFB?}jrGSsdmpPmNoRyK!?@#XoektQPk>OnJP6i<4_ zOC!=Nn9P|-g3qKSl*k-9+yM<O z(3bjo3jD>s*)~(L)-}3jWbEJAoy-(BePam^@R+z=n&)nG!`x|R1I>`p?T>r64mo{fYIXt}h0z2tX zT<*Zv8}KdS@WUlPo_=UOQ+{}aG7e^)ET3(p&d(Q4`TQ2QA+jxdw=63y;+n1gn zjn;=(PIfNtHP_F64wv?D?Q@s;UF#aV?lYQQK4KC%I=Wg$|iS>qTE${ zc%>s0O2HM-E8g54cBz79^I2TlJ83uQZLVK86mAm=$b6C)Iy()^cSjNRaC4U5CrSeO z(u3*hufbj0k_=Pq9h0|eINLFlcbxf1@m~^3cIG#$UCXV&uH}7qq}|F7^ZOvxej|UY z>x=9!es)srRvyAXrX54%+SO#I@PTUAa=gc`<$ksIdH5-E*ZYd^g#STM*tNulPd=1( zEwSaL*!kWsbK6Gs_;IK@PY6}5y@d~%P9itG3$qycs#1Ds*OD0DT1r~*ttFgY$|H`J z;zEm4dY5>-nOmY;rEiHZs$42xD7SJ%P+Vl2_odLc-pT)dO?vz%$@#h;vM#||Gk5g1 zJ_6stWRq{oe!zlhWdX`HKC77w zqYVmHgA6WU{{hC2+95`x+dRHtF&}Jb8mz+dZ&sd5zsUAB(<0g`=J{E+ORGk49 zMGlHXg@Oosjw*#f&7&pFI>|a?@8um_sJGrBS2w2!JFe10sc4#$odg3 z@ADFrbrwKPe+`qS>6fgX@IE!acA~)%9e=s?G#LD*6f3u|yDaN!ag*mfzFejUkT0QzsV&9PQueeg^upj6$CY;;dvutz{Q z{lHJ)QQ+v|h&eh(wRUU3$cE}rI8oR5t9uv-d7aW68L+w{24aFKLXA?h8%XO??9%%4 zK$VCO|yPB^1h}LYb?#@_B$DYZTh5rX*NK zm^DQO!W*`vee0Kh7E!sAQt??_Wd}w@W54piNq~ zRJfIccSK1kfIxutXlk^>9HFwgk}-xW6clbIFKub%sZxa!C2LbJj;lzs!$9s=ZXcnb zbb|sxFO*cvH);`CdWon;k;32q`{-KKDd==7RS2?&!I%&;!D>Ak2k^jie*5ZBVrm~X zwR-)`=f%j$1DEz2<(-a1D@%h@ELOr}=QMYm^GsNvXf2f)A=z@I!-rbg<43V&)+;_Y-Q#mDd5h?#oScyGfuEL&%6qCDX)KjC3Nna2TmPqxLu; zt+Q}u+&neJh^TSrMyZbNOki9@GOJmI;6lX3z_?MOWQIXdMi?A$qYH&$KyW86MZdpO zRrkGn-+P^YGntr#&!JzPs#B+aRp(QG?yahK`enR++J^V-JZSqOQHE8fU#%GxB~f$w z4H?^}VLLW8d!DRK&SQybD*L{#Z8?rImG2L01BWX7?5!GR<6GbOT*uOLDBpInA6mo= z4)SwYm6C=w+#SqieT!0x>(a{~-)@3A9@CO)-R@E+r^b1r@3M4lORmgm)Zw+w?R<&b zyxOgA&rxD>G95FIU6+4t1HX{Z#}jFHiHe>N$hMza3#W@&Ixc0A{5HaN!Q;@wwrm<1 z9A)B3AQ($I$I4f?#|%}@Fl=Dk*;War(k52*WE zwCz%3jSV&E#x|@Kx72n;-?qN`>TSA2`A~g8r2X}8n5tzXcT-GPKmTSc%eAE4!R82h zJa>=z|B!cAJ}ubqF29|s$S<{4Buah0;UuHQUSQTp_syw-KE)yY-7`Lg_Ug1(gsNBK5-U*^A5|EI_l<+0VJ zo2&W~owt^Als6J(d?Hbv>^9%XgMhjVOOz~C$5CA!*0ps03{ZFV@sUQ5C zw#KXLytSr$b20r}kk94b}{4Iw>%y0PQePj1r zUmJ9}d!~-IC%f)<(?j&bxjz}QJ+IaK#zY^oO*sS}yDw4uJcG|~$gY01tGAYSo~^!? ztAjg_=MJJ@4PUHl+mq>gn!l#vp zRp~fpX60Q{@=8Mu#wyRrTxpo+XSC|K%0rE$!moTZjazLV>K@tDZK{i$lvpB(R^dudVL)8;kGiw|yh(R-#vm)G4J|5YD6xf-_XZY~v@ zx|StRY4V(GbH1rx8r)9T{Jzy|^}B=mrJELIDZOZ(==UC_o1>q~6XmaevI6ZkYH7qy ze%$9Wx6S?fAirs{`TuCtsYdKK?ko9a=51fg^~L&HK2@*PuDsX!TK@a<-M8DE6v$7u?)u=8EUXi z?FimZ-P_2RYQs*|?k;*Yd%u59dAOk3IR^{NC}aSD*Qrynp=WSEsj?C-U}Q^7-kl<(IEL zP;V{&BYiEa$7c1lthbd*U(3pu-jXkTZ7N@;zmHu?Cu?t`*4xUj zO>Zr~b@Hv{Q=jg-@hD1R>LXR)HPRm?udO?s(>JeT3RoTteP%BvHKH!rF-KEP%SDt&gdoK48 zfp3c(uDS&2+bK1D6;F_E)xTK(on{NOK3w;?XBq0my_6Rh>tS^?=F3WM2HJ_7TZ=jZ zo~StWTy{*vJFj-#i{q-V`8nAX zHv~z!&l)qozB*J&wpqMC;JGj-N41MYzKTBS}(skFzL@m#;X5}G8 z7}{8u{#dn&sezmIoy>f$`_kt!Gi6iCYUW0)TES53U(cg8o-{`rf|77c8kUjd6H+Z! zQQpc=(z>3z?4+ttQRc!nneny(iTqX`^p9EH(4thNn{_ItXx@;Fq}sZbEL)kfAN{+N zH8OrW)hgO*PS1KTmb~=mr?zU|38%{6Ck6lfx7pBPXJb#b=>4^mUif&mW;&?qc~5t} z4#~d8>L8t4mnn=0SE}z<)&D~a80`AvenslnX6|?|58`O$F^h{+Z_EA;o^OI*< z$19rGU0J)L*GN^$Pn#}+nl7(^)M%?bvpYi&crfQg}X;33m`PJ?y z6 zzRTR5J>BX}$NXIu$*kR_`u)l$3V#2G_GiA$bX)dbwqZF5?h)uB# z8t={d6Mr?;JNH6xu@nE?FgshbzisE85<3em6a6x>pU+V~^0WIh-fnt$y9)2_{C;!}OEU|Oa><6G4BK$@y#(K(IDhk5+dzg)LobJgV={pPXhp;JEM@_i?_ zAN^drWqSpwl9Vb_ly!dLt(9bpTG;h^eEFa|xzPH~m<>1)cDu|rN+KSd5hcajZCT$L z=P3Des+rLxO0DxZU#^McG&icU>CxTstoPDbHDTTj` More Settings" to "Off". - - -+------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: tx_pll:TX_PLL|altpll:altpll_component ; -+-------------------------------+--------------------------+-------------------------+ -; Parameter Name ; Value ; Type ; -+-------------------------------+--------------------------+-------------------------+ -; OPERATION_MODE ; NORMAL ; Untyped ; -; PLL_TYPE ; AUTO ; Untyped ; -; LPM_HINT ; CBX_MODULE_PREFIX=tx_pll ; Untyped ; -; QUALIFY_CONF_DONE ; OFF ; Untyped ; -; COMPENSATE_CLOCK ; CLK0 ; Untyped ; -; SCAN_CHAIN ; LONG ; Untyped ; -; PRIMARY_CLOCK ; INCLK0 ; Untyped ; -; INCLK0_INPUT_FREQUENCY ; 15547 ; Signed Integer ; -; INCLK1_INPUT_FREQUENCY ; 0 ; Untyped ; -; GATE_LOCK_SIGNAL ; NO ; Untyped ; -; GATE_LOCK_COUNTER ; 0 ; Untyped ; -; LOCK_HIGH ; 1 ; Untyped ; -; LOCK_LOW ; 1 ; Untyped ; -; VALID_LOCK_MULTIPLIER ; 1 ; Untyped ; -; INVALID_LOCK_MULTIPLIER ; 5 ; Untyped ; -; SWITCH_OVER_ON_LOSSCLK ; OFF ; Untyped ; -; SWITCH_OVER_ON_GATED_LOCK ; OFF ; Untyped ; -; ENABLE_SWITCH_OVER_COUNTER ; OFF ; Untyped ; -; SKIP_VCO ; OFF ; Untyped ; -; SWITCH_OVER_COUNTER ; 0 ; Untyped ; -; SWITCH_OVER_TYPE ; AUTO ; Untyped ; -; FEEDBACK_SOURCE ; EXTCLK0 ; Untyped ; -; BANDWIDTH ; 0 ; Untyped ; -; BANDWIDTH_TYPE ; AUTO ; Untyped ; -; SPREAD_FREQUENCY ; 0 ; Untyped ; -; DOWN_SPREAD ; 0 ; Untyped ; -; SELF_RESET_ON_GATED_LOSS_LOCK ; OFF ; Untyped ; -; SELF_RESET_ON_LOSS_LOCK ; OFF ; Untyped ; -; CLK9_MULTIPLY_BY ; 0 ; Untyped ; -; CLK8_MULTIPLY_BY ; 0 ; Untyped ; -; CLK7_MULTIPLY_BY ; 0 ; Untyped ; -; CLK6_MULTIPLY_BY ; 0 ; Untyped ; -; CLK5_MULTIPLY_BY ; 1 ; Untyped ; -; CLK4_MULTIPLY_BY ; 1 ; Untyped ; -; CLK3_MULTIPLY_BY ; 1 ; Untyped ; -; CLK2_MULTIPLY_BY ; 1 ; Untyped ; -; CLK1_MULTIPLY_BY ; 1 ; Untyped ; -; CLK0_MULTIPLY_BY ; 5 ; Signed Integer ; -; CLK9_DIVIDE_BY ; 0 ; Untyped ; -; CLK8_DIVIDE_BY ; 0 ; Untyped ; -; CLK7_DIVIDE_BY ; 0 ; Untyped ; -; CLK6_DIVIDE_BY ; 0 ; Untyped ; -; CLK5_DIVIDE_BY ; 1 ; Untyped ; -; CLK4_DIVIDE_BY ; 1 ; Untyped ; -; CLK3_DIVIDE_BY ; 1 ; Untyped ; -; CLK2_DIVIDE_BY ; 1 ; Untyped ; -; CLK1_DIVIDE_BY ; 1 ; Untyped ; -; CLK0_DIVIDE_BY ; 2 ; Signed Integer ; -; CLK9_PHASE_SHIFT ; 0 ; Untyped ; -; CLK8_PHASE_SHIFT ; 0 ; Untyped ; -; CLK7_PHASE_SHIFT ; 0 ; Untyped ; -; CLK6_PHASE_SHIFT ; 0 ; Untyped ; -; CLK5_PHASE_SHIFT ; 0 ; Untyped ; -; CLK4_PHASE_SHIFT ; 0 ; Untyped ; -; CLK3_PHASE_SHIFT ; 0 ; Untyped ; -; CLK2_PHASE_SHIFT ; 0 ; Untyped ; -; CLK1_PHASE_SHIFT ; 0 ; Untyped ; -; CLK0_PHASE_SHIFT ; 0 ; Untyped ; -; CLK5_TIME_DELAY ; 0 ; Untyped ; -; CLK4_TIME_DELAY ; 0 ; Untyped ; -; CLK3_TIME_DELAY ; 0 ; Untyped ; -; CLK2_TIME_DELAY ; 0 ; Untyped ; -; CLK1_TIME_DELAY ; 0 ; Untyped ; -; CLK0_TIME_DELAY ; 0 ; Untyped ; -; CLK9_DUTY_CYCLE ; 50 ; Untyped ; -; CLK8_DUTY_CYCLE ; 50 ; Untyped ; -; CLK7_DUTY_CYCLE ; 50 ; Untyped ; -; CLK6_DUTY_CYCLE ; 50 ; Untyped ; -; CLK5_DUTY_CYCLE ; 50 ; Untyped ; -; CLK4_DUTY_CYCLE ; 50 ; Untyped ; -; CLK3_DUTY_CYCLE ; 50 ; Untyped ; -; CLK2_DUTY_CYCLE ; 50 ; Untyped ; -; CLK1_DUTY_CYCLE ; 50 ; Untyped ; -; CLK0_DUTY_CYCLE ; 50 ; Signed Integer ; -; CLK9_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK8_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK7_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK6_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK5_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK4_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK3_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK2_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK1_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK0_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK9_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK8_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK7_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK6_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK5_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK4_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK3_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK2_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK1_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK0_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; LOCK_WINDOW_UI ; 0.05 ; Untyped ; -; LOCK_WINDOW_UI_BITS ; UNUSED ; Untyped ; -; VCO_RANGE_DETECTOR_LOW_BITS ; UNUSED ; Untyped ; -; VCO_RANGE_DETECTOR_HIGH_BITS ; UNUSED ; Untyped ; -; DPA_MULTIPLY_BY ; 0 ; Untyped ; -; DPA_DIVIDE_BY ; 1 ; Untyped ; -; DPA_DIVIDER ; 0 ; Untyped ; -; EXTCLK3_MULTIPLY_BY ; 1 ; Untyped ; -; EXTCLK2_MULTIPLY_BY ; 1 ; Untyped ; -; EXTCLK1_MULTIPLY_BY ; 1 ; Untyped ; -; EXTCLK0_MULTIPLY_BY ; 1 ; Untyped ; -; EXTCLK3_DIVIDE_BY ; 1 ; Untyped ; -; EXTCLK2_DIVIDE_BY ; 1 ; Untyped ; -; EXTCLK1_DIVIDE_BY ; 1 ; Untyped ; -; EXTCLK0_DIVIDE_BY ; 1 ; Untyped ; -; EXTCLK3_PHASE_SHIFT ; 0 ; Untyped ; -; EXTCLK2_PHASE_SHIFT ; 0 ; Untyped ; -; EXTCLK1_PHASE_SHIFT ; 0 ; Untyped ; -; EXTCLK0_PHASE_SHIFT ; 0 ; Untyped ; -; EXTCLK3_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK2_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK1_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK0_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK3_DUTY_CYCLE ; 50 ; Untyped ; -; EXTCLK2_DUTY_CYCLE ; 50 ; Untyped ; -; EXTCLK1_DUTY_CYCLE ; 50 ; Untyped ; -; EXTCLK0_DUTY_CYCLE ; 50 ; Untyped ; -; VCO_MULTIPLY_BY ; 0 ; Untyped ; -; VCO_DIVIDE_BY ; 0 ; Untyped ; -; SCLKOUT0_PHASE_SHIFT ; 0 ; Untyped ; -; SCLKOUT1_PHASE_SHIFT ; 0 ; Untyped ; -; VCO_MIN ; 0 ; Untyped ; -; VCO_MAX ; 0 ; Untyped ; -; VCO_CENTER ; 0 ; Untyped ; -; PFD_MIN ; 0 ; Untyped ; -; PFD_MAX ; 0 ; Untyped ; -; M_INITIAL ; 0 ; Untyped ; -; M ; 0 ; Untyped ; -; N ; 1 ; Untyped ; -; M2 ; 1 ; Untyped ; -; N2 ; 1 ; Untyped ; -; SS ; 1 ; Untyped ; -; C0_HIGH ; 0 ; Untyped ; -; C1_HIGH ; 0 ; Untyped ; -; C2_HIGH ; 0 ; Untyped ; -; C3_HIGH ; 0 ; Untyped ; -; C4_HIGH ; 0 ; Untyped ; -; C5_HIGH ; 0 ; Untyped ; -; C6_HIGH ; 0 ; Untyped ; -; C7_HIGH ; 0 ; Untyped ; -; C8_HIGH ; 0 ; Untyped ; -; C9_HIGH ; 0 ; Untyped ; -; C0_LOW ; 0 ; Untyped ; -; C1_LOW ; 0 ; Untyped ; -; C2_LOW ; 0 ; Untyped ; -; C3_LOW ; 0 ; Untyped ; -; C4_LOW ; 0 ; Untyped ; -; C5_LOW ; 0 ; Untyped ; -; C6_LOW ; 0 ; Untyped ; -; C7_LOW ; 0 ; Untyped ; -; C8_LOW ; 0 ; Untyped ; -; C9_LOW ; 0 ; Untyped ; -; C0_INITIAL ; 0 ; Untyped ; -; C1_INITIAL ; 0 ; Untyped ; -; C2_INITIAL ; 0 ; Untyped ; -; C3_INITIAL ; 0 ; Untyped ; -; C4_INITIAL ; 0 ; Untyped ; -; C5_INITIAL ; 0 ; Untyped ; -; C6_INITIAL ; 0 ; Untyped ; -; C7_INITIAL ; 0 ; Untyped ; -; C8_INITIAL ; 0 ; Untyped ; -; C9_INITIAL ; 0 ; Untyped ; -; C0_MODE ; BYPASS ; Untyped ; -; C1_MODE ; BYPASS ; Untyped ; -; C2_MODE ; BYPASS ; Untyped ; -; C3_MODE ; BYPASS ; Untyped ; -; C4_MODE ; BYPASS ; Untyped ; -; C5_MODE ; BYPASS ; Untyped ; -; C6_MODE ; BYPASS ; Untyped ; -; C7_MODE ; BYPASS ; Untyped ; -; C8_MODE ; BYPASS ; Untyped ; -; C9_MODE ; BYPASS ; Untyped ; -; C0_PH ; 0 ; Untyped ; -; C1_PH ; 0 ; Untyped ; -; C2_PH ; 0 ; Untyped ; -; C3_PH ; 0 ; Untyped ; -; C4_PH ; 0 ; Untyped ; -; C5_PH ; 0 ; Untyped ; -; C6_PH ; 0 ; Untyped ; -; C7_PH ; 0 ; Untyped ; -; C8_PH ; 0 ; Untyped ; -; C9_PH ; 0 ; Untyped ; -; L0_HIGH ; 1 ; Untyped ; -; L1_HIGH ; 1 ; Untyped ; -; G0_HIGH ; 1 ; Untyped ; -; G1_HIGH ; 1 ; Untyped ; -; G2_HIGH ; 1 ; Untyped ; -; G3_HIGH ; 1 ; Untyped ; -; E0_HIGH ; 1 ; Untyped ; -; E1_HIGH ; 1 ; Untyped ; -; E2_HIGH ; 1 ; Untyped ; -; E3_HIGH ; 1 ; Untyped ; -; L0_LOW ; 1 ; Untyped ; -; L1_LOW ; 1 ; Untyped ; -; G0_LOW ; 1 ; Untyped ; -; G1_LOW ; 1 ; Untyped ; -; G2_LOW ; 1 ; Untyped ; -; G3_LOW ; 1 ; Untyped ; -; E0_LOW ; 1 ; Untyped ; -; E1_LOW ; 1 ; Untyped ; -; E2_LOW ; 1 ; Untyped ; -; E3_LOW ; 1 ; Untyped ; -; L0_INITIAL ; 1 ; Untyped ; -; L1_INITIAL ; 1 ; Untyped ; -; G0_INITIAL ; 1 ; Untyped ; -; G1_INITIAL ; 1 ; Untyped ; -; G2_INITIAL ; 1 ; Untyped ; -; G3_INITIAL ; 1 ; Untyped ; -; E0_INITIAL ; 1 ; Untyped ; -; E1_INITIAL ; 1 ; Untyped ; -; E2_INITIAL ; 1 ; Untyped ; -; E3_INITIAL ; 1 ; Untyped ; -; L0_MODE ; BYPASS ; Untyped ; -; L1_MODE ; BYPASS ; Untyped ; -; G0_MODE ; BYPASS ; Untyped ; -; G1_MODE ; BYPASS ; Untyped ; -; G2_MODE ; BYPASS ; Untyped ; -; G3_MODE ; BYPASS ; Untyped ; -; E0_MODE ; BYPASS ; Untyped ; -; E1_MODE ; BYPASS ; Untyped ; -; E2_MODE ; BYPASS ; Untyped ; -; E3_MODE ; BYPASS ; Untyped ; -; L0_PH ; 0 ; Untyped ; -; L1_PH ; 0 ; Untyped ; -; G0_PH ; 0 ; Untyped ; -; G1_PH ; 0 ; Untyped ; -; G2_PH ; 0 ; Untyped ; -; G3_PH ; 0 ; Untyped ; -; E0_PH ; 0 ; Untyped ; -; E1_PH ; 0 ; Untyped ; -; E2_PH ; 0 ; Untyped ; -; E3_PH ; 0 ; Untyped ; -; M_PH ; 0 ; Untyped ; -; C1_USE_CASC_IN ; OFF ; Untyped ; -; C2_USE_CASC_IN ; OFF ; Untyped ; -; C3_USE_CASC_IN ; OFF ; Untyped ; -; C4_USE_CASC_IN ; OFF ; Untyped ; -; C5_USE_CASC_IN ; OFF ; Untyped ; -; C6_USE_CASC_IN ; OFF ; Untyped ; -; C7_USE_CASC_IN ; OFF ; Untyped ; -; C8_USE_CASC_IN ; OFF ; Untyped ; -; C9_USE_CASC_IN ; OFF ; Untyped ; -; CLK0_COUNTER ; G0 ; Untyped ; -; CLK1_COUNTER ; G0 ; Untyped ; -; CLK2_COUNTER ; G0 ; Untyped ; -; CLK3_COUNTER ; G0 ; Untyped ; -; CLK4_COUNTER ; G0 ; Untyped ; -; CLK5_COUNTER ; G0 ; Untyped ; -; CLK6_COUNTER ; E0 ; Untyped ; -; CLK7_COUNTER ; E1 ; Untyped ; -; CLK8_COUNTER ; E2 ; Untyped ; -; CLK9_COUNTER ; E3 ; Untyped ; -; L0_TIME_DELAY ; 0 ; Untyped ; -; L1_TIME_DELAY ; 0 ; Untyped ; -; G0_TIME_DELAY ; 0 ; Untyped ; -; G1_TIME_DELAY ; 0 ; Untyped ; -; G2_TIME_DELAY ; 0 ; Untyped ; -; G3_TIME_DELAY ; 0 ; Untyped ; -; E0_TIME_DELAY ; 0 ; Untyped ; -; E1_TIME_DELAY ; 0 ; Untyped ; -; E2_TIME_DELAY ; 0 ; Untyped ; -; E3_TIME_DELAY ; 0 ; Untyped ; -; M_TIME_DELAY ; 0 ; Untyped ; -; N_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK3_COUNTER ; E3 ; Untyped ; -; EXTCLK2_COUNTER ; E2 ; Untyped ; -; EXTCLK1_COUNTER ; E1 ; Untyped ; -; EXTCLK0_COUNTER ; E0 ; Untyped ; -; ENABLE0_COUNTER ; L0 ; Untyped ; -; ENABLE1_COUNTER ; L0 ; Untyped ; -; CHARGE_PUMP_CURRENT ; 2 ; Untyped ; -; LOOP_FILTER_R ; 1.000000 ; Untyped ; -; LOOP_FILTER_C ; 5 ; Untyped ; -; CHARGE_PUMP_CURRENT_BITS ; 9999 ; Untyped ; -; LOOP_FILTER_R_BITS ; 9999 ; Untyped ; -; LOOP_FILTER_C_BITS ; 9999 ; Untyped ; -; VCO_POST_SCALE ; 0 ; Untyped ; -; CLK2_OUTPUT_FREQUENCY ; 0 ; Untyped ; -; CLK1_OUTPUT_FREQUENCY ; 0 ; Untyped ; -; CLK0_OUTPUT_FREQUENCY ; 0 ; Untyped ; -; INTENDED_DEVICE_FAMILY ; Cyclone IV E ; Untyped ; -; PORT_CLKENA0 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA1 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA2 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA3 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA4 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA5 ; PORT_UNUSED ; Untyped ; -; PORT_EXTCLKENA0 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_EXTCLKENA1 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_EXTCLKENA2 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_EXTCLKENA3 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_EXTCLK0 ; PORT_UNUSED ; Untyped ; -; PORT_EXTCLK1 ; PORT_UNUSED ; Untyped ; -; PORT_EXTCLK2 ; PORT_UNUSED ; Untyped ; -; PORT_EXTCLK3 ; PORT_UNUSED ; Untyped ; -; PORT_CLKBAD0 ; PORT_UNUSED ; Untyped ; -; PORT_CLKBAD1 ; PORT_UNUSED ; Untyped ; -; PORT_CLK0 ; PORT_USED ; Untyped ; -; PORT_CLK1 ; PORT_UNUSED ; Untyped ; -; PORT_CLK2 ; PORT_UNUSED ; Untyped ; -; PORT_CLK3 ; PORT_UNUSED ; Untyped ; -; PORT_CLK4 ; PORT_UNUSED ; Untyped ; -; PORT_CLK5 ; PORT_UNUSED ; Untyped ; -; PORT_CLK6 ; PORT_UNUSED ; Untyped ; -; PORT_CLK7 ; PORT_UNUSED ; Untyped ; -; PORT_CLK8 ; PORT_UNUSED ; Untyped ; -; PORT_CLK9 ; PORT_UNUSED ; Untyped ; -; PORT_SCANDATA ; PORT_UNUSED ; Untyped ; -; PORT_SCANDATAOUT ; PORT_UNUSED ; Untyped ; -; PORT_SCANDONE ; PORT_UNUSED ; Untyped ; -; PORT_SCLKOUT1 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_SCLKOUT0 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_ACTIVECLOCK ; PORT_UNUSED ; Untyped ; -; PORT_CLKLOSS ; PORT_UNUSED ; Untyped ; -; PORT_INCLK1 ; PORT_UNUSED ; Untyped ; -; PORT_INCLK0 ; PORT_USED ; Untyped ; -; PORT_FBIN ; PORT_UNUSED ; Untyped ; -; PORT_PLLENA ; PORT_UNUSED ; Untyped ; -; PORT_CLKSWITCH ; PORT_UNUSED ; Untyped ; -; PORT_ARESET ; PORT_UNUSED ; Untyped ; -; PORT_PFDENA ; PORT_UNUSED ; Untyped ; -; PORT_SCANCLK ; PORT_UNUSED ; Untyped ; -; PORT_SCANACLR ; PORT_UNUSED ; Untyped ; -; PORT_SCANREAD ; PORT_UNUSED ; Untyped ; -; PORT_SCANWRITE ; PORT_UNUSED ; Untyped ; -; PORT_ENABLE0 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_ENABLE1 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_LOCKED ; PORT_UNUSED ; Untyped ; -; PORT_CONFIGUPDATE ; PORT_UNUSED ; Untyped ; -; PORT_FBOUT ; PORT_CONNECTIVITY ; Untyped ; -; PORT_PHASEDONE ; PORT_UNUSED ; Untyped ; -; PORT_PHASESTEP ; PORT_UNUSED ; Untyped ; -; PORT_PHASEUPDOWN ; PORT_UNUSED ; Untyped ; -; PORT_SCANCLKENA ; PORT_UNUSED ; Untyped ; -; PORT_PHASECOUNTERSELECT ; PORT_UNUSED ; Untyped ; -; PORT_VCOOVERRANGE ; PORT_CONNECTIVITY ; Untyped ; -; PORT_VCOUNDERRANGE ; PORT_CONNECTIVITY ; Untyped ; -; M_TEST_SOURCE ; 5 ; Untyped ; -; C0_TEST_SOURCE ; 5 ; Untyped ; -; C1_TEST_SOURCE ; 5 ; Untyped ; -; C2_TEST_SOURCE ; 5 ; Untyped ; -; C3_TEST_SOURCE ; 5 ; Untyped ; -; C4_TEST_SOURCE ; 5 ; Untyped ; -; C5_TEST_SOURCE ; 5 ; Untyped ; -; C6_TEST_SOURCE ; 5 ; Untyped ; -; C7_TEST_SOURCE ; 5 ; Untyped ; -; C8_TEST_SOURCE ; 5 ; Untyped ; -; C9_TEST_SOURCE ; 5 ; Untyped ; -; CBXI_PARAMETER ; tx_pll_altpll ; Untyped ; -; VCO_FREQUENCY_CONTROL ; AUTO ; Untyped ; -; VCO_PHASE_SHIFT_STEP ; 0 ; Untyped ; -; WIDTH_CLOCK ; 5 ; Signed Integer ; -; WIDTH_PHASECOUNTERSELECT ; 4 ; Untyped ; -; USING_FBMIMICBIDIR_PORT ; OFF ; Untyped ; -; DEVICE_FAMILY ; Cyclone IV E ; Untyped ; -; SCAN_CHAIN_MIF_FILE ; UNUSED ; Untyped ; -; SIM_GATE_LOCK_DEVICE_BEHAVIOR ; OFF ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+-------------------------------+--------------------------+-------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component ; -+------------------------------------------------+--------------+------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------------------+--------------+------------------------------+ -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; LPM_WIDTHA ; 16 ; Signed Integer ; -; LPM_WIDTHB ; 16 ; Signed Integer ; -; LPM_WIDTHP ; 32 ; Signed Integer ; -; LPM_WIDTHR ; 0 ; Untyped ; -; LPM_WIDTHS ; 1 ; Untyped ; -; LPM_REPRESENTATION ; SIGNED ; Untyped ; -; LPM_PIPELINE ; 1 ; Signed Integer ; -; LATENCY ; 0 ; Untyped ; -; INPUT_A_IS_CONSTANT ; NO ; Untyped ; -; INPUT_B_IS_CONSTANT ; NO ; Untyped ; -; USE_EAB ; OFF ; Untyped ; -; MAXIMIZE_SPEED ; 9 ; Untyped ; -; DEVICE_FAMILY ; Cyclone IV E ; Untyped ; -; CARRY_CHAIN ; MANUAL ; Untyped ; -; APEX20K_TECHNOLOGY_MAPPER ; LUT ; TECH_MAPPER_APEX20K ; -; DEDICATED_MULTIPLIER_CIRCUITRY ; YES ; Untyped ; -; DEDICATED_MULTIPLIER_MIN_INPUT_WIDTH_FOR_AUTO ; 0 ; Untyped ; -; DEDICATED_MULTIPLIER_MIN_OUTPUT_WIDTH_FOR_AUTO ; 0 ; Untyped ; -; CBXI_PARAMETER ; mult_abt ; Untyped ; -; INPUT_A_FIXED_VALUE ; Bx ; Untyped ; -; INPUT_B_FIXED_VALUE ; Bx ; Untyped ; -; USE_AHDL_IMPLEMENTATION ; OFF ; Untyped ; -+------------------------------------------------+--------------+------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+---------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0 ; -+-------------------+--------------+----------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+-------------------+--------------+----------------------------------------------------+ -; DEVICE_FAMILY ; Cyclone IV E ; String ; -; FILTER_TYPE ; interpolator ; String ; -; STAGES ; 6 ; Signed Integer ; -; D_DELAY ; 1 ; Signed Integer ; -; VRC_EN ; 0 ; Signed Integer ; -; RCF_MAX ; 3350 ; Signed Integer ; -; RCF_MIN ; 3350 ; Signed Integer ; -; INTERFACES ; 1 ; Signed Integer ; -; CH_PER_INT ; 1 ; Signed Integer ; -; INT_USE_MEM ; false ; String ; -; INT_MEM ; auto ; String ; -; DIF_USE_MEM ; false ; String ; -; DIF_MEM ; auto ; String ; -; IN_WIDTH ; 16 ; Signed Integer ; -; OUT_WIDTH ; 16 ; Signed Integer ; -; ROUND_TYPE ; TRUNCATE ; String ; -; PIPELINING ; 0 ; Signed Integer ; -; C_STAGE_0_WIDTH ; 75 ; Signed Integer ; -; C_STAGE_1_WIDTH ; 75 ; Signed Integer ; -; C_STAGE_2_WIDTH ; 75 ; Signed Integer ; -; C_STAGE_3_WIDTH ; 75 ; Signed Integer ; -; C_STAGE_4_WIDTH ; 75 ; Signed Integer ; -; C_STAGE_5_WIDTH ; 75 ; Signed Integer ; -; C_STAGE_6_WIDTH ; 75 ; Signed Integer ; -; C_STAGE_7_WIDTH ; 75 ; Signed Integer ; -; C_STAGE_8_WIDTH ; 75 ; Signed Integer ; -; C_STAGE_9_WIDTH ; 75 ; Signed Integer ; -; C_STAGE_10_WIDTH ; 75 ; Signed Integer ; -; C_STAGE_11_WIDTH ; 75 ; Signed Integer ; -; MAX_C_STAGE_WIDTH ; 75 ; Signed Integer ; -; I_STAGE_0_WIDTH ; 75 ; Signed Integer ; -; I_STAGE_1_WIDTH ; 75 ; Signed Integer ; -; I_STAGE_2_WIDTH ; 75 ; Signed Integer ; -; I_STAGE_3_WIDTH ; 75 ; Signed Integer ; -; I_STAGE_4_WIDTH ; 75 ; Signed Integer ; -; I_STAGE_5_WIDTH ; 75 ; Signed Integer ; -; I_STAGE_6_WIDTH ; 75 ; Signed Integer ; -; I_STAGE_7_WIDTH ; 75 ; Signed Integer ; -; I_STAGE_8_WIDTH ; 75 ; Signed Integer ; -; I_STAGE_9_WIDTH ; 75 ; Signed Integer ; -; I_STAGE_10_WIDTH ; 75 ; Signed Integer ; -; I_STAGE_11_WIDTH ; 75 ; Signed Integer ; -; MAX_I_STAGE_WIDTH ; 75 ; Signed Integer ; -+-------------------+--------------+----------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-----------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: data_shifter:TX_CICCOMP_GAINER ; -+----------------+-------+----------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+----------------------------------------------------+ -; in_width ; 30 ; Signed Integer ; -; out_width ; 16 ; Signed Integer ; -+----------------+-------+----------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+---------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst ; -+---------------------+--------------+--------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+---------------------+--------------+--------------------------------------------------------------------------------------------------------------+ -; INWIDTH ; 16 ; Signed Integer ; -; OUT_WIDTH_UNTRIMMED ; 30 ; Signed Integer ; -; BANKINWIDTH ; 0 ; Signed Integer ; -; REM_LSB_BIT_g ; 0 ; Signed Integer ; -; REM_LSB_TYPE_g ; trunc ; String ; -; REM_MSB_BIT_g ; 0 ; Signed Integer ; -; REM_MSB_TYPE_g ; trunc ; String ; -; PHYSCHANIN ; 1 ; Signed Integer ; -; PHYSCHANOUT ; 1 ; Signed Integer ; -; CHANSPERPHYIN ; 1 ; Signed Integer ; -; CHANSPERPHYOUT ; 1 ; Signed Integer ; -; OUTPUTFIFODEPTH ; 4 ; Signed Integer ; -; USE_PACKETS ; 0 ; Signed Integer ; -; MODE_WIDTH ; 0 ; Signed Integer ; -; ENABLE_BACKPRESSURE ; false ; Enumerated ; -; LOG2_CHANSPERPHYOUT ; 1 ; Signed Integer ; -; NUMCHANS ; 1 ; Signed Integer ; -; DEVICE_FAMILY ; Cyclone IV E ; String ; -; COMPLEX_CONST ; 1 ; Signed Integer ; -+---------------------+--------------+--------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_sink_hpfir:sink ; -+-----------------+-------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+-----------------+-------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width_g ; 16 ; Signed Integer ; -; data_width ; 16 ; Signed Integer ; -; data_port_count ; 1 ; Signed Integer ; -; packet_size_g ; 1 ; Signed Integer ; -+-----------------+-------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source ; -+-----------------------+-------+------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+-----------------------+-------+------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width_g ; 30 ; Signed Integer ; -; data_width ; 30 ; Signed Integer ; -; data_port_count ; 1 ; Signed Integer ; -; packet_size_g ; 1 ; Signed Integer ; -; fifo_depth_g ; 4 ; Signed Integer ; -; have_counter_g ; false ; Enumerated ; -; counter_limit_g ; 1 ; Signed Integer ; -; use_packets ; 0 ; Signed Integer ; -; enable_backpressure_g ; false ; Enumerated ; -+-----------------------+-------+------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_memread ; -+----------------+-------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width ; 1 ; Signed Integer ; -; depth ; 1 ; Signed Integer ; -; reset_high ; '1' ; Enumerated ; -; reset_kind ; ASYNC ; String ; -+----------------+-------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute ; -+----------------+-------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width ; 1 ; Signed Integer ; -; depth ; 2 ; Signed Integer ; -; reset_high ; '1' ; Enumerated ; -; reset_kind ; ASYNC ; String ; -+----------------+-------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14 ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width ; 1 ; Signed Integer ; -; depth ; 2 ; Signed Integer ; -; reset_high ; '1' ; Enumerated ; -; reset_kind ; ASYNC ; String ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_15 ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width ; 1 ; Signed Integer ; -; depth ; 1 ; Signed Integer ; -; reset_high ; '1' ; Enumerated ; -; reset_kind ; ASYNC ; String ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13 ; -+----------------+-------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width ; 16 ; Signed Integer ; -; depth ; 3 ; Signed Integer ; -; reset_high ; '1' ; Enumerated ; -; reset_kind ; ASYNC ; String ; -+----------------+-------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13 ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width ; 1 ; Signed Integer ; -; depth ; 3 ; Signed Integer ; -; reset_high ; '1' ; Enumerated ; -; reset_kind ; ASYNC ; String ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem ; -+------------------------------------+----------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------+----------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; BYTE_SIZE_BLOCK ; 8 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH_BYTEENA ; 1 ; Untyped ; -; OPERATION_MODE ; DUAL_PORT ; Untyped ; -; WIDTH_A ; 16 ; Signed Integer ; -; WIDTHAD_A ; 6 ; Signed Integer ; -; NUMWORDS_A ; 64 ; Signed Integer ; -; OUTDATA_REG_A ; UNREGISTERED ; Untyped ; -; ADDRESS_ACLR_A ; NONE ; Untyped ; -; OUTDATA_ACLR_A ; NONE ; Untyped ; -; WRCONTROL_ACLR_A ; NONE ; Untyped ; -; INDATA_ACLR_A ; NONE ; Untyped ; -; BYTEENA_ACLR_A ; NONE ; Untyped ; -; WIDTH_B ; 16 ; Signed Integer ; -; WIDTHAD_B ; 6 ; Signed Integer ; -; NUMWORDS_B ; 64 ; Signed Integer ; -; INDATA_REG_B ; CLOCK0 ; Untyped ; -; WRCONTROL_WRADDRESS_REG_B ; CLOCK0 ; Untyped ; -; RDCONTROL_REG_B ; CLOCK0 ; Untyped ; -; ADDRESS_REG_B ; CLOCK0 ; Untyped ; -; OUTDATA_REG_B ; CLOCK0 ; Untyped ; -; BYTEENA_REG_B ; CLOCK0 ; Untyped ; -; INDATA_ACLR_B ; NONE ; Untyped ; -; WRCONTROL_ACLR_B ; NONE ; Untyped ; -; ADDRESS_ACLR_B ; NONE ; Untyped ; -; OUTDATA_ACLR_B ; NONE ; Untyped ; -; RDCONTROL_ACLR_B ; NONE ; Untyped ; -; BYTEENA_ACLR_B ; NONE ; Untyped ; -; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; -; WIDTH_BYTEENA_B ; 1 ; Signed Integer ; -; RAM_BLOCK_TYPE ; M9K ; Untyped ; -; BYTE_SIZE ; 8 ; Signed Integer ; -; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_A ; NEW_DATA_NO_NBE_READ ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_B ; NEW_DATA_NO_NBE_READ ; Untyped ; -; INIT_FILE ; UNUSED ; Untyped ; -; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; -; MAXIMUM_DEPTH ; 0 ; Signed Integer ; -; CLOCK_ENABLE_INPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_INPUT_B ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_B ; NORMAL ; Untyped ; -; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; -; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; -; ENABLE_ECC ; FALSE ; Untyped ; -; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; -; WIDTH_ECCSTATUS ; 3 ; Signed Integer ; -; DEVICE_FAMILY ; Cyclone IV E ; Untyped ; -; CBXI_PARAMETER ; altsyncram_0mn3 ; Untyped ; -+------------------------------------+----------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component ; -+------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; LPM_WIDTHA ; 8 ; Signed Integer ; -; LPM_WIDTHB ; 16 ; Signed Integer ; -; LPM_WIDTHP ; 24 ; Signed Integer ; -; LPM_WIDTHR ; 0 ; Untyped ; -; LPM_WIDTHS ; 1 ; Signed Integer ; -; LPM_REPRESENTATION ; SIGNED ; Untyped ; -; LPM_PIPELINE ; 2 ; Signed Integer ; -; LATENCY ; 0 ; Untyped ; -; INPUT_A_IS_CONSTANT ; NO ; Untyped ; -; INPUT_B_IS_CONSTANT ; NO ; Untyped ; -; USE_EAB ; OFF ; Untyped ; -; MAXIMIZE_SPEED ; 5 ; Untyped ; -; DEVICE_FAMILY ; Cyclone IV E ; Untyped ; -; CARRY_CHAIN ; MANUAL ; Untyped ; -; APEX20K_TECHNOLOGY_MAPPER ; LUT ; TECH_MAPPER_APEX20K ; -; DEDICATED_MULTIPLIER_CIRCUITRY ; YES ; Untyped ; -; DEDICATED_MULTIPLIER_MIN_INPUT_WIDTH_FOR_AUTO ; 0 ; Untyped ; -; DEDICATED_MULTIPLIER_MIN_OUTPUT_WIDTH_FOR_AUTO ; 0 ; Untyped ; -; CBXI_PARAMETER ; mult_ncu ; Untyped ; -; INPUT_A_FIXED_VALUE ; Bx ; Untyped ; -; INPUT_B_FIXED_VALUE ; Bx ; Untyped ; -; USE_AHDL_IMPLEMENTATION ; OFF ; Untyped ; -+------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_aseq_q_16 ; -+----------------+-------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width ; 1 ; Signed Integer ; -; depth ; 1 ; Signed Integer ; -; reset_high ; '1' ; Enumerated ; -; reset_kind ; ASYNC ; String ; -+----------------+-------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_16 ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width ; 1 ; Signed Integer ; -; depth ; 1 ; Signed Integer ; -; reset_high ; '1' ; Enumerated ; -; reset_kind ; ASYNC ; String ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16 ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width ; 24 ; Signed Integer ; -; depth ; 1 ; Signed Integer ; -; reset_high ; '1' ; Enumerated ; -; reset_kind ; ASYNC ; String ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17 ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width ; 19 ; Signed Integer ; -; depth ; 1 ; Signed Integer ; -; reset_high ; '1' ; Enumerated ; -; reset_kind ; ASYNC ; String ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_roundsat_hpfir:\real_passthrough:gen_outp_blk:0:outp_blk ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; in_width_g ; 30 ; Signed Integer ; -; rem_lsb_bit_g ; 0 ; Signed Integer ; -; rem_lsb_type_g ; trunc ; String ; -; rem_msb_bit_g ; 0 ; Signed Integer ; -; rem_msb_type_g ; trunc ; String ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+---------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst ; -+---------------------+--------------+--------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+---------------------+--------------+--------------------------------------------------------------------------------------------------------------+ -; INWIDTH ; 16 ; Signed Integer ; -; OUT_WIDTH_UNTRIMMED ; 30 ; Signed Integer ; -; BANKINWIDTH ; 0 ; Signed Integer ; -; REM_LSB_BIT_g ; 0 ; Signed Integer ; -; REM_LSB_TYPE_g ; trunc ; String ; -; REM_MSB_BIT_g ; 0 ; Signed Integer ; -; REM_MSB_TYPE_g ; trunc ; String ; -; PHYSCHANIN ; 1 ; Signed Integer ; -; PHYSCHANOUT ; 1 ; Signed Integer ; -; CHANSPERPHYIN ; 1 ; Signed Integer ; -; CHANSPERPHYOUT ; 1 ; Signed Integer ; -; OUTPUTFIFODEPTH ; 4 ; Signed Integer ; -; USE_PACKETS ; 0 ; Signed Integer ; -; MODE_WIDTH ; 0 ; Signed Integer ; -; ENABLE_BACKPRESSURE ; false ; Enumerated ; -; LOG2_CHANSPERPHYOUT ; 1 ; Signed Integer ; -; NUMCHANS ; 1 ; Signed Integer ; -; DEVICE_FAMILY ; Cyclone IV E ; String ; -; COMPLEX_CONST ; 1 ; Signed Integer ; -+---------------------+--------------+--------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_sink_hpfir:sink ; -+-----------------+-------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+-----------------+-------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width_g ; 16 ; Signed Integer ; -; data_width ; 16 ; Signed Integer ; -; data_port_count ; 1 ; Signed Integer ; -; packet_size_g ; 1 ; Signed Integer ; -+-----------------+-------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source ; -+-----------------------+-------+------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+-----------------------+-------+------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width_g ; 30 ; Signed Integer ; -; data_width ; 30 ; Signed Integer ; -; data_port_count ; 1 ; Signed Integer ; -; packet_size_g ; 1 ; Signed Integer ; -; fifo_depth_g ; 4 ; Signed Integer ; -; have_counter_g ; false ; Enumerated ; -; counter_limit_g ; 1 ; Signed Integer ; -; use_packets ; 0 ; Signed Integer ; -; enable_backpressure_g ; false ; Enumerated ; -+-----------------------+-------+------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_memread ; -+----------------+-------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width ; 1 ; Signed Integer ; -; depth ; 1 ; Signed Integer ; -; reset_high ; '1' ; Enumerated ; -; reset_kind ; ASYNC ; String ; -+----------------+-------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute ; -+----------------+-------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width ; 1 ; Signed Integer ; -; depth ; 2 ; Signed Integer ; -; reset_high ; '1' ; Enumerated ; -; reset_kind ; ASYNC ; String ; -+----------------+-------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14 ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width ; 1 ; Signed Integer ; -; depth ; 2 ; Signed Integer ; -; reset_high ; '1' ; Enumerated ; -; reset_kind ; ASYNC ; String ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_15 ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width ; 1 ; Signed Integer ; -; depth ; 1 ; Signed Integer ; -; reset_high ; '1' ; Enumerated ; -; reset_kind ; ASYNC ; String ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13 ; -+----------------+-------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width ; 16 ; Signed Integer ; -; depth ; 3 ; Signed Integer ; -; reset_high ; '1' ; Enumerated ; -; reset_kind ; ASYNC ; String ; -+----------------+-------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13 ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width ; 1 ; Signed Integer ; -; depth ; 3 ; Signed Integer ; -; reset_high ; '1' ; Enumerated ; -; reset_kind ; ASYNC ; String ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem ; -+------------------------------------+----------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------+----------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; BYTE_SIZE_BLOCK ; 8 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH_BYTEENA ; 1 ; Untyped ; -; OPERATION_MODE ; DUAL_PORT ; Untyped ; -; WIDTH_A ; 16 ; Signed Integer ; -; WIDTHAD_A ; 6 ; Signed Integer ; -; NUMWORDS_A ; 64 ; Signed Integer ; -; OUTDATA_REG_A ; UNREGISTERED ; Untyped ; -; ADDRESS_ACLR_A ; NONE ; Untyped ; -; OUTDATA_ACLR_A ; NONE ; Untyped ; -; WRCONTROL_ACLR_A ; NONE ; Untyped ; -; INDATA_ACLR_A ; NONE ; Untyped ; -; BYTEENA_ACLR_A ; NONE ; Untyped ; -; WIDTH_B ; 16 ; Signed Integer ; -; WIDTHAD_B ; 6 ; Signed Integer ; -; NUMWORDS_B ; 64 ; Signed Integer ; -; INDATA_REG_B ; CLOCK0 ; Untyped ; -; WRCONTROL_WRADDRESS_REG_B ; CLOCK0 ; Untyped ; -; RDCONTROL_REG_B ; CLOCK0 ; Untyped ; -; ADDRESS_REG_B ; CLOCK0 ; Untyped ; -; OUTDATA_REG_B ; CLOCK0 ; Untyped ; -; BYTEENA_REG_B ; CLOCK0 ; Untyped ; -; INDATA_ACLR_B ; NONE ; Untyped ; -; WRCONTROL_ACLR_B ; NONE ; Untyped ; -; ADDRESS_ACLR_B ; NONE ; Untyped ; -; OUTDATA_ACLR_B ; NONE ; Untyped ; -; RDCONTROL_ACLR_B ; NONE ; Untyped ; -; BYTEENA_ACLR_B ; NONE ; Untyped ; -; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; -; WIDTH_BYTEENA_B ; 1 ; Signed Integer ; -; RAM_BLOCK_TYPE ; M9K ; Untyped ; -; BYTE_SIZE ; 8 ; Signed Integer ; -; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_A ; NEW_DATA_NO_NBE_READ ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_B ; NEW_DATA_NO_NBE_READ ; Untyped ; -; INIT_FILE ; UNUSED ; Untyped ; -; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; -; MAXIMUM_DEPTH ; 0 ; Signed Integer ; -; CLOCK_ENABLE_INPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_INPUT_B ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_B ; NORMAL ; Untyped ; -; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; -; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; -; ENABLE_ECC ; FALSE ; Untyped ; -; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; -; WIDTH_ECCSTATUS ; 3 ; Signed Integer ; -; DEVICE_FAMILY ; Cyclone IV E ; Untyped ; -; CBXI_PARAMETER ; altsyncram_0mn3 ; Untyped ; -+------------------------------------+----------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component ; -+------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; LPM_WIDTHA ; 8 ; Signed Integer ; -; LPM_WIDTHB ; 16 ; Signed Integer ; -; LPM_WIDTHP ; 24 ; Signed Integer ; -; LPM_WIDTHR ; 0 ; Untyped ; -; LPM_WIDTHS ; 1 ; Signed Integer ; -; LPM_REPRESENTATION ; SIGNED ; Untyped ; -; LPM_PIPELINE ; 2 ; Signed Integer ; -; LATENCY ; 0 ; Untyped ; -; INPUT_A_IS_CONSTANT ; NO ; Untyped ; -; INPUT_B_IS_CONSTANT ; NO ; Untyped ; -; USE_EAB ; OFF ; Untyped ; -; MAXIMIZE_SPEED ; 5 ; Untyped ; -; DEVICE_FAMILY ; Cyclone IV E ; Untyped ; -; CARRY_CHAIN ; MANUAL ; Untyped ; -; APEX20K_TECHNOLOGY_MAPPER ; LUT ; TECH_MAPPER_APEX20K ; -; DEDICATED_MULTIPLIER_CIRCUITRY ; YES ; Untyped ; -; DEDICATED_MULTIPLIER_MIN_INPUT_WIDTH_FOR_AUTO ; 0 ; Untyped ; -; DEDICATED_MULTIPLIER_MIN_OUTPUT_WIDTH_FOR_AUTO ; 0 ; Untyped ; -; CBXI_PARAMETER ; mult_ncu ; Untyped ; -; INPUT_A_FIXED_VALUE ; Bx ; Untyped ; -; INPUT_B_FIXED_VALUE ; Bx ; Untyped ; -; USE_AHDL_IMPLEMENTATION ; OFF ; Untyped ; -+------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_aseq_q_16 ; -+----------------+-------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width ; 1 ; Signed Integer ; -; depth ; 1 ; Signed Integer ; -; reset_high ; '1' ; Enumerated ; -; reset_kind ; ASYNC ; String ; -+----------------+-------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_16 ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width ; 1 ; Signed Integer ; -; depth ; 1 ; Signed Integer ; -; reset_high ; '1' ; Enumerated ; -; reset_kind ; ASYNC ; String ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16 ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width ; 24 ; Signed Integer ; -; depth ; 1 ; Signed Integer ; -; reset_high ; '1' ; Enumerated ; -; reset_kind ; ASYNC ; String ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17 ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width ; 19 ; Signed Integer ; -; depth ; 1 ; Signed Integer ; -; reset_high ; '1' ; Enumerated ; -; reset_kind ; ASYNC ; String ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_roundsat_hpfir:\real_passthrough:gen_outp_blk:0:outp_blk ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; in_width_g ; 30 ; Signed Integer ; -; rem_lsb_bit_g ; 0 ; Signed Integer ; -; rem_lsb_type_g ; trunc ; String ; -; rem_msb_bit_g ; 0 ; Signed Integer ; -; rem_msb_type_g ; trunc ; String ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0 ; -+----------------+---------------------------+----------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+---------------------------+----------------------------------------+ -; mpr ; 16 ; Signed Integer ; -; opr ; 32 ; Signed Integer ; -; apr ; 22 ; Signed Integer ; -; apri ; 22 ; Signed Integer ; -; aprf ; 32 ; Signed Integer ; -; aprp ; 16 ; Signed Integer ; -; aprid ; 27 ; Signed Integer ; -; dpri ; 4 ; Signed Integer ; -; rdw ; 16 ; Signed Integer ; -; rawc ; 11 ; Signed Integer ; -; rnwc ; 2048 ; Signed Integer ; -; rawf ; 11 ; Signed Integer ; -; rnwf ; 2048 ; Signed Integer ; -; Pn ; 1048576 ; Signed Integer ; -; mxnbc ; 32768 ; Signed Integer ; -; mxnbf ; 32768 ; Signed Integer ; -; rsfc ; tx_nco_nco_ii_0_sin_c.hex ; String ; -; rsff ; tx_nco_nco_ii_0_sin_f.hex ; String ; -; rcfc ; tx_nco_nco_ii_0_cos_c.hex ; String ; -; rcff ; tx_nco_nco_ii_0_cos_f.hex ; String ; -; nc ; 1 ; Signed Integer ; -; log2nc ; 0 ; Signed Integer ; -; outselinit ; 0 ; Signed Integer ; -; paci0 ; 0 ; Signed Integer ; -; paci1 ; 0 ; Signed Integer ; -; paci2 ; 0 ; Signed Integer ; -; paci3 ; 0 ; Signed Integer ; -; paci4 ; 0 ; Signed Integer ; -; paci5 ; 0 ; Signed Integer ; -; paci6 ; 0 ; Signed Integer ; -; paci7 ; 0 ; Signed Integer ; -; hyper_pipeline ; 0 ; Signed Integer ; -+----------------+---------------------------+----------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component ; -+------------------------------------------------+--------------+------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------------------+--------------+------------------------------+ -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; LPM_WIDTHA ; 16 ; Signed Integer ; -; LPM_WIDTHB ; 16 ; Signed Integer ; -; LPM_WIDTHP ; 32 ; Signed Integer ; -; LPM_WIDTHR ; 0 ; Untyped ; -; LPM_WIDTHS ; 1 ; Untyped ; -; LPM_REPRESENTATION ; SIGNED ; Untyped ; -; LPM_PIPELINE ; 1 ; Signed Integer ; -; LATENCY ; 0 ; Untyped ; -; INPUT_A_IS_CONSTANT ; NO ; Untyped ; -; INPUT_B_IS_CONSTANT ; NO ; Untyped ; -; USE_EAB ; OFF ; Untyped ; -; MAXIMIZE_SPEED ; 9 ; Untyped ; -; DEVICE_FAMILY ; Cyclone IV E ; Untyped ; -; CARRY_CHAIN ; MANUAL ; Untyped ; -; APEX20K_TECHNOLOGY_MAPPER ; LUT ; TECH_MAPPER_APEX20K ; -; DEDICATED_MULTIPLIER_CIRCUITRY ; YES ; Untyped ; -; DEDICATED_MULTIPLIER_MIN_INPUT_WIDTH_FOR_AUTO ; 0 ; Untyped ; -; DEDICATED_MULTIPLIER_MIN_OUTPUT_WIDTH_FOR_AUTO ; 0 ; Untyped ; -; CBXI_PARAMETER ; mult_abt ; Untyped ; -; INPUT_A_FIXED_VALUE ; Bx ; Untyped ; -; INPUT_B_FIXED_VALUE ; Bx ; Untyped ; -; USE_AHDL_IMPLEMENTATION ; OFF ; Untyped ; -+------------------------------------------------+--------------+------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+---------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0 ; -+-------------------+--------------+----------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+-------------------+--------------+----------------------------------------------------+ -; DEVICE_FAMILY ; Cyclone IV E ; String ; -; FILTER_TYPE ; interpolator ; String ; -; STAGES ; 6 ; Signed Integer ; -; D_DELAY ; 1 ; Signed Integer ; -; VRC_EN ; 0 ; Signed Integer ; -; RCF_MAX ; 3350 ; Signed Integer ; -; RCF_MIN ; 3350 ; Signed Integer ; -; INTERFACES ; 1 ; Signed Integer ; -; CH_PER_INT ; 1 ; Signed Integer ; -; INT_USE_MEM ; false ; String ; -; INT_MEM ; auto ; String ; -; DIF_USE_MEM ; false ; String ; -; DIF_MEM ; auto ; String ; -; IN_WIDTH ; 16 ; Signed Integer ; -; OUT_WIDTH ; 16 ; Signed Integer ; -; ROUND_TYPE ; TRUNCATE ; String ; -; PIPELINING ; 0 ; Signed Integer ; -; C_STAGE_0_WIDTH ; 75 ; Signed Integer ; -; C_STAGE_1_WIDTH ; 75 ; Signed Integer ; -; C_STAGE_2_WIDTH ; 75 ; Signed Integer ; -; C_STAGE_3_WIDTH ; 75 ; Signed Integer ; -; C_STAGE_4_WIDTH ; 75 ; Signed Integer ; -; C_STAGE_5_WIDTH ; 75 ; Signed Integer ; -; C_STAGE_6_WIDTH ; 75 ; Signed Integer ; -; C_STAGE_7_WIDTH ; 75 ; Signed Integer ; -; C_STAGE_8_WIDTH ; 75 ; Signed Integer ; -; C_STAGE_9_WIDTH ; 75 ; Signed Integer ; -; C_STAGE_10_WIDTH ; 75 ; Signed Integer ; -; C_STAGE_11_WIDTH ; 75 ; Signed Integer ; -; MAX_C_STAGE_WIDTH ; 75 ; Signed Integer ; -; I_STAGE_0_WIDTH ; 75 ; Signed Integer ; -; I_STAGE_1_WIDTH ; 75 ; Signed Integer ; -; I_STAGE_2_WIDTH ; 75 ; Signed Integer ; -; I_STAGE_3_WIDTH ; 75 ; Signed Integer ; -; I_STAGE_4_WIDTH ; 75 ; Signed Integer ; -; I_STAGE_5_WIDTH ; 75 ; Signed Integer ; -; I_STAGE_6_WIDTH ; 75 ; Signed Integer ; -; I_STAGE_7_WIDTH ; 75 ; Signed Integer ; -; I_STAGE_8_WIDTH ; 75 ; Signed Integer ; -; I_STAGE_9_WIDTH ; 75 ; Signed Integer ; -; I_STAGE_10_WIDTH ; 75 ; Signed Integer ; -; I_STAGE_11_WIDTH ; 75 ; Signed Integer ; -; MAX_I_STAGE_WIDTH ; 75 ; Signed Integer ; -+-------------------+--------------+----------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: data_shifter:RX_CICFIR_GAINER ; -+----------------+-------+---------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+---------------------------------------------------+ -; in_width ; 30 ; Signed Integer ; -; out_width ; 16 ; Signed Integer ; -+----------------+-------+---------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+---------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst ; -+---------------------+--------------+--------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+---------------------+--------------+--------------------------------------------------------------------------------------------------------------+ -; INWIDTH ; 16 ; Signed Integer ; -; OUT_WIDTH_UNTRIMMED ; 30 ; Signed Integer ; -; BANKINWIDTH ; 0 ; Signed Integer ; -; REM_LSB_BIT_g ; 0 ; Signed Integer ; -; REM_LSB_TYPE_g ; round ; String ; -; REM_MSB_BIT_g ; 0 ; Signed Integer ; -; REM_MSB_TYPE_g ; trunc ; String ; -; PHYSCHANIN ; 1 ; Signed Integer ; -; PHYSCHANOUT ; 1 ; Signed Integer ; -; CHANSPERPHYIN ; 1 ; Signed Integer ; -; CHANSPERPHYOUT ; 1 ; Signed Integer ; -; OUTPUTFIFODEPTH ; 4 ; Signed Integer ; -; USE_PACKETS ; 0 ; Signed Integer ; -; MODE_WIDTH ; 0 ; Signed Integer ; -; ENABLE_BACKPRESSURE ; false ; Enumerated ; -; LOG2_CHANSPERPHYOUT ; 1 ; Signed Integer ; -; NUMCHANS ; 1 ; Signed Integer ; -; DEVICE_FAMILY ; Cyclone IV E ; String ; -; COMPLEX_CONST ; 1 ; Signed Integer ; -+---------------------+--------------+--------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_sink_hpfir:sink ; -+-----------------+-------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+-----------------+-------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width_g ; 16 ; Signed Integer ; -; data_width ; 16 ; Signed Integer ; -; data_port_count ; 1 ; Signed Integer ; -; packet_size_g ; 1 ; Signed Integer ; -+-----------------+-------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source ; -+-----------------------+-------+------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+-----------------------+-------+------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width_g ; 30 ; Signed Integer ; -; data_width ; 30 ; Signed Integer ; -; data_port_count ; 1 ; Signed Integer ; -; packet_size_g ; 1 ; Signed Integer ; -; fifo_depth_g ; 4 ; Signed Integer ; -; have_counter_g ; false ; Enumerated ; -; counter_limit_g ; 1 ; Signed Integer ; -; use_packets ; 0 ; Signed Integer ; -; enable_backpressure_g ; false ; Enumerated ; -+-----------------------+-------+------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_memread ; -+----------------+-------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width ; 1 ; Signed Integer ; -; depth ; 1 ; Signed Integer ; -; reset_high ; '1' ; Enumerated ; -; reset_kind ; ASYNC ; String ; -+----------------+-------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute ; -+----------------+-------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width ; 1 ; Signed Integer ; -; depth ; 2 ; Signed Integer ; -; reset_high ; '1' ; Enumerated ; -; reset_kind ; ASYNC ; String ; -+----------------+-------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14 ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width ; 1 ; Signed Integer ; -; depth ; 2 ; Signed Integer ; -; reset_high ; '1' ; Enumerated ; -; reset_kind ; ASYNC ; String ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_15 ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width ; 1 ; Signed Integer ; -; depth ; 1 ; Signed Integer ; -; reset_high ; '1' ; Enumerated ; -; reset_kind ; ASYNC ; String ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13 ; -+----------------+-------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width ; 16 ; Signed Integer ; -; depth ; 3 ; Signed Integer ; -; reset_high ; '1' ; Enumerated ; -; reset_kind ; ASYNC ; String ; -+----------------+-------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13 ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width ; 1 ; Signed Integer ; -; depth ; 3 ; Signed Integer ; -; reset_high ; '1' ; Enumerated ; -; reset_kind ; ASYNC ; String ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem ; -+------------------------------------+----------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------+----------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; BYTE_SIZE_BLOCK ; 8 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH_BYTEENA ; 1 ; Untyped ; -; OPERATION_MODE ; DUAL_PORT ; Untyped ; -; WIDTH_A ; 16 ; Signed Integer ; -; WIDTHAD_A ; 6 ; Signed Integer ; -; NUMWORDS_A ; 64 ; Signed Integer ; -; OUTDATA_REG_A ; UNREGISTERED ; Untyped ; -; ADDRESS_ACLR_A ; NONE ; Untyped ; -; OUTDATA_ACLR_A ; NONE ; Untyped ; -; WRCONTROL_ACLR_A ; NONE ; Untyped ; -; INDATA_ACLR_A ; NONE ; Untyped ; -; BYTEENA_ACLR_A ; NONE ; Untyped ; -; WIDTH_B ; 16 ; Signed Integer ; -; WIDTHAD_B ; 6 ; Signed Integer ; -; NUMWORDS_B ; 64 ; Signed Integer ; -; INDATA_REG_B ; CLOCK0 ; Untyped ; -; WRCONTROL_WRADDRESS_REG_B ; CLOCK0 ; Untyped ; -; RDCONTROL_REG_B ; CLOCK0 ; Untyped ; -; ADDRESS_REG_B ; CLOCK0 ; Untyped ; -; OUTDATA_REG_B ; CLOCK0 ; Untyped ; -; BYTEENA_REG_B ; CLOCK0 ; Untyped ; -; INDATA_ACLR_B ; NONE ; Untyped ; -; WRCONTROL_ACLR_B ; NONE ; Untyped ; -; ADDRESS_ACLR_B ; NONE ; Untyped ; -; OUTDATA_ACLR_B ; NONE ; Untyped ; -; RDCONTROL_ACLR_B ; NONE ; Untyped ; -; BYTEENA_ACLR_B ; NONE ; Untyped ; -; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; -; WIDTH_BYTEENA_B ; 1 ; Signed Integer ; -; RAM_BLOCK_TYPE ; M9K ; Untyped ; -; BYTE_SIZE ; 8 ; Signed Integer ; -; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_A ; NEW_DATA_NO_NBE_READ ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_B ; NEW_DATA_NO_NBE_READ ; Untyped ; -; INIT_FILE ; UNUSED ; Untyped ; -; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; -; MAXIMUM_DEPTH ; 0 ; Signed Integer ; -; CLOCK_ENABLE_INPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_INPUT_B ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_B ; NORMAL ; Untyped ; -; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; -; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; -; ENABLE_ECC ; FALSE ; Untyped ; -; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; -; WIDTH_ECCSTATUS ; 3 ; Signed Integer ; -; DEVICE_FAMILY ; Cyclone IV E ; Untyped ; -; CBXI_PARAMETER ; altsyncram_0mn3 ; Untyped ; -+------------------------------------+----------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component ; -+------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; LPM_WIDTHA ; 8 ; Signed Integer ; -; LPM_WIDTHB ; 16 ; Signed Integer ; -; LPM_WIDTHP ; 24 ; Signed Integer ; -; LPM_WIDTHR ; 0 ; Untyped ; -; LPM_WIDTHS ; 1 ; Signed Integer ; -; LPM_REPRESENTATION ; SIGNED ; Untyped ; -; LPM_PIPELINE ; 2 ; Signed Integer ; -; LATENCY ; 0 ; Untyped ; -; INPUT_A_IS_CONSTANT ; NO ; Untyped ; -; INPUT_B_IS_CONSTANT ; NO ; Untyped ; -; USE_EAB ; OFF ; Untyped ; -; MAXIMIZE_SPEED ; 5 ; Untyped ; -; DEVICE_FAMILY ; Cyclone IV E ; Untyped ; -; CARRY_CHAIN ; MANUAL ; Untyped ; -; APEX20K_TECHNOLOGY_MAPPER ; LUT ; TECH_MAPPER_APEX20K ; -; DEDICATED_MULTIPLIER_CIRCUITRY ; YES ; Untyped ; -; DEDICATED_MULTIPLIER_MIN_INPUT_WIDTH_FOR_AUTO ; 0 ; Untyped ; -; DEDICATED_MULTIPLIER_MIN_OUTPUT_WIDTH_FOR_AUTO ; 0 ; Untyped ; -; CBXI_PARAMETER ; mult_ncu ; Untyped ; -; INPUT_A_FIXED_VALUE ; Bx ; Untyped ; -; INPUT_B_FIXED_VALUE ; Bx ; Untyped ; -; USE_AHDL_IMPLEMENTATION ; OFF ; Untyped ; -+------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_roundsat_hpfir:\real_passthrough:gen_outp_blk:0:outp_blk ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; in_width_g ; 30 ; Signed Integer ; -; rem_lsb_bit_g ; 0 ; Signed Integer ; -; rem_lsb_type_g ; round ; String ; -; rem_msb_bit_g ; 0 ; Signed Integer ; -; rem_msb_type_g ; trunc ; String ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: data_shifter:CIC_GAINER ; -+----------------+-------+---------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+---------------------------------------------+ -; in_width ; 86 ; Signed Integer ; -; out_width ; 16 ; Signed Integer ; -+----------------+-------+---------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+---------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0 ; -+-------------------+--------------+----------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+-------------------+--------------+----------------------------------------------------+ -; DEVICE_FAMILY ; Cyclone IV E ; String ; -; FILTER_TYPE ; decimator ; String ; -; STAGES ; 6 ; Signed Integer ; -; D_DELAY ; 1 ; Signed Integer ; -; VRC_EN ; 0 ; Signed Integer ; -; RCF_MAX ; 1340 ; Signed Integer ; -; RCF_MIN ; 1340 ; Signed Integer ; -; INTERFACES ; 1 ; Signed Integer ; -; CH_PER_INT ; 1 ; Signed Integer ; -; INT_USE_MEM ; false ; String ; -; INT_MEM ; auto ; String ; -; DIF_USE_MEM ; false ; String ; -; DIF_MEM ; auto ; String ; -; IN_WIDTH ; 23 ; Signed Integer ; -; OUT_WIDTH ; 86 ; Signed Integer ; -; ROUND_TYPE ; NONE ; String ; -; PIPELINING ; 0 ; Signed Integer ; -; C_STAGE_0_WIDTH ; 86 ; Signed Integer ; -; C_STAGE_1_WIDTH ; 86 ; Signed Integer ; -; C_STAGE_2_WIDTH ; 86 ; Signed Integer ; -; C_STAGE_3_WIDTH ; 86 ; Signed Integer ; -; C_STAGE_4_WIDTH ; 86 ; Signed Integer ; -; C_STAGE_5_WIDTH ; 86 ; Signed Integer ; -; C_STAGE_6_WIDTH ; 86 ; Signed Integer ; -; C_STAGE_7_WIDTH ; 86 ; Signed Integer ; -; C_STAGE_8_WIDTH ; 86 ; Signed Integer ; -; C_STAGE_9_WIDTH ; 86 ; Signed Integer ; -; C_STAGE_10_WIDTH ; 86 ; Signed Integer ; -; C_STAGE_11_WIDTH ; 86 ; Signed Integer ; -; MAX_C_STAGE_WIDTH ; 86 ; Signed Integer ; -; I_STAGE_0_WIDTH ; 86 ; Signed Integer ; -; I_STAGE_1_WIDTH ; 86 ; Signed Integer ; -; I_STAGE_2_WIDTH ; 86 ; Signed Integer ; -; I_STAGE_3_WIDTH ; 86 ; Signed Integer ; -; I_STAGE_4_WIDTH ; 86 ; Signed Integer ; -; I_STAGE_5_WIDTH ; 86 ; Signed Integer ; -; I_STAGE_6_WIDTH ; 86 ; Signed Integer ; -; I_STAGE_7_WIDTH ; 86 ; Signed Integer ; -; I_STAGE_8_WIDTH ; 86 ; Signed Integer ; -; I_STAGE_9_WIDTH ; 86 ; Signed Integer ; -; I_STAGE_10_WIDTH ; 86 ; Signed Integer ; -; I_STAGE_11_WIDTH ; 86 ; Signed Integer ; -; MAX_I_STAGE_WIDTH ; 86 ; Signed Integer ; -+-------------------+--------------+----------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: nco:RX_NCO|nco_nco_ii_0:nco_ii_0 ; -+----------------+------------------------+-------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+------------------------+-------------------------------------+ -; mpr ; 12 ; Signed Integer ; -; opr ; 24 ; Signed Integer ; -; apr ; 22 ; Signed Integer ; -; apri ; 22 ; Signed Integer ; -; aprf ; 32 ; Signed Integer ; -; aprp ; 16 ; Signed Integer ; -; aprid ; 27 ; Signed Integer ; -; dpri ; 10 ; Signed Integer ; -; rdw ; 12 ; Signed Integer ; -; rawc ; 11 ; Signed Integer ; -; rnwc ; 2048 ; Signed Integer ; -; rawf ; 11 ; Signed Integer ; -; rnwf ; 2048 ; Signed Integer ; -; Pn ; 1048576 ; Signed Integer ; -; mxnbc ; 24576 ; Signed Integer ; -; mxnbf ; 24576 ; Signed Integer ; -; rsfc ; nco_nco_ii_0_sin_c.hex ; String ; -; rsff ; nco_nco_ii_0_sin_f.hex ; String ; -; rcfc ; nco_nco_ii_0_cos_c.hex ; String ; -; rcff ; nco_nco_ii_0_cos_f.hex ; String ; -; nc ; 1 ; Signed Integer ; -; log2nc ; 0 ; Signed Integer ; -; outselinit ; 0 ; Signed Integer ; -; paci0 ; 0 ; Signed Integer ; -; paci1 ; 0 ; Signed Integer ; -; paci2 ; 0 ; Signed Integer ; -; paci3 ; 0 ; Signed Integer ; -; paci4 ; 0 ; Signed Integer ; -; paci5 ; 0 ; Signed Integer ; -; paci6 ; 0 ; Signed Integer ; -; paci7 ; 0 ; Signed Integer ; -; hyper_pipeline ; 0 ; Signed Integer ; -+----------------+------------------------+-------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: mixer:RX_MIXER_I|lpm_mult:lpm_mult_component ; -+------------------------------------------------+--------------+---------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------------------+--------------+---------------------------+ -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; LPM_WIDTHA ; 12 ; Signed Integer ; -; LPM_WIDTHB ; 12 ; Signed Integer ; -; LPM_WIDTHP ; 24 ; Signed Integer ; -; LPM_WIDTHR ; 0 ; Untyped ; -; LPM_WIDTHS ; 1 ; Untyped ; -; LPM_REPRESENTATION ; SIGNED ; Untyped ; -; LPM_PIPELINE ; 1 ; Signed Integer ; -; LATENCY ; 0 ; Untyped ; -; INPUT_A_IS_CONSTANT ; NO ; Untyped ; -; INPUT_B_IS_CONSTANT ; NO ; Untyped ; -; USE_EAB ; OFF ; Untyped ; -; MAXIMIZE_SPEED ; 5 ; Untyped ; -; DEVICE_FAMILY ; Cyclone IV E ; Untyped ; -; CARRY_CHAIN ; MANUAL ; Untyped ; -; APEX20K_TECHNOLOGY_MAPPER ; LUT ; TECH_MAPPER_APEX20K ; -; DEDICATED_MULTIPLIER_CIRCUITRY ; AUTO ; Untyped ; -; DEDICATED_MULTIPLIER_MIN_INPUT_WIDTH_FOR_AUTO ; 0 ; Untyped ; -; DEDICATED_MULTIPLIER_MIN_OUTPUT_WIDTH_FOR_AUTO ; 0 ; Untyped ; -; CBXI_PARAMETER ; mult_jnp ; Untyped ; -; INPUT_A_FIXED_VALUE ; Bx ; Untyped ; -; INPUT_B_FIXED_VALUE ; Bx ; Untyped ; -; USE_AHDL_IMPLEMENTATION ; OFF ; Untyped ; -+------------------------------------------------+--------------+---------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+---------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0 ; -+-------------------+--------------+----------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+-------------------+--------------+----------------------------------------------------+ -; DEVICE_FAMILY ; Cyclone IV E ; String ; -; FILTER_TYPE ; decimator ; String ; -; STAGES ; 6 ; Signed Integer ; -; D_DELAY ; 1 ; Signed Integer ; -; VRC_EN ; 0 ; Signed Integer ; -; RCF_MAX ; 1340 ; Signed Integer ; -; RCF_MIN ; 1340 ; Signed Integer ; -; INTERFACES ; 1 ; Signed Integer ; -; CH_PER_INT ; 1 ; Signed Integer ; -; INT_USE_MEM ; false ; String ; -; INT_MEM ; auto ; String ; -; DIF_USE_MEM ; false ; String ; -; DIF_MEM ; auto ; String ; -; IN_WIDTH ; 23 ; Signed Integer ; -; OUT_WIDTH ; 86 ; Signed Integer ; -; ROUND_TYPE ; NONE ; String ; -; PIPELINING ; 0 ; Signed Integer ; -; C_STAGE_0_WIDTH ; 86 ; Signed Integer ; -; C_STAGE_1_WIDTH ; 86 ; Signed Integer ; -; C_STAGE_2_WIDTH ; 86 ; Signed Integer ; -; C_STAGE_3_WIDTH ; 86 ; Signed Integer ; -; C_STAGE_4_WIDTH ; 86 ; Signed Integer ; -; C_STAGE_5_WIDTH ; 86 ; Signed Integer ; -; C_STAGE_6_WIDTH ; 86 ; Signed Integer ; -; C_STAGE_7_WIDTH ; 86 ; Signed Integer ; -; C_STAGE_8_WIDTH ; 86 ; Signed Integer ; -; C_STAGE_9_WIDTH ; 86 ; Signed Integer ; -; C_STAGE_10_WIDTH ; 86 ; Signed Integer ; -; C_STAGE_11_WIDTH ; 86 ; Signed Integer ; -; MAX_C_STAGE_WIDTH ; 86 ; Signed Integer ; -; I_STAGE_0_WIDTH ; 86 ; Signed Integer ; -; I_STAGE_1_WIDTH ; 86 ; Signed Integer ; -; I_STAGE_2_WIDTH ; 86 ; Signed Integer ; -; I_STAGE_3_WIDTH ; 86 ; Signed Integer ; -; I_STAGE_4_WIDTH ; 86 ; Signed Integer ; -; I_STAGE_5_WIDTH ; 86 ; Signed Integer ; -; I_STAGE_6_WIDTH ; 86 ; Signed Integer ; -; I_STAGE_7_WIDTH ; 86 ; Signed Integer ; -; I_STAGE_8_WIDTH ; 86 ; Signed Integer ; -; I_STAGE_9_WIDTH ; 86 ; Signed Integer ; -; I_STAGE_10_WIDTH ; 86 ; Signed Integer ; -; I_STAGE_11_WIDTH ; 86 ; Signed Integer ; -; MAX_I_STAGE_WIDTH ; 86 ; Signed Integer ; -+-------------------+--------------+----------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component ; -+------------------------------------------------+--------------+---------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------------------+--------------+---------------------------+ -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; LPM_WIDTHA ; 12 ; Signed Integer ; -; LPM_WIDTHB ; 12 ; Signed Integer ; -; LPM_WIDTHP ; 24 ; Signed Integer ; -; LPM_WIDTHR ; 0 ; Untyped ; -; LPM_WIDTHS ; 1 ; Untyped ; -; LPM_REPRESENTATION ; SIGNED ; Untyped ; -; LPM_PIPELINE ; 1 ; Signed Integer ; -; LATENCY ; 0 ; Untyped ; -; INPUT_A_IS_CONSTANT ; NO ; Untyped ; -; INPUT_B_IS_CONSTANT ; NO ; Untyped ; -; USE_EAB ; OFF ; Untyped ; -; MAXIMIZE_SPEED ; 5 ; Untyped ; -; DEVICE_FAMILY ; Cyclone IV E ; Untyped ; -; CARRY_CHAIN ; MANUAL ; Untyped ; -; APEX20K_TECHNOLOGY_MAPPER ; LUT ; TECH_MAPPER_APEX20K ; -; DEDICATED_MULTIPLIER_CIRCUITRY ; AUTO ; Untyped ; -; DEDICATED_MULTIPLIER_MIN_INPUT_WIDTH_FOR_AUTO ; 0 ; Untyped ; -; DEDICATED_MULTIPLIER_MIN_OUTPUT_WIDTH_FOR_AUTO ; 0 ; Untyped ; -; CBXI_PARAMETER ; mult_jnp ; Untyped ; -; INPUT_A_FIXED_VALUE ; Bx ; Untyped ; -; INPUT_B_FIXED_VALUE ; Bx ; Untyped ; -; USE_AHDL_IMPLEMENTATION ; OFF ; Untyped ; -+------------------------------------------------+--------------+---------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst ; -+---------------------+--------------+-------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+---------------------+--------------+-------------------------------------------------------------------------------------------------------------+ -; INWIDTH ; 16 ; Signed Integer ; -; OUT_WIDTH_UNTRIMMED ; 30 ; Signed Integer ; -; BANKINWIDTH ; 0 ; Signed Integer ; -; REM_LSB_BIT_g ; 0 ; Signed Integer ; -; REM_LSB_TYPE_g ; round ; String ; -; REM_MSB_BIT_g ; 0 ; Signed Integer ; -; REM_MSB_TYPE_g ; trunc ; String ; -; PHYSCHANIN ; 1 ; Signed Integer ; -; PHYSCHANOUT ; 1 ; Signed Integer ; -; CHANSPERPHYIN ; 1 ; Signed Integer ; -; CHANSPERPHYOUT ; 1 ; Signed Integer ; -; OUTPUTFIFODEPTH ; 4 ; Signed Integer ; -; USE_PACKETS ; 0 ; Signed Integer ; -; MODE_WIDTH ; 0 ; Signed Integer ; -; ENABLE_BACKPRESSURE ; false ; Enumerated ; -; LOG2_CHANSPERPHYOUT ; 1 ; Signed Integer ; -; NUMCHANS ; 1 ; Signed Integer ; -; DEVICE_FAMILY ; Cyclone IV E ; String ; -; COMPLEX_CONST ; 1 ; Signed Integer ; -+---------------------+--------------+-------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_sink_hpfir:sink ; -+-----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+-----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width_g ; 16 ; Signed Integer ; -; data_width ; 16 ; Signed Integer ; -; data_port_count ; 1 ; Signed Integer ; -; packet_size_g ; 1 ; Signed Integer ; -+-----------------+-------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source ; -+-----------------------+-------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+-----------------------+-------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width_g ; 30 ; Signed Integer ; -; data_width ; 30 ; Signed Integer ; -; data_port_count ; 1 ; Signed Integer ; -; packet_size_g ; 1 ; Signed Integer ; -; fifo_depth_g ; 4 ; Signed Integer ; -; have_counter_g ; false ; Enumerated ; -; counter_limit_g ; 1 ; Signed Integer ; -; use_packets ; 0 ; Signed Integer ; -; enable_backpressure_g ; false ; Enumerated ; -+-----------------------+-------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_memread ; -+----------------+-------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width ; 1 ; Signed Integer ; -; depth ; 1 ; Signed Integer ; -; reset_high ; '1' ; Enumerated ; -; reset_kind ; ASYNC ; String ; -+----------------+-------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute ; -+----------------+-------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width ; 1 ; Signed Integer ; -; depth ; 2 ; Signed Integer ; -; reset_high ; '1' ; Enumerated ; -; reset_kind ; ASYNC ; String ; -+----------------+-------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14 ; -+----------------+-------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width ; 1 ; Signed Integer ; -; depth ; 2 ; Signed Integer ; -; reset_high ; '1' ; Enumerated ; -; reset_kind ; ASYNC ; String ; -+----------------+-------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_15 ; -+----------------+-------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width ; 1 ; Signed Integer ; -; depth ; 1 ; Signed Integer ; -; reset_high ; '1' ; Enumerated ; -; reset_kind ; ASYNC ; String ; -+----------------+-------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13 ; -+----------------+-------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width ; 16 ; Signed Integer ; -; depth ; 3 ; Signed Integer ; -; reset_high ; '1' ; Enumerated ; -; reset_kind ; ASYNC ; String ; -+----------------+-------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13 ; -+----------------+-------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; width ; 1 ; Signed Integer ; -; depth ; 3 ; Signed Integer ; -; reset_high ; '1' ; Enumerated ; -; reset_kind ; ASYNC ; String ; -+----------------+-------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem ; -+------------------------------------+----------------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------+----------------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; BYTE_SIZE_BLOCK ; 8 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH_BYTEENA ; 1 ; Untyped ; -; OPERATION_MODE ; DUAL_PORT ; Untyped ; -; WIDTH_A ; 16 ; Signed Integer ; -; WIDTHAD_A ; 6 ; Signed Integer ; -; NUMWORDS_A ; 64 ; Signed Integer ; -; OUTDATA_REG_A ; UNREGISTERED ; Untyped ; -; ADDRESS_ACLR_A ; NONE ; Untyped ; -; OUTDATA_ACLR_A ; NONE ; Untyped ; -; WRCONTROL_ACLR_A ; NONE ; Untyped ; -; INDATA_ACLR_A ; NONE ; Untyped ; -; BYTEENA_ACLR_A ; NONE ; Untyped ; -; WIDTH_B ; 16 ; Signed Integer ; -; WIDTHAD_B ; 6 ; Signed Integer ; -; NUMWORDS_B ; 64 ; Signed Integer ; -; INDATA_REG_B ; CLOCK0 ; Untyped ; -; WRCONTROL_WRADDRESS_REG_B ; CLOCK0 ; Untyped ; -; RDCONTROL_REG_B ; CLOCK0 ; Untyped ; -; ADDRESS_REG_B ; CLOCK0 ; Untyped ; -; OUTDATA_REG_B ; CLOCK0 ; Untyped ; -; BYTEENA_REG_B ; CLOCK0 ; Untyped ; -; INDATA_ACLR_B ; NONE ; Untyped ; -; WRCONTROL_ACLR_B ; NONE ; Untyped ; -; ADDRESS_ACLR_B ; NONE ; Untyped ; -; OUTDATA_ACLR_B ; NONE ; Untyped ; -; RDCONTROL_ACLR_B ; NONE ; Untyped ; -; BYTEENA_ACLR_B ; NONE ; Untyped ; -; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; -; WIDTH_BYTEENA_B ; 1 ; Signed Integer ; -; RAM_BLOCK_TYPE ; M9K ; Untyped ; -; BYTE_SIZE ; 8 ; Signed Integer ; -; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_A ; NEW_DATA_NO_NBE_READ ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_B ; NEW_DATA_NO_NBE_READ ; Untyped ; -; INIT_FILE ; UNUSED ; Untyped ; -; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; -; MAXIMUM_DEPTH ; 0 ; Signed Integer ; -; CLOCK_ENABLE_INPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_INPUT_B ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_B ; NORMAL ; Untyped ; -; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; -; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; -; ENABLE_ECC ; FALSE ; Untyped ; -; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; -; WIDTH_ECCSTATUS ; 3 ; Signed Integer ; -; DEVICE_FAMILY ; Cyclone IV E ; Untyped ; -; CBXI_PARAMETER ; altsyncram_0mn3 ; Untyped ; -+------------------------------------+----------------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component ; -+------------------------------------------------+--------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------------------+--------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; LPM_WIDTHA ; 8 ; Signed Integer ; -; LPM_WIDTHB ; 16 ; Signed Integer ; -; LPM_WIDTHP ; 24 ; Signed Integer ; -; LPM_WIDTHR ; 0 ; Untyped ; -; LPM_WIDTHS ; 1 ; Signed Integer ; -; LPM_REPRESENTATION ; SIGNED ; Untyped ; -; LPM_PIPELINE ; 2 ; Signed Integer ; -; LATENCY ; 0 ; Untyped ; -; INPUT_A_IS_CONSTANT ; NO ; Untyped ; -; INPUT_B_IS_CONSTANT ; NO ; Untyped ; -; USE_EAB ; OFF ; Untyped ; -; MAXIMIZE_SPEED ; 5 ; Untyped ; -; DEVICE_FAMILY ; Cyclone IV E ; Untyped ; -; CARRY_CHAIN ; MANUAL ; Untyped ; -; APEX20K_TECHNOLOGY_MAPPER ; LUT ; TECH_MAPPER_APEX20K ; -; DEDICATED_MULTIPLIER_CIRCUITRY ; YES ; Untyped ; -; DEDICATED_MULTIPLIER_MIN_INPUT_WIDTH_FOR_AUTO ; 0 ; Untyped ; -; DEDICATED_MULTIPLIER_MIN_OUTPUT_WIDTH_FOR_AUTO ; 0 ; Untyped ; -; CBXI_PARAMETER ; mult_ncu ; Untyped ; -; INPUT_A_FIXED_VALUE ; Bx ; Untyped ; -; INPUT_B_FIXED_VALUE ; Bx ; Untyped ; -; USE_AHDL_IMPLEMENTATION ; OFF ; Untyped ; -+------------------------------------------------+--------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_roundsat_hpfir:\real_passthrough:gen_outp_blk:0:outp_blk ; -+----------------+-------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; in_width_g ; 30 ; Signed Integer ; -; rem_lsb_bit_g ; 0 ; Signed Integer ; -; rem_lsb_type_g ; round ; String ; -; rem_msb_bit_g ; 0 ; Signed Integer ; -; rem_msb_type_g ; trunc ; String ; -+----------------+-------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: MAIN_PLL:MAIN_PLL|altpll:altpll_component ; -+-------------------------------+----------------------------+---------------------------+ -; Parameter Name ; Value ; Type ; -+-------------------------------+----------------------------+---------------------------+ -; OPERATION_MODE ; NORMAL ; Untyped ; -; PLL_TYPE ; AUTO ; Untyped ; -; LPM_HINT ; CBX_MODULE_PREFIX=MAIN_PLL ; Untyped ; -; QUALIFY_CONF_DONE ; OFF ; Untyped ; -; COMPENSATE_CLOCK ; CLK0 ; Untyped ; -; SCAN_CHAIN ; LONG ; Untyped ; -; PRIMARY_CLOCK ; INCLK0 ; Untyped ; -; INCLK0_INPUT_FREQUENCY ; 15547 ; Signed Integer ; -; INCLK1_INPUT_FREQUENCY ; 0 ; Untyped ; -; GATE_LOCK_SIGNAL ; NO ; Untyped ; -; GATE_LOCK_COUNTER ; 0 ; Untyped ; -; LOCK_HIGH ; 1 ; Untyped ; -; LOCK_LOW ; 1 ; Untyped ; -; VALID_LOCK_MULTIPLIER ; 1 ; Untyped ; -; INVALID_LOCK_MULTIPLIER ; 5 ; Untyped ; -; SWITCH_OVER_ON_LOSSCLK ; OFF ; Untyped ; -; SWITCH_OVER_ON_GATED_LOCK ; OFF ; Untyped ; -; ENABLE_SWITCH_OVER_COUNTER ; OFF ; Untyped ; -; SKIP_VCO ; OFF ; Untyped ; -; SWITCH_OVER_COUNTER ; 0 ; Untyped ; -; SWITCH_OVER_TYPE ; AUTO ; Untyped ; -; FEEDBACK_SOURCE ; EXTCLK0 ; Untyped ; -; BANDWIDTH ; 0 ; Untyped ; -; BANDWIDTH_TYPE ; AUTO ; Untyped ; -; SPREAD_FREQUENCY ; 0 ; Untyped ; -; DOWN_SPREAD ; 0 ; Untyped ; -; SELF_RESET_ON_GATED_LOSS_LOCK ; OFF ; Untyped ; -; SELF_RESET_ON_LOSS_LOCK ; OFF ; Untyped ; -; CLK9_MULTIPLY_BY ; 0 ; Untyped ; -; CLK8_MULTIPLY_BY ; 0 ; Untyped ; -; CLK7_MULTIPLY_BY ; 0 ; Untyped ; -; CLK6_MULTIPLY_BY ; 0 ; Untyped ; -; CLK5_MULTIPLY_BY ; 1 ; Untyped ; -; CLK4_MULTIPLY_BY ; 1 ; Untyped ; -; CLK3_MULTIPLY_BY ; 1 ; Untyped ; -; CLK2_MULTIPLY_BY ; 1 ; Untyped ; -; CLK1_MULTIPLY_BY ; 1 ; Signed Integer ; -; CLK0_MULTIPLY_BY ; 64 ; Signed Integer ; -; CLK9_DIVIDE_BY ; 0 ; Untyped ; -; CLK8_DIVIDE_BY ; 0 ; Untyped ; -; CLK7_DIVIDE_BY ; 0 ; Untyped ; -; CLK6_DIVIDE_BY ; 0 ; Untyped ; -; CLK5_DIVIDE_BY ; 1 ; Untyped ; -; CLK4_DIVIDE_BY ; 1 ; Untyped ; -; CLK3_DIVIDE_BY ; 1 ; Untyped ; -; CLK2_DIVIDE_BY ; 1 ; Untyped ; -; CLK1_DIVIDE_BY ; 1340 ; Signed Integer ; -; CLK0_DIVIDE_BY ; 335 ; Signed Integer ; -; CLK9_PHASE_SHIFT ; 0 ; Untyped ; -; CLK8_PHASE_SHIFT ; 0 ; Untyped ; -; CLK7_PHASE_SHIFT ; 0 ; Untyped ; -; CLK6_PHASE_SHIFT ; 0 ; Untyped ; -; CLK5_PHASE_SHIFT ; 0 ; Untyped ; -; CLK4_PHASE_SHIFT ; 0 ; Untyped ; -; CLK3_PHASE_SHIFT ; 0 ; Untyped ; -; CLK2_PHASE_SHIFT ; 0 ; Untyped ; -; CLK1_PHASE_SHIFT ; 0 ; Untyped ; -; CLK0_PHASE_SHIFT ; 0 ; Untyped ; -; CLK5_TIME_DELAY ; 0 ; Untyped ; -; CLK4_TIME_DELAY ; 0 ; Untyped ; -; CLK3_TIME_DELAY ; 0 ; Untyped ; -; CLK2_TIME_DELAY ; 0 ; Untyped ; -; CLK1_TIME_DELAY ; 0 ; Untyped ; -; CLK0_TIME_DELAY ; 0 ; Untyped ; -; CLK9_DUTY_CYCLE ; 50 ; Untyped ; -; CLK8_DUTY_CYCLE ; 50 ; Untyped ; -; CLK7_DUTY_CYCLE ; 50 ; Untyped ; -; CLK6_DUTY_CYCLE ; 50 ; Untyped ; -; CLK5_DUTY_CYCLE ; 50 ; Untyped ; -; CLK4_DUTY_CYCLE ; 50 ; Untyped ; -; CLK3_DUTY_CYCLE ; 50 ; Untyped ; -; CLK2_DUTY_CYCLE ; 50 ; Untyped ; -; CLK1_DUTY_CYCLE ; 50 ; Signed Integer ; -; CLK0_DUTY_CYCLE ; 50 ; Signed Integer ; -; CLK9_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK8_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK7_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK6_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK5_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK4_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK3_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK2_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK1_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK0_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK9_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK8_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK7_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK6_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK5_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK4_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK3_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK2_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK1_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK0_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; LOCK_WINDOW_UI ; 0.05 ; Untyped ; -; LOCK_WINDOW_UI_BITS ; UNUSED ; Untyped ; -; VCO_RANGE_DETECTOR_LOW_BITS ; UNUSED ; Untyped ; -; VCO_RANGE_DETECTOR_HIGH_BITS ; UNUSED ; Untyped ; -; DPA_MULTIPLY_BY ; 0 ; Untyped ; -; DPA_DIVIDE_BY ; 1 ; Untyped ; -; DPA_DIVIDER ; 0 ; Untyped ; -; EXTCLK3_MULTIPLY_BY ; 1 ; Untyped ; -; EXTCLK2_MULTIPLY_BY ; 1 ; Untyped ; -; EXTCLK1_MULTIPLY_BY ; 1 ; Untyped ; -; EXTCLK0_MULTIPLY_BY ; 1 ; Untyped ; -; EXTCLK3_DIVIDE_BY ; 1 ; Untyped ; -; EXTCLK2_DIVIDE_BY ; 1 ; Untyped ; -; EXTCLK1_DIVIDE_BY ; 1 ; Untyped ; -; EXTCLK0_DIVIDE_BY ; 1 ; Untyped ; -; EXTCLK3_PHASE_SHIFT ; 0 ; Untyped ; -; EXTCLK2_PHASE_SHIFT ; 0 ; Untyped ; -; EXTCLK1_PHASE_SHIFT ; 0 ; Untyped ; -; EXTCLK0_PHASE_SHIFT ; 0 ; Untyped ; -; EXTCLK3_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK2_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK1_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK0_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK3_DUTY_CYCLE ; 50 ; Untyped ; -; EXTCLK2_DUTY_CYCLE ; 50 ; Untyped ; -; EXTCLK1_DUTY_CYCLE ; 50 ; Untyped ; -; EXTCLK0_DUTY_CYCLE ; 50 ; Untyped ; -; VCO_MULTIPLY_BY ; 0 ; Untyped ; -; VCO_DIVIDE_BY ; 0 ; Untyped ; -; SCLKOUT0_PHASE_SHIFT ; 0 ; Untyped ; -; SCLKOUT1_PHASE_SHIFT ; 0 ; Untyped ; -; VCO_MIN ; 0 ; Untyped ; -; VCO_MAX ; 0 ; Untyped ; -; VCO_CENTER ; 0 ; Untyped ; -; PFD_MIN ; 0 ; Untyped ; -; PFD_MAX ; 0 ; Untyped ; -; M_INITIAL ; 0 ; Untyped ; -; M ; 0 ; Untyped ; -; N ; 1 ; Untyped ; -; M2 ; 1 ; Untyped ; -; N2 ; 1 ; Untyped ; -; SS ; 1 ; Untyped ; -; C0_HIGH ; 0 ; Untyped ; -; C1_HIGH ; 0 ; Untyped ; -; C2_HIGH ; 0 ; Untyped ; -; C3_HIGH ; 0 ; Untyped ; -; C4_HIGH ; 0 ; Untyped ; -; C5_HIGH ; 0 ; Untyped ; -; C6_HIGH ; 0 ; Untyped ; -; C7_HIGH ; 0 ; Untyped ; -; C8_HIGH ; 0 ; Untyped ; -; C9_HIGH ; 0 ; Untyped ; -; C0_LOW ; 0 ; Untyped ; -; C1_LOW ; 0 ; Untyped ; -; C2_LOW ; 0 ; Untyped ; -; C3_LOW ; 0 ; Untyped ; -; C4_LOW ; 0 ; Untyped ; -; C5_LOW ; 0 ; Untyped ; -; C6_LOW ; 0 ; Untyped ; -; C7_LOW ; 0 ; Untyped ; -; C8_LOW ; 0 ; Untyped ; -; C9_LOW ; 0 ; Untyped ; -; C0_INITIAL ; 0 ; Untyped ; -; C1_INITIAL ; 0 ; Untyped ; -; C2_INITIAL ; 0 ; Untyped ; -; C3_INITIAL ; 0 ; Untyped ; -; C4_INITIAL ; 0 ; Untyped ; -; C5_INITIAL ; 0 ; Untyped ; -; C6_INITIAL ; 0 ; Untyped ; -; C7_INITIAL ; 0 ; Untyped ; -; C8_INITIAL ; 0 ; Untyped ; -; C9_INITIAL ; 0 ; Untyped ; -; C0_MODE ; BYPASS ; Untyped ; -; C1_MODE ; BYPASS ; Untyped ; -; C2_MODE ; BYPASS ; Untyped ; -; C3_MODE ; BYPASS ; Untyped ; -; C4_MODE ; BYPASS ; Untyped ; -; C5_MODE ; BYPASS ; Untyped ; -; C6_MODE ; BYPASS ; Untyped ; -; C7_MODE ; BYPASS ; Untyped ; -; C8_MODE ; BYPASS ; Untyped ; -; C9_MODE ; BYPASS ; Untyped ; -; C0_PH ; 0 ; Untyped ; -; C1_PH ; 0 ; Untyped ; -; C2_PH ; 0 ; Untyped ; -; C3_PH ; 0 ; Untyped ; -; C4_PH ; 0 ; Untyped ; -; C5_PH ; 0 ; Untyped ; -; C6_PH ; 0 ; Untyped ; -; C7_PH ; 0 ; Untyped ; -; C8_PH ; 0 ; Untyped ; -; C9_PH ; 0 ; Untyped ; -; L0_HIGH ; 1 ; Untyped ; -; L1_HIGH ; 1 ; Untyped ; -; G0_HIGH ; 1 ; Untyped ; -; G1_HIGH ; 1 ; Untyped ; -; G2_HIGH ; 1 ; Untyped ; -; G3_HIGH ; 1 ; Untyped ; -; E0_HIGH ; 1 ; Untyped ; -; E1_HIGH ; 1 ; Untyped ; -; E2_HIGH ; 1 ; Untyped ; -; E3_HIGH ; 1 ; Untyped ; -; L0_LOW ; 1 ; Untyped ; -; L1_LOW ; 1 ; Untyped ; -; G0_LOW ; 1 ; Untyped ; -; G1_LOW ; 1 ; Untyped ; -; G2_LOW ; 1 ; Untyped ; -; G3_LOW ; 1 ; Untyped ; -; E0_LOW ; 1 ; Untyped ; -; E1_LOW ; 1 ; Untyped ; -; E2_LOW ; 1 ; Untyped ; -; E3_LOW ; 1 ; Untyped ; -; L0_INITIAL ; 1 ; Untyped ; -; L1_INITIAL ; 1 ; Untyped ; -; G0_INITIAL ; 1 ; Untyped ; -; G1_INITIAL ; 1 ; Untyped ; -; G2_INITIAL ; 1 ; Untyped ; -; G3_INITIAL ; 1 ; Untyped ; -; E0_INITIAL ; 1 ; Untyped ; -; E1_INITIAL ; 1 ; Untyped ; -; E2_INITIAL ; 1 ; Untyped ; -; E3_INITIAL ; 1 ; Untyped ; -; L0_MODE ; BYPASS ; Untyped ; -; L1_MODE ; BYPASS ; Untyped ; -; G0_MODE ; BYPASS ; Untyped ; -; G1_MODE ; BYPASS ; Untyped ; -; G2_MODE ; BYPASS ; Untyped ; -; G3_MODE ; BYPASS ; Untyped ; -; E0_MODE ; BYPASS ; Untyped ; -; E1_MODE ; BYPASS ; Untyped ; -; E2_MODE ; BYPASS ; Untyped ; -; E3_MODE ; BYPASS ; Untyped ; -; L0_PH ; 0 ; Untyped ; -; L1_PH ; 0 ; Untyped ; -; G0_PH ; 0 ; Untyped ; -; G1_PH ; 0 ; Untyped ; -; G2_PH ; 0 ; Untyped ; -; G3_PH ; 0 ; Untyped ; -; E0_PH ; 0 ; Untyped ; -; E1_PH ; 0 ; Untyped ; -; E2_PH ; 0 ; Untyped ; -; E3_PH ; 0 ; Untyped ; -; M_PH ; 0 ; Untyped ; -; C1_USE_CASC_IN ; OFF ; Untyped ; -; C2_USE_CASC_IN ; OFF ; Untyped ; -; C3_USE_CASC_IN ; OFF ; Untyped ; -; C4_USE_CASC_IN ; OFF ; Untyped ; -; C5_USE_CASC_IN ; OFF ; Untyped ; -; C6_USE_CASC_IN ; OFF ; Untyped ; -; C7_USE_CASC_IN ; OFF ; Untyped ; -; C8_USE_CASC_IN ; OFF ; Untyped ; -; C9_USE_CASC_IN ; OFF ; Untyped ; -; CLK0_COUNTER ; G0 ; Untyped ; -; CLK1_COUNTER ; G0 ; Untyped ; -; CLK2_COUNTER ; G0 ; Untyped ; -; CLK3_COUNTER ; G0 ; Untyped ; -; CLK4_COUNTER ; G0 ; Untyped ; -; CLK5_COUNTER ; G0 ; Untyped ; -; CLK6_COUNTER ; E0 ; Untyped ; -; CLK7_COUNTER ; E1 ; Untyped ; -; CLK8_COUNTER ; E2 ; Untyped ; -; CLK9_COUNTER ; E3 ; Untyped ; -; L0_TIME_DELAY ; 0 ; Untyped ; -; L1_TIME_DELAY ; 0 ; Untyped ; -; G0_TIME_DELAY ; 0 ; Untyped ; -; G1_TIME_DELAY ; 0 ; Untyped ; -; G2_TIME_DELAY ; 0 ; Untyped ; -; G3_TIME_DELAY ; 0 ; Untyped ; -; E0_TIME_DELAY ; 0 ; Untyped ; -; E1_TIME_DELAY ; 0 ; Untyped ; -; E2_TIME_DELAY ; 0 ; Untyped ; -; E3_TIME_DELAY ; 0 ; Untyped ; -; M_TIME_DELAY ; 0 ; Untyped ; -; N_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK3_COUNTER ; E3 ; Untyped ; -; EXTCLK2_COUNTER ; E2 ; Untyped ; -; EXTCLK1_COUNTER ; E1 ; Untyped ; -; EXTCLK0_COUNTER ; E0 ; Untyped ; -; ENABLE0_COUNTER ; L0 ; Untyped ; -; ENABLE1_COUNTER ; L0 ; Untyped ; -; CHARGE_PUMP_CURRENT ; 2 ; Untyped ; -; LOOP_FILTER_R ; 1.000000 ; Untyped ; -; LOOP_FILTER_C ; 5 ; Untyped ; -; CHARGE_PUMP_CURRENT_BITS ; 9999 ; Untyped ; -; LOOP_FILTER_R_BITS ; 9999 ; Untyped ; -; LOOP_FILTER_C_BITS ; 9999 ; Untyped ; -; VCO_POST_SCALE ; 0 ; Untyped ; -; CLK2_OUTPUT_FREQUENCY ; 0 ; Untyped ; -; CLK1_OUTPUT_FREQUENCY ; 0 ; Untyped ; -; CLK0_OUTPUT_FREQUENCY ; 0 ; Untyped ; -; INTENDED_DEVICE_FAMILY ; Cyclone IV E ; Untyped ; -; PORT_CLKENA0 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA1 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA2 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA3 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA4 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA5 ; PORT_UNUSED ; Untyped ; -; PORT_EXTCLKENA0 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_EXTCLKENA1 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_EXTCLKENA2 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_EXTCLKENA3 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_EXTCLK0 ; PORT_UNUSED ; Untyped ; -; PORT_EXTCLK1 ; PORT_UNUSED ; Untyped ; -; PORT_EXTCLK2 ; PORT_UNUSED ; Untyped ; -; PORT_EXTCLK3 ; PORT_UNUSED ; Untyped ; -; PORT_CLKBAD0 ; PORT_UNUSED ; Untyped ; -; PORT_CLKBAD1 ; PORT_UNUSED ; Untyped ; -; PORT_CLK0 ; PORT_USED ; Untyped ; -; PORT_CLK1 ; PORT_USED ; Untyped ; -; PORT_CLK2 ; PORT_UNUSED ; Untyped ; -; PORT_CLK3 ; PORT_UNUSED ; Untyped ; -; PORT_CLK4 ; PORT_UNUSED ; Untyped ; -; PORT_CLK5 ; PORT_UNUSED ; Untyped ; -; PORT_CLK6 ; PORT_UNUSED ; Untyped ; -; PORT_CLK7 ; PORT_UNUSED ; Untyped ; -; PORT_CLK8 ; PORT_UNUSED ; Untyped ; -; PORT_CLK9 ; PORT_UNUSED ; Untyped ; -; PORT_SCANDATA ; PORT_UNUSED ; Untyped ; -; PORT_SCANDATAOUT ; PORT_UNUSED ; Untyped ; -; PORT_SCANDONE ; PORT_UNUSED ; Untyped ; -; PORT_SCLKOUT1 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_SCLKOUT0 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_ACTIVECLOCK ; PORT_UNUSED ; Untyped ; -; PORT_CLKLOSS ; PORT_UNUSED ; Untyped ; -; PORT_INCLK1 ; PORT_UNUSED ; Untyped ; -; PORT_INCLK0 ; PORT_USED ; Untyped ; -; PORT_FBIN ; PORT_UNUSED ; Untyped ; -; PORT_PLLENA ; PORT_UNUSED ; Untyped ; -; PORT_CLKSWITCH ; PORT_UNUSED ; Untyped ; -; PORT_ARESET ; PORT_UNUSED ; Untyped ; -; PORT_PFDENA ; PORT_UNUSED ; Untyped ; -; PORT_SCANCLK ; PORT_UNUSED ; Untyped ; -; PORT_SCANACLR ; PORT_UNUSED ; Untyped ; -; PORT_SCANREAD ; PORT_UNUSED ; Untyped ; -; PORT_SCANWRITE ; PORT_UNUSED ; Untyped ; -; PORT_ENABLE0 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_ENABLE1 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_LOCKED ; PORT_UNUSED ; Untyped ; -; PORT_CONFIGUPDATE ; PORT_UNUSED ; Untyped ; -; PORT_FBOUT ; PORT_CONNECTIVITY ; Untyped ; -; PORT_PHASEDONE ; PORT_UNUSED ; Untyped ; -; PORT_PHASESTEP ; PORT_UNUSED ; Untyped ; -; PORT_PHASEUPDOWN ; PORT_UNUSED ; Untyped ; -; PORT_SCANCLKENA ; PORT_UNUSED ; Untyped ; -; PORT_PHASECOUNTERSELECT ; PORT_UNUSED ; Untyped ; -; PORT_VCOOVERRANGE ; PORT_CONNECTIVITY ; Untyped ; -; PORT_VCOUNDERRANGE ; PORT_CONNECTIVITY ; Untyped ; -; M_TEST_SOURCE ; 5 ; Untyped ; -; C0_TEST_SOURCE ; 5 ; Untyped ; -; C1_TEST_SOURCE ; 5 ; Untyped ; -; C2_TEST_SOURCE ; 5 ; Untyped ; -; C3_TEST_SOURCE ; 5 ; Untyped ; -; C4_TEST_SOURCE ; 5 ; Untyped ; -; C5_TEST_SOURCE ; 5 ; Untyped ; -; C6_TEST_SOURCE ; 5 ; Untyped ; -; C7_TEST_SOURCE ; 5 ; Untyped ; -; C8_TEST_SOURCE ; 5 ; Untyped ; -; C9_TEST_SOURCE ; 5 ; Untyped ; -; CBXI_PARAMETER ; MAIN_PLL_altpll ; Untyped ; -; VCO_FREQUENCY_CONTROL ; AUTO ; Untyped ; -; VCO_PHASE_SHIFT_STEP ; 0 ; Untyped ; -; WIDTH_CLOCK ; 5 ; Signed Integer ; -; WIDTH_PHASECOUNTERSELECT ; 4 ; Untyped ; -; USING_FBMIMICBIDIR_PORT ; OFF ; Untyped ; -; DEVICE_FAMILY ; Cyclone IV E ; Untyped ; -; SCAN_CHAIN_MIF_FILE ; UNUSED ; Untyped ; -; SIM_GATE_LOCK_DEVICE_BEHAVIOR ; OFF ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+-------------------------------+----------------------------+---------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: mux14:DAC_MUX|lpm_mux:LPM_MUX_component ; -+------------------------+--------------+----------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+--------------+----------------------------------------------+ -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; LPM_WIDTH ; 14 ; Signed Integer ; -; LPM_SIZE ; 2 ; Signed Integer ; -; LPM_WIDTHS ; 1 ; Signed Integer ; -; LPM_PIPELINE ; 0 ; Untyped ; -; CBXI_PARAMETER ; mux_rsc ; Untyped ; -; DEVICE_FAMILY ; Cyclone IV E ; Untyped ; -+------------------------+--------------+----------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: dac_null:DAC_IDLE|lpm_constant:LPM_CONSTANT_component ; -+--------------------+------------------+------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+--------------------+------------------+------------------------------------------------------------+ -; LPM_WIDTH ; 14 ; Signed Integer ; -; LPM_CVALUE ; 8192 ; Signed Integer ; -; ENABLE_RUNTIME_MOD ; NO ; Untyped ; -; CBXI_PARAMETER ; lpm_constant_9k6 ; Untyped ; -+--------------------+------------------+------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: DAC_corrector:DAC_CORRECTOR ; -+----------------+-------+-------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-------------------------------------------------+ -; in_width ; 32 ; Signed Integer ; -; out_width ; 14 ; Signed Integer ; -+----------------+-------+-------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-----------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0 ; -+-------------------------+-----------------+---------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+-------------------------+-----------------+---------------------------------------------------------------+ -; lpm_type ; altsource_probe ; String ; -; lpm_hint ; UNUSED ; String ; -; sld_auto_instance_index ; YES ; String ; -; sld_instance_index ; 0 ; Signed Integer ; -; sld_node_info_parameter ; 4746752 ; Signed Integer ; -; sld_ir_width ; 4 ; Signed Integer ; -; instance_id ; ADC ; String ; -; probe_width ; 12 ; Signed Integer ; -; source_width ; 0 ; Signed Integer ; -; source_initial_value ; 0 ; String ; -; enable_metastability ; NO ; String ; -+-------------------------+-----------------+---------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl ; -+-------------------------+-----------------+-----------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+-------------------------+-----------------+-----------------------------------------------------------------------------------------+ -; lpm_type ; altsource_probe ; String ; -; lpm_hint ; UNUSED ; String ; -; sld_auto_instance_index ; YES ; String ; -; sld_instance_index ; 0 ; Signed Integer ; -; SLD_NODE_INFO ; 4746752 ; Signed Integer ; -; sld_ir_width ; 4 ; Signed Integer ; -; instance_id ; ADC ; String ; -; probe_width ; 12 ; Signed Integer ; -; source_width ; 0 ; Signed Integer ; -; source_initial_value ; 0 ; String ; -; enable_metastability ; NO ; String ; -+-------------------------+-----------------+-----------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+---------------------------------------------------------------------------------------------------------------------------------------------+ -; Partition Dependent Files ; -+-------------------------------------------------------------------+-----------------------+--------------+----------------------------------+ -; File ; Location ; Library ; Checksum ; -+-------------------------------------------------------------------+-----------------------+--------------+----------------------------------+ -; db/ip/clock_buffer/clock_buffer.v ; Project Directory ; clock_buffer ; 7c0a52d86aeffe2045a9a1cab1d370dc ; -; db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v ; Project Directory ; clock_buffer ; f0ac8af5fa42f9e4fa5149de7d42dc36 ; -; db/ip/debug/debug.v ; Project Directory ; DEBUG ; b33d97b47dd5f82e548fc7c5fd7dc5b7 ; -; db/ip/debug/submodules/altsource_probe_top.v ; Project Directory ; DEBUG ; 8182cb5c4ba5f0cdb49c6cc302ad1bcd ; -; db/ip/nco/nco.v ; Project Directory ; nco ; b3f0eabb8bad8e7285ef557ae20209b2 ; -; db/ip/nco/submodules/asj_altqmcpipe.v ; Project Directory ; nco ; 00f65b3e88b7010a0d50484bcfd8578f ; -; db/ip/nco/submodules/asj_gam_dp.v ; Project Directory ; nco ; 8cbba52abdb4c8ff5d4eac560c3ffe30 ; -; db/ip/nco/submodules/asj_nco_as_m_cen.v ; Project Directory ; nco ; f01c79c88d88841a05d482e816d47744 ; -; db/ip/nco/submodules/asj_nco_as_m_dp_cen.v ; Project Directory ; nco ; 25a6271af28fcc5d28e28d90011f677d ; -; db/ip/nco/submodules/asj_nco_derot.v ; Project Directory ; nco ; d4b0c4b5939a039a3f0bea113634247c ; -; db/ip/nco/submodules/asj_nco_isdr.v ; Project Directory ; nco ; c69cceb1a24ad72662733037a9b27260 ; -; db/ip/nco/submodules/asj_nco_madx_cen.v ; Project Directory ; nco ; f3b7995262994ca047d8e883d7211515 ; -; db/ip/nco/submodules/asj_nco_mady_cen.v ; Project Directory ; nco ; 3c04305587a1789a54b25a387bb07792 ; -; db/ip/nco/submodules/asj_nco_mob_w.v ; Project Directory ; nco ; 65b296bf78abd2f4ba34b7fc62051bb5 ; -; db/ip/nco/submodules/nco_nco_ii_0.v ; Project Directory ; nco ; fa5e93b775a2d0afa395ade6629ff503 ; -; db/ip/rx_cic/rx_cic.v ; Project Directory ; rx_cic ; 692956d599b0cc90891101c778764a7b ; -; db/ip/rx_cic/submodules/alt_cic_core.sv ; Project Directory ; rx_cic ; 2c24d6f21651f165b9216975ed235423 ; -; db/ip/rx_cic/submodules/alt_cic_dec_siso.sv ; Project Directory ; rx_cic ; a9b733de207909177edb27c94897f32b ; -; db/ip/rx_cic/submodules/alt_dsp_cic_common_pkg.sv ; Project Directory ; rx_cic ; 949e75652d6ce83bdb84073bc9681459 ; -; db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd ; Project Directory ; rx_cic ; 1a8943cbbf293815d2e650e297f1c47f ; -; db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd ; Project Directory ; rx_cic ; 853bc9033582b9f69e1851a6c4c80764 ; -; db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_small_fifo.vhd ; Project Directory ; rx_cic ; d690330101b19cfdeda86c89465207d6 ; -; db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd ; Project Directory ; rx_cic ; 6ae204fc306fc9155383ebebdb81e301 ; -; db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd ; Project Directory ; rx_cic ; efe3866ccd9e534a3aed1502c8adf01d ; -; db/ip/rx_cic/submodules/auk_dspip_delay.vhd ; Project Directory ; rx_cic ; 0a25b7d53b8593124295baecd819dabd ; -; db/ip/rx_cic/submodules/auk_dspip_differentiator.vhd ; Project Directory ; rx_cic ; 93fd39a11edb95c16e01436f71e2288f ; -; db/ip/rx_cic/submodules/auk_dspip_downsample.sv ; Project Directory ; rx_cic ; f08ad9edbd9feda497e43af7dab02d69 ; -; db/ip/rx_cic/submodules/auk_dspip_integrator.vhd ; Project Directory ; rx_cic ; 40c1ca40cd78a9e4520f30cc0b757f33 ; -; db/ip/rx_cic/submodules/auk_dspip_lib_pkg.vhd ; Project Directory ; rx_cic ; 7774b9a194ac6eeb4b71faa70f4e23f9 ; -; db/ip/rx_cic/submodules/auk_dspip_math_pkg.vhd ; Project Directory ; rx_cic ; 3a2113061195e3d22de25838e4eabe16 ; -; db/ip/rx_cic/submodules/counter_module.sv ; Project Directory ; rx_cic ; c714f8c7e341c690f49beeb9236106be ; -; db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv ; Project Directory ; rx_cic ; 9e578de92e747fe23011d7dbdbd21fdc ; -; rx_ciccomp.v ; Project Directory ; rx_ciccomp ; 90c4f6896871ade19c6e0c1e93b0d2ce ; -; rx_ciccomp/altera_avalon_sc_fifo.v ; Project Directory ; rx_ciccomp ; 7ca9ebf5ee0927cc581c8ed8ca74d204 ; -; rx_ciccomp/auk_dspip_avalon_streaming_controller_hpfir.vhd ; Project Directory ; rx_ciccomp ; b53bccfea67a565c722899c60bd1075e ; -; rx_ciccomp/auk_dspip_avalon_streaming_sink_hpfir.vhd ; Project Directory ; rx_ciccomp ; f7cd2f36483fa9f4453ae2ad481d4f1f ; -; rx_ciccomp/auk_dspip_avalon_streaming_source_hpfir.vhd ; Project Directory ; rx_ciccomp ; 9e961ecfb9781b619ab46688a85204fb ; -; rx_ciccomp/auk_dspip_lib_pkg_hpfir.vhd ; Project Directory ; rx_ciccomp ; 0a5ad8c00e30d4b34a8a89d574a5c067 ; -; rx_ciccomp/auk_dspip_math_pkg_hpfir.vhd ; Project Directory ; rx_ciccomp ; e062585cd2ad3354c1b959702d01fd0f ; -; rx_ciccomp/auk_dspip_roundsat_hpfir.vhd ; Project Directory ; rx_ciccomp ; 9ca5a604cf7afe7c95ee7f498591dbb4 ; -; rx_ciccomp/dspba_library.vhd ; Project Directory ; rx_ciccomp ; 3732ac4ab84e4d37d685aef65b8115dd ; -; rx_ciccomp/dspba_library_package.vhd ; Project Directory ; rx_ciccomp ; a30dba2928918de51a7de9d6ad22283d ; -; rx_ciccomp/rx_ciccomp_0002.vhd ; Project Directory ; rx_ciccomp ; c35486cedf1d4c29140364908fb72416 ; -; rx_ciccomp/rx_ciccomp_0002_ast.vhd ; Project Directory ; rx_ciccomp ; 0462480c129deb84524efe912d81d7c4 ; -; rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd ; Project Directory ; rx_ciccomp ; b65eb122d938b69287827e8058ee15a1 ; -; db/ip/tx_cic/submodules/alt_cic_core.sv ; Project Directory ; tx_cic ; 2c24d6f21651f165b9216975ed235423 ; -; db/ip/tx_cic/submodules/alt_cic_int_siso.sv ; Project Directory ; tx_cic ; e45af7f52eef0c37faa1eab493fa9e62 ; -; db/ip/tx_cic/submodules/alt_dsp_cic_common_pkg.sv ; Project Directory ; tx_cic ; 949e75652d6ce83bdb84073bc9681459 ; -; db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd ; Project Directory ; tx_cic ; 1a8943cbbf293815d2e650e297f1c47f ; -; db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd ; Project Directory ; tx_cic ; 853bc9033582b9f69e1851a6c4c80764 ; -; db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_small_fifo.vhd ; Project Directory ; tx_cic ; d690330101b19cfdeda86c89465207d6 ; -; db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd ; Project Directory ; tx_cic ; 6ae204fc306fc9155383ebebdb81e301 ; -; db/ip/tx_cic/submodules/auk_dspip_delay.vhd ; Project Directory ; tx_cic ; 0a25b7d53b8593124295baecd819dabd ; -; db/ip/tx_cic/submodules/auk_dspip_differentiator.vhd ; Project Directory ; tx_cic ; 93fd39a11edb95c16e01436f71e2288f ; -; db/ip/tx_cic/submodules/auk_dspip_integrator.vhd ; Project Directory ; tx_cic ; 40c1ca40cd78a9e4520f30cc0b757f33 ; -; db/ip/tx_cic/submodules/auk_dspip_lib_pkg.vhd ; Project Directory ; tx_cic ; 7774b9a194ac6eeb4b71faa70f4e23f9 ; -; db/ip/tx_cic/submodules/auk_dspip_math_pkg.vhd ; Project Directory ; tx_cic ; 3a2113061195e3d22de25838e4eabe16 ; -; db/ip/tx_cic/submodules/auk_dspip_upsample.vhd ; Project Directory ; tx_cic ; 58ac0be4fbfff201416b2a6bf51ea5c7 ; -; db/ip/tx_cic/submodules/counter_module.sv ; Project Directory ; tx_cic ; c714f8c7e341c690f49beeb9236106be ; -; db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv ; Project Directory ; tx_cic ; 716f10793531f588e924409e19fbc610 ; -; db/ip/tx_cic/tx_cic.v ; Project Directory ; tx_cic ; 3055c4fe40959167f30f8ffafb32e1b8 ; -; tx_ciccomp.v ; Project Directory ; tx_ciccomp ; 5147630acebf38a7ee31aff59b9a3d4b ; -; tx_ciccomp/auk_dspip_avalon_streaming_controller_hpfir.vhd ; Project Directory ; tx_ciccomp ; b53bccfea67a565c722899c60bd1075e ; -; tx_ciccomp/auk_dspip_avalon_streaming_sink_hpfir.vhd ; Project Directory ; tx_ciccomp ; f7cd2f36483fa9f4453ae2ad481d4f1f ; -; tx_ciccomp/auk_dspip_avalon_streaming_source_hpfir.vhd ; Project Directory ; tx_ciccomp ; 9e961ecfb9781b619ab46688a85204fb ; -; tx_ciccomp/auk_dspip_lib_pkg_hpfir.vhd ; Project Directory ; tx_ciccomp ; 0a5ad8c00e30d4b34a8a89d574a5c067 ; -; tx_ciccomp/auk_dspip_math_pkg_hpfir.vhd ; Project Directory ; tx_ciccomp ; e062585cd2ad3354c1b959702d01fd0f ; -; tx_ciccomp/auk_dspip_roundsat_hpfir.vhd ; Project Directory ; tx_ciccomp ; 9ca5a604cf7afe7c95ee7f498591dbb4 ; -; tx_ciccomp/dspba_library.vhd ; Project Directory ; tx_ciccomp ; 3732ac4ab84e4d37d685aef65b8115dd ; -; tx_ciccomp/dspba_library_package.vhd ; Project Directory ; tx_ciccomp ; a30dba2928918de51a7de9d6ad22283d ; -; tx_ciccomp/tx_ciccomp_0002.vhd ; Project Directory ; tx_ciccomp ; 27b92bbdbfbca5fca40a337552ed1690 ; -; tx_ciccomp/tx_ciccomp_0002_ast.vhd ; Project Directory ; tx_ciccomp ; 515b104e039a07858836749a21fdf9a0 ; -; tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd ; Project Directory ; tx_ciccomp ; 299ce585a886a18a09ec09b98db70548 ; -; db/ip/tx_nco/submodules/asj_altqmcpipe.v ; Project Directory ; tx_nco ; 00f65b3e88b7010a0d50484bcfd8578f ; -; db/ip/tx_nco/submodules/asj_gam_dp.v ; Project Directory ; tx_nco ; 8cbba52abdb4c8ff5d4eac560c3ffe30 ; -; db/ip/tx_nco/submodules/asj_nco_as_m_cen.v ; Project Directory ; tx_nco ; f01c79c88d88841a05d482e816d47744 ; -; db/ip/tx_nco/submodules/asj_nco_as_m_dp_cen.v ; Project Directory ; tx_nco ; 25a6271af28fcc5d28e28d90011f677d ; -; db/ip/tx_nco/submodules/asj_nco_derot.v ; Project Directory ; tx_nco ; d4b0c4b5939a039a3f0bea113634247c ; -; db/ip/tx_nco/submodules/asj_nco_isdr.v ; Project Directory ; tx_nco ; c69cceb1a24ad72662733037a9b27260 ; -; db/ip/tx_nco/submodules/asj_nco_madx_cen.v ; Project Directory ; tx_nco ; f3b7995262994ca047d8e883d7211515 ; -; db/ip/tx_nco/submodules/asj_nco_mady_cen.v ; Project Directory ; tx_nco ; 3c04305587a1789a54b25a387bb07792 ; -; db/ip/tx_nco/submodules/asj_nco_mob_w.v ; Project Directory ; tx_nco ; 65b296bf78abd2f4ba34b7fc62051bb5 ; -; db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v ; Project Directory ; tx_nco ; 51108a412915a42724252c82e3f182e2 ; -; db/ip/tx_nco/tx_nco.v ; Project Directory ; tx_nco ; ea442ef4c3a9b3b23d06e40f89159c5f ; -; libraries/megafunctions/a_dpfifo.inc ; Quartus Prime Install ; work ; 37c2d0bb70d5a3f83a4aa09e62c75080 ; -; libraries/megafunctions/a_f2fifo.inc ; Quartus Prime Install ; work ; cebe3836bad826c95e765f77477e8a8b ; -; libraries/megafunctions/a_fffifo.inc ; Quartus Prime Install ; work ; aa34dd03c645beef0c31a3e4ef186db4 ; -; libraries/megafunctions/a_i2fifo.inc ; Quartus Prime Install ; work ; bfe272f05af0cf849bd8b4748efceffe ; -; libraries/megafunctions/a_rdenreg.inc ; Quartus Prime Install ; work ; 3fcdce7559590d5a8afbe64788d201fb ; -; libraries/megafunctions/a_regfifo.inc ; Quartus Prime Install ; work ; 8b2977668c08752c10a1f1977c9b9ee6 ; -; libraries/megafunctions/addcore.inc ; Quartus Prime Install ; work ; e15993f131a5367862d60283fbb5a133 ; -; libraries/megafunctions/aglobal181.inc ; Quartus Prime Install ; work ; 5e51ccbc7a52298c3a01f3b5bfb59350 ; -; libraries/megafunctions/alt_counter_stratix.inc ; Quartus Prime Install ; work ; 3e1db420f0a6e888a1525f4eff14d5be ; -; libraries/megafunctions/alt_stratix_add_sub.inc ; Quartus Prime Install ; work ; 16df31198e4f1dce2b8df944fbafaefe ; -; libraries/megafunctions/altdpram.inc ; Quartus Prime Install ; work ; 229c034d72d6c571b2f9af0f2aa5d997 ; -; libraries/megafunctions/altpll.tdf ; Quartus Prime Install ; work ; aa98bacc177fec4e0c987adf93460f0d ; -; libraries/megafunctions/altram.inc ; Quartus Prime Install ; work ; ad5518b39ffd3cf1df377e6360d1c9b6 ; -; libraries/megafunctions/altrom.inc ; Quartus Prime Install ; work ; 192b74eafa8debf2248ea73881e77f91 ; -; libraries/megafunctions/altshift.inc ; Quartus Prime Install ; work ; 5c767a29f11db3f131fc886ea42a52bd ; -; libraries/megafunctions/altsource_probe.v ; Quartus Prime Install ; work ; 859bad2c48edeeb6b2440eb6a7861700 ; -; libraries/megafunctions/altsource_probe_body.vhd ; Quartus Prime Install ; work ; 3e16a83c4eaa7bb7d04965b85160815c ; -; libraries/megafunctions/altsyncram.tdf ; Quartus Prime Install ; work ; 48fbb9e7300eb083732aeb85f77fd8a1 ; -; libraries/megafunctions/bypassff.inc ; Quartus Prime Install ; work ; 42d08f243d3471f724fd61ea21a0eb9f ; -; libraries/megafunctions/cmpconst.inc ; Quartus Prime Install ; work ; fe4bfdfa5310384231b99c696fe2e348 ; -; libraries/megafunctions/cycloneii_pll.inc ; Quartus Prime Install ; work ; c2ee779f089b03bc181df753ea85b3ef ; -; libraries/megafunctions/dffeea.inc ; Quartus Prime Install ; work ; 0f11711657cd42ee78437f4349496034 ; -; libraries/megafunctions/look_add.inc ; Quartus Prime Install ; work ; 9091c394592369a07bdf7fbf1ce9ced6 ; -; libraries/megafunctions/lpm_add_sub.inc ; Quartus Prime Install ; work ; 144a73b61081a2a03554ff5acc5e8842 ; -; libraries/megafunctions/lpm_add_sub.tdf ; Quartus Prime Install ; work ; 3150e15c2f23aaab40af6eafa1167811 ; -; libraries/megafunctions/lpm_compare.inc ; Quartus Prime Install ; work ; bbd3e8c93afb7320934629e5fb011566 ; -; libraries/megafunctions/lpm_constant.inc ; Quartus Prime Install ; work ; 97ffb7e3fef9ce9fce4eff08455d5da5 ; -; libraries/megafunctions/lpm_constant.tdf ; Quartus Prime Install ; work ; a44f5bd0fe95ce950545f72fd2b9885f ; -; libraries/megafunctions/lpm_counter.inc ; Quartus Prime Install ; work ; c5cfeeabc5f2ab60b3453f6abbc42b41 ; -; libraries/megafunctions/lpm_counter.tdf ; Quartus Prime Install ; work ; a05d770c5e6d8572a561b92f5c917b0c ; -; libraries/megafunctions/lpm_decode.inc ; Quartus Prime Install ; work ; 10da69a8bbd590d66779e7a142f73790 ; -; libraries/megafunctions/lpm_mult.tdf ; Quartus Prime Install ; work ; 1fd119a616af49c2150e94f8c332f689 ; -; libraries/megafunctions/lpm_mux.inc ; Quartus Prime Install ; work ; dd87bed90959d6126db09970164b7ba6 ; -; libraries/megafunctions/lpm_mux.tdf ; Quartus Prime Install ; work ; 0fbcf24465c57ef24781de183839e69b ; -; libraries/megafunctions/multcore.inc ; Quartus Prime Install ; work ; ee598ea39a3d6bdc35b167eefc3ee3da ; -; libraries/megafunctions/muxlut.inc ; Quartus Prime Install ; work ; 301e88484af1e80d67bcd099bb975882 ; -; libraries/megafunctions/scfifo.tdf ; Quartus Prime Install ; work ; 652ae8117a8bf29f3cb57aa20e025615 ; -; libraries/megafunctions/sld_jtag_endpoint_adapter.vhd ; Quartus Prime Install ; work ; c9470442b497e59f424c132aaa5f1c62 ; -; libraries/megafunctions/sld_jtag_endpoint_adapter_impl.sv ; Quartus Prime Install ; work ; 5c888fd693c6d8dd940c5fb354eeafd0 ; -; libraries/megafunctions/sld_rom_sr.vhd ; Quartus Prime Install ; work ; 1e4ae87ce53228110f53ff06bf3d4798 ; -; libraries/megafunctions/stratix_pll.inc ; Quartus Prime Install ; work ; a9a94c5b0e18105f7ae8c218a67ec9f7 ; -; libraries/megafunctions/stratix_ram_block.inc ; Quartus Prime Install ; work ; e3a03868917f0b3dd57b6ed1dd195f22 ; -; libraries/megafunctions/stratixii_pll.inc ; Quartus Prime Install ; work ; 6797ab505ed700f1a221e4a213e106a6 ; -; DAC_corrector.v ; Project Directory ; work ; 7cb7109e7e1cc4ad44e834e7db595a8f ; -; dac_null.v ; Project Directory ; work ; ce27439a46221dffae508bb2fea0129d ; -; data_shifter.v ; Project Directory ; work ; 97e6634b739c31535a9ced452c10894b ; -; db/a_dpfifo_1lv.tdf ; Project Directory ; work ; dd62f4e26b46e84b7b7b1100e903fcd9 ; -; db/a_dpfifo_5ku.tdf ; Project Directory ; work ; af539fd72d37289585d2f87290875cb8 ; -; db/a_dpfifo_9qv.tdf ; Project Directory ; work ; 9a9f892bc3e00d15a4a9c8c932bc65f2 ; -; db/a_dpfifo_gqv.tdf ; Project Directory ; work ; 9ca39e88bacdf9c01835a13ee8a56164 ; -; db/a_dpfifo_vkv.tdf ; Project Directory ; work ; 47b928cb4ef9e67e5fa2e99a2e1393fd ; -; db/add_sub_1vk.tdf ; Project Directory ; work ; 8c5455796c7aec4a848d8845e8708dfe ; -; db/add_sub_fpk.tdf ; Project Directory ; work ; 2cbae54dde466df56ba4d0e5858aa5e7 ; -; db/add_sub_jpk.tdf ; Project Directory ; work ; b58d83701676a5eed410dfb902d7af85 ; -; db/add_sub_u4i.tdf ; Project Directory ; work ; c4acfb859223380ae0e9065b86c17574 ; -; db/altsyncram_0mn3.tdf ; Project Directory ; work ; 66dcf65b37c25810d8e894a481f5e967 ; -; db/altsyncram_4k82.tdf ; Project Directory ; work ; 9697611adefc3e2b32ab05b9c04d5444 ; -; db/altsyncram_au91.tdf ; Project Directory ; work ; 7977279b6802ba7066352bfdd96973f1 ; -; db/altsyncram_fu91.tdf ; Project Directory ; work ; 75315acc77851719110c194767a8fde1 ; -; db/altsyncram_h7h1.tdf ; Project Directory ; work ; 6042dddceedfe6f8201e8e3560877767 ; -; db/altsyncram_h982.tdf ; Project Directory ; work ; 1462fc8be7af0ccffda08835995f3f93 ; -; db/altsyncram_hah1.tdf ; Project Directory ; work ; f054c14b5f3c12f6de832de3ea96c63d ; -; db/altsyncram_l7h1.tdf ; Project Directory ; work ; 6768192c5243fdde798641605a652422 ; -; db/altsyncram_m7h1.tdf ; Project Directory ; work ; ccd82b05875ddaf5ee071599f9fbfcab ; -; db/altsyncram_p8a1.tdf ; Project Directory ; work ; b067974080254aa244d765014182464b ; -; db/altsyncram_u8a1.tdf ; Project Directory ; work ; f0b65ab0a80077009894541bc2d06b7f ; -; db/altsyncram_vah1.tdf ; Project Directory ; work ; 797667213e5335730b5d988ccb2c93f3 ; -; db/cmpr_fs8.tdf ; Project Directory ; work ; fba5a7acea8cbae7e405bd2e0de680e3 ; -; db/cmpr_gs8.tdf ; Project Directory ; work ; fba0739ce563bb0a117b163bcb06b211 ; -; db/cmpr_is8.tdf ; Project Directory ; work ; 5be45ee6f88fd52e7f9cd4846b422a95 ; -; db/cntr_7a7.tdf ; Project Directory ; work ; a487826c6194d62466bcb39776240d75 ; -; db/cntr_8a7.tdf ; Project Directory ; work ; 8fb9fbcb3f0a94bb57182debd6cdf97f ; -; db/cntr_aa7.tdf ; Project Directory ; work ; e2862114d4c3b457321c9bece5dab731 ; -; db/cntr_asi.tdf ; Project Directory ; work ; cffbc3d918c3f3327f3dd42b88343226 ; -; db/cntr_q9b.tdf ; Project Directory ; work ; 9b4183716ce613a14f9a8704742ad8e1 ; -; db/cntr_r9b.tdf ; Project Directory ; work ; 9b12d11680cde46b9a7e42044c439d2b ; -; db/cntr_s9b.tdf ; Project Directory ; work ; f42c2793afdffb1683284e4a4c60647c ; -; db/cntr_t9b.tdf ; Project Directory ; work ; f9735a6004f7e481f017f95143faaf3f ; -; db/cntr_u9b.tdf ; Project Directory ; work ; 572f4b0254b35f9d613d935033b2ca6a ; -; db/main_pll_altpll.v ; Project Directory ; work ; 30d0bc64c7ecaedadd9aa3cfe0cf8812 ; -; db/mult_abt.tdf ; Project Directory ; work ; df4cbb78ac0a80a240542b2313c5f2ed ; -; db/mult_jnp.tdf ; Project Directory ; work ; bf7dd3983426a6d7ad97bed807204d63 ; -; db/mult_ncu.tdf ; Project Directory ; work ; be30b1ebd46228241f4a1665ddecc3e3 ; -; db/mux_rsc.tdf ; Project Directory ; work ; 53d4a0e87e72834ade78722fc162354b ; -; db/scfifo_ci71.tdf ; Project Directory ; work ; e30c6e1571f7bc17d73caa3bbc78f410 ; -; db/scfifo_ef71.tdf ; Project Directory ; work ; 0dc2312709cca9c07ea329cc5bf13402 ; -; db/scfifo_gf71.tdf ; Project Directory ; work ; 2d1936077762ff16e1e622e6c1dc6444 ; -; db/scfifo_ji71.tdf ; Project Directory ; work ; f1659460690412bda15c014ee50bacdb ; -; db/scfifo_qm51.tdf ; Project Directory ; work ; 9d819510c3f6b1527fbdc8671f90530c ; -; db/tx_pll_altpll.v ; Project Directory ; work ; 3ed1f7e548c3d1d27b2dbd4373cd98d9 ; -; MAIN_PLL.v ; Project Directory ; work ; f5544cc8e566a5bf3e5cfdcb54b90544 ; -; mixer.v ; Project Directory ; work ; 2c76efedf2c42bc471fbb92015b3ae8d ; -; mux14.v ; Project Directory ; work ; 4f144eae417b604e07a2c32b3ab317f4 ; -; spi_interface.v ; Project Directory ; work ; 80d5a26aa07dfb4482f6fb768a4df406 ; -; stm32_interface.v ; Project Directory ; work ; 2f6911709afb86c0afcdf183fc74d020 ; -; tx_mixer.v ; Project Directory ; work ; 91ac864ba70cc2c35b5d293afd02b3b0 ; -; tx_pll.v ; Project Directory ; work ; 7c08022af9aa68156a9d5ffba0d4c456 ; -; tx_summator.v ; Project Directory ; work ; cf1bf4119540af0eb2e3e61a20d45e27 ; -; WOLF-LITE.bdf ; Project Directory ; work ; 3b66629c45fef02be52ad5d1aa4d8023 ; -+-------------------------------------------------------------------+-----------------------+--------------+----------------------------------+ - - -+-----------------------------------------------------+ -; Post-Synthesis Netlist Statistics for Top Partition ; -+-----------------------+-----------------------------+ -; Type ; Count ; -+-----------------------+-----------------------------+ -; boundary_port ; 82 ; -; cycloneiii_clkctrl ; 1 ; -; cycloneiii_ff ; 7440 ; -; CLR ; 442 ; -; CLR SCLR ; 32 ; -; ENA ; 2763 ; -; ENA CLR ; 2208 ; -; ENA CLR SLD ; 195 ; -; ENA SCLR ; 1056 ; -; ENA SLD ; 62 ; -; SCLR ; 156 ; -; SCLR SLD ; 2 ; -; SLD ; 39 ; -; plain ; 485 ; -; cycloneiii_io_obuf ; 8 ; -; cycloneiii_lcell_comb ; 7258 ; -; arith ; 3894 ; -; 1 data inputs ; 2 ; -; 2 data inputs ; 355 ; -; 3 data inputs ; 3537 ; -; normal ; 3364 ; -; 0 data inputs ; 5 ; -; 1 data inputs ; 195 ; -; 2 data inputs ; 303 ; -; 3 data inputs ; 417 ; -; 4 data inputs ; 2444 ; -; cycloneiii_mac_mult ; 16 ; -; cycloneiii_mac_out ; 16 ; -; cycloneiii_pll ; 2 ; -; cycloneiii_ram_block ; 430 ; -; ; ; -; Max LUT depth ; 9.50 ; -; Average LUT depth ; 4.52 ; -+-----------------------+-----------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Partition "sld_hub:auto_hub" Resource Utilization by Entity ; -+-----------------------------------------------------------------------------------------------------------------------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-----------------------------------+--------------+ -; Compilation Hierarchy Node ; Combinational ALUTs ; Dedicated Logic Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name ; Entity Name ; Library Name ; -+-----------------------------------------------------------------------------------------------------------------------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-----------------------------------+--------------+ -; |WOLF-LITE ; 119 (0) ; 79 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |WOLF-LITE ; WOLF-LITE ; work ; -; |sld_hub:auto_hub| ; 119 (1) ; 79 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |WOLF-LITE|sld_hub:auto_hub ; sld_hub ; altera_sld ; -; |alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric| ; 118 (0) ; 79 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |WOLF-LITE|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric ; alt_sld_fab_with_jtag_input ; altera_sld ; -; |alt_sld_fab:instrumentation_fabric| ; 118 (0) ; 79 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |WOLF-LITE|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric ; alt_sld_fab ; alt_sld_fab ; -; |alt_sld_fab_alt_sld_fab:alt_sld_fab| ; 118 (1) ; 79 (5) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |WOLF-LITE|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab ; alt_sld_fab_alt_sld_fab ; alt_sld_fab ; -; |alt_sld_fab_alt_sld_fab_ident:ident| ; 12 (12) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |WOLF-LITE|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_ident:ident ; alt_sld_fab_alt_sld_fab_ident ; alt_sld_fab ; -; |alt_sld_fab_alt_sld_fab_sldfabric:sldfabric| ; 105 (0) ; 74 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |WOLF-LITE|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric ; alt_sld_fab_alt_sld_fab_sldfabric ; alt_sld_fab ; -; |sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub| ; 105 (65) ; 74 (46) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |WOLF-LITE|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub ; sld_jtag_hub ; work ; -; |sld_rom_sr:hub_info_reg| ; 22 (22) ; 9 (9) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |WOLF-LITE|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg ; sld_rom_sr ; work ; -; |sld_shadow_jsm:shadow_jsm| ; 18 (18) ; 19 (19) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |WOLF-LITE|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm ; sld_shadow_jsm ; altera_sld ; -+-----------------------------------------------------------------------------------------------------------------------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-----------------------------------+--------------+ -Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Multiplexer Restructuring Statistics (No Restructuring Performed) ; -+--------------------+-----------+---------------+----------------------+------------------------+------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; -+--------------------+-----------+---------------+----------------------+------------------------+------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; 3:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; Yes ; |WOLF-LITE|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2] ; -; 3:1 ; 4 bits ; 8 LEs ; 4 LEs ; 4 LEs ; Yes ; |WOLF-LITE|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg[1] ; -; 4:1 ; 5 bits ; 10 LEs ; 5 LEs ; 5 LEs ; Yes ; |WOLF-LITE|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|word_counter[3] ; -; 4:1 ; 5 bits ; 10 LEs ; 5 LEs ; 5 LEs ; Yes ; |WOLF-LITE|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4] ; -; 34:1 ; 4 bits ; 88 LEs ; 60 LEs ; 28 LEs ; Yes ; |WOLF-LITE|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[0] ; -; 20:1 ; 4 bits ; 52 LEs ; 36 LEs ; 16 LEs ; Yes ; |WOLF-LITE|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|WORD_SR[0] ; -+--------------------+-----------+---------------+----------------------+------------------------+------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ - - -+------------------------------------------------------------------+ -; Post-Synthesis Netlist Statistics for Partition sld_hub:auto_hub ; -+-----------------------+------------------------------------------+ -; Type ; Count ; -+-----------------------+------------------------------------------+ -; boundary_port ; 95 ; -; cycloneiii_ff ; 79 ; -; CLR ; 4 ; -; ENA ; 21 ; -; ENA CLR ; 21 ; -; ENA CLR SLD ; 1 ; -; ENA SLD ; 5 ; -; SCLR ; 7 ; -; SLD ; 4 ; -; plain ; 16 ; -; cycloneiii_lcell_comb ; 119 ; -; arith ; 8 ; -; 2 data inputs ; 8 ; -; normal ; 111 ; -; 0 data inputs ; 1 ; -; 1 data inputs ; 6 ; -; 2 data inputs ; 20 ; -; 3 data inputs ; 27 ; -; 4 data inputs ; 57 ; -; ; ; -; Max LUT depth ; 4.00 ; -; Average LUT depth ; 1.89 ; -+-----------------------+------------------------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl" ; -+-----------------+--------+----------+----------------------------------------------------------------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+-----------------+--------+----------+----------------------------------------------------------------------------------------------------------------------------------------------+ -; raw_tck ; Input ; Warning ; Declared by entity but not connected by instance. If a default value exists, it will be used. Otherwise, the port will be connected to GND. ; -; tdi ; Input ; Warning ; Declared by entity but not connected by instance. If a default value exists, it will be used. Otherwise, the port will be connected to GND. ; -; usr1 ; Input ; Warning ; Declared by entity but not connected by instance. If a default value exists, it will be used. Otherwise, the port will be connected to GND. ; -; jtag_state_cdr ; Input ; Warning ; Declared by entity but not connected by instance. If a default value exists, it will be used. Otherwise, the port will be connected to GND. ; -; jtag_state_sdr ; Input ; Warning ; Declared by entity but not connected by instance. If a default value exists, it will be used. Otherwise, the port will be connected to GND. ; -; jtag_state_e1dr ; Input ; Warning ; Declared by entity but not connected by instance. If a default value exists, it will be used. Otherwise, the port will be connected to GND. ; -; jtag_state_udr ; Input ; Warning ; Declared by entity but not connected by instance. If a default value exists, it will be used. Otherwise, the port will be connected to GND. ; -; jtag_state_cir ; Input ; Warning ; Declared by entity but not connected by instance. If a default value exists, it will be used. Otherwise, the port will be connected to GND. ; -; jtag_state_uir ; Input ; Warning ; Declared by entity but not connected by instance. If a default value exists, it will be used. Otherwise, the port will be connected to GND. ; -; jtag_state_tlr ; Input ; Warning ; Declared by entity but not connected by instance. If a default value exists, it will be used. Otherwise, the port will be connected to GND. ; -; clr ; Input ; Warning ; Declared by entity but not connected by instance. If a default value exists, it will be used. Otherwise, the port will be connected to GND. ; -; ena ; Input ; Warning ; Declared by entity but not connected by instance. If a default value exists, it will be used. Otherwise, the port will be connected to GND. ; -; ir_in ; Input ; Warning ; Declared by entity but not connected by instance. If a default value exists, it will be used. Otherwise, the port will be connected to GND. ; -; ir_out ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; tdo ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -+-----------------+--------+----------+----------------------------------------------------------------------------------------------------------------------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0" ; -+------------+--------+----------+----------------------------------------------------------------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+------------+--------+----------+----------------------------------------------------------------------------------------------------------------------------------------------+ -; source ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; source_clk ; Input ; Warning ; Declared by entity but not connected by instance. If a default value exists, it will be used. Otherwise, the port will be connected to GND. ; -; source_ena ; Input ; Warning ; Declared by entity but not connected by instance. If a default value exists, it will be used. Otherwise, the port will be connected to GND. ; -+------------+--------+----------+----------------------------------------------------------------------------------------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core" ; -+-------------------+--------+----------+-------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+-------------------+--------+----------+-------------------------------------------------------------------------------------+ -; rate ; Input ; Info ; Stuck at GND ; -; in_startofpacket ; Input ; Info ; Stuck at VCC ; -; in_endofpacket ; Input ; Info ; Stuck at VCC ; -; out_channel ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; out_startofpacket ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; out_endofpacket ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -+-------------------+--------+----------+-------------------------------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core" ; -+-------------------+--------+----------+-------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+-------------------+--------+----------+-------------------------------------------------------------------------------------+ -; rate ; Input ; Info ; Stuck at GND ; -; in_startofpacket ; Input ; Info ; Stuck at VCC ; -; in_endofpacket ; Input ; Info ; Stuck at VCC ; -; out_channel ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; out_startofpacket ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; out_endofpacket ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -+-------------------+--------+----------+-------------------------------------------------------------------------------------+ - - -+----------------------------------------------------------------------+ -; Port Connectivity Checks: "tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0" ; -+-------+-------+----------+-------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+-------+-------+----------+-------------------------------------------+ -; clken ; Input ; Info ; Stuck at VCC ; -+-------+-------+----------+-------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "clock_buffer:SYSCLK_BUFFER|clock_buffer_altclkctrl_0:altclkctrl_0|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component" ; -+-------------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+-------------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------+ -; ena ; Input ; Info ; Stuck at VCC ; -; inclk[3..1] ; Input ; Info ; Stuck at GND ; -+-------------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------+ - - -+-------------------------------+ -; Elapsed Time Per Partition ; -+----------------+--------------+ -; Partition Name ; Elapsed Time ; -+----------------+--------------+ -; Top ; 00:00:23 ; -+----------------+--------------+ - - -+-------------------------------+ -; Analysis & Synthesis Messages ; -+-------------------------------+ -Info: ******************************************************************* -Info: Running Quartus Prime Analysis & Synthesis - Info: Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition - Info: Processing started: Thu Jan 07 18:17:14 2021 -Info: Command: quartus_map --read_settings_files=on --write_settings_files=off WOLF-LITE -c WOLF-LITE -Info (16303): Aggressive Performance optimization mode selected -- timing performance will be prioritized at the potential cost of increased logic area and compilation time -Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected -Info (12248): Elaborating Platform Designer system entity "clock_buffer.qsys" -Info (12250): 2021.01.07.19:17:33 Progress: Loading FPGA/clock_buffer.qsys -Info (12250): 2021.01.07.19:17:34 Progress: Reading input file -Info (12250): 2021.01.07.19:17:34 Progress: Adding altclkctrl_0 [altclkctrl 18.1] -Info (12250): 2021.01.07.19:17:34 Progress: Parameterizing module altclkctrl_0 -Info (12250): 2021.01.07.19:17:34 Progress: Building connections -Info (12250): 2021.01.07.19:17:34 Progress: Parameterizing connections -Info (12250): 2021.01.07.19:17:34 Progress: Validating -Info (12250): 2021.01.07.19:17:35 Progress: Done reading input file -Info (12250): 2021.01.07.19:17:36 : clock_buffer.altclkctrl_0: Targeting device family: Cyclone IV E. -Info (12250): 2021.01.07.19:17:36 : clock_buffer.altclkctrl_0: Global clock network allows a clock signal to reach all parts of the chip with the same amount of skew. Input port 'clkselect' can be used to switch between four clock inputs. -Info (12250): Clock_buffer: Generating clock_buffer "clock_buffer" for QUARTUS_SYNTH -Info (12250): Altclkctrl_0: Generating top-level entity clock_buffer_altclkctrl_0. -Info (12250): Altclkctrl_0: "clock_buffer" instantiated altclkctrl "altclkctrl_0" -Info (12250): Clock_buffer: Done "clock_buffer" with 2 modules, 2 files -Info (12249): Finished elaborating Platform Designer system entity "clock_buffer.qsys" -Info (12248): Elaborating Platform Designer system entity "rx_cic.qsys" -Info (12250): 2021.01.07.19:17:44 Progress: Loading FPGA/rx_cic.qsys -Info (12250): 2021.01.07.19:17:44 Progress: Reading input file -Info (12250): 2021.01.07.19:17:45 Progress: Adding cic_ii_0 [altera_cic_ii 18.1] -Info (12250): 2021.01.07.19:17:45 Progress: Parameterizing module cic_ii_0 -Info (12250): 2021.01.07.19:17:45 Progress: Building connections -Info (12250): 2021.01.07.19:17:45 Progress: Parameterizing connections -Info (12250): 2021.01.07.19:17:45 Progress: Validating -Info (12250): 2021.01.07.19:17:46 Progress: Done reading input file -Warning (12251): Rx_cic.cic_ii_0: Clock Enable Port is deprecated and may be removed in a future release -Info (12250): Rx_cic: Generating rx_cic "rx_cic" for QUARTUS_SYNTH -Info (12250): Cic_ii_0: "rx_cic" instantiated altera_cic_ii "cic_ii_0" -Info (12250): Rx_cic: Done "rx_cic" with 2 modules, 30 files -Info (12249): Finished elaborating Platform Designer system entity "rx_cic.qsys" -Info (12248): Elaborating Platform Designer system entity "tx_cic.qsys" -Info (12250): 2021.01.07.19:17:54 Progress: Loading FPGA/tx_cic.qsys -Info (12250): 2021.01.07.19:17:55 Progress: Reading input file -Info (12250): 2021.01.07.19:17:55 Progress: Adding cic_ii_0 [altera_cic_ii 18.1] -Info (12250): 2021.01.07.19:17:56 Progress: Parameterizing module cic_ii_0 -Info (12250): 2021.01.07.19:17:56 Progress: Building connections -Info (12250): 2021.01.07.19:17:56 Progress: Parameterizing connections -Info (12250): 2021.01.07.19:17:56 Progress: Validating -Info (12250): 2021.01.07.19:17:57 Progress: Done reading input file -Info (12250): Tx_cic: Generating tx_cic "tx_cic" for QUARTUS_SYNTH -Info (12250): Cic_ii_0: "tx_cic" instantiated altera_cic_ii "cic_ii_0" -Info (12250): Tx_cic: Done "tx_cic" with 2 modules, 30 files -Info (12249): Finished elaborating Platform Designer system entity "tx_cic.qsys" -Info (12248): Elaborating Platform Designer system entity "tx_nco.qsys" -Info (12250): 2021.01.07.19:18:05 Progress: Loading FPGA/tx_nco.qsys -Info (12250): 2021.01.07.19:18:06 Progress: Reading input file -Info (12250): 2021.01.07.19:18:06 Progress: Adding nco_ii_0 [altera_nco_ii 18.1] -Info (12250): 2021.01.07.19:18:06 Progress: Parameterizing module nco_ii_0 -Info (12250): 2021.01.07.19:18:06 Progress: Building connections -Info (12250): 2021.01.07.19:18:06 Progress: Parameterizing connections -Info (12250): 2021.01.07.19:18:07 Progress: Validating -Info (12250): 2021.01.07.19:18:08 Progress: Done reading input file -Info (12250): Tx_nco: Generating tx_nco "tx_nco" for QUARTUS_SYNTH -Info (12250): Nco_ii_0: "tx_nco" instantiated altera_nco_ii "nco_ii_0" -Info (12250): Tx_nco: Done "tx_nco" with 2 modules, 18 files -Info (12249): Finished elaborating Platform Designer system entity "tx_nco.qsys" -Info (12248): Elaborating Platform Designer system entity "nco.qsys" -Info (12250): 2021.01.07.19:18:16 Progress: Loading FPGA/nco.qsys -Info (12250): 2021.01.07.19:18:17 Progress: Reading input file -Info (12250): 2021.01.07.19:18:17 Progress: Adding nco_ii_0 [altera_nco_ii 18.1] -Info (12250): 2021.01.07.19:18:17 Progress: Parameterizing module nco_ii_0 -Info (12250): 2021.01.07.19:18:17 Progress: Building connections -Info (12250): 2021.01.07.19:18:17 Progress: Parameterizing connections -Info (12250): 2021.01.07.19:18:18 Progress: Validating -Info (12250): 2021.01.07.19:18:19 Progress: Done reading input file -Info (12250): Nco: Generating nco "nco" for QUARTUS_SYNTH -Info (12250): Nco_ii_0: "nco" instantiated altera_nco_ii "nco_ii_0" -Info (12250): Nco: Done "nco" with 2 modules, 18 files -Info (12249): Finished elaborating Platform Designer system entity "nco.qsys" -Info (12248): Elaborating Platform Designer system entity "DEBUG.qsys" -Info (12250): 2021.01.07.19:18:27 Progress: Loading FPGA/DEBUG.qsys -Info (12250): 2021.01.07.19:18:28 Progress: Reading input file -Info (12250): 2021.01.07.19:18:28 Progress: Adding in_system_sources_probes_0 [altera_in_system_sources_probes 18.1] -Info (12250): 2021.01.07.19:18:28 Progress: Parameterizing module in_system_sources_probes_0 -Info (12250): 2021.01.07.19:18:28 Progress: Building connections -Info (12250): 2021.01.07.19:18:28 Progress: Parameterizing connections -Info (12250): 2021.01.07.19:18:28 Progress: Validating -Info (12250): 2021.01.07.19:18:29 Progress: Done reading input file -Info (12250): DEBUG: Generating DEBUG "DEBUG" for QUARTUS_SYNTH -Info (12250): In_system_sources_probes_0: "DEBUG" instantiated altera_in_system_sources_probes "in_system_sources_probes_0" -Info (12250): DEBUG: Done "DEBUG" with 2 modules, 2 files -Info (12249): Finished elaborating Platform Designer system entity "DEBUG.qsys" -Info (12248): Elaborating Platform Designer system entity "DEBUG2.qsys" -Info (12250): 2021.01.07.19:18:37 Progress: Loading FPGA/DEBUG2.qsys -Info (12250): 2021.01.07.19:18:38 Progress: Reading input file -Info (12250): 2021.01.07.19:18:38 Progress: Adding in_system_sources_probes_0 [altera_in_system_sources_probes 18.1] -Info (12250): 2021.01.07.19:18:39 Progress: Parameterizing module in_system_sources_probes_0 -Info (12250): 2021.01.07.19:18:39 Progress: Building connections -Info (12250): 2021.01.07.19:18:39 Progress: Parameterizing connections -Info (12250): 2021.01.07.19:18:39 Progress: Validating -Info (12250): 2021.01.07.19:18:40 Progress: Done reading input file -Info (12250): DEBUG2: Generating DEBUG2 "DEBUG2" for QUARTUS_SYNTH -Info (12250): In_system_sources_probes_0: "DEBUG2" instantiated altera_in_system_sources_probes "in_system_sources_probes_0" -Info (12250): DEBUG2: Done "DEBUG2" with 2 modules, 2 files -Info (12249): Finished elaborating Platform Designer system entity "DEBUG2.qsys" -Info (12021): Found 1 design units, including 1 entities, in source file wolf-lite.bdf - Info (12023): Found entity 1: WOLF-LITE -Info (12021): Found 1 design units, including 1 entities, in source file dac_corrector.v - Info (12023): Found entity 1: DAC_corrector File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/DAC_corrector.v Line: 1 -Info (12021): Found 1 design units, including 1 entities, in source file spi_interface.v - Info (12023): Found entity 1: spi_interface File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/spi_interface.v Line: 1 -Info (12021): Found 1 design units, including 1 entities, in source file stm32_interface.v - Info (12023): Found entity 1: stm32_interface File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/stm32_interface.v Line: 1 -Info (12021): Found 1 design units, including 1 entities, in source file data_shifter.v - Info (12023): Found entity 1: data_shifter File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/data_shifter.v Line: 1 -Info (12021): Found 1 design units, including 1 entities, in source file vcxo_controller.v - Info (12023): Found entity 1: vcxo_controller File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/vcxo_controller.v Line: 1 -Info (12021): Found 1 design units, including 1 entities, in source file mixer.v - Info (12023): Found entity 1: mixer File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/mixer.v Line: 39 -Info (12021): Found 1 design units, including 1 entities, in source file mux16.v - Info (12023): Found entity 1: mux16 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/mux16.v Line: 39 -Info (12021): Found 1 design units, including 1 entities, in source file main_pll.v - Info (12023): Found entity 1: MAIN_PLL File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/MAIN_PLL.v Line: 39 -Info (12021): Found 1 design units, including 1 entities, in source file mux14.v - Info (12023): Found entity 1: mux14 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/mux14.v Line: 39 -Info (12021): Found 1 design units, including 1 entities, in source file mux1.v - Info (12023): Found entity 1: mux1 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/mux1.v Line: 39 -Info (12021): Found 1 design units, including 1 entities, in source file tx_mixer.v - Info (12023): Found entity 1: tx_mixer File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_mixer.v Line: 39 -Info (12021): Found 1 design units, including 1 entities, in source file tx_summator.v - Info (12023): Found entity 1: tx_summator File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_summator.v Line: 39 -Info (12021): Found 1 design units, including 1 entities, in source file adc_latch.v - Info (12023): Found entity 1: ADC_Latch File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/ADC_Latch.v Line: 39 -Info (12021): Found 1 design units, including 1 entities, in source file dac_null.v - Info (12023): Found entity 1: dac_null File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/dac_null.v Line: 39 -Info (12021): Found 1 design units, including 1 entities, in source file rx_ciccomp.v - Info (12023): Found entity 1: rx_ciccomp File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp.v Line: 8 -Info (12021): Found 1 design units, including 0 entities, in source file rx_ciccomp/dspba_library_package.vhd - Info (12022): Found design unit 1: dspba_library_package (rx_ciccomp) File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/dspba_library_package.vhd Line: 17 -Info (12021): Found 6 design units, including 3 entities, in source file rx_ciccomp/dspba_library.vhd - Info (12022): Found design unit 1: dspba_delay-delay File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/dspba_library.vhd Line: 34 - Info (12022): Found design unit 2: dspba_sync_reg-sync_reg File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/dspba_library.vhd Line: 117 - Info (12022): Found design unit 3: dspba_pipe-rtl File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/dspba_library.vhd Line: 356 - Info (12023): Found entity 1: dspba_delay File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/dspba_library.vhd Line: 18 - Info (12023): Found entity 2: dspba_sync_reg File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/dspba_library.vhd Line: 93 - Info (12023): Found entity 3: dspba_pipe File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/dspba_library.vhd Line: 343 -Info (12021): Found 2 design units, including 0 entities, in source file rx_ciccomp/auk_dspip_math_pkg_hpfir.vhd - Info (12022): Found design unit 1: auk_dspip_math_pkg_hpfir (rx_ciccomp) File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/auk_dspip_math_pkg_hpfir.vhd Line: 54 - Info (12022): Found design unit 2: auk_dspip_math_pkg_hpfir-body File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/auk_dspip_math_pkg_hpfir.vhd Line: 131 -Info (12021): Found 1 design units, including 0 entities, in source file rx_ciccomp/auk_dspip_lib_pkg_hpfir.vhd - Info (12022): Found design unit 1: auk_dspip_lib_pkg_hpfir (rx_ciccomp) File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/auk_dspip_lib_pkg_hpfir.vhd Line: 22 -Info (12021): Found 2 design units, including 1 entities, in source file rx_ciccomp/auk_dspip_avalon_streaming_controller_hpfir.vhd - Info (12022): Found design unit 1: auk_dspip_avalon_streaming_controller_hpfir-struct File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/auk_dspip_avalon_streaming_controller_hpfir.vhd Line: 64 - Info (12023): Found entity 1: auk_dspip_avalon_streaming_controller_hpfir File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/auk_dspip_avalon_streaming_controller_hpfir.vhd Line: 41 -Info (12021): Found 2 design units, including 1 entities, in source file rx_ciccomp/auk_dspip_avalon_streaming_sink_hpfir.vhd - Info (12022): Found design unit 1: auk_dspip_avalon_streaming_sink_hpfir-rtl File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/auk_dspip_avalon_streaming_sink_hpfir.vhd Line: 106 - Info (12023): Found entity 1: auk_dspip_avalon_streaming_sink_hpfir File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/auk_dspip_avalon_streaming_sink_hpfir.vhd Line: 56 -Info (12021): Found 2 design units, including 1 entities, in source file rx_ciccomp/auk_dspip_avalon_streaming_source_hpfir.vhd - Info (12022): Found design unit 1: auk_dspip_avalon_streaming_source_hpfir-rtl File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/auk_dspip_avalon_streaming_source_hpfir.vhd Line: 109 - Info (12023): Found entity 1: auk_dspip_avalon_streaming_source_hpfir File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/auk_dspip_avalon_streaming_source_hpfir.vhd Line: 70 -Info (12021): Found 2 design units, including 1 entities, in source file rx_ciccomp/auk_dspip_roundsat_hpfir.vhd - Info (12022): Found design unit 1: auk_dspip_roundsat_hpfir-beh File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/auk_dspip_roundsat_hpfir.vhd Line: 57 - Info (12023): Found entity 1: auk_dspip_roundsat_hpfir File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/auk_dspip_roundsat_hpfir.vhd Line: 39 -Info (12021): Found 1 design units, including 1 entities, in source file rx_ciccomp/altera_avalon_sc_fifo.v - Info (12023): Found entity 1: altera_avalon_sc_fifo File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/altera_avalon_sc_fifo.v Line: 21 -Info (12021): Found 2 design units, including 1 entities, in source file rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd - Info (12022): Found design unit 1: rx_ciccomp_0002_rtl_core-normal File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd Line: 47 - Info (12023): Found entity 1: rx_ciccomp_0002_rtl_core File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd Line: 34 -Info (12021): Found 2 design units, including 1 entities, in source file rx_ciccomp/rx_ciccomp_0002_ast.vhd - Info (12022): Found design unit 1: rx_ciccomp_0002_ast-struct File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/rx_ciccomp_0002_ast.vhd Line: 55 - Info (12023): Found entity 1: rx_ciccomp_0002_ast File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/rx_ciccomp_0002_ast.vhd Line: 9 -Info (12021): Found 2 design units, including 1 entities, in source file rx_ciccomp/rx_ciccomp_0002.vhd - Info (12022): Found design unit 1: rx_ciccomp_0002-syn File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/rx_ciccomp_0002.vhd Line: 33 - Info (12023): Found entity 1: rx_ciccomp_0002 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/rx_ciccomp_0002.vhd Line: 19 -Info (12021): Found 1 design units, including 1 entities, in source file tx_ciccomp.v - Info (12023): Found entity 1: tx_ciccomp File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp.v Line: 8 -Info (12021): Found 1 design units, including 0 entities, in source file tx_ciccomp/dspba_library_package.vhd - Info (12022): Found design unit 1: dspba_library_package (tx_ciccomp) File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/dspba_library_package.vhd Line: 17 -Info (12021): Found 6 design units, including 3 entities, in source file tx_ciccomp/dspba_library.vhd - Info (12022): Found design unit 1: dspba_delay-delay File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/dspba_library.vhd Line: 34 - Info (12022): Found design unit 2: dspba_sync_reg-sync_reg File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/dspba_library.vhd Line: 117 - Info (12022): Found design unit 3: dspba_pipe-rtl File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/dspba_library.vhd Line: 356 - Info (12023): Found entity 1: dspba_delay File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/dspba_library.vhd Line: 18 - Info (12023): Found entity 2: dspba_sync_reg File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/dspba_library.vhd Line: 93 - Info (12023): Found entity 3: dspba_pipe File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/dspba_library.vhd Line: 343 -Info (12021): Found 2 design units, including 0 entities, in source file tx_ciccomp/auk_dspip_math_pkg_hpfir.vhd - Info (12022): Found design unit 1: auk_dspip_math_pkg_hpfir (tx_ciccomp) File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/auk_dspip_math_pkg_hpfir.vhd Line: 54 - Info (12022): Found design unit 2: auk_dspip_math_pkg_hpfir-body File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/auk_dspip_math_pkg_hpfir.vhd Line: 131 -Info (12021): Found 1 design units, including 0 entities, in source file tx_ciccomp/auk_dspip_lib_pkg_hpfir.vhd - Info (12022): Found design unit 1: auk_dspip_lib_pkg_hpfir (tx_ciccomp) File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/auk_dspip_lib_pkg_hpfir.vhd Line: 22 -Info (12021): Found 2 design units, including 1 entities, in source file tx_ciccomp/auk_dspip_avalon_streaming_controller_hpfir.vhd - Info (12022): Found design unit 1: auk_dspip_avalon_streaming_controller_hpfir-struct File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/auk_dspip_avalon_streaming_controller_hpfir.vhd Line: 64 - Info (12023): Found entity 1: auk_dspip_avalon_streaming_controller_hpfir File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/auk_dspip_avalon_streaming_controller_hpfir.vhd Line: 41 -Info (12021): Found 2 design units, including 1 entities, in source file tx_ciccomp/auk_dspip_avalon_streaming_sink_hpfir.vhd - Info (12022): Found design unit 1: auk_dspip_avalon_streaming_sink_hpfir-rtl File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/auk_dspip_avalon_streaming_sink_hpfir.vhd Line: 106 - Info (12023): Found entity 1: auk_dspip_avalon_streaming_sink_hpfir File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/auk_dspip_avalon_streaming_sink_hpfir.vhd Line: 56 -Info (12021): Found 2 design units, including 1 entities, in source file tx_ciccomp/auk_dspip_avalon_streaming_source_hpfir.vhd - Info (12022): Found design unit 1: auk_dspip_avalon_streaming_source_hpfir-rtl File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/auk_dspip_avalon_streaming_source_hpfir.vhd Line: 109 - Info (12023): Found entity 1: auk_dspip_avalon_streaming_source_hpfir File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/auk_dspip_avalon_streaming_source_hpfir.vhd Line: 70 -Info (12021): Found 2 design units, including 1 entities, in source file tx_ciccomp/auk_dspip_roundsat_hpfir.vhd - Info (12022): Found design unit 1: auk_dspip_roundsat_hpfir-beh File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/auk_dspip_roundsat_hpfir.vhd Line: 57 - Info (12023): Found entity 1: auk_dspip_roundsat_hpfir File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/auk_dspip_roundsat_hpfir.vhd Line: 39 -Info (12021): Found 1 design units, including 1 entities, in source file tx_ciccomp/altera_avalon_sc_fifo.v - Info (12023): Found entity 1: altera_avalon_sc_fifo File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/altera_avalon_sc_fifo.v Line: 21 -Info (12021): Found 2 design units, including 1 entities, in source file tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd - Info (12022): Found design unit 1: tx_ciccomp_0002_rtl_core-normal File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd Line: 47 - Info (12023): Found entity 1: tx_ciccomp_0002_rtl_core File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd Line: 34 -Info (12021): Found 2 design units, including 1 entities, in source file tx_ciccomp/tx_ciccomp_0002_ast.vhd - Info (12022): Found design unit 1: tx_ciccomp_0002_ast-struct File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/tx_ciccomp_0002_ast.vhd Line: 55 - Info (12023): Found entity 1: tx_ciccomp_0002_ast File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/tx_ciccomp_0002_ast.vhd Line: 9 -Info (12021): Found 2 design units, including 1 entities, in source file tx_ciccomp/tx_ciccomp_0002.vhd - Info (12022): Found design unit 1: tx_ciccomp_0002-syn File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/tx_ciccomp_0002.vhd Line: 33 - Info (12023): Found entity 1: tx_ciccomp_0002 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/tx_ciccomp_0002.vhd Line: 19 -Info (12021): Found 2 design units, including 2 entities, in source file diffclock_buff.v - Info (12023): Found entity 1: diffclock_buff_iobuf_in_k0j File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/diffclock_buff.v Line: 46 - Info (12023): Found entity 2: diffclock_buff File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/diffclock_buff.v Line: 82 -Info (12021): Found 1 design units, including 1 entities, in source file dcdc_pll.v - Info (12023): Found entity 1: dcdc_pll File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/dcdc_pll.v Line: 39 -Info (12021): Found 1 design units, including 1 entities, in source file tx_pll.v - Info (12023): Found entity 1: tx_pll File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_pll.v Line: 39 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/clock_buffer/clock_buffer.v - Info (12023): Found entity 1: clock_buffer File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/clock_buffer/clock_buffer.v Line: 6 -Info (12021): Found 2 design units, including 2 entities, in source file db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v - Info (12023): Found entity 1: clock_buffer_altclkctrl_0_sub File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v Line: 28 - Info (12023): Found entity 2: clock_buffer_altclkctrl_0 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v Line: 89 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/rx_cic/rx_cic.v - Info (12023): Found entity 1: rx_cic File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/rx_cic.v Line: 6 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/rx_cic/submodules/alt_cic_core.sv - Info (12023): Found entity 1: alt_cic_core File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv Line: 27 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/rx_cic/submodules/alt_cic_dec_miso.sv - Info (12023): Found entity 1: alt_cic_dec_miso File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_miso.sv Line: 21 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/rx_cic/submodules/alt_cic_dec_siso.sv - Info (12023): Found entity 1: alt_cic_dec_siso File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv Line: 18 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/rx_cic/submodules/alt_cic_int_simo.sv - Info (12023): Found entity 1: alt_cic_int_simo File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/alt_cic_int_simo.sv Line: 19 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/rx_cic/submodules/alt_cic_int_siso.sv - Info (12023): Found entity 1: alt_cic_int_siso File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/alt_cic_int_siso.sv Line: 19 -Info (12021): Found 1 design units, including 0 entities, in source file db/ip/rx_cic/submodules/alt_dsp_cic_common_pkg.sv - Info (12022): Found design unit 1: alt_dsp_cic_common_pkg (SystemVerilog) (rx_cic) File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/alt_dsp_cic_common_pkg.sv Line: 14 -Info (12021): Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd - Info (12022): Found design unit 1: auk_dspip_avalon_streaming_controller-struct File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd Line: 53 - Info (12023): Found entity 1: auk_dspip_avalon_streaming_controller File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd Line: 26 -Info (12021): Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd - Info (12022): Found design unit 1: auk_dspip_avalon_streaming_sink-rtl File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd Line: 64 - Info (12023): Found entity 1: auk_dspip_avalon_streaming_sink File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd Line: 26 -Info (12021): Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_small_fifo.vhd - Info (12022): Found design unit 1: auk_dspip_avalon_streaming_small_fifo-arch File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_small_fifo.vhd Line: 50 - Info (12023): Found entity 1: auk_dspip_avalon_streaming_small_fifo File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_small_fifo.vhd Line: 27 -Info (12021): Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd - Info (12022): Found design unit 1: auk_dspip_avalon_streaming_source-rtl File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd Line: 57 - Info (12023): Found entity 1: auk_dspip_avalon_streaming_source File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd Line: 26 -Info (12021): Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd - Info (12022): Found design unit 1: auk_dspip_channel_buffer-SYN File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd Line: 47 - Info (12023): Found entity 1: auk_dspip_channel_buffer File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd Line: 26 -Info (12021): Found 1 design units, including 0 entities, in source file db/ip/rx_cic/submodules/auk_dspip_cic_lib_pkg.vhd - Info (12022): Found design unit 1: auk_dspip_cic_lib_pkg (rx_cic) File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_cic_lib_pkg.vhd Line: 23 -Info (12021): Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_delay.vhd - Info (12022): Found design unit 1: auk_dspip_delay-rtl File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_delay.vhd Line: 79 - Info (12023): Found entity 1: auk_dspip_delay File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_delay.vhd Line: 52 -Info (12021): Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_differentiator.vhd - Info (12022): Found design unit 1: auk_dspip_differentiator-SYN File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_differentiator.vhd Line: 82 - Info (12023): Found entity 1: auk_dspip_differentiator File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_differentiator.vhd Line: 57 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_downsample.sv - Info (12023): Found entity 1: auk_dspip_downsample File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_downsample.sv Line: 14 -Info (12021): Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_fastadd.vhd - Info (12022): Found design unit 1: auk_dspip_fastadd-beh File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_fastadd.vhd Line: 36 - Info (12023): Found entity 1: auk_dspip_fastadd File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_fastadd.vhd Line: 19 -Info (12021): Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_fastaddsub.vhd - Info (12022): Found design unit 1: auk_dspip_fastaddsub-beh File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_fastaddsub.vhd Line: 87 - Info (12023): Found entity 1: auk_dspip_fastaddsub File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_fastaddsub.vhd Line: 69 -Info (12021): Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_integrator.vhd - Info (12022): Found design unit 1: auk_dspip_integrator-SYN File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_integrator.vhd Line: 74 - Info (12023): Found entity 1: auk_dspip_integrator File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_integrator.vhd Line: 53 -Info (12021): Found 1 design units, including 0 entities, in source file db/ip/rx_cic/submodules/auk_dspip_lib_pkg.vhd - Info (12022): Found design unit 1: auk_dspip_lib_pkg (rx_cic) File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_lib_pkg.vhd Line: 28 -Info (12021): Found 2 design units, including 0 entities, in source file db/ip/rx_cic/submodules/auk_dspip_math_pkg.vhd - Info (12022): Found design unit 1: auk_dspip_math_pkg (rx_cic) File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_math_pkg.vhd Line: 51 - Info (12022): Found design unit 2: auk_dspip_math_pkg-body File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_math_pkg.vhd Line: 128 -Info (12021): Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_pipelined_adder.vhd - Info (12022): Found design unit 1: auk_dspip_pipelined_adder-rtl File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_pipelined_adder.vhd Line: 80 - Info (12023): Found entity 1: auk_dspip_pipelined_adder File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_pipelined_adder.vhd Line: 57 -Info (12021): Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_roundsat.vhd - Info (12022): Found design unit 1: auk_dspip_roundsat-beh File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_roundsat.vhd Line: 61 - Info (12023): Found entity 1: auk_dspip_roundsat File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_roundsat.vhd Line: 45 -Info (12021): Found 2 design units, including 0 entities, in source file db/ip/rx_cic/submodules/auk_dspip_text_pkg.vhd - Info (12022): Found design unit 1: auk_dspip_text_pkg (rx_cic) File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_text_pkg.vhd Line: 60 - Info (12022): Found design unit 2: auk_dspip_text_pkg-body File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_text_pkg.vhd Line: 76 -Info (12021): Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_upsample.vhd - Info (12022): Found design unit 1: auk_dspip_upsample-SYN File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_upsample.vhd Line: 59 - Info (12023): Found entity 1: auk_dspip_upsample File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_upsample.vhd Line: 44 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_variable_downsample.sv - Info (12023): Found entity 1: auk_dspip_variable_downsample File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_variable_downsample.sv Line: 14 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/rx_cic/submodules/counter_module.sv - Info (12023): Found entity 1: counter_module File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/counter_module.sv Line: 17 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/rx_cic/submodules/hyper_pipeline_interface.v - Info (12023): Found entity 1: hyper_pipeline_interface File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/hyper_pipeline_interface.v Line: 20 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv - Info (12023): Found entity 1: rx_cic_cic_ii_0 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv Line: 15 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/tx_cic/submodules/alt_cic_core.sv - Info (12023): Found entity 1: alt_cic_core File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/alt_cic_core.sv Line: 27 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/tx_cic/submodules/alt_cic_dec_miso.sv - Info (12023): Found entity 1: alt_cic_dec_miso File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/alt_cic_dec_miso.sv Line: 21 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/tx_cic/submodules/alt_cic_dec_siso.sv - Info (12023): Found entity 1: alt_cic_dec_siso File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/alt_cic_dec_siso.sv Line: 18 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/tx_cic/submodules/alt_cic_int_simo.sv - Info (12023): Found entity 1: alt_cic_int_simo File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/alt_cic_int_simo.sv Line: 19 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/tx_cic/submodules/alt_cic_int_siso.sv - Info (12023): Found entity 1: alt_cic_int_siso File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv Line: 19 -Info (12021): Found 1 design units, including 0 entities, in source file db/ip/tx_cic/submodules/alt_dsp_cic_common_pkg.sv - Info (12022): Found design unit 1: alt_dsp_cic_common_pkg (SystemVerilog) (tx_cic) File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/alt_dsp_cic_common_pkg.sv Line: 14 -Info (12021): Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd - Info (12022): Found design unit 1: auk_dspip_avalon_streaming_controller-struct File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd Line: 53 - Info (12023): Found entity 1: auk_dspip_avalon_streaming_controller File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd Line: 26 -Info (12021): Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd - Info (12022): Found design unit 1: auk_dspip_avalon_streaming_sink-rtl File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd Line: 64 - Info (12023): Found entity 1: auk_dspip_avalon_streaming_sink File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd Line: 26 -Info (12021): Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_small_fifo.vhd - Info (12022): Found design unit 1: auk_dspip_avalon_streaming_small_fifo-arch File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_small_fifo.vhd Line: 50 - Info (12023): Found entity 1: auk_dspip_avalon_streaming_small_fifo File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_small_fifo.vhd Line: 27 -Info (12021): Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd - Info (12022): Found design unit 1: auk_dspip_avalon_streaming_source-rtl File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd Line: 57 - Info (12023): Found entity 1: auk_dspip_avalon_streaming_source File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd Line: 26 -Info (12021): Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_channel_buffer.vhd - Info (12022): Found design unit 1: auk_dspip_channel_buffer-SYN File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_channel_buffer.vhd Line: 47 - Info (12023): Found entity 1: auk_dspip_channel_buffer File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_channel_buffer.vhd Line: 26 -Info (12021): Found 1 design units, including 0 entities, in source file db/ip/tx_cic/submodules/auk_dspip_cic_lib_pkg.vhd - Info (12022): Found design unit 1: auk_dspip_cic_lib_pkg (tx_cic) File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_cic_lib_pkg.vhd Line: 23 -Info (12021): Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_delay.vhd - Info (12022): Found design unit 1: auk_dspip_delay-rtl File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_delay.vhd Line: 79 - Info (12023): Found entity 1: auk_dspip_delay File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_delay.vhd Line: 52 -Info (12021): Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_differentiator.vhd - Info (12022): Found design unit 1: auk_dspip_differentiator-SYN File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_differentiator.vhd Line: 82 - Info (12023): Found entity 1: auk_dspip_differentiator File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_differentiator.vhd Line: 57 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_downsample.sv - Info (12023): Found entity 1: auk_dspip_downsample File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_downsample.sv Line: 14 -Info (12021): Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_fastadd.vhd - Info (12022): Found design unit 1: auk_dspip_fastadd-beh File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_fastadd.vhd Line: 36 - Info (12023): Found entity 1: auk_dspip_fastadd File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_fastadd.vhd Line: 19 -Info (12021): Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_fastaddsub.vhd - Info (12022): Found design unit 1: auk_dspip_fastaddsub-beh File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_fastaddsub.vhd Line: 87 - Info (12023): Found entity 1: auk_dspip_fastaddsub File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_fastaddsub.vhd Line: 69 -Info (12021): Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_integrator.vhd - Info (12022): Found design unit 1: auk_dspip_integrator-SYN File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_integrator.vhd Line: 74 - Info (12023): Found entity 1: auk_dspip_integrator File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_integrator.vhd Line: 53 -Info (12021): Found 1 design units, including 0 entities, in source file db/ip/tx_cic/submodules/auk_dspip_lib_pkg.vhd - Info (12022): Found design unit 1: auk_dspip_lib_pkg (tx_cic) File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_lib_pkg.vhd Line: 28 -Info (12021): Found 2 design units, including 0 entities, in source file db/ip/tx_cic/submodules/auk_dspip_math_pkg.vhd - Info (12022): Found design unit 1: auk_dspip_math_pkg (tx_cic) File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_math_pkg.vhd Line: 51 - Info (12022): Found design unit 2: auk_dspip_math_pkg-body File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_math_pkg.vhd Line: 128 -Info (12021): Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_pipelined_adder.vhd - Info (12022): Found design unit 1: auk_dspip_pipelined_adder-rtl File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_pipelined_adder.vhd Line: 80 - Info (12023): Found entity 1: auk_dspip_pipelined_adder File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_pipelined_adder.vhd Line: 57 -Info (12021): Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_roundsat.vhd - Info (12022): Found design unit 1: auk_dspip_roundsat-beh File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_roundsat.vhd Line: 61 - Info (12023): Found entity 1: auk_dspip_roundsat File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_roundsat.vhd Line: 45 -Info (12021): Found 2 design units, including 0 entities, in source file db/ip/tx_cic/submodules/auk_dspip_text_pkg.vhd - Info (12022): Found design unit 1: auk_dspip_text_pkg (tx_cic) File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_text_pkg.vhd Line: 60 - Info (12022): Found design unit 2: auk_dspip_text_pkg-body File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_text_pkg.vhd Line: 76 -Info (12021): Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_upsample.vhd - Info (12022): Found design unit 1: auk_dspip_upsample-SYN File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_upsample.vhd Line: 59 - Info (12023): Found entity 1: auk_dspip_upsample File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_upsample.vhd Line: 44 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_variable_downsample.sv - Info (12023): Found entity 1: auk_dspip_variable_downsample File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_variable_downsample.sv Line: 14 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/tx_cic/submodules/counter_module.sv - Info (12023): Found entity 1: counter_module File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/counter_module.sv Line: 17 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/tx_cic/submodules/hyper_pipeline_interface.v - Info (12023): Found entity 1: hyper_pipeline_interface File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/hyper_pipeline_interface.v Line: 20 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv - Info (12023): Found entity 1: tx_cic_cic_ii_0 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv Line: 15 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/tx_cic/tx_cic.v - Info (12023): Found entity 1: tx_cic File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/tx_cic.v Line: 6 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/tx_nco/submodules/asj_altqmcpipe.v - Info (12023): Found entity 1: asj_altqmcpipe File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/asj_altqmcpipe.v Line: 28 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/tx_nco/submodules/asj_gam_dp.v - Info (12023): Found entity 1: asj_gam_dp File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/asj_gam_dp.v Line: 45 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/tx_nco/submodules/asj_nco_as_m_cen.v - Info (12023): Found entity 1: asj_nco_as_m_cen File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/asj_nco_as_m_cen.v Line: 41 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/tx_nco/submodules/asj_nco_as_m_dp_cen.v - Info (12023): Found entity 1: asj_nco_as_m_dp_cen File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/asj_nco_as_m_dp_cen.v Line: 63 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/tx_nco/submodules/asj_nco_derot.v - Info (12023): Found entity 1: asj_nco_derot File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/asj_nco_derot.v Line: 41 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/tx_nco/submodules/asj_nco_isdr.v - Info (12023): Found entity 1: asj_nco_isdr File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/asj_nco_isdr.v Line: 41 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/tx_nco/submodules/asj_nco_madx_cen.v - Info (12023): Found entity 1: asj_nco_madx_cen File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/asj_nco_madx_cen.v Line: 14 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/tx_nco/submodules/asj_nco_mady_cen.v - Info (12023): Found entity 1: asj_nco_mady_cen File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/asj_nco_mady_cen.v Line: 15 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/tx_nco/submodules/asj_nco_mob_w.v - Info (12023): Found entity 1: asj_nco_mob_w File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/asj_nco_mob_w.v Line: 41 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v - Info (12023): Found entity 1: tx_nco_nco_ii_0 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v Line: 23 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/tx_nco/tx_nco.v - Info (12023): Found entity 1: tx_nco File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/tx_nco.v Line: 6 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/nco/nco.v - Info (12023): Found entity 1: nco File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/nco.v Line: 6 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/nco/submodules/asj_altqmcpipe.v - Info (12023): Found entity 1: asj_altqmcpipe File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/asj_altqmcpipe.v Line: 28 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/nco/submodules/asj_gam_dp.v - Info (12023): Found entity 1: asj_gam_dp File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/asj_gam_dp.v Line: 45 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/nco/submodules/asj_nco_as_m_cen.v - Info (12023): Found entity 1: asj_nco_as_m_cen File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/asj_nco_as_m_cen.v Line: 41 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/nco/submodules/asj_nco_as_m_dp_cen.v - Info (12023): Found entity 1: asj_nco_as_m_dp_cen File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/asj_nco_as_m_dp_cen.v Line: 63 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/nco/submodules/asj_nco_derot.v - Info (12023): Found entity 1: asj_nco_derot File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/asj_nco_derot.v Line: 41 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/nco/submodules/asj_nco_isdr.v - Info (12023): Found entity 1: asj_nco_isdr File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/asj_nco_isdr.v Line: 41 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/nco/submodules/asj_nco_madx_cen.v - Info (12023): Found entity 1: asj_nco_madx_cen File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/asj_nco_madx_cen.v Line: 14 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/nco/submodules/asj_nco_mady_cen.v - Info (12023): Found entity 1: asj_nco_mady_cen File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/asj_nco_mady_cen.v Line: 15 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/nco/submodules/asj_nco_mob_w.v - Info (12023): Found entity 1: asj_nco_mob_w File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/asj_nco_mob_w.v Line: 41 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/nco/submodules/nco_nco_ii_0.v - Info (12023): Found entity 1: nco_nco_ii_0 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/nco_nco_ii_0.v Line: 23 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/debug/debug.v - Info (12023): Found entity 1: DEBUG File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/debug/debug.v Line: 6 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/debug/submodules/altsource_probe_top.v - Info (12023): Found entity 1: altsource_probe_top File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/debug/submodules/altsource_probe_top.v Line: 14 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/debug2/debug2.v - Info (12023): Found entity 1: DEBUG2 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/debug2/debug2.v Line: 6 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/debug2/submodules/altsource_probe_top.v - Info (12023): Found entity 1: altsource_probe_top File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/debug2/submodules/altsource_probe_top.v Line: 14 -Info (12127): Elaborating entity "WOLF-LITE" for the top level hierarchy -Info (12128): Elaborating entity "stm32_interface" for hierarchy "stm32_interface:STM32_INTERFACE" -Info (12128): Elaborating entity "tx_summator" for hierarchy "tx_summator:TX_SUMMATOR" -Info (12128): Elaborating entity "lpm_add_sub" for hierarchy "tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_summator.v Line: 73 -Info (12130): Elaborated megafunction instantiation "tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_summator.v Line: 73 -Info (12133): Instantiated megafunction "tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component" with the following parameter: File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_summator.v Line: 73 - Info (12134): Parameter "lpm_direction" = "ADD" - Info (12134): Parameter "lpm_hint" = "ONE_INPUT_IS_CONSTANT=NO,CIN_USED=NO" - Info (12134): Parameter "lpm_pipeline" = "1" - Info (12134): Parameter "lpm_representation" = "SIGNED" - Info (12134): Parameter "lpm_type" = "LPM_ADD_SUB" - Info (12134): Parameter "lpm_width" = "32" -Info (12021): Found 1 design units, including 1 entities, in source file db/add_sub_1vk.tdf - Info (12023): Found entity 1: add_sub_1vk File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/add_sub_1vk.tdf Line: 22 -Info (12128): Elaborating entity "add_sub_1vk" for hierarchy "tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated" File: c:/intelfpga/18.1/quartus/libraries/megafunctions/lpm_add_sub.tdf Line: 118 -Info (12128): Elaborating entity "tx_pll" for hierarchy "tx_pll:TX_PLL" -Info (12128): Elaborating entity "altpll" for hierarchy "tx_pll:TX_PLL|altpll:altpll_component" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_pll.v Line: 90 -Info (12130): Elaborated megafunction instantiation "tx_pll:TX_PLL|altpll:altpll_component" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_pll.v Line: 90 -Info (12133): Instantiated megafunction "tx_pll:TX_PLL|altpll:altpll_component" with the following parameter: File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_pll.v Line: 90 - Info (12134): Parameter "bandwidth_type" = "AUTO" - Info (12134): Parameter "clk0_divide_by" = "2" - Info (12134): Parameter "clk0_duty_cycle" = "50" - Info (12134): Parameter "clk0_multiply_by" = "5" - Info (12134): Parameter "clk0_phase_shift" = "0" - Info (12134): Parameter "compensate_clock" = "CLK0" - Info (12134): Parameter "inclk0_input_frequency" = "15547" - Info (12134): Parameter "intended_device_family" = "Cyclone IV E" - Info (12134): Parameter "lpm_hint" = "CBX_MODULE_PREFIX=tx_pll" - Info (12134): Parameter "lpm_type" = "altpll" - Info (12134): Parameter "operation_mode" = "NORMAL" - Info (12134): Parameter "pll_type" = "AUTO" - Info (12134): Parameter "port_activeclock" = "PORT_UNUSED" - Info (12134): Parameter "port_areset" = "PORT_UNUSED" - Info (12134): Parameter "port_clkbad0" = "PORT_UNUSED" - Info (12134): Parameter "port_clkbad1" = "PORT_UNUSED" - Info (12134): Parameter "port_clkloss" = "PORT_UNUSED" - Info (12134): Parameter "port_clkswitch" = "PORT_UNUSED" - Info (12134): Parameter "port_configupdate" = "PORT_UNUSED" - Info (12134): Parameter "port_fbin" = "PORT_UNUSED" - Info (12134): Parameter "port_inclk0" = "PORT_USED" - Info (12134): Parameter "port_inclk1" = "PORT_UNUSED" - Info (12134): Parameter "port_locked" = "PORT_UNUSED" - Info (12134): Parameter "port_pfdena" = "PORT_UNUSED" - Info (12134): Parameter "port_phasecounterselect" = "PORT_UNUSED" - Info (12134): Parameter "port_phasedone" = "PORT_UNUSED" - Info (12134): Parameter "port_phasestep" = "PORT_UNUSED" - Info (12134): Parameter "port_phaseupdown" = "PORT_UNUSED" - Info (12134): Parameter "port_pllena" = "PORT_UNUSED" - Info (12134): Parameter "port_scanaclr" = "PORT_UNUSED" - Info (12134): Parameter "port_scanclk" = "PORT_UNUSED" - Info (12134): Parameter "port_scanclkena" = "PORT_UNUSED" - Info (12134): Parameter "port_scandata" = "PORT_UNUSED" - Info (12134): Parameter "port_scandataout" = "PORT_UNUSED" - Info (12134): Parameter "port_scandone" = "PORT_UNUSED" - Info (12134): Parameter "port_scanread" = "PORT_UNUSED" - Info (12134): Parameter "port_scanwrite" = "PORT_UNUSED" - Info (12134): Parameter "port_clk0" = "PORT_USED" - Info (12134): Parameter "port_clk1" = "PORT_UNUSED" - Info (12134): Parameter "port_clk2" = "PORT_UNUSED" - Info (12134): Parameter "port_clk3" = "PORT_UNUSED" - Info (12134): Parameter "port_clk4" = "PORT_UNUSED" - Info (12134): Parameter "port_clk5" = "PORT_UNUSED" - Info (12134): Parameter "port_clkena0" = "PORT_UNUSED" - Info (12134): Parameter "port_clkena1" = "PORT_UNUSED" - Info (12134): Parameter "port_clkena2" = "PORT_UNUSED" - Info (12134): Parameter "port_clkena3" = "PORT_UNUSED" - Info (12134): Parameter "port_clkena4" = "PORT_UNUSED" - Info (12134): Parameter "port_clkena5" = "PORT_UNUSED" - Info (12134): Parameter "port_extclk0" = "PORT_UNUSED" - Info (12134): Parameter "port_extclk1" = "PORT_UNUSED" - Info (12134): Parameter "port_extclk2" = "PORT_UNUSED" - Info (12134): Parameter "port_extclk3" = "PORT_UNUSED" - Info (12134): Parameter "width_clock" = "5" -Info (12021): Found 1 design units, including 1 entities, in source file db/tx_pll_altpll.v - Info (12023): Found entity 1: tx_pll_altpll File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/tx_pll_altpll.v Line: 29 -Info (12128): Elaborating entity "tx_pll_altpll" for hierarchy "tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated" File: c:/intelfpga/18.1/quartus/libraries/megafunctions/altpll.tdf Line: 897 -Info (12128): Elaborating entity "clock_buffer" for hierarchy "clock_buffer:SYSCLK_BUFFER" -Info (12128): Elaborating entity "clock_buffer_altclkctrl_0" for hierarchy "clock_buffer:SYSCLK_BUFFER|clock_buffer_altclkctrl_0:altclkctrl_0" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/clock_buffer/clock_buffer.v Line: 14 -Info (12128): Elaborating entity "clock_buffer_altclkctrl_0_sub" for hierarchy "clock_buffer:SYSCLK_BUFFER|clock_buffer_altclkctrl_0:altclkctrl_0|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v Line: 112 -Info (12128): Elaborating entity "tx_mixer" for hierarchy "tx_mixer:TX_MIXER_I" -Info (12128): Elaborating entity "lpm_mult" for hierarchy "tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_mixer.v Line: 63 -Info (12130): Elaborated megafunction instantiation "tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_mixer.v Line: 63 -Info (12133): Instantiated megafunction "tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component" with the following parameter: File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_mixer.v Line: 63 - Info (12134): Parameter "lpm_hint" = "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9" - Info (12134): Parameter "lpm_pipeline" = "1" - Info (12134): Parameter "lpm_representation" = "SIGNED" - Info (12134): Parameter "lpm_type" = "LPM_MULT" - Info (12134): Parameter "lpm_widtha" = "16" - Info (12134): Parameter "lpm_widthb" = "16" - Info (12134): Parameter "lpm_widthp" = "32" -Info (12021): Found 1 design units, including 1 entities, in source file db/mult_abt.tdf - Info (12023): Found entity 1: mult_abt File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/mult_abt.tdf Line: 28 -Info (12128): Elaborating entity "mult_abt" for hierarchy "tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated" File: c:/intelfpga/18.1/quartus/libraries/megafunctions/lpm_mult.tdf Line: 376 -Info (12128): Elaborating entity "tx_cic" for hierarchy "tx_cic:TX_CIC_I" -Info (12128): Elaborating entity "tx_cic_cic_ii_0" for hierarchy "tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/tx_cic.v Line: 31 -Info (12128): Elaborating entity "alt_cic_core" for hierarchy "tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv Line: 213 -Info (12128): Elaborating entity "auk_dspip_avalon_streaming_sink" for hierarchy "tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/alt_cic_core.sv Line: 326 -Info (12128): Elaborating entity "scfifo" for hierarchy "tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd Line: 123 -Info (12130): Elaborated megafunction instantiation "tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd Line: 123 -Info (12133): Instantiated megafunction "tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO" with the following parameter: File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd Line: 123 - Info (12134): Parameter "add_ram_output_register" = "ON" - Info (12134): Parameter "allow_rwcycle_when_full" = "OFF" - Info (12134): Parameter "almost_empty_value" = "4" - Info (12134): Parameter "almost_full_value" = "0" - Info (12134): Parameter "lpm_numwords" = "8" - Info (12134): Parameter "lpm_showahead" = "OFF" - Info (12134): Parameter "lpm_width" = "18" - Info (12134): Parameter "lpm_widthu" = "3" - Info (12134): Parameter "overflow_checking" = "ON" - Info (12134): Parameter "underflow_checking" = "ON" - Info (12134): Parameter "use_eab" = "ON" - Info (12134): Parameter "lpm_hint" = "UNUSED" - Info (12134): Parameter "lpm_type" = "scfifo" -Info (12021): Found 1 design units, including 1 entities, in source file db/scfifo_gf71.tdf - Info (12023): Found entity 1: scfifo_gf71 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/scfifo_gf71.tdf Line: 24 -Info (12128): Elaborating entity "scfifo_gf71" for hierarchy "tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated" File: c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf Line: 299 -Info (12021): Found 1 design units, including 1 entities, in source file db/a_dpfifo_1lv.tdf - Info (12023): Found entity 1: a_dpfifo_1lv File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/a_dpfifo_1lv.tdf Line: 32 -Info (12128): Elaborating entity "a_dpfifo_1lv" for hierarchy "tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/scfifo_gf71.tdf Line: 36 -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_l7h1.tdf - Info (12023): Found entity 1: altsyncram_l7h1 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_l7h1.tdf Line: 27 -Info (12128): Elaborating entity "altsyncram_l7h1" for hierarchy "tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/a_dpfifo_1lv.tdf Line: 44 -Info (12021): Found 1 design units, including 1 entities, in source file db/cmpr_gs8.tdf - Info (12023): Found entity 1: cmpr_gs8 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/cmpr_gs8.tdf Line: 22 -Info (12128): Elaborating entity "cmpr_gs8" for hierarchy "tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cmpr_gs8:almost_full_comparer" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/a_dpfifo_1lv.tdf Line: 52 -Info (12128): Elaborating entity "cmpr_gs8" for hierarchy "tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cmpr_gs8:two_comparison" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/a_dpfifo_1lv.tdf Line: 53 -Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_r9b.tdf - Info (12023): Found entity 1: cntr_r9b File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/cntr_r9b.tdf Line: 25 -Info (12128): Elaborating entity "cntr_r9b" for hierarchy "tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_r9b:rd_ptr_msb" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/a_dpfifo_1lv.tdf Line: 54 -Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_8a7.tdf - Info (12023): Found entity 1: cntr_8a7 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/cntr_8a7.tdf Line: 25 -Info (12128): Elaborating entity "cntr_8a7" for hierarchy "tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_8a7:usedw_counter" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/a_dpfifo_1lv.tdf Line: 55 -Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_s9b.tdf - Info (12023): Found entity 1: cntr_s9b File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/cntr_s9b.tdf Line: 25 -Info (12128): Elaborating entity "cntr_s9b" for hierarchy "tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_s9b:wr_ptr" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/a_dpfifo_1lv.tdf Line: 56 -Info (12128): Elaborating entity "auk_dspip_avalon_streaming_source" for hierarchy "tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/alt_cic_core.sv Line: 358 -Info (12128): Elaborating entity "scfifo" for hierarchy "tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd Line: 116 -Info (12130): Elaborated megafunction instantiation "tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd Line: 116 -Info (12133): Instantiated megafunction "tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO" with the following parameter: File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd Line: 116 - Info (12134): Parameter "add_ram_output_register" = "ON" - Info (12134): Parameter "allow_rwcycle_when_full" = "OFF" - Info (12134): Parameter "almost_empty_value" = "0" - Info (12134): Parameter "almost_full_value" = "13" - Info (12134): Parameter "lpm_numwords" = "21" - Info (12134): Parameter "lpm_showahead" = "OFF" - Info (12134): Parameter "lpm_width" = "17" - Info (12134): Parameter "lpm_widthu" = "5" - Info (12134): Parameter "overflow_checking" = "ON" - Info (12134): Parameter "underflow_checking" = "ON" - Info (12134): Parameter "use_eab" = "ON" - Info (12134): Parameter "lpm_hint" = "UNUSED" - Info (12134): Parameter "lpm_type" = "scfifo" -Info (12021): Found 1 design units, including 1 entities, in source file db/scfifo_ci71.tdf - Info (12023): Found entity 1: scfifo_ci71 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/scfifo_ci71.tdf Line: 24 -Info (12128): Elaborating entity "scfifo_ci71" for hierarchy "tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated" File: c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf Line: 299 -Info (12021): Found 1 design units, including 1 entities, in source file db/a_dpfifo_9qv.tdf - Info (12023): Found entity 1: a_dpfifo_9qv File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/a_dpfifo_9qv.tdf Line: 32 -Info (12128): Elaborating entity "a_dpfifo_9qv" for hierarchy "tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/scfifo_ci71.tdf Line: 36 -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_hah1.tdf - Info (12023): Found entity 1: altsyncram_hah1 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_hah1.tdf Line: 27 -Info (12128): Elaborating entity "altsyncram_hah1" for hierarchy "tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/a_dpfifo_9qv.tdf Line: 44 -Info (12021): Found 1 design units, including 1 entities, in source file db/cmpr_is8.tdf - Info (12023): Found entity 1: cmpr_is8 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/cmpr_is8.tdf Line: 22 -Info (12128): Elaborating entity "cmpr_is8" for hierarchy "tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cmpr_is8:almost_full_comparer" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/a_dpfifo_9qv.tdf Line: 52 -Info (12128): Elaborating entity "cmpr_is8" for hierarchy "tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cmpr_is8:two_comparison" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/a_dpfifo_9qv.tdf Line: 53 -Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_t9b.tdf - Info (12023): Found entity 1: cntr_t9b File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/cntr_t9b.tdf Line: 25 -Info (12128): Elaborating entity "cntr_t9b" for hierarchy "tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/a_dpfifo_9qv.tdf Line: 54 -Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_aa7.tdf - Info (12023): Found entity 1: cntr_aa7 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/cntr_aa7.tdf Line: 25 -Info (12128): Elaborating entity "cntr_aa7" for hierarchy "tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/a_dpfifo_9qv.tdf Line: 55 -Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_u9b.tdf - Info (12023): Found entity 1: cntr_u9b File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/cntr_u9b.tdf Line: 25 -Info (12128): Elaborating entity "cntr_u9b" for hierarchy "tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/a_dpfifo_9qv.tdf Line: 56 -Info (12128): Elaborating entity "auk_dspip_avalon_streaming_controller" for hierarchy "tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/alt_cic_core.sv Line: 408 -Info (12128): Elaborating entity "auk_dspip_avalon_streaming_small_fifo" for hierarchy "tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd Line: 196 -Info (12128): Elaborating entity "alt_cic_int_siso" for hierarchy "tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/alt_cic_core.sv Line: 540 -Info (12128): Elaborating entity "counter_module" for hierarchy "tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:latency_cnt_inst" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv Line: 270 -Info (12128): Elaborating entity "counter_module" for hierarchy "tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv Line: 298 -Info (12128): Elaborating entity "counter_module" for hierarchy "tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_ch_inst" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv Line: 313 -Info (12128): Elaborating entity "auk_dspip_differentiator" for hierarchy "tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv Line: 361 -Info (12128): Elaborating entity "auk_dspip_delay" for hierarchy "tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/auk_dspip_differentiator.vhd Line: 135 -Info (12128): Elaborating entity "auk_dspip_upsample" for hierarchy "tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv Line: 379 -Info (12128): Elaborating entity "auk_dspip_integrator" for hierarchy "tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv Line: 408 -Info (12128): Elaborating entity "data_shifter" for hierarchy "data_shifter:TX_CICCOMP_GAINER" -Warning (10230): Verilog HDL assignment warning at data_shifter.v(18): truncated value with size 32 to match size of target (1) File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/data_shifter.v Line: 18 -Warning (10230): Verilog HDL assignment warning at data_shifter.v(19): truncated value with size 32 to match size of target (1) File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/data_shifter.v Line: 19 -Warning (10230): Verilog HDL assignment warning at data_shifter.v(20): truncated value with size 32 to match size of target (16) File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/data_shifter.v Line: 20 -Warning (10230): Verilog HDL assignment warning at data_shifter.v(21): truncated value with size 32 to match size of target (16) File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/data_shifter.v Line: 21 -Info (12128): Elaborating entity "tx_ciccomp" for hierarchy "tx_ciccomp:TX_CICCOMP_I" -Info (12128): Elaborating entity "tx_ciccomp_0002" for hierarchy "tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp.v Line: 28 -Info (12128): Elaborating entity "tx_ciccomp_0002_ast" for hierarchy "tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/tx_ciccomp_0002.vhd Line: 62 -Info (12128): Elaborating entity "auk_dspip_avalon_streaming_sink_hpfir" for hierarchy "tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_sink_hpfir:sink" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/tx_ciccomp_0002_ast.vhd Line: 89 -Info (12128): Elaborating entity "auk_dspip_avalon_streaming_source_hpfir" for hierarchy "tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/tx_ciccomp_0002_ast.vhd Line: 109 -Info (12128): Elaborating entity "auk_dspip_avalon_streaming_controller_hpfir" for hierarchy "tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_controller_hpfir:intf_ctrl" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/tx_ciccomp_0002_ast.vhd Line: 137 -Info (12128): Elaborating entity "tx_ciccomp_0002_rtl_core" for hierarchy "tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/tx_ciccomp_0002_ast.vhd Line: 218 -Info (12128): Elaborating entity "dspba_delay" for hierarchy "tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_memread" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd Line: 175 -Info (12128): Elaborating entity "dspba_delay" for hierarchy "tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd Line: 180 -Info (12128): Elaborating entity "dspba_delay" for hierarchy "tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd Line: 286 -Info (12128): Elaborating entity "dspba_delay" for hierarchy "tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd Line: 291 -Info (12128): Elaborating entity "altsyncram" for hierarchy "tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd Line: 313 -Info (12130): Elaborated megafunction instantiation "tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd Line: 313 -Info (12133): Instantiated megafunction "tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem" with the following parameter: File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd Line: 313 - Info (12134): Parameter "address_aclr_a" = "UNUSED" - Info (12134): Parameter "address_aclr_b" = "NONE" - Info (12134): Parameter "address_reg_b" = "CLOCK0" - Info (12134): Parameter "byte_size" = "8" - Info (12134): Parameter "byteena_aclr_a" = "UNUSED" - Info (12134): Parameter "byteena_aclr_b" = "NONE" - Info (12134): Parameter "byteena_reg_b" = "CLOCK0" - Info (12134): Parameter "clock_enable_core_a" = "USE_INPUT_CLKEN" - Info (12134): Parameter "clock_enable_core_b" = "USE_INPUT_CLKEN" - Info (12134): Parameter "clock_enable_input_a" = "NORMAL" - Info (12134): Parameter "clock_enable_input_b" = "NORMAL" - Info (12134): Parameter "clock_enable_output_a" = "NORMAL" - Info (12134): Parameter "clock_enable_output_b" = "NORMAL" - Info (12134): Parameter "intended_device_family" = "Cyclone IV E" - Info (12134): Parameter "ecc_pipeline_stage_enabled" = "FALSE" - Info (12134): Parameter "enable_ecc" = "FALSE" - Info (12134): Parameter "implement_in_les" = "OFF" - Info (12134): Parameter "indata_aclr_a" = "UNUSED" - Info (12134): Parameter "indata_aclr_b" = "NONE" - Info (12134): Parameter "indata_reg_b" = "CLOCK0" - Info (12134): Parameter "init_file" = "UNUSED" - Info (12134): Parameter "init_file_layout" = "PORT_A" - Info (12134): Parameter "maximum_depth" = "0" - Info (12134): Parameter "numwords_a" = "64" - Info (12134): Parameter "numwords_b" = "64" - Info (12134): Parameter "operation_mode" = "DUAL_PORT" - Info (12134): Parameter "outdata_aclr_a" = "NONE" - Info (12134): Parameter "outdata_aclr_b" = "NONE" - Info (12134): Parameter "outdata_reg_a" = "UNREGISTERED" - Info (12134): Parameter "outdata_reg_b" = "CLOCK0" - Info (12134): Parameter "power_up_uninitialized" = "FALSE" - Info (12134): Parameter "ram_block_type" = "M9K" - Info (12134): Parameter "rdcontrol_aclr_b" = "NONE" - Info (12134): Parameter "rdcontrol_reg_b" = "CLOCK0" - Info (12134): Parameter "read_during_write_mode_mixed_ports" = "DONT_CARE" - Info (12134): Parameter "read_during_write_mode_port_a" = "NEW_DATA_NO_NBE_READ" - Info (12134): Parameter "read_during_write_mode_port_b" = "NEW_DATA_NO_NBE_READ" - Info (12134): Parameter "stratixiv_m144k_allow_dual_clocks" = "ON" - Info (12134): Parameter "width_a" = "16" - Info (12134): Parameter "width_b" = "16" - Info (12134): Parameter "width_byteena_a" = "1" - Info (12134): Parameter "width_byteena_b" = "1" - Info (12134): Parameter "width_eccstatus" = "3" - Info (12134): Parameter "widthad_a" = "6" - Info (12134): Parameter "widthad_b" = "6" - Info (12134): Parameter "wrcontrol_aclr_a" = "UNUSED" - Info (12134): Parameter "wrcontrol_aclr_b" = "NONE" - Info (12134): Parameter "wrcontrol_wraddress_reg_b" = "CLOCK0" - Info (12134): Parameter "lpm_hint" = "UNUSED" - Info (12134): Parameter "lpm_type" = "altsyncram" -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_0mn3.tdf - Info (12023): Found entity 1: altsyncram_0mn3 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_0mn3.tdf Line: 27 -Info (12128): Elaborating entity "altsyncram_0mn3" for hierarchy "tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated" File: c:/intelfpga/18.1/quartus/libraries/megafunctions/altsyncram.tdf Line: 791 -Info (12128): Elaborating entity "lpm_mult" for hierarchy "tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd Line: 446 -Info (12130): Elaborated megafunction instantiation "tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd Line: 446 -Info (12133): Instantiated megafunction "tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component" with the following parameter: File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd Line: 446 - Info (12134): Parameter "LPM_WIDTHA" = "8" - Info (12134): Parameter "LPM_WIDTHB" = "16" - Info (12134): Parameter "LPM_WIDTHS" = "1" - Info (12134): Parameter "LPM_WIDTHP" = "24" - Info (12134): Parameter "LPM_REPRESENTATION" = "SIGNED" - Info (12134): Parameter "LPM_PIPELINE" = "2" - Info (12134): Parameter "LPM_TYPE" = "LPM_MULT" - Info (12134): Parameter "LPM_HINT" = "DEDICATED_MULTIPLIER_CIRCUITRY=YES, MAXIMIZE_SPEED=5" -Info (12021): Found 1 design units, including 1 entities, in source file db/mult_ncu.tdf - Info (12023): Found entity 1: mult_ncu File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/mult_ncu.tdf Line: 28 -Info (12128): Elaborating entity "mult_ncu" for hierarchy "tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated" File: c:/intelfpga/18.1/quartus/libraries/megafunctions/lpm_mult.tdf Line: 376 -Info (12128): Elaborating entity "dspba_delay" for hierarchy "tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd Line: 502 -Info (12128): Elaborating entity "dspba_delay" for hierarchy "tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd Line: 537 -Info (12128): Elaborating entity "auk_dspip_roundsat_hpfir" for hierarchy "tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_roundsat_hpfir:\real_passthrough:gen_outp_blk:0:outp_blk" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/tx_ciccomp_0002_ast.vhd Line: 244 -Info (12128): Elaborating entity "tx_nco" for hierarchy "tx_nco:TX_NCO" -Info (12128): Elaborating entity "tx_nco_nco_ii_0" for hierarchy "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/tx_nco.v Line: 24 -Info (12128): Elaborating entity "asj_altqmcpipe" for hierarchy "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v Line: 304 -Info (12128): Elaborating entity "lpm_add_sub" for hierarchy "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/asj_altqmcpipe.v Line: 63 -Info (12130): Elaborated megafunction instantiation "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/asj_altqmcpipe.v Line: 63 -Info (12133): Instantiated megafunction "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc" with the following parameter: File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/asj_altqmcpipe.v Line: 63 - Info (12134): Parameter "lpm_direction" = "ADD" - Info (12134): Parameter "lpm_width" = "22" - Info (12134): Parameter "lpm_pipeline" = "1" - Info (12134): Parameter "lpm_representation" = "UNSIGNED" -Info (12021): Found 1 design units, including 1 entities, in source file db/add_sub_u4i.tdf - Info (12023): Found entity 1: add_sub_u4i File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/add_sub_u4i.tdf Line: 22 -Info (12128): Elaborating entity "add_sub_u4i" for hierarchy "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated" File: c:/intelfpga/18.1/quartus/libraries/megafunctions/lpm_add_sub.tdf Line: 118 -Info (12128): Elaborating entity "asj_gam_dp" for hierarchy "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v Line: 326 -Info (12128): Elaborating entity "asj_nco_as_m_dp_cen" for hierarchy "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v Line: 338 -Info (12128): Elaborating entity "altsyncram" for hierarchy "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/asj_nco_as_m_dp_cen.v Line: 109 -Info (12130): Elaborated megafunction instantiation "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/asj_nco_as_m_dp_cen.v Line: 109 -Info (12133): Instantiated megafunction "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component" with the following parameter: File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/asj_nco_as_m_dp_cen.v Line: 109 - Info (12134): Parameter "operation_mode" = "BIDIR_DUAL_PORT" - Info (12134): Parameter "width_a" = "16" - Info (12134): Parameter "widthad_a" = "11" - Info (12134): Parameter "numwords_a" = "2048" - Info (12134): Parameter "width_b" = "16" - Info (12134): Parameter "widthad_b" = "11" - Info (12134): Parameter "numwords_b" = "2048" - Info (12134): Parameter "lpm_type" = "altsyncram" - Info (12134): Parameter "width_byteena_a" = "1" - Info (12134): Parameter "width_byteena_b" = "1" - Info (12134): Parameter "outdata_reg_a" = "CLOCK0" - Info (12134): Parameter "outdata_aclr_a" = "NONE" - Info (12134): Parameter "outdata_reg_b" = "CLOCK0" - Info (12134): Parameter "indata_aclr_a" = "NONE" - Info (12134): Parameter "wrcontrol_aclr_a" = "NONE" - Info (12134): Parameter "address_aclr_a" = "NONE" - Info (12134): Parameter "indata_reg_b" = "CLOCK0" - Info (12134): Parameter "address_reg_b" = "CLOCK0" - Info (12134): Parameter "wrcontrol_wraddress_reg_b" = "CLOCK0" - Info (12134): Parameter "indata_aclr_b" = "NONE" - Info (12134): Parameter "wrcontrol_aclr_b" = "NONE" - Info (12134): Parameter "address_aclr_b" = "NONE" - Info (12134): Parameter "outdata_aclr_b" = "NONE" - Info (12134): Parameter "read_during_write_mode_mixed_ports" = "DONT_CARE" - Info (12134): Parameter "ram_block_type" = "AUTO" - Info (12134): Parameter "init_file" = "tx_nco_nco_ii_0_sin_c.hex" - Info (12134): Parameter "intended_device_family" = "Cyclone IV E" -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_4k82.tdf - Info (12023): Found entity 1: altsyncram_4k82 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_4k82.tdf Line: 27 -Info (12128): Elaborating entity "altsyncram_4k82" for hierarchy "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated" File: c:/intelfpga/18.1/quartus/libraries/megafunctions/altsyncram.tdf Line: 791 -Info (12128): Elaborating entity "asj_nco_as_m_cen" for hierarchy "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v Line: 350 -Info (12128): Elaborating entity "altsyncram" for hierarchy "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/asj_nco_as_m_cen.v Line: 65 -Info (12130): Elaborated megafunction instantiation "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/asj_nco_as_m_cen.v Line: 65 -Info (12133): Instantiated megafunction "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0" with the following parameter: File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/asj_nco_as_m_cen.v Line: 65 - Info (12134): Parameter "operation_mode" = "ROM" - Info (12134): Parameter "width_a" = "16" - Info (12134): Parameter "widthad_a" = "11" - Info (12134): Parameter "numwords_a" = "2048" - Info (12134): Parameter "width_byteena_a" = "1" - Info (12134): Parameter "outdata_reg_a" = "CLOCK0" - Info (12134): Parameter "outdata_aclr_a" = "NONE" - Info (12134): Parameter "address_aclr_a" = "NONE" - Info (12134): Parameter "read_during_write_mode_mixed_ports" = "DONT_CARE" - Info (12134): Parameter "ram_block_type" = "AUTO" - Info (12134): Parameter "init_file" = "tx_nco_nco_ii_0_sin_f.hex" - Info (12134): Parameter "intended_device_family" = "Cyclone IV E" -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_u8a1.tdf - Info (12023): Found entity 1: altsyncram_u8a1 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_u8a1.tdf Line: 27 -Info (12128): Elaborating entity "altsyncram_u8a1" for hierarchy "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_u8a1:auto_generated" File: c:/intelfpga/18.1/quartus/libraries/megafunctions/altsyncram.tdf Line: 791 -Info (12128): Elaborating entity "asj_nco_as_m_cen" for hierarchy "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v Line: 362 -Info (12128): Elaborating entity "altsyncram" for hierarchy "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/asj_nco_as_m_cen.v Line: 65 -Info (12130): Elaborated megafunction instantiation "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/asj_nco_as_m_cen.v Line: 65 -Info (12133): Instantiated megafunction "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0" with the following parameter: File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/asj_nco_as_m_cen.v Line: 65 - Info (12134): Parameter "operation_mode" = "ROM" - Info (12134): Parameter "width_a" = "16" - Info (12134): Parameter "widthad_a" = "11" - Info (12134): Parameter "numwords_a" = "2048" - Info (12134): Parameter "width_byteena_a" = "1" - Info (12134): Parameter "outdata_reg_a" = "CLOCK0" - Info (12134): Parameter "outdata_aclr_a" = "NONE" - Info (12134): Parameter "address_aclr_a" = "NONE" - Info (12134): Parameter "read_during_write_mode_mixed_ports" = "DONT_CARE" - Info (12134): Parameter "ram_block_type" = "AUTO" - Info (12134): Parameter "init_file" = "tx_nco_nco_ii_0_cos_f.hex" - Info (12134): Parameter "intended_device_family" = "Cyclone IV E" -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_p8a1.tdf - Info (12023): Found entity 1: altsyncram_p8a1 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_p8a1.tdf Line: 27 -Info (12128): Elaborating entity "altsyncram_p8a1" for hierarchy "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_p8a1:auto_generated" File: c:/intelfpga/18.1/quartus/libraries/megafunctions/altsyncram.tdf Line: 791 -Info (12128): Elaborating entity "asj_nco_madx_cen" for hierarchy "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v Line: 377 -Info (12128): Elaborating entity "asj_nco_mady_cen" for hierarchy "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v Line: 389 -Info (12128): Elaborating entity "asj_nco_derot" for hierarchy "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_derot:ux0136" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v Line: 402 -Info (12128): Elaborating entity "asj_nco_mob_w" for hierarchy "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v Line: 410 -Info (12128): Elaborating entity "lpm_add_sub" for hierarchy "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/asj_nco_mob_w.v Line: 75 -Info (12130): Elaborated megafunction instantiation "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/asj_nco_mob_w.v Line: 75 -Info (12133): Instantiated megafunction "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component" with the following parameter: File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/asj_nco_mob_w.v Line: 75 - Info (12134): Parameter "lpm_width" = "16" - Info (12134): Parameter "lpm_direction" = "ADD" - Info (12134): Parameter "lpm_type" = "LPM_ADD_SUB" - Info (12134): Parameter "lpm_hint" = "ONE_INPUT_IS_CONSTANT=NO" - Info (12134): Parameter "lpm_pipeline" = "1" - Info (12134): Parameter "lpm_representation" = "SIGNED" -Info (12021): Found 1 design units, including 1 entities, in source file db/add_sub_jpk.tdf - Info (12023): Found entity 1: add_sub_jpk File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/add_sub_jpk.tdf Line: 22 -Info (12128): Elaborating entity "add_sub_jpk" for hierarchy "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated" File: c:/intelfpga/18.1/quartus/libraries/megafunctions/lpm_add_sub.tdf Line: 118 -Info (12128): Elaborating entity "asj_nco_isdr" for hierarchy "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v Line: 428 -Info (12128): Elaborating entity "lpm_counter" for hierarchy "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr|lpm_counter:lpm_counter_component" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/asj_nco_isdr.v Line: 59 -Info (12130): Elaborated megafunction instantiation "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr|lpm_counter:lpm_counter_component" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/asj_nco_isdr.v Line: 59 -Info (12133): Instantiated megafunction "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr|lpm_counter:lpm_counter_component" with the following parameter: File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/asj_nco_isdr.v Line: 59 - Info (12134): Parameter "lpm_width" = "4" - Info (12134): Parameter "lpm_type" = "LPM_COUNTER" - Info (12134): Parameter "lpm_direction" = "UP" -Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_asi.tdf - Info (12023): Found entity 1: cntr_asi File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/cntr_asi.tdf Line: 25 -Info (12128): Elaborating entity "cntr_asi" for hierarchy "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr|lpm_counter:lpm_counter_component|cntr_asi:auto_generated" File: c:/intelfpga/18.1/quartus/libraries/megafunctions/lpm_counter.tdf Line: 258 -Info (12128): Elaborating entity "spi_interface" for hierarchy "spi_interface:FLASH" -Info (12128): Elaborating entity "rx_ciccomp" for hierarchy "rx_ciccomp:RX_CICCOMP_I" -Info (12128): Elaborating entity "rx_ciccomp_0002" for hierarchy "rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp.v Line: 28 -Info (12128): Elaborating entity "rx_ciccomp_0002_ast" for hierarchy "rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/rx_ciccomp_0002.vhd Line: 62 -Info (12128): Elaborating entity "auk_dspip_avalon_streaming_sink_hpfir" for hierarchy "rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_sink_hpfir:sink" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/rx_ciccomp_0002_ast.vhd Line: 89 -Info (12128): Elaborating entity "auk_dspip_avalon_streaming_source_hpfir" for hierarchy "rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/rx_ciccomp_0002_ast.vhd Line: 109 -Info (12128): Elaborating entity "auk_dspip_avalon_streaming_controller_hpfir" for hierarchy "rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_controller_hpfir:intf_ctrl" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/rx_ciccomp_0002_ast.vhd Line: 137 -Info (12128): Elaborating entity "rx_ciccomp_0002_rtl_core" for hierarchy "rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/rx_ciccomp_0002_ast.vhd Line: 218 -Info (12128): Elaborating entity "dspba_delay" for hierarchy "rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_memread" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd Line: 159 -Info (12128): Elaborating entity "dspba_delay" for hierarchy "rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd Line: 164 -Info (12128): Elaborating entity "dspba_delay" for hierarchy "rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd Line: 270 -Info (12128): Elaborating entity "dspba_delay" for hierarchy "rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd Line: 275 -Info (12128): Elaborating entity "auk_dspip_roundsat_hpfir" for hierarchy "rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_roundsat_hpfir:\real_passthrough:gen_outp_blk:0:outp_blk" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/rx_ciccomp_0002_ast.vhd Line: 244 -Info (12128): Elaborating entity "data_shifter" for hierarchy "data_shifter:CIC_GAINER" -Warning (10230): Verilog HDL assignment warning at data_shifter.v(18): truncated value with size 32 to match size of target (1) File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/data_shifter.v Line: 18 -Warning (10230): Verilog HDL assignment warning at data_shifter.v(19): truncated value with size 32 to match size of target (1) File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/data_shifter.v Line: 19 -Warning (10230): Verilog HDL assignment warning at data_shifter.v(20): truncated value with size 32 to match size of target (16) File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/data_shifter.v Line: 20 -Warning (10230): Verilog HDL assignment warning at data_shifter.v(21): truncated value with size 32 to match size of target (16) File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/data_shifter.v Line: 21 -Info (12128): Elaborating entity "rx_cic" for hierarchy "rx_cic:RX_CIC_I" -Info (12128): Elaborating entity "rx_cic_cic_ii_0" for hierarchy "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/rx_cic.v Line: 32 -Info (12128): Elaborating entity "alt_cic_core" for hierarchy "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv Line: 213 -Info (12128): Elaborating entity "auk_dspip_avalon_streaming_sink" for hierarchy "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv Line: 326 -Info (12128): Elaborating entity "scfifo" for hierarchy "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd Line: 123 -Info (12130): Elaborated megafunction instantiation "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd Line: 123 -Info (12133): Instantiated megafunction "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO" with the following parameter: File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd Line: 123 - Info (12134): Parameter "add_ram_output_register" = "ON" - Info (12134): Parameter "allow_rwcycle_when_full" = "OFF" - Info (12134): Parameter "almost_empty_value" = "4" - Info (12134): Parameter "almost_full_value" = "0" - Info (12134): Parameter "lpm_numwords" = "8" - Info (12134): Parameter "lpm_showahead" = "OFF" - Info (12134): Parameter "lpm_width" = "25" - Info (12134): Parameter "lpm_widthu" = "3" - Info (12134): Parameter "overflow_checking" = "ON" - Info (12134): Parameter "underflow_checking" = "ON" - Info (12134): Parameter "use_eab" = "ON" - Info (12134): Parameter "lpm_hint" = "UNUSED" - Info (12134): Parameter "lpm_type" = "scfifo" -Info (12021): Found 1 design units, including 1 entities, in source file db/scfifo_ef71.tdf - Info (12023): Found entity 1: scfifo_ef71 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/scfifo_ef71.tdf Line: 24 -Info (12128): Elaborating entity "scfifo_ef71" for hierarchy "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated" File: c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf Line: 299 -Info (12021): Found 1 design units, including 1 entities, in source file db/a_dpfifo_vkv.tdf - Info (12023): Found entity 1: a_dpfifo_vkv File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/a_dpfifo_vkv.tdf Line: 32 -Info (12128): Elaborating entity "a_dpfifo_vkv" for hierarchy "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/scfifo_ef71.tdf Line: 36 -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_h7h1.tdf - Info (12023): Found entity 1: altsyncram_h7h1 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_h7h1.tdf Line: 27 -Info (12128): Elaborating entity "altsyncram_h7h1" for hierarchy "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/a_dpfifo_vkv.tdf Line: 44 -Info (12128): Elaborating entity "auk_dspip_avalon_streaming_source" for hierarchy "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv Line: 358 -Info (12128): Elaborating entity "scfifo" for hierarchy "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd Line: 116 -Info (12130): Elaborated megafunction instantiation "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd Line: 116 -Info (12133): Instantiated megafunction "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO" with the following parameter: File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd Line: 116 - Info (12134): Parameter "add_ram_output_register" = "ON" - Info (12134): Parameter "allow_rwcycle_when_full" = "OFF" - Info (12134): Parameter "almost_empty_value" = "0" - Info (12134): Parameter "almost_full_value" = "13" - Info (12134): Parameter "lpm_numwords" = "21" - Info (12134): Parameter "lpm_showahead" = "OFF" - Info (12134): Parameter "lpm_width" = "87" - Info (12134): Parameter "lpm_widthu" = "5" - Info (12134): Parameter "overflow_checking" = "ON" - Info (12134): Parameter "underflow_checking" = "ON" - Info (12134): Parameter "use_eab" = "ON" - Info (12134): Parameter "lpm_hint" = "UNUSED" - Info (12134): Parameter "lpm_type" = "scfifo" -Info (12021): Found 1 design units, including 1 entities, in source file db/scfifo_ji71.tdf - Info (12023): Found entity 1: scfifo_ji71 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/scfifo_ji71.tdf Line: 24 -Info (12128): Elaborating entity "scfifo_ji71" for hierarchy "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated" File: c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf Line: 299 -Info (12021): Found 1 design units, including 1 entities, in source file db/a_dpfifo_gqv.tdf - Info (12023): Found entity 1: a_dpfifo_gqv File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/a_dpfifo_gqv.tdf Line: 32 -Info (12128): Elaborating entity "a_dpfifo_gqv" for hierarchy "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/scfifo_ji71.tdf Line: 36 -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_vah1.tdf - Info (12023): Found entity 1: altsyncram_vah1 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_vah1.tdf Line: 27 -Info (12128): Elaborating entity "altsyncram_vah1" for hierarchy "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/a_dpfifo_gqv.tdf Line: 44 -Info (12128): Elaborating entity "auk_dspip_avalon_streaming_controller" for hierarchy "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv Line: 408 -Info (12128): Elaborating entity "auk_dspip_avalon_streaming_small_fifo" for hierarchy "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd Line: 196 -Info (12128): Elaborating entity "alt_cic_dec_siso" for hierarchy "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv Line: 475 -Info (12128): Elaborating entity "auk_dspip_integrator" for hierarchy "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv Line: 275 -Info (12128): Elaborating entity "auk_dspip_delay" for hierarchy "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_integrator.vhd Line: 97 -Info (12128): Elaborating entity "auk_dspip_downsample" for hierarchy "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv Line: 330 -Info (12128): Elaborating entity "counter_module" for hierarchy "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_downsample.sv Line: 50 -Info (12128): Elaborating entity "counter_module" for hierarchy "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_ch_inst" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_downsample.sv Line: 79 -Info (12128): Elaborating entity "auk_dspip_channel_buffer" for hierarchy "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv Line: 367 -Info (12128): Elaborating entity "scfifo" for hierarchy "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd Line: 89 -Info (12130): Elaborated megafunction instantiation "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd Line: 89 -Info (12133): Instantiated megafunction "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO" with the following parameter: File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd Line: 89 - Info (12134): Parameter "add_ram_output_register" = "ON" - Info (12134): Parameter "allow_rwcycle_when_full" = "OFF" - Info (12134): Parameter "almost_empty_value" = "0" - Info (12134): Parameter "almost_full_value" = "0" - Info (12134): Parameter "lpm_numwords" = "3" - Info (12134): Parameter "lpm_showahead" = "OFF" - Info (12134): Parameter "lpm_width" = "86" - Info (12134): Parameter "lpm_widthu" = "2" - Info (12134): Parameter "overflow_checking" = "ON" - Info (12134): Parameter "underflow_checking" = "ON" - Info (12134): Parameter "use_eab" = "ON" - Info (12134): Parameter "lpm_hint" = "UNUSED" - Info (12134): Parameter "lpm_type" = "scfifo" -Info (12021): Found 1 design units, including 1 entities, in source file db/scfifo_qm51.tdf - Info (12023): Found entity 1: scfifo_qm51 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/scfifo_qm51.tdf Line: 24 -Info (12128): Elaborating entity "scfifo_qm51" for hierarchy "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated" File: c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf Line: 299 -Info (12021): Found 1 design units, including 1 entities, in source file db/a_dpfifo_5ku.tdf - Info (12023): Found entity 1: a_dpfifo_5ku File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/a_dpfifo_5ku.tdf Line: 32 -Info (12128): Elaborating entity "a_dpfifo_5ku" for hierarchy "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/scfifo_qm51.tdf Line: 34 -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_m7h1.tdf - Info (12023): Found entity 1: altsyncram_m7h1 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 27 -Info (12128): Elaborating entity "altsyncram_m7h1" for hierarchy "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/a_dpfifo_5ku.tdf Line: 42 -Info (12021): Found 1 design units, including 1 entities, in source file db/cmpr_fs8.tdf - Info (12023): Found entity 1: cmpr_fs8 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/cmpr_fs8.tdf Line: 22 -Info (12128): Elaborating entity "cmpr_fs8" for hierarchy "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cmpr_fs8:almost_full_comparer" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/a_dpfifo_5ku.tdf Line: 50 -Info (12128): Elaborating entity "cmpr_fs8" for hierarchy "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cmpr_fs8:two_comparison" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/a_dpfifo_5ku.tdf Line: 51 -Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_q9b.tdf - Info (12023): Found entity 1: cntr_q9b File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/cntr_q9b.tdf Line: 25 -Info (12128): Elaborating entity "cntr_q9b" for hierarchy "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_q9b:rd_ptr_msb" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/a_dpfifo_5ku.tdf Line: 52 -Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_7a7.tdf - Info (12023): Found entity 1: cntr_7a7 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/cntr_7a7.tdf Line: 25 -Info (12128): Elaborating entity "cntr_7a7" for hierarchy "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_7a7:usedw_counter" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/a_dpfifo_5ku.tdf Line: 53 -Info (12128): Elaborating entity "counter_module" for hierarchy "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv Line: 419 -Info (12128): Elaborating entity "counter_module" for hierarchy "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:channel_out_int_inst" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv Line: 432 -Info (12128): Elaborating entity "auk_dspip_differentiator" for hierarchy "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv Line: 658 -Info (12128): Elaborating entity "nco" for hierarchy "nco:RX_NCO" -Info (12128): Elaborating entity "nco_nco_ii_0" for hierarchy "nco:RX_NCO|nco_nco_ii_0:nco_ii_0" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/nco.v Line: 24 -Info (12128): Elaborating entity "asj_altqmcpipe" for hierarchy "nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/nco_nco_ii_0.v Line: 304 -Info (12128): Elaborating entity "lpm_add_sub" for hierarchy "nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/asj_altqmcpipe.v Line: 63 -Info (12130): Elaborated megafunction instantiation "nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/asj_altqmcpipe.v Line: 63 -Info (12133): Instantiated megafunction "nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc" with the following parameter: File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/asj_altqmcpipe.v Line: 63 - Info (12134): Parameter "lpm_direction" = "ADD" - Info (12134): Parameter "lpm_width" = "22" - Info (12134): Parameter "lpm_pipeline" = "1" - Info (12134): Parameter "lpm_representation" = "UNSIGNED" -Info (12128): Elaborating entity "asj_gam_dp" for hierarchy "nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/nco_nco_ii_0.v Line: 326 -Info (12128): Elaborating entity "asj_nco_as_m_dp_cen" for hierarchy "nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/nco_nco_ii_0.v Line: 338 -Info (12128): Elaborating entity "altsyncram" for hierarchy "nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/asj_nco_as_m_dp_cen.v Line: 109 -Info (12130): Elaborated megafunction instantiation "nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/asj_nco_as_m_dp_cen.v Line: 109 -Info (12133): Instantiated megafunction "nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component" with the following parameter: File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/asj_nco_as_m_dp_cen.v Line: 109 - Info (12134): Parameter "operation_mode" = "BIDIR_DUAL_PORT" - Info (12134): Parameter "width_a" = "12" - Info (12134): Parameter "widthad_a" = "11" - Info (12134): Parameter "numwords_a" = "2048" - Info (12134): Parameter "width_b" = "12" - Info (12134): Parameter "widthad_b" = "11" - Info (12134): Parameter "numwords_b" = "2048" - Info (12134): Parameter "lpm_type" = "altsyncram" - Info (12134): Parameter "width_byteena_a" = "1" - Info (12134): Parameter "width_byteena_b" = "1" - Info (12134): Parameter "outdata_reg_a" = "CLOCK0" - Info (12134): Parameter "outdata_aclr_a" = "NONE" - Info (12134): Parameter "outdata_reg_b" = "CLOCK0" - Info (12134): Parameter "indata_aclr_a" = "NONE" - Info (12134): Parameter "wrcontrol_aclr_a" = "NONE" - Info (12134): Parameter "address_aclr_a" = "NONE" - Info (12134): Parameter "indata_reg_b" = "CLOCK0" - Info (12134): Parameter "address_reg_b" = "CLOCK0" - Info (12134): Parameter "wrcontrol_wraddress_reg_b" = "CLOCK0" - Info (12134): Parameter "indata_aclr_b" = "NONE" - Info (12134): Parameter "wrcontrol_aclr_b" = "NONE" - Info (12134): Parameter "address_aclr_b" = "NONE" - Info (12134): Parameter "outdata_aclr_b" = "NONE" - Info (12134): Parameter "read_during_write_mode_mixed_ports" = "DONT_CARE" - Info (12134): Parameter "ram_block_type" = "AUTO" - Info (12134): Parameter "init_file" = "nco_nco_ii_0_sin_c.hex" - Info (12134): Parameter "intended_device_family" = "Cyclone IV E" -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_h982.tdf - Info (12023): Found entity 1: altsyncram_h982 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_h982.tdf Line: 27 -Info (12128): Elaborating entity "altsyncram_h982" for hierarchy "nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_h982:auto_generated" File: c:/intelfpga/18.1/quartus/libraries/megafunctions/altsyncram.tdf Line: 791 -Info (12128): Elaborating entity "asj_nco_as_m_cen" for hierarchy "nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/nco_nco_ii_0.v Line: 350 -Info (12128): Elaborating entity "altsyncram" for hierarchy "nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/asj_nco_as_m_cen.v Line: 65 -Info (12130): Elaborated megafunction instantiation "nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/asj_nco_as_m_cen.v Line: 65 -Info (12133): Instantiated megafunction "nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0" with the following parameter: File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/asj_nco_as_m_cen.v Line: 65 - Info (12134): Parameter "operation_mode" = "ROM" - Info (12134): Parameter "width_a" = "12" - Info (12134): Parameter "widthad_a" = "11" - Info (12134): Parameter "numwords_a" = "2048" - Info (12134): Parameter "width_byteena_a" = "1" - Info (12134): Parameter "outdata_reg_a" = "CLOCK0" - Info (12134): Parameter "outdata_aclr_a" = "NONE" - Info (12134): Parameter "address_aclr_a" = "NONE" - Info (12134): Parameter "read_during_write_mode_mixed_ports" = "DONT_CARE" - Info (12134): Parameter "ram_block_type" = "AUTO" - Info (12134): Parameter "init_file" = "nco_nco_ii_0_sin_f.hex" - Info (12134): Parameter "intended_device_family" = "Cyclone IV E" -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_fu91.tdf - Info (12023): Found entity 1: altsyncram_fu91 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_fu91.tdf Line: 27 -Info (12128): Elaborating entity "altsyncram_fu91" for hierarchy "nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_fu91:auto_generated" File: c:/intelfpga/18.1/quartus/libraries/megafunctions/altsyncram.tdf Line: 791 -Info (12128): Elaborating entity "asj_nco_as_m_cen" for hierarchy "nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/nco_nco_ii_0.v Line: 362 -Info (12128): Elaborating entity "altsyncram" for hierarchy "nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/asj_nco_as_m_cen.v Line: 65 -Info (12130): Elaborated megafunction instantiation "nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/asj_nco_as_m_cen.v Line: 65 -Info (12133): Instantiated megafunction "nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0" with the following parameter: File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/asj_nco_as_m_cen.v Line: 65 - Info (12134): Parameter "operation_mode" = "ROM" - Info (12134): Parameter "width_a" = "12" - Info (12134): Parameter "widthad_a" = "11" - Info (12134): Parameter "numwords_a" = "2048" - Info (12134): Parameter "width_byteena_a" = "1" - Info (12134): Parameter "outdata_reg_a" = "CLOCK0" - Info (12134): Parameter "outdata_aclr_a" = "NONE" - Info (12134): Parameter "address_aclr_a" = "NONE" - Info (12134): Parameter "read_during_write_mode_mixed_ports" = "DONT_CARE" - Info (12134): Parameter "ram_block_type" = "AUTO" - Info (12134): Parameter "init_file" = "nco_nco_ii_0_cos_f.hex" - Info (12134): Parameter "intended_device_family" = "Cyclone IV E" -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_au91.tdf - Info (12023): Found entity 1: altsyncram_au91 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_au91.tdf Line: 27 -Info (12128): Elaborating entity "altsyncram_au91" for hierarchy "nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_au91:auto_generated" File: c:/intelfpga/18.1/quartus/libraries/megafunctions/altsyncram.tdf Line: 791 -Info (12128): Elaborating entity "asj_nco_madx_cen" for hierarchy "nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/nco_nco_ii_0.v Line: 377 -Info (12128): Elaborating entity "asj_nco_mady_cen" for hierarchy "nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/nco_nco_ii_0.v Line: 389 -Info (12128): Elaborating entity "asj_nco_derot" for hierarchy "nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_derot:ux0136" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/nco_nco_ii_0.v Line: 402 -Info (12128): Elaborating entity "asj_nco_mob_w" for hierarchy "nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/nco_nco_ii_0.v Line: 410 -Info (12128): Elaborating entity "lpm_add_sub" for hierarchy "nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/asj_nco_mob_w.v Line: 75 -Info (12130): Elaborated megafunction instantiation "nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/asj_nco_mob_w.v Line: 75 -Info (12133): Instantiated megafunction "nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component" with the following parameter: File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/asj_nco_mob_w.v Line: 75 - Info (12134): Parameter "lpm_width" = "12" - Info (12134): Parameter "lpm_direction" = "ADD" - Info (12134): Parameter "lpm_type" = "LPM_ADD_SUB" - Info (12134): Parameter "lpm_hint" = "ONE_INPUT_IS_CONSTANT=NO" - Info (12134): Parameter "lpm_pipeline" = "1" - Info (12134): Parameter "lpm_representation" = "SIGNED" -Info (12021): Found 1 design units, including 1 entities, in source file db/add_sub_fpk.tdf - Info (12023): Found entity 1: add_sub_fpk File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/add_sub_fpk.tdf Line: 22 -Info (12128): Elaborating entity "add_sub_fpk" for hierarchy "nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated" File: c:/intelfpga/18.1/quartus/libraries/megafunctions/lpm_add_sub.tdf Line: 118 -Info (12128): Elaborating entity "asj_nco_isdr" for hierarchy "nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/nco_nco_ii_0.v Line: 428 -Info (12128): Elaborating entity "lpm_counter" for hierarchy "nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr|lpm_counter:lpm_counter_component" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/asj_nco_isdr.v Line: 59 -Info (12130): Elaborated megafunction instantiation "nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr|lpm_counter:lpm_counter_component" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/asj_nco_isdr.v Line: 59 -Info (12133): Instantiated megafunction "nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr|lpm_counter:lpm_counter_component" with the following parameter: File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/asj_nco_isdr.v Line: 59 - Info (12134): Parameter "lpm_width" = "4" - Info (12134): Parameter "lpm_type" = "LPM_COUNTER" - Info (12134): Parameter "lpm_direction" = "UP" -Info (12128): Elaborating entity "mixer" for hierarchy "mixer:RX_MIXER_I" -Info (12128): Elaborating entity "lpm_mult" for hierarchy "mixer:RX_MIXER_I|lpm_mult:lpm_mult_component" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/mixer.v Line: 63 -Info (12130): Elaborated megafunction instantiation "mixer:RX_MIXER_I|lpm_mult:lpm_mult_component" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/mixer.v Line: 63 -Info (12133): Instantiated megafunction "mixer:RX_MIXER_I|lpm_mult:lpm_mult_component" with the following parameter: File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/mixer.v Line: 63 - Info (12134): Parameter "lpm_hint" = "MAXIMIZE_SPEED=5" - Info (12134): Parameter "lpm_pipeline" = "1" - Info (12134): Parameter "lpm_representation" = "SIGNED" - Info (12134): Parameter "lpm_type" = "LPM_MULT" - Info (12134): Parameter "lpm_widtha" = "12" - Info (12134): Parameter "lpm_widthb" = "12" - Info (12134): Parameter "lpm_widthp" = "24" -Info (12021): Found 1 design units, including 1 entities, in source file db/mult_jnp.tdf - Info (12023): Found entity 1: mult_jnp File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/mult_jnp.tdf Line: 28 -Info (12128): Elaborating entity "mult_jnp" for hierarchy "mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated" File: c:/intelfpga/18.1/quartus/libraries/megafunctions/lpm_mult.tdf Line: 376 -Info (12128): Elaborating entity "MAIN_PLL" for hierarchy "MAIN_PLL:MAIN_PLL" -Info (12128): Elaborating entity "altpll" for hierarchy "MAIN_PLL:MAIN_PLL|altpll:altpll_component" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/MAIN_PLL.v Line: 94 -Info (12130): Elaborated megafunction instantiation "MAIN_PLL:MAIN_PLL|altpll:altpll_component" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/MAIN_PLL.v Line: 94 -Info (12133): Instantiated megafunction "MAIN_PLL:MAIN_PLL|altpll:altpll_component" with the following parameter: File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/MAIN_PLL.v Line: 94 - Info (12134): Parameter "bandwidth_type" = "AUTO" - Info (12134): Parameter "clk0_divide_by" = "335" - Info (12134): Parameter "clk0_duty_cycle" = "50" - Info (12134): Parameter "clk0_multiply_by" = "64" - Info (12134): Parameter "clk0_phase_shift" = "0" - Info (12134): Parameter "clk1_divide_by" = "1340" - Info (12134): Parameter "clk1_duty_cycle" = "50" - Info (12134): Parameter "clk1_multiply_by" = "1" - Info (12134): Parameter "clk1_phase_shift" = "0" - Info (12134): Parameter "compensate_clock" = "CLK0" - Info (12134): Parameter "inclk0_input_frequency" = "15547" - Info (12134): Parameter "intended_device_family" = "Cyclone IV E" - Info (12134): Parameter "lpm_hint" = "CBX_MODULE_PREFIX=MAIN_PLL" - Info (12134): Parameter "lpm_type" = "altpll" - Info (12134): Parameter "operation_mode" = "NORMAL" - Info (12134): Parameter "pll_type" = "AUTO" - Info (12134): Parameter "port_activeclock" = "PORT_UNUSED" - Info (12134): Parameter "port_areset" = "PORT_UNUSED" - Info (12134): Parameter "port_clkbad0" = "PORT_UNUSED" - Info (12134): Parameter "port_clkbad1" = "PORT_UNUSED" - Info (12134): Parameter "port_clkloss" = "PORT_UNUSED" - Info (12134): Parameter "port_clkswitch" = "PORT_UNUSED" - Info (12134): Parameter "port_configupdate" = "PORT_UNUSED" - Info (12134): Parameter "port_fbin" = "PORT_UNUSED" - Info (12134): Parameter "port_inclk0" = "PORT_USED" - Info (12134): Parameter "port_inclk1" = "PORT_UNUSED" - Info (12134): Parameter "port_locked" = "PORT_UNUSED" - Info (12134): Parameter "port_pfdena" = "PORT_UNUSED" - Info (12134): Parameter "port_phasecounterselect" = "PORT_UNUSED" - Info (12134): Parameter "port_phasedone" = "PORT_UNUSED" - Info (12134): Parameter "port_phasestep" = "PORT_UNUSED" - Info (12134): Parameter "port_phaseupdown" = "PORT_UNUSED" - Info (12134): Parameter "port_pllena" = "PORT_UNUSED" - Info (12134): Parameter "port_scanaclr" = "PORT_UNUSED" - Info (12134): Parameter "port_scanclk" = "PORT_UNUSED" - Info (12134): Parameter "port_scanclkena" = "PORT_UNUSED" - Info (12134): Parameter "port_scandata" = "PORT_UNUSED" - Info (12134): Parameter "port_scandataout" = "PORT_UNUSED" - Info (12134): Parameter "port_scandone" = "PORT_UNUSED" - Info (12134): Parameter "port_scanread" = "PORT_UNUSED" - Info (12134): Parameter "port_scanwrite" = "PORT_UNUSED" - Info (12134): Parameter "port_clk0" = "PORT_USED" - Info (12134): Parameter "port_clk1" = "PORT_USED" - Info (12134): Parameter "port_clk2" = "PORT_UNUSED" - Info (12134): Parameter "port_clk3" = "PORT_UNUSED" - Info (12134): Parameter "port_clk4" = "PORT_UNUSED" - Info (12134): Parameter "port_clk5" = "PORT_UNUSED" - Info (12134): Parameter "port_clkena0" = "PORT_UNUSED" - Info (12134): Parameter "port_clkena1" = "PORT_UNUSED" - Info (12134): Parameter "port_clkena2" = "PORT_UNUSED" - Info (12134): Parameter "port_clkena3" = "PORT_UNUSED" - Info (12134): Parameter "port_clkena4" = "PORT_UNUSED" - Info (12134): Parameter "port_clkena5" = "PORT_UNUSED" - Info (12134): Parameter "port_extclk0" = "PORT_UNUSED" - Info (12134): Parameter "port_extclk1" = "PORT_UNUSED" - Info (12134): Parameter "port_extclk2" = "PORT_UNUSED" - Info (12134): Parameter "port_extclk3" = "PORT_UNUSED" - Info (12134): Parameter "width_clock" = "5" -Info (12021): Found 1 design units, including 1 entities, in source file db/main_pll_altpll.v - Info (12023): Found entity 1: MAIN_PLL_altpll File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/main_pll_altpll.v Line: 29 -Info (12128): Elaborating entity "MAIN_PLL_altpll" for hierarchy "MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated" File: c:/intelfpga/18.1/quartus/libraries/megafunctions/altpll.tdf Line: 897 -Info (12128): Elaborating entity "mux14" for hierarchy "mux14:DAC_MUX" -Info (12128): Elaborating entity "lpm_mux" for hierarchy "mux14:DAC_MUX|lpm_mux:LPM_MUX_component" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/mux14.v Line: 68 -Info (12130): Elaborated megafunction instantiation "mux14:DAC_MUX|lpm_mux:LPM_MUX_component" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/mux14.v Line: 68 -Info (12133): Instantiated megafunction "mux14:DAC_MUX|lpm_mux:LPM_MUX_component" with the following parameter: File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/mux14.v Line: 68 - Info (12134): Parameter "lpm_size" = "2" - Info (12134): Parameter "lpm_type" = "LPM_MUX" - Info (12134): Parameter "lpm_width" = "14" - Info (12134): Parameter "lpm_widths" = "1" -Info (12021): Found 1 design units, including 1 entities, in source file db/mux_rsc.tdf - Info (12023): Found entity 1: mux_rsc File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/mux_rsc.tdf Line: 22 -Info (12128): Elaborating entity "mux_rsc" for hierarchy "mux14:DAC_MUX|lpm_mux:LPM_MUX_component|mux_rsc:auto_generated" File: c:/intelfpga/18.1/quartus/libraries/megafunctions/lpm_mux.tdf Line: 86 -Info (12128): Elaborating entity "dac_null" for hierarchy "dac_null:DAC_IDLE" -Info (12128): Elaborating entity "lpm_constant" for hierarchy "dac_null:DAC_IDLE|lpm_constant:LPM_CONSTANT_component" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/dac_null.v Line: 48 -Info (12130): Elaborated megafunction instantiation "dac_null:DAC_IDLE|lpm_constant:LPM_CONSTANT_component" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/dac_null.v Line: 48 -Info (12133): Instantiated megafunction "dac_null:DAC_IDLE|lpm_constant:LPM_CONSTANT_component" with the following parameter: File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/dac_null.v Line: 48 - Info (12134): Parameter "lpm_cvalue" = "8192" - Info (12134): Parameter "lpm_hint" = "ENABLE_RUNTIME_MOD=NO" - Info (12134): Parameter "lpm_type" = "LPM_CONSTANT" - Info (12134): Parameter "lpm_width" = "14" -Info (12128): Elaborating entity "DAC_corrector" for hierarchy "DAC_corrector:DAC_CORRECTOR" -Info (12128): Elaborating entity "DEBUG" for hierarchy "DEBUG:DBG_ADC" -Info (12128): Elaborating entity "altsource_probe_top" for hierarchy "DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/debug/debug.v Line: 19 -Info (12128): Elaborating entity "altsource_probe" for hierarchy "DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/debug/submodules/altsource_probe_top.v Line: 55 -Info (12130): Elaborated megafunction instantiation "DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/debug/submodules/altsource_probe_top.v Line: 55 -Info (12133): Instantiated megafunction "DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl" with the following parameter: File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/debug/submodules/altsource_probe_top.v Line: 55 - Info (12134): Parameter "lpm_type" = "altsource_probe" - Info (12134): Parameter "lpm_hint" = "UNUSED" - Info (12134): Parameter "sld_auto_instance_index" = "YES" - Info (12134): Parameter "sld_instance_index" = "0" - Info (12134): Parameter "SLD_NODE_INFO" = "4746752" - Info (12134): Parameter "sld_ir_width" = "4" - Info (12134): Parameter "instance_id" = "ADC" - Info (12134): Parameter "probe_width" = "12" - Info (12134): Parameter "source_width" = "0" - Info (12134): Parameter "source_initial_value" = "0" - Info (12134): Parameter "enable_metastability" = "NO" -Info (12128): Elaborating entity "sld_jtag_endpoint_adapter" for hierarchy "DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|sld_jtag_endpoint_adapter:jtag_signal_adapter" File: c:/intelfpga/18.1/quartus/libraries/megafunctions/altsource_probe.v Line: 168 -Info (12128): Elaborating entity "sld_jtag_endpoint_adapter_impl" for hierarchy "DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|sld_jtag_endpoint_adapter:jtag_signal_adapter|sld_jtag_endpoint_adapter_impl:sld_jtag_endpoint_adapter_impl_inst" File: c:/intelfpga/18.1/quartus/libraries/megafunctions/sld_jtag_endpoint_adapter.vhd Line: 232 -Info (12128): Elaborating entity "altsource_probe_body" for hierarchy "DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst" File: c:/intelfpga/18.1/quartus/libraries/megafunctions/altsource_probe.v Line: 280 -Info (12128): Elaborating entity "altsource_probe_impl" for hierarchy "DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst" File: c:/intelfpga/18.1/quartus/libraries/megafunctions/altsource_probe_body.vhd Line: 507 -Info (12128): Elaborating entity "sld_rom_sr" for hierarchy "DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst" File: c:/intelfpga/18.1/quartus/libraries/megafunctions/altsource_probe_body.vhd Line: 755 -Info (11170): Starting IP generation for the debug fabric: alt_sld_fab. -Info (11172): 2021.01.07.19:19:16 Progress: Loading sld0b974a4e/alt_sld_fab_wrapper_hw.tcl -Info (11172): Alt_sld_fab.alt_sld_fab: SLD fabric agents which did not specify prefer_host were connected to JTAG -Info (11172): Alt_sld_fab: Generating alt_sld_fab "alt_sld_fab" for QUARTUS_SYNTH -Info (11172): Alt_sld_fab: "alt_sld_fab" instantiated alt_sld_fab "alt_sld_fab" -Info (11172): Presplit: "alt_sld_fab" instantiated altera_super_splitter "presplit" -Info (11172): Splitter: "alt_sld_fab" instantiated altera_sld_splitter "splitter" -Info (11172): Sldfabric: "alt_sld_fab" instantiated altera_sld_jtag_hub "sldfabric" -Info (11172): Ident: "alt_sld_fab" instantiated altera_connection_identification_hub "ident" -Info (11172): Alt_sld_fab: Done "alt_sld_fab" with 6 modules, 6 files -Info (11171): Finished IP generation for the debug fabric: alt_sld_fab. -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/sld0b974a4e/alt_sld_fab.v - Info (12023): Found entity 1: alt_sld_fab File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/sld0b974a4e/alt_sld_fab.v Line: 9 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab.v - Info (12023): Found entity 1: alt_sld_fab_alt_sld_fab File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab.v Line: 9 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_ident.sv - Info (12023): Found entity 1: alt_sld_fab_alt_sld_fab_ident File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_ident.sv Line: 33 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_presplit.sv - Info (12023): Found entity 1: alt_sld_fab_alt_sld_fab_presplit File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_presplit.sv Line: 3 -Info (12021): Found 2 design units, including 1 entities, in source file db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_sldfabric.vhd - Info (12022): Found design unit 1: alt_sld_fab_alt_sld_fab_sldfabric-rtl File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_sldfabric.vhd Line: 102 - Info (12023): Found entity 1: alt_sld_fab_alt_sld_fab_sldfabric File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_sldfabric.vhd Line: 11 -Info (12021): Found 1 design units, including 1 entities, in source file db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_splitter.sv - Info (12023): Found entity 1: alt_sld_fab_alt_sld_fab_splitter File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_splitter.sv Line: 3 -Info (12205): 1 design partition requires Analysis and Synthesis - Info (12211): Partition "Top" requires synthesis because there were changes to its dependent source files -Info (12207): 1 design partition does not require synthesis - Info (12229): Partition "sld_hub:auto_hub" does not require synthesis because there were no relevant design changes -Info (278001): Inferred 8 megafunctions from design logic - Info (278003): Inferred multiplier megafunction ("lpm_mult") from the following logic: "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|Mult1" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/asj_nco_madx_cen.v Line: 51 - Info (278003): Inferred multiplier megafunction ("lpm_mult") from the following logic: "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|Mult0" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/asj_nco_madx_cen.v Line: 50 - Info (278003): Inferred multiplier megafunction ("lpm_mult") from the following logic: "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|Mult1" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/asj_nco_mady_cen.v Line: 52 - Info (278003): Inferred multiplier megafunction ("lpm_mult") from the following logic: "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|Mult0" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/asj_nco_mady_cen.v Line: 51 - Info (278003): Inferred multiplier megafunction ("lpm_mult") from the following logic: "nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|Mult1" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/asj_nco_madx_cen.v Line: 51 - Info (278003): Inferred multiplier megafunction ("lpm_mult") from the following logic: "nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|Mult0" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/asj_nco_madx_cen.v Line: 50 - Info (278003): Inferred multiplier megafunction ("lpm_mult") from the following logic: "nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|Mult1" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/asj_nco_mady_cen.v Line: 52 - Info (278003): Inferred multiplier megafunction ("lpm_mult") from the following logic: "nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|Mult0" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/asj_nco_mady_cen.v Line: 51 -Info (12130): Elaborated megafunction instantiation "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/asj_nco_madx_cen.v Line: 51 -Info (12133): Instantiated megafunction "tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1" with the following parameter: File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_nco/submodules/asj_nco_madx_cen.v Line: 51 - Info (12134): Parameter "LPM_WIDTHA" = "16" - Info (12134): Parameter "LPM_WIDTHB" = "16" - Info (12134): Parameter "LPM_WIDTHP" = "32" - Info (12134): Parameter "LPM_WIDTHR" = "32" - Info (12134): Parameter "LPM_WIDTHS" = "1" - Info (12134): Parameter "LPM_REPRESENTATION" = "SIGNED" - Info (12134): Parameter "INPUT_A_IS_CONSTANT" = "NO" - Info (12134): Parameter "INPUT_B_IS_CONSTANT" = "NO" - Info (12134): Parameter "MAXIMIZE_SPEED" = "5" -Info (12021): Found 1 design units, including 1 entities, in source file db/mult_36t.tdf - Info (12023): Found entity 1: mult_36t File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/mult_36t.tdf Line: 28 -Info (12130): Elaborated megafunction instantiation "nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/asj_nco_madx_cen.v Line: 51 -Info (12133): Instantiated megafunction "nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1" with the following parameter: File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/nco/submodules/asj_nco_madx_cen.v Line: 51 - Info (12134): Parameter "LPM_WIDTHA" = "12" - Info (12134): Parameter "LPM_WIDTHB" = "12" - Info (12134): Parameter "LPM_WIDTHP" = "24" - Info (12134): Parameter "LPM_WIDTHR" = "24" - Info (12134): Parameter "LPM_WIDTHS" = "1" - Info (12134): Parameter "LPM_REPRESENTATION" = "SIGNED" - Info (12134): Parameter "INPUT_A_IS_CONSTANT" = "NO" - Info (12134): Parameter "INPUT_B_IS_CONSTANT" = "NO" - Info (12134): Parameter "MAXIMIZE_SPEED" = "6" -Info (12021): Found 1 design units, including 1 entities, in source file db/mult_t5t.tdf - Info (12023): Found entity 1: mult_t5t File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/mult_t5t.tdf Line: 28 -Info (270023): Converted the following 2 logical RAM block slices to logic cells - Info (270022): Converted the following logical RAM block "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ALTSYNCRAM" slices to logic cells - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a10" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 359 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a9" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 327 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a8" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 295 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a11" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 391 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a5" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 199 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a6" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 231 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a4" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 167 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a7" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 263 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a2" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 103 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a1" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 71 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a0" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 39 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a3" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 135 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a13" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 455 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a14" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 487 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a12" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 423 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a15" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 519 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a21" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 711 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a22" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 743 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a20" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 679 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a23" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 775 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a26" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 871 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a25" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 839 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a24" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 807 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a27" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 903 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a18" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 615 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a17" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 583 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a16" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 551 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a19" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 647 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a29" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 967 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a30" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 999 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a28" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 935 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a31" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1031 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a42" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1383 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a41" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1351 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a40" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1319 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a43" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1415 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a37" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1223 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a38" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1255 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a36" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1191 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a39" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1287 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a34" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1127 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a33" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1095 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a32" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1063 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a35" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1159 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a45" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1479 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a46" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1511 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a44" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1447 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a47" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1543 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a53" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1735 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a54" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1767 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a52" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1703 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a55" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1799 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a58" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1895 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a57" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1863 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a56" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1831 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a59" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1927 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a50" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1639 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a49" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1607 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a48" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1575 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a51" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1671 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a61" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1991 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a62" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2023 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a60" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1959 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a63" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2055 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a74" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2407 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a73" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2375 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a72" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2343 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a75" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2439 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a69" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2247 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a70" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2279 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a68" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2215 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a71" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2311 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a66" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2151 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a65" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2119 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a64" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2087 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a67" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2183 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a77" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2503 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a78" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2535 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a76" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2471 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a79" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2567 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a82" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2663 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a81" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2631 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a80" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2599 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a83" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2695 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a84" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2727 - Info (270019): RAM block slice "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a85" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2759 - Info (270022): Converted the following logical RAM block "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ALTSYNCRAM" slices to logic cells - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a5" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 199 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a6" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 231 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a4" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 167 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a7" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 263 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a10" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 359 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a9" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 327 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a8" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 295 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a11" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 391 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a2" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 103 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a1" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 71 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a0" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 39 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a3" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 135 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a13" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 455 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a14" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 487 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a12" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 423 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a15" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 519 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a26" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 871 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a25" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 839 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a24" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 807 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a27" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 903 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a21" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 711 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a22" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 743 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a20" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 679 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a23" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 775 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a18" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 615 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a17" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 583 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a16" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 551 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a19" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 647 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a29" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 967 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a30" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 999 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a28" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 935 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a31" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1031 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a37" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1223 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a38" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1255 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a36" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1191 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a39" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1287 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a42" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1383 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a41" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1351 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a40" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1319 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a43" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1415 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a34" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1127 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a33" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1095 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a32" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1063 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a35" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1159 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a45" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1479 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a46" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1511 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a44" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1447 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a47" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1543 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a58" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1895 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a57" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1863 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a56" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1831 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a59" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1927 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a53" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1735 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a54" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1767 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a52" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1703 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a55" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1799 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a50" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1639 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a49" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1607 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a48" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1575 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a51" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1671 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a61" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1991 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a62" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2023 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a60" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 1959 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a63" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2055 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a69" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2247 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a70" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2279 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a68" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2215 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a71" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2311 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a74" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2407 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a73" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2375 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a72" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2343 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a75" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2439 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a66" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2151 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a65" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2119 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a64" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2087 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a67" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2183 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a77" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2503 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a78" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2535 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a76" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2471 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a79" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2567 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a81" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2631 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a82" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2663 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a80" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2599 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a83" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2695 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a84" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2727 - Info (270019): RAM block slice "rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|ram_block1a85" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 2759 -Info (12130): Elaborated megafunction instantiation "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 39 -Info (12133): Instantiated megafunction "rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0" with the following parameter: File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_m7h1.tdf Line: 39 - Info (12134): Parameter "LPM_TYPE" = "altsyncram" - Info (12134): Parameter "OPERATION_MODE" = "DUAL_PORT" - Info (12134): Parameter "WIDTH_A" = "86" - Info (12134): Parameter "WIDTHAD_A" = "2" - Info (12134): Parameter "NUMWORDS_A" = "4" - Info (12134): Parameter "OUTDATA_REG_A" = "UNREGISTERED" - Info (12134): Parameter "ADDRESS_ACLR_A" = "NONE" - Info (12134): Parameter "OUTDATA_ACLR_A" = "NONE" - Info (12134): Parameter "WRCONTROL_ACLR_A" = "NONE" - Info (12134): Parameter "INDATA_ACLR_A" = "NONE" - Info (12134): Parameter "BYTEENA_ACLR_A" = "NONE" - Info (12134): Parameter "CLOCK_ENABLE_INPUT_A" = "BYPASS" - Info (12134): Parameter "CLOCK_ENABLE_OUTPUT_A" = "BYPASS" - Info (12134): Parameter "WIDTH_B" = "86" - Info (12134): Parameter "WIDTHAD_B" = "2" - Info (12134): Parameter "NUMWORDS_B" = "4" - Info (12134): Parameter "INDATA_REG_B" = "UNUSED" - Info (12134): Parameter "WRCONTROL_WRADDRESS_REG_B" = "CLOCK1" - Info (12134): Parameter "RDCONTROL_REG_B" = "CLOCK1" - Info (12134): Parameter "ADDRESS_REG_B" = "CLOCK1" - Info (12134): Parameter "BYTEENA_REG_B" = "UNUSED" - Info (12134): Parameter "OUTDATA_REG_B" = "CLOCK1" - Info (12134): Parameter "INDATA_ACLR_B" = "NONE" - Info (12134): Parameter "WRCONTROL_ACLR_B" = "NONE" - Info (12134): Parameter "ADDRESS_ACLR_B" = "NONE" - Info (12134): Parameter "OUTDATA_ACLR_B" = "NONE" - Info (12134): Parameter "RDCONTROL_ACLR_B" = "NONE" - Info (12134): Parameter "BYTEENA_ACLR_B" = "NONE" - Info (12134): Parameter "CLOCK_ENABLE_INPUT_B" = "BYPASS" - Info (12134): Parameter "CLOCK_ENABLE_OUTPUT_B" = "NORMAL" - Info (12134): Parameter "WIDTH_BYTEENA_A" = "1" - Info (12134): Parameter "WIDTH_BYTEENA_B" = "1" - Info (12134): Parameter "RAM_BLOCK_TYPE" = "AUTO" - Info (12134): Parameter "BYTE_SIZE" = "8" - Info (12134): Parameter "READ_DURING_WRITE_MODE_MIXED_PORTS" = "DONT_CARE" - Info (12134): Parameter "INIT_FILE" = "" - Info (12134): Parameter "INIT_FILE_LAYOUT" = "PORT_B" - Info (12134): Parameter "MAXIMUM_DEPTH" = "4" - Info (12134): Parameter "ENABLE_RUNTIME_MOD" = "NO" - Info (12134): Parameter "INSTANCE_NAME" = "UNUSED" - Info (12134): Parameter "ENABLE_ECC" = "FALSE" - Info (12134): Parameter "ECCSTATUS_REG" = "UNREGISTERED" - Info (12134): Parameter "CLOCK_ENABLE_CORE_A" = "BYPASS" - Info (12134): Parameter "CLOCK_ENABLE_CORE_B" = "BYPASS" - Info (12134): Parameter "READ_DURING_WRITE_MODE_PORT_A" = "NEW_DATA_WITH_NBE_READ" - Info (12134): Parameter "READ_DURING_WRITE_MODE_PORT_B" = "NEW_DATA_WITH_NBE_READ" - Info (12134): Parameter "CLOCK_ENABLE_ECC_STATUS" = "NORMAL" - Info (12134): Parameter "IMPLEMENT_IN_LES" = "ON" -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_nci3.tdf - Info (12023): Found entity 1: altsyncram_nci3 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/altsyncram_nci3.tdf Line: 28 -Info (12021): Found 1 design units, including 1 entities, in source file db/decode_msa.tdf - Info (12023): Found entity 1: decode_msa File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/decode_msa.tdf Line: 22 -Info (12021): Found 1 design units, including 1 entities, in source file db/mux_sob.tdf - Info (12023): Found entity 1: mux_sob File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/mux_sob.tdf Line: 22 -Warning (12241): 2 hierarchies have connectivity warnings - see the Connectivity Checks report folder -Info (281020): Starting Logic Optimization and Technology Mapping for Top Partition -Info (17026): Resynthesizing 0 WYSIWYG logic cells and I/Os using "speed" technology mapper which leaves 102 WYSIWYG logic cells and I/Os untouched -Info (13000): Registers with preset signals will power-up high File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd Line: 283 -Info (13003): DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back -Info (286031): Timing-Driven Synthesis is running on partition "Top" -Info (17049): 39 registers lost all their fanouts during netlist optimizations. -Info (128000): Starting physical synthesis optimizations for speed -Info (332129): Detected timing requirements -- optimizing circuit to achieve only the specified requirements -Info (332111): Found 5 clocks - Info (332111): Period Clock Name - Info (332111): ======== ============ - Info (332111): 15.547 clk_sys - Info (332111): 40.000 clock_stm32 - Info (332111): 81.378 MAIN_PLL|altpll_component|auto_generated|pll1|clk[0] - Info (332111): 20832.980 MAIN_PLL|altpll_component|auto_generated|pll1|clk[1] - Info (332111): 6.218 TX_PLL|altpll_component|auto_generated|pll1|clk[0] -Info (128002): Starting physical synthesis algorithm combinational resynthesis using boolean division -Info (128003): Physical synthesis algorithm combinational resynthesis using boolean division complete: estimated slack improvement of 0 ps -Info (128001): Physical synthesis optimizations for speed complete: elapsed time is 00:00:02 -Info (21057): Implemented 10677 device resources after synthesis - the final resource count might be different - Info (21058): Implemented 33 input pins - Info (21059): Implemented 41 output pins - Info (21060): Implemented 8 bidirectional pins - Info (21061): Implemented 10130 logic cells - Info (21064): Implemented 430 RAM segments - Info (21065): Implemented 2 PLLs - Info (21062): Implemented 32 DSP elements -Warning (20013): Ignored 16 assignments for entity "DEBUG2" -- entity does not exist in design -Info (144001): Generated suppressed messages file D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/output_files/WOLF-LITE.map.smsg -Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 11 warnings - Info: Peak virtual memory: 5011 megabytes - Info: Processing ended: Thu Jan 07 18:20:02 2021 - Info: Elapsed time: 00:02:48 - Info: Total CPU time (on all processors): 00:04:43 - - -+------------------------------------------+ -; Analysis & Synthesis Suppressed Messages ; -+------------------------------------------+ -The suppressed messages can be found in D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/output_files/WOLF-LITE.map.smsg. - - diff --git a/FPGA/output_files/WOLF-LITE.map.smsg b/FPGA/output_files/WOLF-LITE.map.smsg deleted file mode 100644 index 3ffeb3d..0000000 --- a/FPGA/output_files/WOLF-LITE.map.smsg +++ /dev/null @@ -1,22 +0,0 @@ -Warning (10273): Verilog HDL warning at stm32_interface.v(93): extended using "x" or "z" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/stm32_interface.v Line: 93 -Warning (10036): Verilog HDL or VHDL warning at tx_ciccomp_0002.vhd(54): object "coeff_in_read_sig" assigned a value but never read File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/tx_ciccomp_0002.vhd Line: 54 -Warning (10541): VHDL Signal Declaration warning at tx_ciccomp_0002_ast.vhd(208): used implicit default value for signal "core_channel_out_core" because signal was never assigned a value or an explicit default value. Use of implicit default value may introduce unintended design optimizations. File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/tx_ciccomp_0002_ast.vhd Line: 208 -Warning (10036): Verilog HDL or VHDL warning at rx_ciccomp_0002.vhd(54): object "coeff_in_read_sig" assigned a value but never read File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/rx_ciccomp_0002.vhd Line: 54 -Warning (10541): VHDL Signal Declaration warning at rx_ciccomp_0002_ast.vhd(208): used implicit default value for signal "core_channel_out_core" because signal was never assigned a value or an explicit default value. Use of implicit default value may introduce unintended design optimizations. File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/rx_ciccomp_0002_ast.vhd Line: 208 -Warning (12020): Port "counter_max" on the entity instantiation of "rate_cnt_inst" is connected to a signal of width 32. The formal width of the signal in the module is 11. The extra bits will be ignored. File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv Line: 486 -Warning (12020): Port "counter_max" on the entity instantiation of "channel_out_int_inst" is connected to a signal of width 32. The formal width of the signal in the module is 2. The extra bits will be ignored. File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv Line: 432 -Warning (12020): Port "counter_max" on the entity instantiation of "latency_cnt_inst" is connected to a signal of width 32. The formal width of the signal in the module is 4. The extra bits will be ignored. File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv Line: 419 -Warning (12020): Port "counter_max" on the entity instantiation of "counter_ch_inst" is connected to a signal of width 32. The formal width of the signal in the module is 1. The extra bits will be ignored. File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_downsample.sv Line: 79 -Warning (12020): Port "counter_max" on the entity instantiation of "counter_fs_inst" is connected to a signal of width 32. The formal width of the signal in the module is 11. The extra bits will be ignored. File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_downsample.sv Line: 50 -Warning (12020): Port "counter_max" on the entity instantiation of "rate_cnt_inst" is connected to a signal of width 32. The formal width of the signal in the module is 11. The extra bits will be ignored. File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv Line: 486 -Warning (12020): Port "counter_max" on the entity instantiation of "channel_out_int_inst" is connected to a signal of width 32. The formal width of the signal in the module is 2. The extra bits will be ignored. File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv Line: 432 -Warning (12020): Port "counter_max" on the entity instantiation of "latency_cnt_inst" is connected to a signal of width 32. The formal width of the signal in the module is 4. The extra bits will be ignored. File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv Line: 419 -Warning (12020): Port "counter_max" on the entity instantiation of "counter_ch_inst" is connected to a signal of width 32. The formal width of the signal in the module is 1. The extra bits will be ignored. File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_downsample.sv Line: 79 -Warning (12020): Port "counter_max" on the entity instantiation of "counter_fs_inst" is connected to a signal of width 32. The formal width of the signal in the module is 11. The extra bits will be ignored. File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_downsample.sv Line: 50 -Warning (12020): Port "counter_max" on the entity instantiation of "counter_ch_inst" is connected to a signal of width 32. The formal width of the signal in the module is 1. The extra bits will be ignored. File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv Line: 313 -Warning (12020): Port "counter_max" on the entity instantiation of "counter_fs_inst" is connected to a signal of width 32. The formal width of the signal in the module is 12. The extra bits will be ignored. File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv Line: 298 -Warning (12020): Port "counter_max" on the entity instantiation of "latency_cnt_inst" is connected to a signal of width 32. The formal width of the signal in the module is 4. The extra bits will be ignored. File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv Line: 270 -Warning (12020): Port "counter_max" on the entity instantiation of "counter_ch_inst" is connected to a signal of width 32. The formal width of the signal in the module is 1. The extra bits will be ignored. File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv Line: 313 -Warning (12020): Port "counter_max" on the entity instantiation of "counter_fs_inst" is connected to a signal of width 32. The formal width of the signal in the module is 12. The extra bits will be ignored. File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv Line: 298 -Warning (12020): Port "counter_max" on the entity instantiation of "latency_cnt_inst" is connected to a signal of width 32. The formal width of the signal in the module is 4. The extra bits will be ignored. File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv Line: 270 -Warning (14284): Synthesized away the following node(s): diff --git a/FPGA/output_files/WOLF-LITE.map.summary b/FPGA/output_files/WOLF-LITE.map.summary deleted file mode 100644 index 93f6b20..0000000 --- a/FPGA/output_files/WOLF-LITE.map.summary +++ /dev/null @@ -1,14 +0,0 @@ -Analysis & Synthesis Status : Successful - Thu Jan 07 18:19:59 2021 -Quartus Prime Version : 18.1.0 Build 625 09/12/2018 SJ Standard Edition -Revision Name : WOLF-LITE -Top-level Entity Name : WOLF-LITE -Family : Cyclone IV E -Total logic elements : N/A until Partition Merge - Total combinational functions : N/A until Partition Merge - Dedicated logic registers : N/A until Partition Merge -Total registers : N/A until Partition Merge -Total pins : N/A until Partition Merge -Total virtual pins : N/A until Partition Merge -Total memory bits : N/A until Partition Merge -Embedded Multiplier 9-bit elements : N/A until Partition Merge -Total PLLs : N/A until Partition Merge diff --git a/FPGA/output_files/WOLF-LITE.merge.rpt b/FPGA/output_files/WOLF-LITE.merge.rpt deleted file mode 100644 index f55fe33..0000000 --- a/FPGA/output_files/WOLF-LITE.merge.rpt +++ /dev/null @@ -1,562 +0,0 @@ -Partition Merge report for WOLF-LITE -Thu Jan 07 18:20:07 2021 -Quartus Prime Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Partition Merge Summary - 3. Partition Merge Netlist Types Used - 4. Partition Merge Partition Statistics - 5. Partition Merge Partition Pin Processing - 6. Partition Merge Resource Usage Summary - 7. Partition Merge RAM Summary - 8. Partition Merge DSP Block Usage Summary - 9. Partition Merge Messages - 10. Partition Merge Suppressed Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 2018 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details. - - - -+--------------------------------------------------------------------------------------+ -; Partition Merge Summary ; -+------------------------------------+-------------------------------------------------+ -; Partition Merge Status ; Successful - Thu Jan 07 18:20:07 2021 ; -; Quartus Prime Version ; 18.1.0 Build 625 09/12/2018 SJ Standard Edition ; -; Revision Name ; WOLF-LITE ; -; Top-level Entity Name ; WOLF-LITE ; -; Family ; Cyclone IV E ; -; Total logic elements ; 10,223 ; -; Total combinational functions ; 7,373 ; -; Dedicated logic registers ; 7,519 ; -; Total registers ; 7519 ; -; Total pins ; 61 ; -; Total virtual pins ; 0 ; -; Total memory bits ; 183,280 ; -; Embedded Multiplier 9-bit elements ; 32 ; -; Total PLLs ; 2 ; -+------------------------------------+-------------------------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------+ -; Partition Merge Netlist Types Used ; -+--------------------------------+----------------+-------------------+------------------------+--------------------------------+ -; Partition Name ; Partition Type ; Netlist Type Used ; Netlist Type Requested ; Partition Contents ; -+--------------------------------+----------------+-------------------+------------------------+--------------------------------+ -; Top ; User-created ; Source File ; Post-Fit ; ; -; sld_hub:auto_hub ; Auto-generated ; Post-Synthesis ; Post-Synthesis ; sld_hub:auto_hub ; -; hard_block:auto_generated_inst ; Auto-generated ; Source File ; Source File ; hard_block:auto_generated_inst ; -+--------------------------------+----------------+-------------------+------------------------+--------------------------------+ - - -+----------------------------------------------------------------------------------------------------------+ -; Partition Merge Partition Statistics ; -+---------------------------------------------+--------+------------------+--------------------------------+ -; Statistic ; Top ; sld_hub:auto_hub ; hard_block:auto_generated_inst ; -+---------------------------------------------+--------+------------------+--------------------------------+ -; Estimated Total logic elements ; 10083 ; 140 ; 0 ; -; ; ; ; ; -; Total combinational functions ; 7254 ; 119 ; 0 ; -; Logic element usage by number of LUT inputs ; ; ; ; -; -- 4 input functions ; 2444 ; 57 ; 0 ; -; -- 3 input functions ; 3954 ; 27 ; 0 ; -; -- <=2 input functions ; 856 ; 35 ; 0 ; -; ; ; ; ; -; Logic elements by mode ; ; ; ; -; -- normal mode ; 3360 ; 111 ; 0 ; -; -- arithmetic mode ; 3894 ; 8 ; 0 ; -; ; ; ; ; -; Total registers ; 7440 ; 79 ; 0 ; -; -- Dedicated logic registers ; 7440 ; 79 ; 0 ; -; -- I/O registers ; 0 ; 0 ; 0 ; -; ; ; ; ; -; Virtual pins ; 0 ; 0 ; 0 ; -; I/O pins ; 61 ; 0 ; 0 ; -; Embedded Multiplier 9-bit elements ; 32 ; 0 ; 0 ; -; Total memory bits ; 183280 ; 0 ; 0 ; -; Total RAM block bits ; 0 ; 0 ; 0 ; -; JTAG ; 1 ; 0 ; 0 ; -; PLL ; 0 ; 0 ; 2 ; -; ; ; ; ; -; Connections ; ; ; ; -; -- Input Connections ; 2457 ; 116 ; 2 ; -; -- Registered Input Connections ; 2409 ; 89 ; 0 ; -; -- Output Connections ; 151 ; 46 ; 2378 ; -; -- Registered Output Connections ; 0 ; 32 ; 0 ; -; ; ; ; ; -; Internal Connections ; ; ; ; -; -- Total Connections ; 56630 ; 671 ; 2382 ; -; -- Registered Connections ; 30485 ; 453 ; 0 ; -; ; ; ; ; -; External Connections ; ; ; ; -; -- Top ; 66 ; 162 ; 2380 ; -; -- sld_hub:auto_hub ; 162 ; 0 ; 0 ; -; -- hard_block:auto_generated_inst ; 2380 ; 0 ; 0 ; -; ; ; ; ; -; Partition Interface ; ; ; ; -; -- Input Ports ; 36 ; 39 ; 2 ; -; -- Output Ports ; 42 ; 56 ; 3 ; -; -- Bidir Ports ; 8 ; 0 ; 0 ; -; ; ; ; ; -; Registered Ports ; ; ; ; -; -- Registered Input Ports ; 0 ; 4 ; 0 ; -; -- Registered Output Ports ; 0 ; 23 ; 0 ; -; ; ; ; ; -; Port Connectivity ; ; ; ; -; -- Input Ports driven by GND ; 0 ; 5 ; 0 ; -; -- Output Ports driven by GND ; 0 ; 28 ; 0 ; -; -- Input Ports driven by VCC ; 0 ; 0 ; 0 ; -; -- Output Ports driven by VCC ; 0 ; 0 ; 0 ; -; -- Input Ports with no Source ; 0 ; 25 ; 0 ; -; -- Output Ports with no Source ; 0 ; 0 ; 0 ; -; -- Input Ports with no Fanout ; 0 ; 30 ; 1 ; -; -- Output Ports with no Fanout ; 0 ; 42 ; 0 ; -+---------------------------------------------+--------+------------------+--------------------------------+ -Note: Resource usage numbers presented for Partitions containing post-synthesis logic are estimates. For Partitions containing post-fit logic, resource usage numbers are accurate based on previous placement information. Actual Fitter results may vary depending on current Fitter Preservation Level assignments. - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Partition Merge Partition Pin Processing ; -+----------------------------------------------------------------------+-----------+---------------+----------+--------------------------------------------+ -; Name ; Partition ; Type ; Location ; Status ; -+----------------------------------------------------------------------+-----------+---------------+----------+--------------------------------------------+ -; ADC_INPUT[0] ; Top ; Input Port ; n/a ; ; -; -- ADC_INPUT[0] ; Top ; Input Pad ; Unplaced ; Synthesized ; -; -- ADC_INPUT[0]~input ; Top ; Input Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; ADC_INPUT[10] ; Top ; Input Port ; n/a ; ; -; -- ADC_INPUT[10] ; Top ; Input Pad ; Unplaced ; Synthesized ; -; -- ADC_INPUT[10]~input ; Top ; Input Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; ADC_INPUT[11] ; Top ; Input Port ; n/a ; ; -; -- ADC_INPUT[11] ; Top ; Input Pad ; Unplaced ; Synthesized ; -; -- ADC_INPUT[11]~input ; Top ; Input Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; ADC_INPUT[1] ; Top ; Input Port ; n/a ; ; -; -- ADC_INPUT[1] ; Top ; Input Pad ; Unplaced ; Synthesized ; -; -- ADC_INPUT[1]~input ; Top ; Input Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; ADC_INPUT[2] ; Top ; Input Port ; n/a ; ; -; -- ADC_INPUT[2] ; Top ; Input Pad ; Unplaced ; Synthesized ; -; -- ADC_INPUT[2]~input ; Top ; Input Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; ADC_INPUT[3] ; Top ; Input Port ; n/a ; ; -; -- ADC_INPUT[3] ; Top ; Input Pad ; Unplaced ; Synthesized ; -; -- ADC_INPUT[3]~input ; Top ; Input Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; ADC_INPUT[4] ; Top ; Input Port ; n/a ; ; -; -- ADC_INPUT[4] ; Top ; Input Pad ; Unplaced ; Synthesized ; -; -- ADC_INPUT[4]~input ; Top ; Input Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; ADC_INPUT[5] ; Top ; Input Port ; n/a ; ; -; -- ADC_INPUT[5] ; Top ; Input Pad ; Unplaced ; Synthesized ; -; -- ADC_INPUT[5]~input ; Top ; Input Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; ADC_INPUT[6] ; Top ; Input Port ; n/a ; ; -; -- ADC_INPUT[6] ; Top ; Input Pad ; Unplaced ; Synthesized ; -; -- ADC_INPUT[6]~input ; Top ; Input Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; ADC_INPUT[7] ; Top ; Input Port ; n/a ; ; -; -- ADC_INPUT[7] ; Top ; Input Pad ; Unplaced ; Synthesized ; -; -- ADC_INPUT[7]~input ; Top ; Input Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; ADC_INPUT[8] ; Top ; Input Port ; n/a ; ; -; -- ADC_INPUT[8] ; Top ; Input Pad ; Unplaced ; Synthesized ; -; -- ADC_INPUT[8]~input ; Top ; Input Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; ADC_INPUT[9] ; Top ; Input Port ; n/a ; ; -; -- ADC_INPUT[9] ; Top ; Input Pad ; Unplaced ; Synthesized ; -; -- ADC_INPUT[9]~input ; Top ; Input Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; ADC_OTR ; Top ; Input Port ; n/a ; ; -; -- ADC_OTR ; Top ; Input Pad ; Unplaced ; Synthesized ; -; -- ADC_OTR~input ; Top ; Input Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; ATT_05 ; Top ; Output Port ; n/a ; ; -; -- ATT_05 ; Top ; Output Pad ; Unplaced ; Synthesized ; -; -- ATT_05~output ; Top ; Output Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; ATT_1 ; Top ; Output Port ; n/a ; ; -; -- ATT_1 ; Top ; Output Pad ; Unplaced ; Synthesized ; -; -- ATT_1~output ; Top ; Output Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; ATT_16 ; Top ; Output Port ; n/a ; ; -; -- ATT_16 ; Top ; Output Pad ; Unplaced ; Synthesized ; -; -- ATT_16~output ; Top ; Output Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; ATT_2 ; Top ; Output Port ; n/a ; ; -; -- ATT_2 ; Top ; Output Pad ; Unplaced ; Synthesized ; -; -- ATT_2~output ; Top ; Output Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; ATT_4 ; Top ; Output Port ; n/a ; ; -; -- ATT_4 ; Top ; Output Pad ; Unplaced ; Synthesized ; -; -- ATT_4~output ; Top ; Output Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; ATT_8 ; Top ; Output Port ; n/a ; ; -; -- ATT_8 ; Top ; Output Pad ; Unplaced ; Synthesized ; -; -- ATT_8~output ; Top ; Output Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; AUDIO_48K_CLOCK ; Top ; Output Port ; n/a ; ; -; -- AUDIO_48K_CLOCK ; Top ; Output Pad ; Unplaced ; Synthesized ; -; -- AUDIO_48K_CLOCK~output ; Top ; Output Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; AUDIO_I2S_CLOCK ; Top ; Output Port ; n/a ; ; -; -- AUDIO_I2S_CLOCK ; Top ; Output Pad ; Unplaced ; Synthesized ; -; -- AUDIO_I2S_CLOCK~output ; Top ; Output Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; BPF_A ; Top ; Output Port ; n/a ; ; -; -- BPF_A ; Top ; Output Pad ; Unplaced ; Synthesized ; -; -- BPF_A~output ; Top ; Output Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; BPF_B ; Top ; Output Port ; n/a ; ; -; -- BPF_B ; Top ; Output Pad ; Unplaced ; Synthesized ; -; -- BPF_B~output ; Top ; Output Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; BPF_OE1 ; Top ; Output Port ; n/a ; ; -; -- BPF_OE1 ; Top ; Output Pad ; Unplaced ; Synthesized ; -; -- BPF_OE1~output ; Top ; Output Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; BPF_OE2 ; Top ; Output Port ; n/a ; ; -; -- BPF_OE2 ; Top ; Output Pad ; Unplaced ; Synthesized ; -; -- BPF_OE2~output ; Top ; Output Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; DAC_CLK ; Top ; Output Port ; n/a ; ; -; -- DAC_CLK ; Top ; Output Pad ; Unplaced ; Synthesized ; -; -- DAC_CLK~output ; Top ; Output Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; DAC_OUTPUT[0] ; Top ; Output Port ; n/a ; ; -; -- DAC_OUTPUT[0] ; Top ; Output Pad ; Unplaced ; Synthesized ; -; -- DAC_OUTPUT[0]~output ; Top ; Output Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; DAC_OUTPUT[10] ; Top ; Output Port ; n/a ; ; -; -- DAC_OUTPUT[10] ; Top ; Output Pad ; Unplaced ; Synthesized ; -; -- DAC_OUTPUT[10]~output ; Top ; Output Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; DAC_OUTPUT[11] ; Top ; Output Port ; n/a ; ; -; -- DAC_OUTPUT[11] ; Top ; Output Pad ; Unplaced ; Synthesized ; -; -- DAC_OUTPUT[11]~output ; Top ; Output Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; DAC_OUTPUT[12] ; Top ; Output Port ; n/a ; ; -; -- DAC_OUTPUT[12] ; Top ; Output Pad ; Unplaced ; Synthesized ; -; -- DAC_OUTPUT[12]~output ; Top ; Output Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; DAC_OUTPUT[13] ; Top ; Output Port ; n/a ; ; -; -- DAC_OUTPUT[13] ; Top ; Output Pad ; Unplaced ; Synthesized ; -; -- DAC_OUTPUT[13]~output ; Top ; Output Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; DAC_OUTPUT[1] ; Top ; Output Port ; n/a ; ; -; -- DAC_OUTPUT[1] ; Top ; Output Pad ; Unplaced ; Synthesized ; -; -- DAC_OUTPUT[1]~output ; Top ; Output Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; DAC_OUTPUT[2] ; Top ; Output Port ; n/a ; ; -; -- DAC_OUTPUT[2] ; Top ; Output Pad ; Unplaced ; Synthesized ; -; -- DAC_OUTPUT[2]~output ; Top ; Output Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; DAC_OUTPUT[3] ; Top ; Output Port ; n/a ; ; -; -- DAC_OUTPUT[3] ; Top ; Output Pad ; Unplaced ; Synthesized ; -; -- DAC_OUTPUT[3]~output ; Top ; Output Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; DAC_OUTPUT[4] ; Top ; Output Port ; n/a ; ; -; -- DAC_OUTPUT[4] ; Top ; Output Pad ; Unplaced ; Synthesized ; -; -- DAC_OUTPUT[4]~output ; Top ; Output Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; DAC_OUTPUT[5] ; Top ; Output Port ; n/a ; ; -; -- DAC_OUTPUT[5] ; Top ; Output Pad ; Unplaced ; Synthesized ; -; -- DAC_OUTPUT[5]~output ; Top ; Output Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; DAC_OUTPUT[6] ; Top ; Output Port ; n/a ; ; -; -- DAC_OUTPUT[6] ; Top ; Output Pad ; Unplaced ; Synthesized ; -; -- DAC_OUTPUT[6]~output ; Top ; Output Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; DAC_OUTPUT[7] ; Top ; Output Port ; n/a ; ; -; -- DAC_OUTPUT[7] ; Top ; Output Pad ; Unplaced ; Synthesized ; -; -- DAC_OUTPUT[7]~output ; Top ; Output Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; DAC_OUTPUT[8] ; Top ; Output Port ; n/a ; ; -; -- DAC_OUTPUT[8] ; Top ; Output Pad ; Unplaced ; Synthesized ; -; -- DAC_OUTPUT[8]~output ; Top ; Output Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; DAC_OUTPUT[9] ; Top ; Output Port ; n/a ; ; -; -- DAC_OUTPUT[9] ; Top ; Output Pad ; Unplaced ; Synthesized ; -; -- DAC_OUTPUT[9]~output ; Top ; Output Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; DAC_PD ; Top ; Output Port ; n/a ; ; -; -- DAC_PD ; Top ; Output Pad ; Unplaced ; Synthesized ; -; -- DAC_PD~output ; Top ; Output Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; FLASH_C ; Top ; Output Port ; n/a ; ; -; -- FLASH_C ; Top ; Output Pad ; Unplaced ; Synthesized ; -; -- FLASH_C~output ; Top ; Output Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; FLASH_MISO ; Top ; Input Port ; n/a ; ; -; -- FLASH_MISO ; Top ; Input Pad ; Unplaced ; Synthesized ; -; -- FLASH_MISO~input ; Top ; Input Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; FLASH_MOSI ; Top ; Output Port ; n/a ; ; -; -- FLASH_MOSI ; Top ; Output Pad ; Unplaced ; Synthesized ; -; -- FLASH_MOSI~output ; Top ; Output Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; FLASH_S ; Top ; Output Port ; n/a ; ; -; -- FLASH_S ; Top ; Output Pad ; Unplaced ; Synthesized ; -; -- FLASH_S~output ; Top ; Output Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; LPF_1 ; Top ; Output Port ; n/a ; ; -; -- LPF_1 ; Top ; Output Pad ; Unplaced ; Synthesized ; -; -- LPF_1~output ; Top ; Output Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; LPF_2 ; Top ; Output Port ; n/a ; ; -; -- LPF_2 ; Top ; Output Pad ; Unplaced ; Synthesized ; -; -- LPF_2~output ; Top ; Output Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; LPF_3 ; Top ; Output Port ; n/a ; ; -; -- LPF_3 ; Top ; Output Pad ; Unplaced ; Synthesized ; -; -- LPF_3~output ; Top ; Output Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; PREAMP ; Top ; Output Port ; n/a ; ; -; -- PREAMP ; Top ; Output Pad ; Unplaced ; Synthesized ; -; -- PREAMP~output ; Top ; Output Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; STM32_CLK ; Top ; Input Port ; n/a ; ; -; -- STM32_CLK ; Top ; Input Pad ; Unplaced ; Synthesized ; -; -- STM32_CLK~input ; Top ; Input Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; STM32_DATA_BUS[0] ; Top ; Bidir Port ; n/a ; ; -; -- STM32_DATA_BUS[0] ; Top ; Bidir Pad ; Unplaced ; Synthesized ; -; -- STM32_DATA_BUS[0]~output ; Top ; Output Buffer ; Unplaced ; Preserved from Synthesis Netlist (WYSIWYG) ; -; ; ; ; ; ; -; STM32_DATA_BUS[1] ; Top ; Bidir Port ; n/a ; ; -; -- STM32_DATA_BUS[1] ; Top ; Bidir Pad ; Unplaced ; Synthesized ; -; -- STM32_DATA_BUS[1]~output ; Top ; Output Buffer ; Unplaced ; Preserved from Synthesis Netlist (WYSIWYG) ; -; ; ; ; ; ; -; STM32_DATA_BUS[2] ; Top ; Bidir Port ; n/a ; ; -; -- STM32_DATA_BUS[2] ; Top ; Bidir Pad ; Unplaced ; Synthesized ; -; -- STM32_DATA_BUS[2]~output ; Top ; Output Buffer ; Unplaced ; Preserved from Synthesis Netlist (WYSIWYG) ; -; ; ; ; ; ; -; STM32_DATA_BUS[3] ; Top ; Bidir Port ; n/a ; ; -; -- STM32_DATA_BUS[3] ; Top ; Bidir Pad ; Unplaced ; Synthesized ; -; -- STM32_DATA_BUS[3]~output ; Top ; Output Buffer ; Unplaced ; Preserved from Synthesis Netlist (WYSIWYG) ; -; ; ; ; ; ; -; STM32_DATA_BUS[4] ; Top ; Bidir Port ; n/a ; ; -; -- STM32_DATA_BUS[4] ; Top ; Bidir Pad ; Unplaced ; Synthesized ; -; -- STM32_DATA_BUS[4]~output ; Top ; Output Buffer ; Unplaced ; Preserved from Synthesis Netlist (WYSIWYG) ; -; ; ; ; ; ; -; STM32_DATA_BUS[5] ; Top ; Bidir Port ; n/a ; ; -; -- STM32_DATA_BUS[5] ; Top ; Bidir Pad ; Unplaced ; Synthesized ; -; -- STM32_DATA_BUS[5]~output ; Top ; Output Buffer ; Unplaced ; Preserved from Synthesis Netlist (WYSIWYG) ; -; ; ; ; ; ; -; STM32_DATA_BUS[6] ; Top ; Bidir Port ; n/a ; ; -; -- STM32_DATA_BUS[6] ; Top ; Bidir Pad ; Unplaced ; Synthesized ; -; -- STM32_DATA_BUS[6]~output ; Top ; Output Buffer ; Unplaced ; Preserved from Synthesis Netlist (WYSIWYG) ; -; ; ; ; ; ; -; STM32_DATA_BUS[7] ; Top ; Bidir Port ; n/a ; ; -; -- STM32_DATA_BUS[7] ; Top ; Bidir Pad ; Unplaced ; Synthesized ; -; -- STM32_DATA_BUS[7]~output ; Top ; Output Buffer ; Unplaced ; Preserved from Synthesis Netlist (WYSIWYG) ; -; ; ; ; ; ; -; STM32_SYNC ; Top ; Input Port ; n/a ; ; -; -- STM32_SYNC ; Top ; Input Pad ; Unplaced ; Synthesized ; -; -- STM32_SYNC~input ; Top ; Input Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; TXRX_OUT ; Top ; Output Port ; n/a ; ; -; -- TXRX_OUT ; Top ; Output Pad ; Unplaced ; Synthesized ; -; -- TXRX_OUT~output ; Top ; Output Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; altera_reserved_tck ; Top ; Input Port ; n/a ; ; -; -- altera_reserved_tck ; Top ; Input Pad ; Unplaced ; Synthesized ; -; -- altera_reserved_tck~input ; Top ; Input Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; altera_reserved_tdi ; Top ; Input Port ; n/a ; ; -; -- altera_reserved_tdi ; Top ; Input Pad ; Unplaced ; Synthesized ; -; -- altera_reserved_tdi~input ; Top ; Input Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; altera_reserved_tdo ; Top ; Output Port ; n/a ; ; -; -- altera_reserved_tdo ; Top ; Output Pad ; Unplaced ; Synthesized ; -; -- altera_reserved_tdo~output ; Top ; Output Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; altera_reserved_tms ; Top ; Input Port ; n/a ; ; -; -- altera_reserved_tms ; Top ; Input Pad ; Unplaced ; Synthesized ; -; -- altera_reserved_tms~input ; Top ; Input Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; clk_sys ; Top ; Input Port ; n/a ; ; -; -- clk_sys ; Top ; Input Pad ; Unplaced ; Synthesized ; -; -- clk_sys~input ; Top ; Input Buffer ; Unplaced ; Synthesized ; -; ; ; ; ; ; -; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_clr ; Top ; Input Port ; n/a ; ; -; ; ; ; ; ; -; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ena ; Top ; Input Port ; n/a ; ; -; ; ; ; ; ; -; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_0_ ; Top ; Input Port ; n/a ; ; -; ; ; ; ; ; -; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_1_ ; Top ; Input Port ; n/a ; ; -; ; ; ; ; ; -; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_2_ ; Top ; Input Port ; n/a ; ; -; ; ; ; ; ; -; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_3_ ; Top ; Input Port ; n/a ; ; -; ; ; ; ; ; -; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_out_0_ ; Top ; Output Port ; n/a ; ; -; ; ; ; ; ; -; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_out_1_ ; Top ; Output Port ; n/a ; ; -; ; ; ; ; ; -; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_out_2_ ; Top ; Output Port ; n/a ; ; -; ; ; ; ; ; -; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_out_3_ ; Top ; Output Port ; n/a ; ; -; ; ; ; ; ; -; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_cdr ; Top ; Input Port ; n/a ; ; -; ; ; ; ; ; -; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_cir ; Top ; Input Port ; n/a ; ; -; ; ; ; ; ; -; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_e1dr ; Top ; Input Port ; n/a ; ; -; ; ; ; ; ; -; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_sdr ; Top ; Input Port ; n/a ; ; -; ; ; ; ; ; -; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_tlr ; Top ; Input Port ; n/a ; ; -; ; ; ; ; ; -; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_udr ; Top ; Input Port ; n/a ; ; -; ; ; ; ; ; -; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_uir ; Top ; Input Port ; n/a ; ; -; ; ; ; ; ; -; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_raw_tck ; Top ; Input Port ; n/a ; ; -; ; ; ; ; ; -; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_tdi ; Top ; Input Port ; n/a ; ; -; ; ; ; ; ; -; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_tdo ; Top ; Output Port ; n/a ; ; -; ; ; ; ; ; -; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_usr1 ; Top ; Input Port ; n/a ; ; -; ; ; ; ; ; -+----------------------------------------------------------------------+-----------+---------------+----------+--------------------------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Partition Merge Resource Usage Summary ; -+---------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Resource ; Usage ; -+---------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Estimated Total logic elements ; 10,223 ; -; ; ; -; Total combinational functions ; 7373 ; -; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 2501 ; -; -- 3 input functions ; 3981 ; -; -- <=2 input functions ; 891 ; -; ; ; -; Logic elements by mode ; ; -; -- normal mode ; 3471 ; -; -- arithmetic mode ; 3902 ; -; ; ; -; Total registers ; 7519 ; -; -- Dedicated logic registers ; 7519 ; -; -- I/O registers ; 0 ; -; ; ; -; I/O pins ; 61 ; -; Total memory bits ; 183280 ; -; ; ; -; Embedded Multiplier 9-bit elements ; 32 ; -; ; ; -; Total PLLs ; 2 ; -; -- PLLs ; 2 ; -; ; ; -; Maximum fan-out node ; clock_buffer:SYSCLK_BUFFER|clock_buffer_altclkctrl_0:altclkctrl_0|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component|wire_clkctrl1_outclk ; -; Maximum fan-out ; 5370 ; -; Total fan-out ; 56024 ; -; Average fan-out ; 3.62 ; -+---------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Partition Merge RAM Summary ; -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+-------+---------------------------+ -; Name ; Type ; Mode ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Size ; MIF ; -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+-------+---------------------------+ -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_fu91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; 2048 ; 12 ; -- ; -- ; 24576 ; nco_nco_ii_0_sin_f.hex ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_au91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; 2048 ; 12 ; -- ; -- ; 24576 ; nco_nco_ii_0_cos_f.hex ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_h982:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; 2048 ; 12 ; 2048 ; 12 ; 24576 ; nco_nco_ii_0_sin_c.hex ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 8 ; 25 ; 8 ; 25 ; 200 ; None ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 32 ; 87 ; 32 ; 87 ; 2784 ; None ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 8 ; 25 ; 8 ; 25 ; 200 ; None ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 32 ; 87 ; 32 ; 87 ; 2784 ; None ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|ALTSYNCRAM ; M9K ; Simple Dual Port ; 64 ; 16 ; 64 ; 16 ; 1024 ; None ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|ALTSYNCRAM ; M9K ; Simple Dual Port ; 64 ; 16 ; 64 ; 16 ; 1024 ; None ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 8 ; 18 ; 8 ; 18 ; 144 ; None ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 32 ; 17 ; 32 ; 17 ; 544 ; None ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 8 ; 18 ; 8 ; 18 ; 144 ; None ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 32 ; 17 ; 32 ; 17 ; 544 ; None ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|ALTSYNCRAM ; M9K ; Simple Dual Port ; 64 ; 16 ; 64 ; 16 ; 1024 ; None ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|ALTSYNCRAM ; M9K ; Simple Dual Port ; 64 ; 16 ; 64 ; 16 ; 1024 ; None ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_u8a1:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; 2048 ; 16 ; -- ; -- ; 32768 ; tx_nco_nco_ii_0_sin_f.hex ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_p8a1:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; 2048 ; 16 ; -- ; -- ; 32768 ; tx_nco_nco_ii_0_cos_f.hex ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; 2048 ; 16 ; 2048 ; 16 ; 32768 ; tx_nco_nco_ii_0_sin_c.hex ; -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+-------+---------------------------+ - - -+-----------------------------------------------------+ -; Partition Merge DSP Block Usage Summary ; -+---------------------------------------+-------------+ -; Statistic ; Number Used ; -+---------------------------------------+-------------+ -; Simple Multipliers (9-bit) ; 0 ; -; Simple Multipliers (18-bit) ; 16 ; -; Embedded Multiplier Blocks ; -- ; -; Embedded Multiplier 9-bit elements ; 32 ; -; Signed Embedded Multipliers ; 16 ; -; Unsigned Embedded Multipliers ; 0 ; -; Mixed Sign Embedded Multipliers ; 0 ; -; Variable Sign Embedded Multipliers ; 0 ; -; Dedicated Input Shift Register Chains ; 0 ; -+---------------------------------------+-------------+ -Note: number of Embedded Multiplier Blocks used is only available after a successful fit. - - -+--------------------------+ -; Partition Merge Messages ; -+--------------------------+ -Info: ******************************************************************* -Info: Running Quartus Prime Partition Merge - Info: Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition - Info: Processing started: Thu Jan 07 18:20:04 2021 -Info: Command: quartus_cdb --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE --merge=on -Info (35007): Using synthesis netlist for partition "Top" -Info (35007): Using synthesis netlist for partition "sld_hub:auto_hub" -Info (35002): Resolved and merged 2 partition(s) -Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" - Info (16011): Adding 2 node(s), including 0 DDIO, 2 PLL, 0 transceiver and 0 LCELL -Info (21057): Implemented 10800 device resources after synthesis - the final resource count might be different - Info (21058): Implemented 20 input pins - Info (21059): Implemented 37 output pins - Info (21060): Implemented 8 bidirectional pins - Info (21061): Implemented 10269 logic cells - Info (21064): Implemented 430 RAM segments - Info (21065): Implemented 2 PLLs - Info (21062): Implemented 32 DSP elements -Info (144001): Generated suppressed messages file D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/output_files/WOLF-LITE.merge.smsg -Info: Quartus Prime Partition Merge was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 4705 megabytes - Info: Processing ended: Thu Jan 07 18:20:08 2021 - Info: Elapsed time: 00:00:04 - Info: Total CPU time (on all processors): 00:00:05 - - -+-------------------------------------+ -; Partition Merge Suppressed Messages ; -+-------------------------------------+ -The suppressed messages can be found in D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/output_files/WOLF-LITE.merge.smsg. - - diff --git a/FPGA/output_files/WOLF-LITE.merge.smsg b/FPGA/output_files/WOLF-LITE.merge.smsg deleted file mode 100644 index ac1c149..0000000 --- a/FPGA/output_files/WOLF-LITE.merge.smsg +++ /dev/null @@ -1 +0,0 @@ -Warning (35010): Previously generated Fitter netlist for partition "Top" is older than current Synthesis netlist -- using the current Synthesis netlist instead to ensure that the latest source changes are included diff --git a/FPGA/output_files/WOLF-LITE.merge.summary b/FPGA/output_files/WOLF-LITE.merge.summary deleted file mode 100644 index 9aa17f5..0000000 --- a/FPGA/output_files/WOLF-LITE.merge.summary +++ /dev/null @@ -1,14 +0,0 @@ -Partition Merge Status : Successful - Thu Jan 07 18:20:07 2021 -Quartus Prime Version : 18.1.0 Build 625 09/12/2018 SJ Standard Edition -Revision Name : WOLF-LITE -Top-level Entity Name : WOLF-LITE -Family : Cyclone IV E -Total logic elements : 10,223 - Total combinational functions : 7,373 - Dedicated logic registers : 7,519 -Total registers : 7519 -Total pins : 61 -Total virtual pins : 0 -Total memory bits : 183,280 -Embedded Multiplier 9-bit elements : 32 -Total PLLs : 2 diff --git a/FPGA/output_files/WOLF-LITE.pin b/FPGA/output_files/WOLF-LITE.pin deleted file mode 100644 index 6f2c893..0000000 --- a/FPGA/output_files/WOLF-LITE.pin +++ /dev/null @@ -1,215 +0,0 @@ - -- Copyright (C) 2018 Intel Corporation. All rights reserved. - -- Your use of Intel Corporation's design tools, logic functions - -- and other software and tools, and its AMPP partner logic - -- functions, and any output files from any of the foregoing - -- (including device programming or simulation files), and any - -- associated documentation or information are expressly subject - -- to the terms and conditions of the Intel Program License - -- Subscription Agreement, the Intel Quartus Prime License Agreement, - -- the Intel FPGA IP License Agreement, or other applicable license - -- agreement, including, without limitation, that your use is for - -- the sole purpose of programming logic devices manufactured by - -- Intel and sold by Intel or its authorized distributors. Please - -- refer to the applicable agreement for further details. - -- - -- This is a Quartus Prime output file. It is for reporting purposes only, and is - -- not intended for use as a Quartus Prime input file. This file cannot be used - -- to make Quartus Prime pin assignments - for instructions on how to make pin - -- assignments, please see Quartus Prime help. - --------------------------------------------------------------------------------- - - - - --------------------------------------------------------------------------------- - -- NC : No Connect. This pin has no internal connection to the device. - -- DNU : Do Not Use. This pin MUST NOT be connected. - -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). - -- VCCIO : Dedicated power pin, which MUST be connected to VCC - -- of its bank. - -- Bank 1: 2.5V - -- Bank 2: 3.3V - -- Bank 3: 3.3V - -- Bank 4: 3.3V - -- Bank 5: 3.3V - -- Bank 6: 3.3V - -- Bank 7: 3.3V - -- Bank 8: 3.3V - -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. - -- It can also be used to report unused dedicated pins. The connection - -- on the board for unused dedicated pins depends on whether this will - -- be used in a future design. One example is device migration. When - -- using device migration, refer to the device pin-tables. If it is a - -- GND pin in the pin table or if it will not be used in a future design - -- for another purpose the it MUST be connected to GND. If it is an unused - -- dedicated pin, then it can be connected to a valid signal on the board - -- (low, high, or toggling) if that signal is required for a different - -- revision of the design. - -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. - -- This pin should be connected to GND. It may also be connected to a - -- valid signal on the board (low, high, or toggling) if that signal - -- is required for a different revision of the design. - -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND - -- or leave it unconnected. - -- RESERVED : Unused I/O pin, which MUST be left unconnected. - -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. - -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. - -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. - -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. - --------------------------------------------------------------------------------- - - - - --------------------------------------------------------------------------------- - -- Pin directions (input, output or bidir) are based on device operating in user mode. - --------------------------------------------------------------------------------- - -Quartus Prime Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition -CHIP "WOLF-LITE" ASSIGNED TO AN: EP4CE10E22C8 - -Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment -------------------------------------------------------------------------------------------------------------- -GND* : 1 : : : : 1 : -GND* : 2 : : : : 1 : -GND* : 3 : : : : 1 : -GND : 4 : gnd : : : : -VCCINT : 5 : power : : 1.2V : : -FLASH_MOSI : 6 : output : 2.5 V : : 1 : Y -GND* : 7 : : : : 1 : -FLASH_S : 8 : output : 2.5 V : : 1 : Y -nSTATUS : 9 : : : : 1 : -GND* : 10 : : : : 1 : -GND* : 11 : : : : 1 : -FLASH_C : 12 : output : 2.5 V : : 1 : Y -FLASH_MISO : 13 : input : 2.5 V : : 1 : Y -nCONFIG : 14 : : : : 1 : -altera_reserved_tdi : 15 : input : 2.5 V : : 1 : N -altera_reserved_tck : 16 : input : 2.5 V : : 1 : N -VCCIO1 : 17 : power : : 2.5V : 1 : -altera_reserved_tms : 18 : input : 2.5 V : : 1 : N -GND : 19 : gnd : : : : -altera_reserved_tdo : 20 : output : 2.5 V : : 1 : N -nCE : 21 : : : : 1 : -GND : 22 : gnd : : : : -GND+ : 23 : : : : 1 : -GND+ : 24 : : : : 2 : -GND+ : 25 : : : : 2 : -VCCIO2 : 26 : power : : 3.3V : 2 : -GND : 27 : gnd : : : : -GND* : 28 : : : : 2 : -VCCINT : 29 : power : : 1.2V : : -GND* : 30 : : : : 2 : -GND* : 31 : : : : 2 : -STM32_SYNC : 32 : input : 3.3-V LVTTL : : 2 : Y -STM32_CLK : 33 : input : 3.3-V LVTTL : : 2 : Y -GND* : 34 : : : : 2 : -VCCA1 : 35 : power : : 2.5V : : -GNDA1 : 36 : gnd : : : : -VCCD_PLL1 : 37 : power : : 1.2V : : -STM32_DATA_BUS[3] : 38 : bidir : 3.3-V LVTTL : : 3 : Y -STM32_DATA_BUS[4] : 39 : bidir : 3.3-V LVTTL : : 3 : Y -VCCIO3 : 40 : power : : 3.3V : 3 : -GND : 41 : gnd : : : : -STM32_DATA_BUS[5] : 42 : bidir : 3.3-V LVTTL : : 3 : Y -STM32_DATA_BUS[6] : 43 : bidir : 3.3-V LVTTL : : 3 : Y -ADC_OTR : 44 : input : 3.3-V LVTTL : : 3 : Y -VCCINT : 45 : power : : 1.2V : : -STM32_DATA_BUS[7] : 46 : bidir : 3.3-V LVTTL : : 3 : Y -VCCIO3 : 47 : power : : 3.3V : 3 : -GND : 48 : gnd : : : : -STM32_DATA_BUS[0] : 49 : bidir : 3.3-V LVTTL : : 3 : Y -STM32_DATA_BUS[1] : 50 : bidir : 3.3-V LVTTL : : 3 : Y -STM32_DATA_BUS[2] : 51 : bidir : 3.3-V LVTTL : : 3 : Y -ADC_INPUT[11] : 52 : input : 3.3-V LVTTL : : 3 : Y -ADC_INPUT[10] : 53 : input : 3.3-V LVTTL : : 3 : Y -ADC_INPUT[9] : 54 : input : 3.3-V LVTTL : : 4 : Y -ADC_INPUT[8] : 55 : input : 3.3-V LVTTL : : 4 : Y -VCCIO4 : 56 : power : : 3.3V : 4 : -GND : 57 : gnd : : : : -ADC_INPUT[7] : 58 : input : 3.3-V LVTTL : : 4 : Y -ADC_INPUT[6] : 59 : input : 3.3-V LVTTL : : 4 : Y -ADC_INPUT[5] : 60 : input : 3.3-V LVTTL : : 4 : Y -VCCINT : 61 : power : : 1.2V : : -VCCIO4 : 62 : power : : 3.3V : 4 : -GND : 63 : gnd : : : : -ADC_INPUT[4] : 64 : input : 3.3-V LVTTL : : 4 : Y -ADC_INPUT[3] : 65 : input : 3.3-V LVTTL : : 4 : Y -ADC_INPUT[2] : 66 : input : 3.3-V LVTTL : : 4 : Y -ADC_INPUT[1] : 67 : input : 3.3-V LVTTL : : 4 : Y -ADC_INPUT[0] : 68 : input : 3.3-V LVTTL : : 4 : Y -PREAMP : 69 : output : 3.3-V LVTTL : : 4 : Y -GND* : 70 : : : : 4 : -GND* : 71 : : : : 4 : -TXRX_OUT : 72 : output : 3.3-V LVTTL : : 4 : Y -GND* : 73 : : : : 5 : -LPF_3 : 74 : output : 3.3-V LVTTL : : 5 : Y -LPF_2 : 75 : output : 3.3-V LVTTL : : 5 : Y -LPF_1 : 76 : output : 3.3-V LVTTL : : 5 : Y -BPF_OE1 : 77 : output : 3.3-V LVTTL : : 5 : Y -VCCINT : 78 : power : : 1.2V : : -GND : 79 : gnd : : : : -BPF_A : 80 : output : 3.3-V LVTTL : : 5 : Y -VCCIO5 : 81 : power : : 3.3V : 5 : -GND : 82 : gnd : : : : -BPF_B : 83 : output : 3.3-V LVTTL : : 5 : Y -BPF_OE2 : 84 : output : 3.3-V LVTTL : : 5 : Y -ATT_16 : 85 : output : 3.3-V LVTTL : : 5 : Y -ATT_05 : 86 : output : 3.3-V LVTTL : : 5 : Y -ATT_1 : 87 : output : 3.3-V LVTTL : : 5 : Y -GND+ : 88 : : : : 5 : -clk_sys : 89 : input : 3.3-V LVTTL : : 5 : Y -GND+ : 90 : : : : 6 : -GND+ : 91 : : : : 6 : -CONF_DONE : 92 : : : : 6 : -VCCIO6 : 93 : power : : 3.3V : 6 : -MSEL0 : 94 : : : : 6 : -GND : 95 : gnd : : : : -MSEL1 : 96 : : : : 6 : -MSEL2 : 97 : : : : 6 : -ATT_2 : 98 : output : 3.3-V LVTTL : : 6 : Y -ATT_4 : 99 : output : 3.3-V LVTTL : : 6 : Y -ATT_8 : 100 : output : 3.3-V LVTTL : : 6 : Y -DAC_OUTPUT[0] : 101 : output : 3.3-V LVTTL : : 6 : Y -VCCINT : 102 : power : : 1.2V : : -DAC_OUTPUT[1] : 103 : output : 3.3-V LVTTL : : 6 : Y -DAC_OUTPUT[2] : 104 : output : 3.3-V LVTTL : : 6 : Y -DAC_OUTPUT[3] : 105 : output : 3.3-V LVTTL : : 6 : Y -DAC_OUTPUT[4] : 106 : output : 3.3-V LVTTL : : 6 : Y -VCCA2 : 107 : power : : 2.5V : : -GNDA2 : 108 : gnd : : : : -VCCD_PLL2 : 109 : power : : 1.2V : : -DAC_OUTPUT[5] : 110 : output : 3.3-V LVTTL : : 7 : Y -DAC_OUTPUT[6] : 111 : output : 3.3-V LVTTL : : 7 : Y -DAC_OUTPUT[7] : 112 : output : 3.3-V LVTTL : : 7 : Y -DAC_OUTPUT[8] : 113 : output : 3.3-V LVTTL : : 7 : Y -DAC_OUTPUT[9] : 114 : output : 3.3-V LVTTL : : 7 : Y -DAC_OUTPUT[10] : 115 : output : 3.3-V LVTTL : : 7 : Y -VCCINT : 116 : power : : 1.2V : : -VCCIO7 : 117 : power : : 3.3V : 7 : -GND : 118 : gnd : : : : -DAC_OUTPUT[11] : 119 : output : 3.3-V LVTTL : : 7 : Y -DAC_OUTPUT[12] : 120 : output : 3.3-V LVTTL : : 7 : Y -DAC_OUTPUT[13] : 121 : output : 3.3-V LVTTL : : 7 : Y -VCCIO7 : 122 : power : : 3.3V : 7 : -GND : 123 : gnd : : : : -DAC_PD : 124 : output : 3.3-V LVTTL : : 7 : Y -DAC_CLK : 125 : output : 3.3-V LVTTL : : 7 : Y -GND* : 126 : : : : 7 : -GND* : 127 : : : : 7 : -GND* : 128 : : : : 8 : -GND* : 129 : : : : 8 : -VCCIO8 : 130 : power : : 3.3V : 8 : -GND : 131 : gnd : : : : -GND* : 132 : : : : 8 : -GND* : 133 : : : : 8 : -VCCINT : 134 : power : : 1.2V : : -AUDIO_48K_CLOCK : 135 : output : 3.3-V LVTTL : : 8 : Y -AUDIO_I2S_CLOCK : 136 : output : 3.3-V LVTTL : : 8 : Y -GND* : 137 : : : : 8 : -GND* : 138 : : : : 8 : -VCCIO8 : 139 : power : : 3.3V : 8 : -GND : 140 : gnd : : : : -GND* : 141 : : : : 8 : -GND* : 142 : : : : 8 : -GND* : 143 : : : : 8 : -GND* : 144 : : : : 8 : -GND : EPAD : : : : : diff --git a/FPGA/output_files/WOLF-LITE.pof b/FPGA/output_files/WOLF-LITE.pof deleted file mode 100644 index 2fdc602b2f06978475a9cadeba44d534615eaf23..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2097359 zcmeFadwAT|c_)m4U}da2Er9_k@FE%K3`k>!6eZG(ODkS`a0pT0SXV|#R5z)`3GLF# z)r#8n)9ro*jvxkMva-l9u9Bw7%!F21ZIeih>N?qNBC(3Xq-kO+O5)xv*7a(=-7m7D zc$G_={k=m@OuNpd`+U#$e1CkeMI7LqgL7V-8_w_dz8LS?IjAT~N_kc7xEsgazcF&) z;BUO!-gV%Cx8H~3JAeJa$lKq3-vRp#_Z@im1Mhr?-P7OQ)4jzVn0cT>t*{E8n~R&;R_xAN}Zu|NOmw z`Th_7<)5$r@cQ?Dc>RYzyng-r-#>5sjFSGpl3aiIe@mz>%gTG|asMab4a>5!ywuMj z_4`(4t;bRLa$R02?&W#tx~@mP-1;?z^|+N`z0P`^2iXFt$5Tkxb@5lo=*wh9l3#%A zTOszc)a$A1MbT`9^gwh7)knHe{(ADr3Zb->LRGD-lg?L4J)P=ZR>iLCKw-U}m2q@V z&qU>Hf;2!VZe_VE&+B#6<6eGE@%1<=hxAw(s>{M5bV_NY7YXShAQVqRdFZ-cS3Mkt zP&`QqLSa3PLaLwQNbZMN5UPi+YX###*Q6WerLZ2qGNe2--YA{)TLoE}PUln~rSF1J z+ff|pM7q;C36(?ns4faAj?zi!oP^>jUtLy1sBX$baa4x`p*U(M3Q1_}-U6w|)k8|7 za}&}8p=&CW>LFc8NMA~)(15HA>73-~vd}r@-2-_75-v*&IRiNdp>Q5jKd0-uP#np5 z2<3U#veesz^rJfJLi&)u~`>>QVe-kgE`?V`ZJBYyJ9Z2-Qz{o`sMe z_4=t@NT_V8uig(7Qk`}AN$pXO{|MwM$VCX%O+x2&9qJ+J@C<}PibHqoswuF1SXn=v zQ~$4wd;hZ3<7iHN74lKYA3-SjZy;ZJnNVC^DE~h}R%RhRXr9!iK6my*X#CadtB0f) zjbFMRhtPPaUsD~DURZQ2%$J?l9l~bue%;n-_*}3TyC28 z*W>7ZdQOV3x6R6Drn>059=`m%o|oE&+L=NsvtE8Ze7PQK`H0E|jMpQlHWJ%D$_| zQ9Sh{-A_}P);g-MUiZp+shudEgyIiFs2(~eJxJ)9g!*}AS?HSb*5jz|Mjo2_aM{{3lQoP3Te%y`AZ>PUxrXf>2;xNs-J{(AfbMsHH<>4yDs$nR5$hS z!w`z6xYt4c9ztV?gu1?t6)2u`_-R6OnDklFV(DE>=Ttul?K4OyuD(B@Ynl%vG`^c5 zImlAX;lnhhs9#nJ#r-jaLW-wxM158tPc(1noaP1z^-WEImmOB>MoBadk3(pUUz)*7 zbC}Ab@@Z~T9+G+-)kA3%QrtBNg>+q)B`tRCqV}aZP?vh&yj%~OBY6nvlq?JN5sekv zOVs2398w=k{{=#0hsF`bQCdCjuOXC1LUANCWk?^=jr1X1>v~aGkEgvm=}~VFN~7l| zJt%GsqzIugdn1IxdfXAne}J?=Iw5yMD30D8^e(4(dgqWH2Ou;CZ-dYth3X-x>p^QC zT_0N(YCF<_q~0Dh2dI9!rtwSdP>-XKu1TnE>SLcmilg~L>j{O_)*paSNIKCxCZRb) zZ9#I=vQQlDk4VpLkXJ(}iS(drnhz9`(E0xbc?X29w?in7-iss@PddT)q7NKm32T1^y?5 z)|;1<8m3=ziHnAp48D5m&c9C8Pk+8JtQGSk_ZNP?>@Uf6<-`B+CD;G@!h2cNQf=@2 zof^n{S=!IK{Mog=VhNx6OJbk@t@3|fS%XN0=mS#$@V8^tPzusyGNmA%lyL2o;%xF< zoCdb4_=<_6Qi!NUbo8o^lbv7vSG`TQE{lbdYn_h@Kt@qziX!lb#=X)!8M2g8&{Hjl zF2=m7D}^bs*33&pw+o#zhqYMas^_`fPHk}_XNI@1l<)1+GZn7bDa&kS+<>Atn;0Ei z{3(IreXm`8_;+qBsK@|SsoKD%=i;@8RH3}0)FS3R8xMhJM}$rf+Q4vHzzu$bs$6lu zhp2zEysR5*wXG<`rU&yvI+D~bYiI1rTldsX{!NF1dgUMf^k?1iN;B}v$A0Ih-L|A) zjY52BwtIg-t@ZQCkDpReHoQd1hWg|GjB>90-cvs#$15hktP5T-4=l zz?!}<;m1~#d1d1#R$Tqur$1TA0=3R7G<~h;D>FkhXlbO#s26enm-RHfZXv_z3b>1v@C*e;$Zc=!R$9$^9*UCUrHOc|lZTqzBGt*dw4peQX) zDrcrFLn@578ivg^WiaEU25&WkeeO|jld0@>2BTD9ThPK&+@ow43)9?9hE%63z0p{0 zdurKKLb-(>cNNPj$NQYnbyI@_DW(|V;mV>=EOTL>Q|5-%qM~0moHO*yLBr9ozz=B+ z+I&+gI4X0A$3lxb?v^6F16d$ku=9JTr@*8wDk$e+NLe@8aQkFE`KJqMD8Sd%NT*eY04f-P_7?&*;a5 z*=cr1UruE=MlL^GF)Y`7+G<)fFY)$t?!PyEdTMI7NtysW2- zS7nYhCCu#f%$$EVKB{9BH(SP&MtY-pxpbqf)22p|XCm_y`lf@F z^haIiMCS3y%I-jpFFL2ZzRE|9!6`4ZKfhyG&y2ahX0-YUoTa1w`aO<=o17g&Z~c&{ zDfqyUp z(_Zr;I}4R6mvdht{c_OnHg-fJ1r_3`*Q%=PC*-)8^OjWatw2|^CmLU5&^TI75XSB; zTSRY^36OXyn%!MfZ|pMD^-J!Th6hvIo181o%+~!*aeTk)h@@*lgu{-L3_U*lpL!YJ zEB3LVS#45V9o|vZGc&UtYBLwr-L1~-M~xukl(?av5khaN?6K#Kd-T*t4WoIcdR*$M z^@h-sog)=p-yVO|XhB3%LnU!eMtFm(dV%XBR@bbrcZ~4jG;_P9k{stVzT2^SQ~dcC z;yGTj3|m(8?5JS{xst(KrumTy4?3NWO46bW_Hj(@vYs2Wl-%W(N~4=hwdnH^Kgzp- zR9V|>bAxz(+HBE>_q0@y^=|#BY)hY%mjQUoxDN52Z#gB7^Rl0-@@j(`_6gTNDzCIX z+jXF#SN0S&XQOjbH%Hhl&dug+%%PxUBYoWg0*vKA?PDQ~m zZ#<<}bcG^z>w42w!_eh=)M0wwFxU6x+hsNRq`Za3&oH0g8C?IJ^<7svTWbvm%EorA z1dY-2LTngWYqZqD#?(d!(;GoMP4DO-alyE#r#Fa=*7ZtMe3D<2(aqK$Ho6nDN&B#I zvz6@S8`#>GrHk1FY8Y#+4}Z@v+8>N6lXST*x?A7KzKi>eG~Kd0)sUii)NB|mq=UPQ z=cL@rnM^haHtTH=o$v3CZytR$w+dBmM=H1Iz0M7AA1?NMNj1RQYPg0F%HA?Bdqvd> zHLIAy``%`8JQnN~JTT6fLpPXZyx9XWk+_-!7Ep>(4P$pg<9~oX95V^cxfV87Sj6#% z9i_zn!xBnE$*>f@^m0cY+M#j_^aJ)lie)Is6l!khXi6Eo!gqbmTQsIJ>%GB6KBeEq zM$NnU{Bro5^?XJQKA)`~`AHFr)`OWv^ZFs)cJOtJ#%B**(np={YOA$W&dcx5)+3JZ zTq^n2`boB9=WIRjt@M=p=)V#yg`VK z^`JLyf6TuwJO2J-D)xGg@-72Vs`f9JR?sRyTiB=t#Z;&np%bHX!bnq^wI@tHV!KV< z^S9`!GoGh3I_KgoPkLG+-GLb?^XdW^7UF@feD7gu(^nSky28~jPYP+Xr(Eg(?Jzwn zgltiplc&nej$#$C(8eAt!XC-ln^=3hn44-_1zg$?Px?jOht}9DAJqfv ze4Mg|KB8wI_eT6S@0@;eBvHdOtL^vX!*~9IQWn92%`@|JirH+c1UU#sIE6?H_+D$m zpBI~?g0g{A5N7ILlfKSn6VNI_z;6P zXzQ3^3eYSei?qFZuLbOb-I<|y4e|i{E2x@nT&>U&spmZsB@Oy}my10+XWQoVeTBVvO>J)k=2S@8-Ki zL3^XGoH5)QjfRH_)9z8!tGfH#P-|3+*qJh&TR_Q$NT{Me{3~35qKpXx@e3_MvE|yi#7*_LqrlF+U#$vy!ck=a2Z+}as%NTJxq%m@< z*?HDvWiMQ9Ir(GR9lCYfg;720MZ3+CyQkrt zF=UPmW{wFyKI6T0W^{)Ww5wi0^@Cfu&-{~L{)LvQUbDX|n$neC*D#z-tXqhQb9%L# z7ynSQ^E&el?7PiiPM9r=F7Nkv5|ln%bqA%DDhF0};(R>I+T1@Y0r6~O=14GMssp9m zCXX))Q;we#^Al=ovE!0o3fy8i@S)G*r$DyE&n zT>C~_Rk~6f-Ch=ol2b*XC*%axrpg+Y#)`Df(0FJ%Yf6fDvgcL6>F5;Vkqc@wu&$Er zEhTILcqU8-CsMj`l8>26k!!pQ%!$AfnlM0zO(w?gd9|PcStp4ZB_4nO#A)VACmrO| zn8SV|?)n{&RA1%qbcT^p;7L~A~d2NLD6F}BBTWs2!# zRkx4|rQ@s)K?;0q!^2?I0>{t|N6C4U7(D$`Wvd*NEe>_1txeoeyfFd7c0zp~t)PUF zx>xZq!~8;@U+y=OaE$xEl0rvfxe&VRV%R7mn9x4L|;vqtd3VZnKQJxNF%a^oyMa za{;C;bSgop*$GP*)6x$3Z}sqgy)(eDLA{gs# zaLlwHyxl$WZtM1O_C@0%eSZp??blBke$Q|l`%jg_M(0pl^>F6X!}Es7^c!EzZ1Py! ztD7rE@vIRvv-p%TpJ{oVos!S$Q`NTWkTrX9?p(Im@~Cu9wof)4|Dv;Q=7IUI^QWxh z_nhAK=WZ6K&0~9V|HNbWn!(ojo%3AkJEDB=d>7EEvx8STJ0jk6?ZhWfdOf{^Odf_J zQ=%;zpBla+b#r!hsQK0lX6GGuRC4;n;mX-{#zT#~C(4~&-1KC2(fo5`zGcqXujjs^ zw|oRGaR+-yuMRc$TabzwSM-?_g^0CjJx>Txa)Mp{eutReN!F)9h*fMmX@$Owt1j_ z39d_o%JSZ?qT4|8KMh zpB1_P#Vg&>-m%VVca-~v@vJc$b?#ouMpq{$5&44i=uUAAH}4!?h$(f;H~i)c#&z@X zc0YHGN9!lo`^^^zzi-AlfZpwuodZM*T=ZurS?B1R9|flOJN@nP_L#AJ(l6MSi@@o* zuf#w4M6%m3@s8;9HhAVyK!Q(JPN1HVPev;>244OKY0Nf6ZOL?Lqw$=P#PNwq+%?i2 z9jmE3OUgfE%o1F$t2?s&FsdeX8&4ZwHx?pj`^~0>Xm7AR&VR-Lwk~@9Lw@*8M2+jm52F zzh-32Cr2-_p6HFi;Qdakn>k^Mox#<(Y5lN%Z*X;{>DLT%YcM`9T1VHmY#rMn+&A8{ zb<7b}sNin#1@DhPb$Ex-6BU^wP?zbINW&AKl%yLYMf;*7^aw!eJB-XU>mb}chB?)m z&*UBFa&Dx()yeF4m$J}tf4;8e8|J(NheyFIWcDoK^@n^E@3yuMi><+9^8zZCI=jxX zt;LTT>x~`W8~2imt)pA`2-)!|q zI|Lv-!lE;SER;l9YvJs1+;X!yR~d6RItRT|(dEus(Ln450e<#60ceh!5APg3ID9p# zWKO)kSe<*tvP@Ffj9s zuK@&3bz>NlNGBwY^mUcZPx6Zfp!;5s6UOx@3X1XU zhv+8CQx9u-Z#L=?CzdlTg)gwm6H8?T8{01$-#_)imPbePv&WXO{RE&sn>}$I$7JFu zep*!J#6x0=Cli44vwVZdT`peF{IOfkSPnl1OA=5uOd(i<6*sRcNj}nmzrprPdcP}# z?rqg|l@Qy_8Ln{O3-OvqoVeMPDnh(0T^OhyK9#E4ddWx>4ocrfaX7%fmTu92+F{zm zYZbBBg#fJMA50S12-Xn1u89V$-&7rTz1TnG*97~F&+qkrDuyrpL=1mF(Ef`U{x_E} ze5Q`!DU~4mE8hQO=)QEaL%-`SS7ZH`*^bG(#x9tk12UgI^t|cqFz&2@_-D-LYiQpP zl(UB7aZ>uN_{pCF86TZYccQVz2}$ z1(N{Fz3RCp?0pIkd|+Y?z~O7J+s1r;nsG<%s+v86BYgjq$GhBX`n86Ey7zW&p3$=lk#J-) z=9hG2kL*g~ri&vtHHx$HB=36GS#yiyHCz;iA76W%*;R%nuqD@$wv^k#U9P#U7%FD!VmSLpAA8b#Rs~hz`w)%sR-?L&VdAk&5YquH@q? zcMED8PlM!luo6dO0AEs2&xhtrqGkrV&nIHQgOLcr+NH19}?e;kUiI;}l-|&=8tyC>8VWIkj^f z=v%)Tw4Hf|B+S5GP)-%cm{5yr0;k1Fp-J}eLDj`r zHW{8lxN^U0gS!}1F~2=D@~TgXH9;@KT%H;>H=&rUhiOg~&4SY?2a3V1DHjY{ubncm zczAY7@v#&sN2f!7+9`8A4+YtX4rT+4hazCl1sC$JyH@wwTHpZ8rW)p1PSlo0G><)j zUN5E>oG~3%>zu%ILvzpdIoJdsN3EQt)VJ&I5UFfPugBnk2 z$4=q^`zE13u5G*a?s*Ix@Q1dVgiI4wQXcM78=-2JEa@1oG~}s18V)|3A{rR&8;_#3 zu~5jsu^-W9>xBvxfORiCXMqFbu=FBU-v2**WD ztPhL3?-EM@soFQ~PfMe)%294HomwQusrl^_Hx#j1J;LGb0d6!(x&0v;jQ+;ed8-Jz zi%l7gQlB)|GYi`nG&Nd6G4=)aO-OA~yHZpa_%0L&5lT6@vf~e)?oajDqdb5UlP*4P zWIiCw>|6Ay&DA(RC(rj3Kio6%EaTIY+0vb5hjky?6qVo8R2^z%EzT)m%a;D0PVY8! z?^MUk;ccgYx2kcsGvV{s^mH2MSG~?$>~ZHR=Wi{3sdu5ZRFpjj8js8F(T!$`fdEQ2 z$w3)73%*UH=->9c&95=;ukav0C+1o9+^s=tHTRFb{2G&cGoKchjGn7^;8oNsJ3bz- z<716{YLeaYi>*Ot?~cei@u<-`-F8ZRDYN;Hc<0{kTZ@0qDr0(Q7Z%{P_Z;i#wEBuq zwO74$y-#?bG3Muun}g}zw)6?JXTI$N(oC9r4s|^`-Zj!DvI~B8K`7a6azThLe`t=__5{p4ye(68>rE|G4f}%6Qb$e2$8ImwHD7N4X7|g! zxz6$KarSs#AbhWgjdUO|D)oDBKJsFZ#cViH}L)o zob222BXZ}!F>J}NI*)EfZS2jD?i~1xI9&t5{h|)n6PV>Y{U->nFT{6!d<~odj3CIX zDEnEXnnCbP%L&5j&$d)$EpnC!gJ+H8L&Nk~dHn)#cfJPNGw%gxr%bP`@DQ}{x}%*Q zu@u|e$sGUGo@83Qz0$U@C&>Sm{~6;O#>G9!XNGqh_YMQ85C3sZS!+&Q^kI^p6LIo5 z9zYWe)WWS_;ChBTngbBq%5SRy;fc=?5T2`)2-8xq13*0UT>cW!`5as1!0*doxV5zg z+iQUNINeK_c*U&;W`NHDem_ST{y_UEUvFd{Iy?#>o!ML|Rvfu=|0VW8c5iVpe~F!C zH(RJnh&S#91bE4t!ItW8>M%GdXOGh*#)kcM?D+qb*g)YWN$vx^E!V zp2M+~H!XrAfTn}Jm5l3vUa0AbvH|aLBys^!%R#2*8qn*#8Q(6~bR3+E<|bMDOn&PF zUFL&_M{|z3wuK;j@!;Y6+$H^_1A;&lwJn1sYvB3|zwc(SDc~I(MsT3-W?AB*nSa?B zZsx_R*<5uy=*T-%z;@YN;lf@ph6&G4Gr)16^%{2H0u(s2 zO#&9VT|QvD`$K1~L9l%vCvZKehIYK!TWE`EEQNw0C?9sYT*6xXwkVgOjJPSo=2S%R0*N? z*-ygwKFLn(cQ>-s1i-uc;$a!PKYV21f^k*X0lw8G^xgtXdL6zWtW=hf_=yj}P%b^%cDNQY=ZXDa#(r!^=B!41cgjcU4ZYs8{kNDbIZiFVGwpp_>7C`XldCKOiYvvv#HGBuL9a)m4bsTHCw1C)w{~4K1u%y@4cBE2y zu&v4z%ol{!O>7y--Y#mDcRc^)uQ=W8_L{AnH!qpkdE37>wcjdU3Aghj1hS*M-1%ig zdCheH+35LymHovCfbZ;!F#X52^q(KE#Aoi)y6tvi{uS)S^d^DEi5%3G|>@P!+= zXu?j7P1rf~kY6xI&3oT^(^6-=@{zWwKNkMuGkjh0`>#$qJFp|P949}G&1i2|S0%{$ ztK2iv>=d75*cvwomTv!})zjCj-+p5O4DUv2@4P(u)f?U9=rm)Sc&95yYwBKuf`@rNC3G9`GJ zyAf<6d#^fg_eoP3@11Fc`5HbTiX&bpfrCIc0q)yJN^KsH`abMX{UB&Cef1Lq;S|Rx zJ}SW;z^4R0-5dmzz-E_*qnp_COxkjdBnM@oxw5}34dqy%uzr1;2Umgtb&o9#40)k+ zKp{^k%Jv6M(RG6^8cIy8doM+e|@y3zF`A0ytpHOP*_R|Mv0!9S9n zUoS=L$e9aIu=ihJH{HH1a;Js)gb5>@lKpL`XMP=@&oGCLjR3u0H^$w}Zgahy_)Wj$ zceR7~Y9I#2XJXsXo@n06;`?}6xSdXu+yel9 zijOu0AD%V_v;9$f$!tD%$jO`k;2zWs-SZxlXAkXHi)px|?T5Ljxe`xI&cA`p&6%Y; zHeK52Tpx{I6OTE~X6nrVdD(RBNq=>$IOc8ljAXkZZ$BrG^s%M~V9lXuC=m^u-KJ^z`iyB4Mqj;vJ6WLm>Pv? zJjQ!Hj$s#hyg7oOedb&=GzNok0#w5Q#V1CYXj?t5X5wcAk5>ELqIJxE#=74c(zXpd z{w**8B@U3HBR=)z)wXni?EyhQ5&#q7SvB|O~GQHSK0jx1VaHPdwZ7SV*y2imofs-0L|)Lwq&|)sJcYT zq!lIP3tWUQ2$~dZq9qef>#FAnrFqoYrup`?Bcy}H`dZh6$eS|Uqp)#GyzxN>7SxW4 z5t1Pu2Sp;;PC=(}mP{I$o5-Ke#Tty7UZ|DDF=!H|`6wKkg{xdSe8;{F>qv3s`S(szq8hL6l3ceT)s zzbfGI-0ghg`LIfsIXHUB7IoOZX}*U4c40ijUeF+E)`OI&7 z#pBLVCyceyz0ay+Uixq)-kYe4^#$A!|{#VHMmHznas3tQA? z&1Yu2uWL107mg#o?fjw}u1Rcv^*-_KKY29=Pmr=vv%R_$ruRAt9K2mnB3N*hCj2S( zjnAnfEXKYZW@Ag6t2$UN0054=t1g=_}&90qI&zIv+EAZWnco@d<1TIZnqa4*7F(?s* z!s29Wo{k1qiYlH(kyqv(b&q6P=5(jxbjdz_Z|JRptNMMJ&Zdsa=JMf|Ir+tG@%Pxo zd3ZVX8skxqm!1E#+uGk>{4&3+=O4}HMtWPUQ`Pf&r+FunZqYg|{g20MJzAMER%tUn zg))uTxz%`dA)_`7vm}3;%-<&L?&^5{5#A*i{E74T56Pxd_nWT$>anA{vCod88?x|( z`o|u5c+NPNDSn-;(X-o5&5!i#?`IRw^j05T%Yt!!O1A*gTLpW^q;cYg>^qFknWoC0 zwf4fvzZ$P)Q+n3#k$qx!XV6#N%YrU-U7VZ$;+4q9hRT@eLy?ox%m+Pki@aQ#J3H@p z=C|D@-zM|U{*I*Ef0_>h*gy7(@k+I&61i}U2cFNfmx6eh;o zox|UE2rDm+Q07jFz-igf4Dn%`wT4j!y6doo@N_Z9yeuAix=8q z*(cQeLi^#R6S9Tly3c{N9+>~$*7tn}+E<x94gZvJ0jU2ef4cNeJo$nj zY@Y!wfp)s;9Nsx#T>$2TUY-8E^w4$vYYaewqkx43*#F^mTjrQiF^h25ywpzEzQhRX zw*q0;Y~L_t*I9t5L>6sxBwI7t142JO$pEQ8XCU@8zG0|Q1efz8WaD4#9097nw+Q|K z7W?3cQA@lqI>G>~5BkqKz~edRTI_tzSTCCADzMl)ZPonN{arK8y?YPLJnPJi-g^&m z2gQT&s5=NF1=;LB-}A+q{k>gVk6OVs%oD2sr8%l;CQCg*`5LfaGR^BeH z{JC81G4U)*ECD^`WtWtFV-fdd4g%%lnS0sRkuLHs*g6WL;mh*soSb1-a7*5A9ni+c!6Tg zKHsc%$ew5)Eb}c)tD*lBB@UusEKBLc9`J{pj%jw{KwrlP*-la7n~>XW&G!oT#MM5S z^$*O9W{)x$?&BF32fm-)S2#K7jB?w$cI4d+i&hkk%M@6FWn2Di&5l210PKSq@QEX? z8S{<6&8Ktc8L=>~C-~vh=Px|kj6kBd+n2*B8_@Z&+SRS8~9OYYwk2O?z zQu`w-e!eP!GWHNB(AVv(we;eQv&0GLBcKMp3#1Mod@uwxMgRz(pgCFJTTc+whrz$2 z2H&G)Oa3It09fuRrS$|X_(UQ^L<0N8q>_5f(MDhfmmYdaywH@LI@>dW3EnEUc#8P1dH*hU%2hQ#Qx=$kax}qG2^e!k z;4?UEWE!=?Zcl+%KDOKiUEAfs((HTNRCu+S9WWy*FmbK+J#*tD@Bm#Y=z>=i+E8L! z16ggI1$zCh6VS--(e@*iOGqni7>2~>! z>hBu((YID%1lTG+I^Py0lfBub60=*H@SXU$$o56RUGuWxEDW5fmb9CIS8rk}z=%2T z*{WJ;w2MPtJ%9Up}+<7yGfer7{2tTws5T zP40~ik?Jx)XK+-TRg6@{UNw=epve-+8fM%w57jmh4jC01W>v-9re+xTopA>r?oJ9j zFU?pB{cBquGPCEz$qKB<;KiHse${Dq7FqHni=SlfM+VvsC2)Y!`!{e900BGKJKxMT z>CE3=YU^@O>&~TY*HbLMIFf#?*lOmBr?JM2l-|Yt-QMnO(0-1~W-Qu4Z>$e2NH4#~ z>H4qnLGOTnR+_m!nG8*pJZIB!AuFC z9p$_VUtd0yM%Nn>)SiVO95FdY)i!*20dhduk5+SLgcGL0GwuAF?GKjZVAUBj_?$ z*}gBd9~hmyZ(C3mjeX7r1Fx+;jUal&kWA*ilMWtoowF{U*dt0Eee(}&8+f#<{Z#|W zzxcc;v<=*C_qE^s2RmgJ)K=3*x9shbw===>-I4P=mrZh-wSYysl?6SbP0ipS-{PM1 zDp_aKoh9lKJOOvkPsMzIVZWT0J zRqS4L1YoURFmDKU@O>i0%zNvfDh-j;ON27v$sWrt_-7dSZnx73F=A|dI1zEs0aySc z@*WVObW|rG!otj_1Cu)qBSL~p0Q2Db9o&!MpAXDA8f+Q_?Y?8P4Yhg;GFI`))f%9l zf;`R0J6$h;EeJ`kz@gGu-clzd90wG|XHX`<2!OR3oBbIe?@;v-r(Ef~uK*MLe1vll zQRVCz18hKfJiICItBqu&1#CUTFlEyMjs_~Yf-kG@m@)G=4f$dZyr~vn5`T@|-c z1!d5vD!r^@5>p&tmaVe04B&Rk)_D1_(egGHVBt}+aIaaS6qHRdnh8r$67D!IS}{O3 z@j-J{DDc}A0wcvvf9iKr6M*|T^&`zq3RqKMDpdAyn4mF}(VLc?-d~IyxWf};plgNI z=rct*<2&d&Hvx0s>f)mmwGa!8V2n84JyGb9rg0lw?BMv3f|=WrM)8o$MK|T&21oTW z8WIa=6I_Jg41`>GQCp5Vb0~cOln<`zC?D5_hfh909r&?yG&hsPGIry1K|21m?>w~B zp3oVd02rZ8(g^Ohk_FFuJO7c`rU`XjGdGu?hfwX(*r#=iMD> z=E8uFPF<}GAb;5jrT^rS_b?5f{ZD{0%!mr!068_}po%cI@GBUg8E(wStH|I_BP&E@ zBtF^WyVoqdjvTTW38MxGru ze{jFs{6S-f?zY6I#MtJ0=0W0gdVR&`*;IbIC%e7pLSOMSJ#$n3$4_JR{`Wec17q-K z`MJqQ_>NP?#2>Ki|1{>rb3N6wC*?Ce)#=8n1yZ1AzOQ(!{jGa{d(PiGf-&EGjNkG8 zDKB@`kIF?i`zG^&g>0hVx*+a8-Zj%TG&iqiuR9|DRnP}Jcrq%wg`bQZIcwqTdkp?1 z2Yy(MQniop>DQ;0PQV-Ta_zFl72sQ5WG3bywNJq8F_bFo@s%cE2z+X?-3$tvVZNDI zf}4pyfEDFMz92N8fBa7O7Ng@(JYF(t26g`FCBXfnw>zx+EikX+gL;D2WMx0rOJCdv zxo;WIW!`)EG5%bJ!1%ceyxdp1^e=;LVhhMee#te!=#KFzz~Ah-EXc9ova#Sde{=9M zx%;#2kLDi-n!nKa95{k$@n&+T_u0B=i7EJ|KP%xKFuGN2tGNd}{PpNbLqxGgj{L-7 zTr~WM+!~Ho$W|wYH9dD%e^aUj$RIQyg%J9{6e(Q4>NGNULR5K(Dzq$Z>paP&4^2 zAE#OE+xtOi?7}m^sX6kul7GODz53ze?_{nWb`Xb~cGA^FZ+Mhk0}lGNj}G8bkl*>h zS)s2jjtlYNqwooEp&2{_Di6kk66hSR0d7q@at?U@D{d<{L|Ec5?8CxefRjLVy#Z%^ zNnh7bEP^YjaC|Qc*MPZ7H6z?=2RQ;PW-E=b(p4KlAkj?9@>jR! zZEa^j{sPiz(I1TWj25-6Fra}8sQSf@qFU5OZR_I54a|hye~AcyZ$I2+Cdqey5|AHW z`{x-agg?#ioD5?LcYt#MnPBwEGXNe09{>>m2mfab`Wm3d1(?Wv(23Kmo8c4wD&HO# z&0#-jkjTMhn!z%hJj~DXn_G;EFWior+de3O_TTVoga9G`>LbgzzHa2F5DA$QZwGkK z&?opP0Inh!NOcE*vCbujfaSb3H-91tW{)1v|o1g+`Mo+*=pz7~(+R3l~Yh62+{rYPr|0R$9W%vHIEiWMh zR@nTPJ@Q}l>YtJItjpoDd%%lc{hys#A{2&C)cgXzsk;|yZvDgsd=owfhlApA`PpCL zY}if_ z(yLYpt+)o(VPV9TB8n;x${eO>u8M>M{4b9Gf&!O)GWx@e29Idf0M=jj4Nz=-(U8~$ zz#$MRM8VhUnSzT~19mUi9oM#*6kYS=f9R)s@)N}VG1{+xP586aw!*{LKnLC6Dr2a|(at&VAZ zNE@G|h@p!b2 z?-GR+<#AolgOTmp>wou*!9%PuMn0O#mK`OOhU$c?@-QE=P%WqgTgqI*zn$8BLiHNV zNyk5`5zzm`e`e;~>4COL?dj0fTLbnC=l_*e9J^TUYUE#$gOlDFiM&@b=Z_A6Hu{41 zA3T$7+0D&HEgOuOU2a>&w1fKtXX32%*Ts8wR0qy24&pqQJnL8o1)nJm?GZkE;=^lr zfDh_vt7h%OdNo!dzrJ$Pa~qY~!|LjPf!p*vde9qRE%6$LwOkNZ@7C zKs`#%QN6J5Y~TT9Fh%+Nv;Ig&;FPh&XZ)|)u6E&PfQK$z>j+6#`*^5zOb9$-}9b-cW%pE;~uuF z-R~I(0nk3uI52xSY5PLFZQ(s~%hdFMHUFNr<701m#y#&%T-z#>!EiAw( zy~|(Sm1>I48obqX_})y(*azZ#jM;fMnsM-@b_6#S=JtqY^`sa`J)&lu16X{leZ3QU zYM*PHYJN(*UXJlDeA&;knzyOcWg zRH)%ca75M7J*>zKKYlSI!c;-+!97wbFdVzIyvhamq0cwp*jI=mF9qmTb!;_IoD1+4 zu%erC=j9WO!65)>3Gficat*30j?_gt#y8f$Z6giva%LucQ()nC@M6$9{L(gi)=7X= zf&T}u-L_sqp@6P<;RrkVc&s$>r`Vx$_-&z7^C6Cv5U%U^mAoiQ+3({Ttii$Pw!O?J z%k7(lQ(M(stb?#VyAr>&Cse1PHmHGl<@DarQSo^iHZV%<@fE5Euc$QvaeYSxew4uG zWON4rL_z^nb|L2YP<$AZz&3^E^Fhr%2h5ykeEnAR9kxw6=$9VD%!%j_TLoHSvua1F zUOc){e$;|vKmFPf=zyG;;!DuB!ATFsJiY(M1-R8P(Th)?8YB@m=%zpctNPB&s<8GZ z7>2${fj3SXpdW#|dyxaL#gv`CCmo>u&|Rz}QVUW~VOW4XQhfWyq*-{5DxJV<*OUTB zmpfNSeprALM)Yw^lqk-}wqF&4s&Z5m9ChQ+jTp)?u(>@7Ul2IzA2L#9hPeYTMY}ex zKFNw}CTLQra3>g6XMZQkIsgS}*K){0mYX@!W1E6s0 zgNc9+bOFC9qMcH%->e1nr1W^vg^(f#x=?|~*b0eNt|?lD03H-D1omARNGi7lP#_hV zt?~+`6VS3tI8`<+3E zrZ9)mt@MR+>e}Z%I%>p`|72|kp4q6XR(O)PWuCO-i01E{U0jG}wv&_Q^HUp1|0X3_ zr7yg4NwwEl)!CztF3=xjYdDkn@jX_HUYo^G9@ zJ?a@Y7HF(f#cAs8*+w0-7hc~sns*rYD*gdxquImPU4C;1@9EGco$jl*)?TKhMeZM> z8p^*!eAi#4{x2ON)gOZo6YDiYx<2+I(P4Hy75|#wkMg?!F??_Us({$E_|%4VR)mji z^kiRFeWF0iNT*+&18f_E>;Jhk5FKbh(S6tdW%jbNN5O&YR|Su+K^hRBxAQxUdcP)( zW^|3Y3Gf3BFgCfaJ20_`>;Mug)0S%ycZAyK3}rdG3>2V7|Mq%dzb5g$YdFC7{Oo;v zx!~*Wb@*BR5W`b5CH-0DV^Th%^){IP>nFe9pS1tP8hD9F4JaOP{m6~?zU;#ioc~isgP-0%bPcjtR{%hYfDd^99Jp5A zi$DT=n!%2Bb%OO|0aW%Kww^3dW_~&TZ>6n6?)fT;sUyGrUd=D2?jOZ6KQ)0TAkY7I zpZ>Kf3d1=cMa+MN6f0yz@%@Yd0$3m)&B)|+pEH1Y-scOPvZbu%>*VO&H1onbXF*S72iIYO=U(sLPtVH5-b~=~wG{u7d<`%t%0A8i zfL;6*PvBny0Z`q$M*sKT=RW->NZh_4rblh^R(W46IHaNxXr4J|M>ja<80 zy>dIdmWnU}xL}PI>-TzPGx39RKaE`>MZgB&4vcLf6{XTipFH4y;qUR;1oWB+K>ch) zN>K-sF)UsGAF?s2RM25s!i>;i7htOPjR{Q1LcwycYjBBZLPahhbi=-a?`FYu;@8p% z601*LfFXJPg@qCkQ8`(cP&LnQzg`Nv;72XDY2XqaU?{{Kl8 zNMAt4?!TtoSO4L!D{$B z2ptQR$e!~*DR4`>sjHqQ)P*U()r7awfE54#`ZHVwM&J71fBLh2@BBpN^%u z3sUPg5$w0iU(i*?x9gR(NKR4Pm71cLV!=e4(f|n9@g~zM0!zwnC6?3ma6mZP>NUYQ zjDY6Y0GhhqByQI+ct8herOBp&QG;@7tyC)TKs1fDg`Go-2Bg=jd<0T_T3U?s zMOD)@9G0GvLncPjUK)absHIHNqhu>9lr6P;iVK~C%xQAr;wJ(QyL{s56c{cPnW_b; zNffpMUKf!4?!OrRBhu>-pjdFSQVT@gu4{lVqlRKel8joW(g}p8VM&c_bCn1W2*e6O zLy#Ay%r|b$h_zBRWj4y*;V_pKUkOhv@R_3vM;(A_;M(7()ehU2rzQ<11ecei4l`Z%E0ypdWM9no>UxI$I^VMKwH6}Kb6#|<&&p_ zD7k8OsD11fFzhX%mQS!I1mGcWl?qTo1Ys}*R|7Ql2J=9&Thy6SPs>K(7zZ8PWp437 zFd1W)5-X~A+^*5o=n1B&a!Ea+w3L4A6c3WBDaZ51ej5G0u^nUD4WlKOdySpV7qcy9 zcjuG|pVm;Oa-G4>ofGD!$@x7-*JREab3b%REq^Do(m%2{+w0tx-JiNI(lZrxYwoQuwq zNvAUEbT9JxlGa%{A?vj{x%-S!AY;VkW-%LL338E)Qc*W^Rog3eD~Z=l0C9P46^1AT zP=)740|gO2n?b~sI3_ks8ly=Wp0@TTl|{wDgOx12l0>e!QFP!0TLc5;+cMlJJi@+2 zKBxqRfB`{p2rVc83v$dMm;cj647Y4R-3|seQjk;Pyc}ClRH)GnB6exYR7AkH!w|s_ zN1}}2MIGjdDWVbA(J@(rZr~`qnw8S3ZVozk>X@JD(d_dp-OSb@Rgna6Mz zLfvqirHH7&4O$$KwOuw`d>{g~#?lp=vKQW`0YJMMT9n(FHD2}g{6E%fT3tCz&es9LuvvAk0Aj7lWwraEd-Rex8s(e+CofBgURIi zBe_!uM#M&COt~Ie@)B+wZ*l|oe)ZqU07KBra4-lVlOR-7VI2r0cMJfw$6XXLyN zV1^Sh-Qbx5Xb%(@H$=Zp@Wz&$0%d2Y$3mk4`nL-=UYq)vDo3E#GJ_b=0LJU1{uE|N zpnPqhEEOgas4hC`rh)34s?;eou?*6^x?7zGMhvoo=u-|Lj_MT_O;5mW6@%9K07rvJ1rhTh8dzni4H0F-v^LN*?UHA?!c!8;dz7MhOi2Z>i3EQv?Xo+VF4Bl( zpwPHC;J36rF?ii(m0H5QOn`EMw+Lh$5PYo*%hQ6&*Tx59In19*z&D2V9h zvZJn~pLYvP1dD{G0_&HI=y#)Gy7ko`&8cH1m^-cw2(!2Komu(?ce-vK4i)~VxIu}-ISDkF4=)SGD=W7!ytaEYU?Kr!_ z6?pn%;?2z^H+I6(GM2scl-x2UTaj-nJ*ri-NcfkgtvfRq*}N{riIL!#gyH8gT5@7i zx4B|kbBSH&D6Ax%Us z!kfg=pl?ItAAyprQbTd(Wy0Fcth|x$rWN~Q+st@YuJ|IGQhJngt%lmm)n-Kbx|J!& zvg61>93L}~&W3b@CU%i$Su=l|C(UMRRjmE&Gfij1rEv8~L%tP;arnHPr~bBYU7Y)= ze7yqgZhU^B^y$g!x5CK6z5QK${D6>8Pi`S+!~Y=LP3P%XpTGUZ-l0aP?n(bx*yDA` zD%gZLpD+0RR(Q#7xhnwizuiBC_lI5s``etJg^?0tTTA-jONJ^8Dg$5$EizlmQkuikxt zH6z)*`YD4nfgp@Zz}SB(U9n8ADB1X0k+k@AQATG_s`KF&oa6n?xq4_0pd#`G`3!G* zM>#WDJ(WwGL_N-j;Z7WHH2i9Oyg@BoKfNLS8t{E64= zLPkOut0O=FU<=!qJ}Z~PpI2^GD~d99Zq%I2vRVR;z^OB3Cq`vxh2iq5#7G-wQ@Ej(7Vs9+?c0#tL$T(9bJzy4OTnLD5L!FS~B5t?n@O zjn#E>_7OJ#EMh+^n^44WFU$HU;pf61AVw_gu-ZG2p&JSQe9sMtKomqPr7Rk$ERDPG zAw9a;pe+uIt9Ix5PU5j9*Fg@!3atWFc9K|y&PncT0uE7c9!mFhlce?*h#06xAv#^F*mr|M1l*(@2fxip)hS`pl*^h<30)35$aX4-Iw4!DlhSD&o;e3p;RRApW=N@GtK`@&`sw;AV_ zV<#_F75n&@{$j=S%v`mIgR1QnO%D!@fzdrvDoDbZes%EoQliypLeM@FsJ4Cik_?;q z8gNW+46gXh*cqNFI|X-UtbZ5BuG4(U_P;>Xe%;|mbiee27khpAzv_$X3(nIo8oP|D z8QH3Rx#*p^KXKJ|tJlwYQC4S8z9<)F&=NCV1XzLKi}}Hz^G;snwMd@$rb9%;lqV?aPFt&P1K4N^6>1;ohAEg~p@45^te?r)>ciiT z1cC6w^21p$nXr15BTfV5iGan3$d8{qC{$wZh7kuN5N1a4-;~C#D7<5qocy1Dq+|P(6$p+w2eJ_0w~Izc3Htm0`iWOa zxoiFFdj7GLK4D#$Uv}B`)}*-^()%@9mjTtj@@H`vQvO@lkN+Rvkn*|+0M>bNn>las zKVgc2^nS@b!k(LxUXYg+sSfJbA>K-ma~kG|2vN0FjoJwE-fXujwfhj4R9ltX46)j2 zMVj|E2_=v>(ZV2XqIjDb>r7 zn4R*i>7DXz(niQi(2iChbGx|_lR|^)7W3MT^6$;R@q!KWFJAXv5UYY(3imH@ASns) z8?3NAEVd{qDfT?6mGdM8LAN8VVfgm4Q?f^Am2!MD*&cuGY%7AMNWu1wb~M>A&_iac zTksqyrd|wNOJ;?t1Rnkrqmg7gHHFY=Is{%|g!dUwJnma!F#4=5R1`jGMbuvOCw+iu zTPg62Q`B29B1IzH?g4a&60A2BK^kjmYe&0pxOGF_Oj0ENt z;Y~FlEGBrbk;+-7RXF4BR-4!*B`9<#MTDbOy|s1Mf-neP7 zT{hDd+vtE{hF;}~OA0Dy=<#1#>WX5%WGjo1Q(*Tk@eVAI!=vE3bW5ajZP#C`8(B)M zLs)+h(rIedlx^Liw7_z%`IO)FP9@99xU&QiJll|wbb*FXCWnp?i@i;#*v#cBIVV?~ zV|eZ!;Zh5iyImsP!RH-rg#%6cltJ?0WHg&z;>tkBbcXjRSLsrNgQe)*#3ThR!@Bp5 z9UI^(RBe+5+48JohNw5zY7w+MX(8(x5v^7nzJjFmSLx_d7~tS5n2w25KEw<0Rs?fJ zlYy7JWvx?@R*>CsCglV&x{`$7d+@smH;P(Rib!y&LM7g+HsGH5m=fF#3g6Y|NwE|a zL&I_rtB&Oqfly*VDK2Jf4jz*>1FkyQ-j=NDP1~7+an}$c2kyTK%kKq*0VWsVUrRJ3 zvH~L93@zmk161Gw=-q(|X?L;{eG^e!2&9D=e5*o$qX>d(5RApmCLBI+vsUjq=6{it zr;U)Ok3W&1tex&lgmi6Wg(aVLdQSIwc}k<{PHTv>gqEa%O>f z;F-fY5r_c|uZR?xq$CoE=yMO>XiW+N$Jo!o;Tgbk6E~BAm;(Q*K!|Jy$4X?hnD&JE zFd~FV%#nnxxcW68lx+a3zfYaKycsh_9#+ z3lPU5F=;0TX@chNXNa)3OTrr~?l7B>q46rFBrhWZc@d|X>}xsT%Ao}#Q_@m~gfO%y zSBaQ_0V&l6J%FO%eh8RS(-=8Jk^;wEo25Yy$cKyKKH>z9{C-L*EX#pur3!N+Ef_`J zViA z%mzt+n?YZsTQc-Q;2(8Wp%DqaMZg%2rS_&1xUm?)VRHtj*%+QoFL|`}I9g`C1tR+t zRWb^45#2T*SPB?P!~pm&aGDB4BwnCFNKOVe>meW!suD_zvH_rfrS8*9{ngr+RFwQ-J8Loa+e4z^)9+z#a!Dg{Q73Bz5J) zQv*3sVvgiGGOo6LT{XmFUYq~ z%JT+7@3VA<9xL*f^-T^{8>7dH(Mo%17M=Be<*`~Xy^=qeC$+ERsS1oOlS^z!yZNb` zhbp7rQydI*E40WHujNNe>~fwxIGL|dlXPf$G(EYvnWxPL$GTEhrz@k2vKF1dIs!#w zlag)0>RyVbXf2a<`Rd7R)gkxA+UeRx<*_Y(l;OwrsEzEt2}KJ#3$4|Et~fzojc_k6HdcKZ!~dTW|`Y)c@qDwF}x7nmpYUy zEN3Rp@zPK;9m~?hV1jb}=f8YiX(?{PN@2ulRVwxoe>tg&SpriIpuov0xc@b!r~Q4c zK62o|U#-|eqzd~0FVXteqJgZUI@pMPa=ik4VZTvCp1?k1miTcs;|dHqY|Ul9s=}pX zSMj-`lJB$oSZtLM=*oa-&?7GstenZ`T>K`^=piu8e2XrIPvtZw4`#&XoE5%*FiUqE zPkga!HA?EkSPL&n>%!5~#(uI)_VHEUA$CuxYc#HJ*=u)aqo^S$e7U z!)!J-OK=F;qP|c{yeRK7P$_Z`_3Lz1J=SP$yMijEaa{ZZf+`v3K$`!e*~Z(K;Y9Hs z+%@&?Rf7O1u^#gq_}=Vlo4T1nW3g!W3}F|)R-uwl@!!vBpZT#r{#zZVNV3%$LQUdN z!C3_6bK3{S;_VCBJB+bQ37|Dcia(l+za^Kwr=csDZ}5Y}t;k^2qQ?JPYX{@}!kZ;x6aQE2^U(-hwc2n!_$dx z%Rr*|oZ>c=&be8+REeSM+_#Bt^5V@@xfpY-)}3%>I~Ixqo=y$Xn)l`Y0_P@3+9PS;}rQ zDz3PrK2r5)Y>ydVLis*&ou;K+eKpGIo;SxlM8z0VMBMskAJ1a+f_<_cc(>#M5+7M5 zvia|EQqK@O%JgdZGeKM^UH)^|hGX+pzqCZ$rwp}5l0b&+%35v^SOK z%82zhU_Nnv{*^zWHxJ3&5;9i|LuQ#NL&%JnIeK>q?!IbGdzlla3Jd0ljs7)bE0L3) zCXW^;LPhWC7v-wbm{*`zPrJeqcg&l`ob7l#1B@aQh?Gr(W?Z~)9Nf(^r+H-{Qyxs5 z83mE0&umaip7-DB6SSn^E7LBvBha|Z5VDyzqTwtJBB@w7&viY!>?QQTN&GB1Vtc~a zNe{mvjFs@;yCLFjSFD*r**iJY7k452!ByNnD3hWPk8tNAm$+p{TmT6ihp`#&-kLx` zjB(kP#X^+`>k2uAf*KKz79ER2DO9YoF_2>MZCO-N zNd*UHuRs#|7;{(oe-R=wR_Mc|k8h+(L{fbofCq`ef|!s($by`Pjo|26pFw^4yP&UjYhpm|!>{1P2>%mp?`nT0ce;XfGhukt@R{GS1ZEfi1!aD_Z?Vd;LF zxn!-@d_y2$J<}9F8->s{yxm(CW%f2%l#^H`PBvP@nyezvuW}+BVYb-O8!ce%H~bP% zhD8vyGdWV*Yot$y7v*SH-3%XIa@3fs{X%w@qchs(T<3xl606_STB#A^hI$@kW+^5O z8VM;X#r|%z1#SATy%fQK2`M68TFdY1`J?wdpdt%u0bgVq1i%xY`N#9dh=k&Ex+K)xMnNc@7uzgfY*}+Cf zn7g`$c)iG#ADl=PICu!9K?Mq)(Lb*EZNqy6P}Klcs5 zN~JV9EK3zr_9=QsLBuW>3gj9lXzGA_HaclOte7R;LUN4s#ycI5jUy*OCCF0^yZkgy;i@8;KU;Wy|C3&FsK^{pTT3eW==-l)T2k|Xf%kG3|6tub*FXppj5)kuRm zg1G;(A&tV=)rrB>!hR)yQw9t}=rznj769QHIBR!SVjM_rQZlWrpp8&MEJjm{GQr~? zla3N$bc3ZtlCl7!_o$pC+qmepg7REr2wwnH(Civm_Rq*8XfEYG{T(Vn1 zS29wfY09y{7P`ookZUmwcDeLr--R^kuVG*y9LNE=ML87?kUE1m^D21IfD@1^z)3U@ zm~%Mnh|yu0+$Te2hiJ_>NoHHw=89O^N%-}NcU&Za&>-X1+q}(~=U|6CXtq&xkfj2n zQofP3!xcSu0venc$o!Fqg(kyjG!^V61i(3(3+^^r6>D(%{uxC#0-R(3_>!@kQ4ZI` zlw=d_F2vyvnV0|1C-sPEol;y8^mSRTRcw*7qsCFLQ4&&s+)7~yH;c_XT1t#K>0gjQ zE4*1&D+j7%Qxu|YEF-2cf^(0{#7Z+M4yQ_ungNIAsbGXwUzteZw-XZn7Hl{$h4mYU z@GYE2JTQ|=B#k$M%py|lBE#MgZaZG!T1yrlbhtSJF*^dN?Y&2&WMq{n(~3VZdku~V zxDNOl++qKWxClVkZx+S>xkWI8GEyhUaBp;rovI_L%n3<@f;K<~Xd#d|%>YImrIsM< zI1Q+P17$EAzbGgvniI80IYRy)%m{e0=~m>fh(ck)yj>9^5;{f1k+3@@Du(RC)26U> zFm>H$-(iKQsKp7fZGU1SG8z!UU(`G(GzIbKMTbRJkdv6hpzbGGdI8+qRG>C!DrOG$ zLH8MR6En?jS<0!}Rz?BD;b#m=(-bj{%lEen1Vc?qDjxKK0IrWk#LX}l<%$4?Z)TwB94zho`~P0^2N!_>LYO8> zQb|rzrFv9El`9?AN<(0U6{vU%7bD{KXc_R!F8Qdk)kvui$h85zDxh{4hKRHN36{|Fs!s$=;b~hkBC>+slfq4(HevuY z7_E>xBuD~Cnh-T4jkgVinohE8xK)j~n&cBVKsXY5@3M1d+xu{7zvspzAi-F?GosidHj#+dUxhDV5|_o_mbGR%(gy~`24M?vs7)pZBNOs2^_I8P zjYXwJKJvvnwn?qJi+?t+Y>%Cded0K6xi!2@H*CpVX(LtPeF{@HsOdbX1aQX`Yi1Gi z%5Q#&DKWA^BTBxST~rb2dEgu=p?@{BCR?IS2j>*Weo9F^NUGg^N#094R>(@su{-HL zWj6U(l-@@wS7bPmY2`oByJu;sZF^I(E$lv75&v$*$~`OcB;OHkRPXv_U)H!~x$^cs zx1VlXthhJoY`ed?H~a^K-!)1`jk5W!cG5ONH<=vkm*|r&Hyc!Ui2YTrX+`;nz*xal!!~C1{63RS(K-%zpz{HETBW3_?F z?Bl)0i6v6ZvT4o=tF6D(4jYzeZjh``HJaxAtV-%U#KGf|&GuTgbWa+LXJi zV+*^Lj$LX$VfOI+eOqEL@ke6@GO0glb^;h!#}rP)8Xo17`IG&F2nDZ}%W$A4^Ifzj ze}T?9Uvbx-tGf)e13*hvpmsL9UV|1zTQ4|WjscV)~w@q%Irg;RLc#<6%jU{;^ z|3FNcbnn0J4pLF<9ZKYByf2(siJqmsVSLE!30IJ!yscMejac#>O8sxieyQSOg)zr( zp;~671dv192EMnCZ20hv@4sU5Ab#B#$$Za!FE^nevfhSO-?pKs?E!EPf|nU&)3R?Ru&VC$fv;Chf`rPJ!Rg&dY#<8q7)l?J^_atv3X8YO*cb zcK7%1ZJMKWnD{L;K|v(3XZhWr#zbv6es@LnGWzJ6TRs`w z1$xY2qd7!PFh$JHJo?3H-oPuR^o0kLG(JK736Y`>6tkBO zzAlt{>3&eB8vue7_&HiM=?(*ZlBQSiJAt&Mn(eDR4_`_*d&sOJ_xAcdbb{7+W7cZg zs91BIMzh9LyF9-XW{(awMWczTC{p*;zaKqCDS;zSlN^)1z?zVqC{807!0_uDsB2Nj zMxoeInka>RB{#oR+Vo(0B~6N^P~9sd56&q9Zpw2do~=Op(aT0|qjtmvT7W+p-y1i1 zL>hy;z0;Knr};YyUBvwAJH$FIP=8{c!NSiJ5DNt_!!ghT!ZD%f> zMJxA$H>K%xqoI*L0U`BjQ5VpU;Lq2dCUdS{Hi1yMNPV34?j$!9Y=rqEVxb6VUM#fw zA^XCDQkc;ghlv~^K8ISC;F#M52L>9dCU~P|V=L1N91MXz%Fqgh8;D~HX)TZn2we`f zLTlEANKlEIwm}0R%lc=56Ckicu#O98F=#J|*o7njr;drJw`hht+u{^AxaEfZ81;tRwJG9)1TfE>Uh z-1q;%7-(2`^Fbf@*v()0S@5JnCH5wf=$lk(aXM^i3zZt9+7_Vq3BHd=0#bbBT5`sg z>vEq|Zz-yET+Yzc=WsFv4+Pyxe2Kd7P^AY~{L;v94qxBnwt?Aq0|1Yml&_CcO z=TBknV%$>=IL2f)B9bO?BdJ5Uy-KX$j+opY5!IC8*mhBi81xg`L5QJC5k{R>w@JH5 zbEa7L@obAU|Jx{Rub$u>O1>OV|$2 zl7VgvTUpUkc7RnKomxjU;Yp|gBh+d;Lam-Lq?|$2^dQ@6rcB3` zI?AxuJ>friH{6fzuaKq%j-+ugkk3WMs#e+xjd(dO;#r`Il#-P|0kKJPgX?#DM~Y6k zN6n)hN0Fb}DZ;IiOnfwsngzGRZD=hPrhrHHbY>&zNq12h~tC8gR;V%UL)u9zfa@xT#_P-BPvX2xAGQ?7{ht+G|0!t&$^ zIby~Mi5$J9WiQV<$c;oH#BDE<5h-Gbg6w2dO5jkNIyz>^!FjbIcvOi8v`$sA#CCC7 zy=5Gk7kikZ9WJNl)I4+HlYmz=GR&OTM5L%xwL*Q|2(+@W*PH2Ri+PGGo1tt>AieW) zqLa8!^38n@SYK7`EoDzK+>n{G_F}Xa4^5rk^>lxw2(hb+nI%{4{!6EO?M!VKzt3#D zsCSrw>@JNzsGX+Q9o9RqXdg|hA)PFnv(_XvDrLKD8%?>WL5+Bhvs3y4m01fl+YBwF zGQvtmw>${L8)O!vjiq|aL*3%$K6B(OG!4SaKUi`{VBz2bH;v6ycy(x`*`FR2c8s!dP39an$vd+1}Q)G2sBw#wZc!2`rXXY`PU03-Z5 zbZ^+^a7RG0GAx7rSY2`+UQp?LZ#rPxHr!MDAnOQdZl}_w4oiHh0et_05_I8CYAm6x z{ats#2o}WQDY_LP%?%ETD4vvJ_c0ZD0*}fxfGDOguTWkQPz>sUs6s_}l+vp~AvI;B zs5Gx?cGh?idi;S~4Q81~v3?~pJGG?RaGFag_w=3DaY0E*c*<;HD>2}(!Ra^SQUenS z{E0%qstyVREERAC7uKnn%*-N=ZE z0GR`90#KpA15s7gMa!u%oB=pm!F179*tn5Y9Zl#Ic_B~-=0l`&$Z!irAZ5{B6&xPV z(GR)$xb0vr(}jBhyB)Vumf@I6&@oe*D+j!G=YkrPZNWg{@xa?+XRB&NyqrREMw$dN zlt}uh?MON@flzcZ&^}Y30Tr@oNo$&E{heYrkvw5qEr49u^M^urAQr?hs2joYfIm~E zyf!V;%e9p5nrd)hArveSJ5N&JRbWU$k!@%p2%(^!k`24HH);ousu|zgb*CM6R4)Xb z!j)&fqbmqpj|ALWvEYhW{?@z4P2D7zmPU4Z8Z;|#o0ZJ*(T6;5YAh2(ldFXdks_Yq zQc@TLYa}3qrm)Owo!W+!pkP9$y%=9e)rJcNu_Or7&T|ttqV_KS3s#4Ol<>~(DJ}A{ z5->Z68WgIS+h%#LiPV$A2I5XDUPOx6{`8({1q_ImhUi6_C_}u%oz<8mj0XV^bUQqt zG)UDFt$8)Iq>^3R)yGxMYVfXm!_7?$R2%}*>X}+B0L;`(UB^?Z7i6j@lVfhs2(^t; z=&1&ODmiHy1d@j;yzDKuK@pOgk)VToEe~XaG9oX)AbH^?Cs%s*KO+|3r!y)y=mc@4 z@+}?#VeE)9ppPwC^OewsKq?Jw)zATyv>368XN#~UTv));n^wb+7M&wf(_Bo36O-KK zM_HuL28D|t6UK2uOZJ%PIL0tLX#za6F;owBWf_>f?*k2Qe4syjI&nUa!r916{btAW2Z3+ zb5BvKOU;H~?HL;3Qc4f-+k=L1dG5Zq$I0pKeN*(w!BO+DJfeT$%vS~;ozy0c)n zJ4(r?`RNmMX723<>3hY=3MbfK`Lfd8Hh64}Tr?Z_FO@Gl<=aQgd+FWJYl&CYXWEXm z^`BgBdoejpG;b`bf4`+?nJ>uE_pRH?P|`<(cz|m#dGH5w_U2 zDS!O%zSw#F7sfaF3*~e1=wNy{aop=Xb}0JNM2YQ8c0THy9;%)+)6ZO-Y&qaOkjp$o z{*O!Y+<&9adr77Cm&VL1i4S#d4(s&7%#L&Fh4`|u)@g0wE6Nom^TgB&pJOmBpO^xX zz3XXU6IQOCzwE;4eq!o_nA>CF-9h);ZYQ>X0^h+@E3=x}<6LBG%zjQUwtv+<;`V5` z#4cl}>r+qfS6ke!<8Xa7(D=KauH0{ZSS)uP-%Li{&HTUxtHAq^u+AJg3}>Jn>(v*Z zOT>E*ZOMF82DmYDiO-db@?pKDjHs0|@-A4cr1v;TsQnb*{lI;fZa6s%Afz-__+>*^5M>RJ@^XFY*t?S@;BF}jx__a z=v}Sohl?A-b)P$Iy|#+$ z6yF+KYht%twBPa$G+op$l-_#ggC@3vkH7V`N1N8#Mw>I=8hRtMpDpp`p*J{a?WON{ z2Wr=nAF?kg^*0+A-L)15m$wK+;^WHQ5l7|PP?Ia^+`avesUKwb{t>D&h$Qk1ME9<` z`09Pb*z=ZY><#a9cbdEMU#fntwb*XscTVqDK1v%PBCyIW#olmF=Bhf0i`Qh$p3J*w zuFjwLua=L+_C7Owm8o?ZRPBp+m`+^izOc09Uq)HB&wG6q_!7nQW5@I^W&FJNDC%`p z$^7uaHvk%qpa0r{rt^bWl)c}w{ul)Q*FLV-PfO><`n&JEa0X(dWACy%+4OB^3vx5=>82f5JBjXNYgf4b6W(JDu`TYo?rXQ@vGKKz zZ#A6@uTIF-#Jz9m>V;I>de}lZR>eEx!iZ+Y=dCh8ZM61g-TS)4m^|&?Y@ONtZ zRWM-pKYvyx_PK71u|JF-c0mq!>dW6;QZ^S~%JH2ltDowt+F7}|_(xNH-91+B#2-wd z`OUp!!;hhV?vGxPZO*%9+CSjczwf@fG{rkESSyLgva9L%8=U6cuc`puHnYtx8a|y{ z-va&mXw3U#_d8@%sy2@6ua?72r}?AoVziZy&y9c7HpL$w+`)GkRz|&;o8_mi(_X*% z`D~+U)Twovy~FAVI7;Ou7n*=1I%~DLNC7I&@`g)8uW5(oSm~KM?Hgu~b@G{Nd#*ea z-V4teiwQte@Z{rn_j?PUcK3>%E9qr-LU+dA9hrcZ0AowK|KJRc9HKNjB2|;3clp8R z1UhZ*WLn2Z+}g=lW3PMis_R;Q;d-q22^42)mvzt`n>h|nK)|fi-ZViL@v3Lck(c$~ zIlZb_nJM;duy?*;dR?A&lqD{?>PK!F`4yRWkOXL79}#!Dh4ReF2kpbwVb(RKSZ4Yc z_QX(PW~}nKwcDJxUOjK->_ZKuL(qAUwXxt6`^Hxs$$fk<0t|xk@yc&jxznD4hAUeg zmUeY&N+W2dX<#evpr?#iK;3rO1(HTr>{+YfOy#(IoF!%e@nMOG=|MV|i6KYe^&m1e zl6%={H&HbCfRTxvH%pUyS=mGS#+X;!!z0hP!=S?NpS|e`Cl9d*q|i!Mhc1O?z!`Ao zW`bk{36RKdm>Cled)@j39ixd}@9`3KpKw(TaF?L3gDJjtVuUcKY}zK?dj%jNBk&OI0PAj|2tFhy0pOn2Lp$PE?FWV6g$ujr34QaXaEL8p#%{fn0ne)-9#V`xy3YCaELe!1KSa100wzP ze0zJ>%H*Wnb>G2q3%du)EFPSy=fJ|r0qa9j_NS)2zh`|_#7F&I{v@?#Rju#3b`-;M z2+FE;sNOoKK6=;6ZyReSAv{w}}lcjo_b3Gn^_ z>neSx3oy?C>r(!Eu3tQFOZV#4m(0nG_%;~$^<4VoeVJkuKb{8D*X8lSsZE3Y;WpFi z(d3-k-3IW!U6xwaTTP1(rGszt{niD;Tuz_!dWl+ZJgwE|^Xi?v>FeB1YDlrhJPJoR1sEnAsrA9 zT{PfqDhfMAO++E_^8=(4LKY||vnnMOzPW2Fh3GOuwdO(Mbc*@(j2a=D$(Rw)mpYc^ zDS4<6FjdPuWHMlwfKKj2e9ax&i9+gjgbIUdYrpdwy5?x8=Xn{L{9nRiGeDj zI){tH`_y4BugJj}H}MzFr2WgYc9P4X=*Y{gFV2&d$7Y+27%};6AkOq@(S@@_&GjFb zO=gsh5#@lKu&GIVjpq-@v~SoWBYenh)DNr8%qEW;zxzy3?j4cNMi~X;zh8^3DBIKHT7v<|B{k5j-(q}qkLPo819YM&&*aN!&|hraO}^bPxsZ> zuUT)=*X8EP_Fmdoaa`F}YPf%U<^8vH+-hvm-Q#1M7n*5hzI^;(zKfh_bKZTwa>=yj ziQ&>V&A$78rG0#S=#?GnxYyRPNh1&Zrm=%IEoc>AaaceeK{8C$F%zGic{O@Ynb3dJ ziybigo&TNomSG#~UvE{chT}#-Gf*Ilnvk;x@d$VKT1E(up$biW7b`0Z z^Cld;-Hd9&0LJV{0D)@|*p^i2x&?(ktm{}1Bj=dd9!whnUYysI0poGS4qb4GahwT< z)}CvQ1Y|S97nGy>wkpnmI<6@^m|nzE0u}0w0LKPh)?zB!FjA>dzh<*NIMRk;2+J)y z1?4e|DAtXsU<$t7Ml!8dx`C;AeXo=fYkg3OnBpl%EfdTxCb_q9M8ZsDN2Dq<(7>@` z&sNaLCc)k67i9%d>8R+T}qHlnj;vW#X`s3aS@u$i-WiO|5Xj%Nrw_Vg-I;<3X8L$EmI_nM>_7 zU~kO``Xj1whPhQzt-@8B1dt+yq-v$pmIL}Ot!V=*P&+tKF%4-=1Tc`TsLX5Tm;Oo^ zwM2s{p$^?}b#<}Two^&HYAV}7T%`?}Nm2p6^s>!ws{U=;_*CF78*zgO4JlUDf^Fk8UbVHN?cbL~5%k;)zYV>)HTp#dadI zR@dC&4ya~|Ngb^9Op^%!9kQ^o00>)2ZDd#!=2bEVEC(ytr$iE#rPQ(t5}F`%$U!eE z3d773FMsO4=moLe6M+npqw1&{JU;3Ky^5d-zKk7Fg7)rWs8v1A=3xamLR#$8j&2u( z0+$9HI^16icBH5a^V(4zxga;H1x8Cgt`KqBRN4^a(bMrjMCr9mdtoFdMrM_KTcsur z69T&CaH}~Y*|9+6Yi4Iq6MnLNE1A~D`E5QDqYbF(4FwV4J=REM2}l{t*MeC%KY>M@ z3N}t}5(~cuGRWiLP>g$W@UZ*0E5DwS;tV}KV}Kgsq(ml=v}>?I+xjX*h;$ZVnxv%y z3Mf60qE!OQfEyCMQ+A+F&^sJy3)kBmOg4b%oTS#IS%HG+Hi^R;&o2t!c?&UvpaK*z zPzcyo)*h$8DfLkrd{d<&VX&#jM_lfKgcX*9Sgq=ac8chd?$HL33Id5Z0eTC&UU;Nj z;!Z>d1bVO-k@Fl~O^6s*2Y(Vd1h~~93-VT?9Fft@`w=4(=BpeXE0)N?q0?Ipo(dp% zGR=kbJ`=pKu$=>DH*1Ky9hwql4-p5Y+T=q#B$^Ra$GN^Cm~n_Bl@fezNMTa#flZPc z51g=CyN!cx%FB)$BY;OeP3uw%6AAEUwPBH9Q75g^3N{fS>*qYJruvjE>I3DY>bxop z*z+|7pp_wDxZ~n@t5TSf=T)myH0Kp%hZlE=ORn>8*yX#vH4yLr?u0sEyp}V*rMdA1 z`S_22a$#&X@k8%)_0R0JWcQBpE^T~pbZ1S=c>RLX=ibs+e#DSAW$phwd3fq@-`&4- z-+len?$26}yXlF{&a77D6qA3W)t8o?S(z@&m8-0JV0eq0dw%@Ot!#VyaK2&u$-Y~n z_Z91`@LBJ#lg>W*lFW+6_f{OUq>MSsl>B0*Wazu}%5SGDX`UQ5e)l`xq#SRnKdc_! zey=N^e^BfgyK-jZLF=%x%e(uM8!uLBb#4vo-*kKC%j$%+)Y9L%cf4ld33s*K>3%1^ z^jP>JTQUDPL;nY3a_3CNin(%Vsc&Ofv&y>Yv6Io7?ZZXe-gsXk-@Lv0r(-wE2c7VB zza5?VL-vdC1DZ8CzC2e<_K?Jy>}GCum0SL#_lU04=~i92`r>_a+RD%utV<;8wX_}d zs@FX0k1J2H*OfZ!tM1>zX0C?S*~Bz|GgBU0w%07{(2W1F-|Q^n1~*Kp%E56&)~d6f z*|P+f;mG9Y&F9>U0A^mq_uU`4YBf%3fsI{VX6u^+*30@2%0=UGe0;iSjJ~YTnalQ* zUMkpCdLofCV7eZWD=&D6D^3+<&{w*us|_GTU79lDZ>p4$t2#E-`_5pyciBGcZ9lZ- z_ScoFx!aZ(W-TBoJzrQp@lxmanpdLB<@Y;>{sN+fC*C*oXS`=8A(l9Fw&%g+6K@?l z{o>F=-|Ri~r>kwp%Jtr-s&+iED|^@6_un1Kx!<5Y|MA@udk+02prZw)W)RYMzx8hH zC1dS``=iNSduz;Ryq*Uy;WySs%Gi;ux+RV*hw7R$ASEsBuQ^$BsCwjs7u;u^y1i8& zz@`7KGuhg;kr7;kye0qiH&#y{`{r9!k2b%5`dHoG!+>~JUpn^9_bvU^i_zC^f5Q92 zuV20G&zt6AbN?4x?*kY`dFPGKuA{f5y=!(d36mwl&g4m$EE^!{s%TMnW+%z)CJ?g? zbfs3wl5z{@c|fTz?a%jaXYy>AO*VwY6(iM}#D$BTRpFwg-t{CQNZP^yDfVdJ-mBrL z7rj4{82q8<``-JR4d9=J=b*s@sKa@#Re5!mdoLGWQ{0AdT z=!XZ$a&v9;Svpero;1oWr2p$s)21y4o;X@L%lADPd6w=JcLr4Murb{>t}g0aw12JM zr2(q2Ww7@d()1@=%1b^xkZaodZuyAvp^-z2sXuI6)Y-J<-P|kT4^z1pabZd3h!}12 zA2x(h)jwh!J&ahOP5N}@yMyPAIs6y}IiWlh`#87H|7P#ba=Revtzo!;Z2kF$Zt!c) zQe61OESjRVRqU~j(p{xrtrBk+59{X}hk)pLi9gRvAE>dHvWO@mt)Q@ff?`kf6ATEPv8=l?(^3xo*6yi zu2+g(?j{XLrOu=7snmhCF01h>7fa7|)7m*s=+d_FBhj1JX>&lWah1JXgaER}MF3#q z1}yh6Y~97c=-B!6r6E!`J4QiqMJ0e(epo$xYg9_r~AU`~r@;!y2c zU2Cvj*r_WytN3GGafheM$3;2pSSbtsDZbcnl)frEt2}#*WI(MDovH*&@p<9M-~!MS zAy@iB-g|`G8Qv}qa>C29c}{vlKchOUgo3Nf?q6^Xky{r0JVHbTg zDl{|`vASrgwHap6XT;(@uDU`&_1xLwUd|PK!(BHPuU_bmq;4seMnc+D*Y1`US7%G4%`oDTKt3jvbOXX=Xb+yd+wIonwujmPs*c(ykFtI;of6slHa;T-SVhUiToy+WTMbueU{h4yBNS2a2S=)JsB{uP|0yCAIk<^9V{bw2z5q{VjkXcZhEt(c!4V|$e|x{GRUkA z!`TPrYyg(7kXv#JR7UJBj%jy7Jx@vw?~nk4*pc%K+9Pa`EzqgxNE+DlBGkpTkJGT| zo}h}Jkvkn}J*OB+2VBH?7hpJu1n7l^NfD6~SB*y4D{XuSuEC<@3uR0z(Y zeY1N19@2fByr|qk_0&XNdrLff+wG0zkJ8_i?QD$2clY0|8gPgz19HlJS(38u)UKMz z(rQI6A5#i*64i|r($?&v(CCVITquFlLd5N;GCS^9jJprk3x((SnL_h)$wH;Ot+(!Bqjk3`?k-e|@8tF)zVJo`vlJ}f$AL0KtiJ<0~5d1O2h6}W3T(zbKJtVZGyuncgE5cQ<`GB zd4Ta9F^`M8qeIuf&U0n&o_7Q-%dvtlY^-VXXZhu-@AfFEjZ(61_6JWAuc+jJSB4$A zz;VEVP0?<5!6J{EH;ALK=kIo zBhad*TA=Rum;TfT zGrQ1F{Rz%u3NA`nQohPe^K6d=)=$1(P9Nv=F+o$U!M1uVXx|$Aa*dwmUF|h`U5$S& zC-W_-qh?F-@$$`ry0>(>nLbD7LZrtUm4shaOMl8~I%Q@Cb=Y{i ztLa*S3z6m*>P6%K{5zwzvy7_4`Nz@DyZxqPrSJl6rfc;6q{5cDoiK?u27r-hAZbS$ z9$ji$ajFc}f`E-MTv3Z&;Gi3cB<>X$qyC8k63|K|eONDuQLzy#hv#H3SKALvO{1td+6~Bf zhS3y8Z@(G9A1sKIhGTi`7`$P$%ga-^Co(V%Vfo6a058$|xPq-F#{klqVS^E8P`F8~ z^E+>I7644991}z@OwusPP_JX9uD4?DXk!Y-V*+I+@T#D*-c5|;n7Y-uT&$7{T$y2M zw=S9BXO+W74ew`zKFw7eu#(Q7TWqLOp4P{Pd1H^{B_TGYJAL^cmArNaUQyfGo zBC95ZZGubiIy^qzq1|V39+c1$UbYqr8+3}RFSrq9b_ za^e-t20vWuX?W>xsGD&B`>tq&M8X8@z$ch3S zqhS2w7`?;A$X0Wi)4N6EgBIJaVXE;$i9p|Em;tH=C01L>sgX*;;xedXLH5&Z)> zS=a$zN@jy(=|iS~o&q~p$N|eutw~+UUWvyxnZxUd>fS8X6+pPyB!MA@NsYMKbial! z3L2w4fJ-$sLpHeyAQ%gruSfn6yv<2xqiT*zL4zG23X`S*3SUk==5=V5EE8i)5Z8BP zInB#chj^AVPU+ZrQ&j8?x>XTSJr#M^nL-!!4p9$rPQ;RIC3>{bG`e6&!& z5&7^@ZiIZ&C}*atosIRElpksLRCL#!ue;P5NGwh?w(!rl{qBuv?kK%jy(ctc?GXN! z?z23J@V?vD#{R1KBrPM~QzibwaIW;_{+;3Jmg;c31H-3tr`oU7^(x)5hEn|{YRtuG z;kf&UO8PhHR(DBGxBi~@5BvSYcSVj4(Z4f0e!MBhwGSJ0GvwWkV=wb$hUnhgWyx6X zSv>x-?_X;sb?cv%tF2tk4=XBP3G{J?eo}Y%dqc`yADVmvSH*|3lC@0YmbbKjM5a5B zSMOFDCjaT(hKpfx&}b^|y`ZP9?PF{@pqw={C@qwK%n$-fw^sOgsPpD_hq;i7g*$&y zdsK5DZc@g6r|X>~ZDqq1k)wfw^ao?+)|(oYF`DQxoDDOW5{rqBXH@@53tHAk?hQeja8&m2L4QoHW0}Erx{<#M~&)J)ztvpOXp0Ys#tu{A> zUs&MsR_o3w0+_Ly-6rVeir|kuW)zK(OJqCQo*vT*`5koBz8mUSBeNm}mV8bRH^L5k zN#&?*Tq24;fT#|%N=mmVOMXwEgL70-7dfd`)Trs%s`3gTNnYnatP-34s2EHSqR$o#&@z(4hQP|707(ITf_UdPJ8(X?t|GLFus(L6wK~*>0o3TCBd#k62f;eTXp8Ff(78 zpDD(kzN+YREsbmN&ew(5x9c_k?NaCSypb=-_69fJu&f33W0#~V`j_-{4h3mZ>mznK z-n4eSFqZ>S%QCHA#Vb62rGuBV-XYyJWt>Q86_chGTSs7R2yJ;tcyCj)^va-Av)1!7 z5ilQswS>DX8-`yjt`cqy*UpjRrAEgpN~+>z@^K*pf*2d@a(Cs6^hbCiGi#*wzni+E zggd9@MDDhaQYn}!J`RQ7uDlwJte{{^X5bfrD4mn(f8!v3^hMqF}`o9acgDm`L^xcZ%s?zp&l9PY&F&< zF2fsX5WkbwbW{oSAz|36+weH@ewFiF%lwANk#{aq9=nnCeB~qS2ECl6iz9c3^I2b; zfLUkD)u{T)M;U^wm(LNPhZ2SX{#+#?Rz~gLi#DUlOW*AtlBA4rJCP{7*Q8<~&VfyA zX>0&1@P~v5nZtl-fmzis=#YD{kd&$Ed@vT7qx8t)ScFU~?-~Znt+(JKm0`V8l(Jl% zt4LH_-kj#<>V`FMD+O=_C!O*XWi1cbV5Pg{@kDy4T}?Bvd9{q!%-l31uW0YTS~A* z$KFMOOHi>|@3N8(Gnpc?sjM27VqRya+PeHL|3>pHWJAI`ruR_xn9z;Y{e4OI ze7LjjLgx`W9SL8sSYNBL+p>}ut>Hr2Ew9NnxF5tXa#rJnkaBVBuj+d6Vyf91aIeS1 zp1cJdEWO1si}7ez-EP2{cu#98<$^V^Tdu&JtBPXoue{ke{KE}&$UYk=;jGT9?(M;t z)}ZaC#()N#n-^wGi?Qo>4~@|AT&EtjQaS5BEA7&|t@Z`S(^G)q zJb$k>!;bZ1Lt{IjsX+^c?^DY>`olZldhL-v{Y$*GciH_e~nTK=r{lSZ7) zW`sMozy{M^aeDNQs(a?&dFF+wO&@n7n>T*edgDyAU%KhF46Hh5o}T&IL3?8S6(qOen$z*Y)yZcD@#fPlZ05~3N+xLZ}fHY!xM^cPPe?^3t0 zTuSXKi(18#{nhR11r^)dt(Zkp!-L3$g#Dd^yZe_(sjD?+kLCUbSS-(2fDl>2GNZ?u zad(`?9@J@A2D*iP=QG>QzTvM88&!hmYDQSx(T#`fTE)rYj{HLg!)BG(B<)w8_sLwqpF>9bVI6>ucAK%lDifph1UmyKAHJ4X0ip z>03DARO+11wFXN8ZK=6ji1hNQ2T4&(W!#+X-TjJtrzS;-mn(i%R`xt0EKzuWugax% zaq6t@#uhzAxJK9*4WVp`iU#ip8YQLwUrnw;8BIO`u6Jq}aQ68tD7*4rx!6?@C}#@T z63&I~eL$qis8n!NRa|LDie;AZ-3q7H$~jRi&sGQpH3C^4TgeBdap7#aqZD)nz4BgN zDiNzyqu8}rhuPAtD(+@wUqqjRiaj>K3uc>uFa`y45Bvv{;S5+j+a0p*cbNr7wZ@3G zdR%+kbTshVchsAbqhivOro^nfK{c2+xbwt0p6pdp#1RA;fyx*`C$Gb44Kx7;3yoVV zcSh6}-Jo8!j)ihu@#P>YcDJ}vgu3n}H*sV2q_hulP|HqNFfrPWt6Kn@3*7jam%Fy?(CQ|ca-i*9j??rprAYmo}n z{t&}$6qUkpJt%KiV6e%Z6(#n0F+9#)*4c>)&N-_Cmqf^v#J<5%B@xetCaPRM~j6s2W7Vk9O)5CST>-IPhEfX(2=r{(# zj4>LbZb~W~Hf(3WP%J|m$FkLeyB`kQ7y_3X1TV+hR$+kc(BXz-KtjIH?b`W7B=xS%Z;<(6jVu>>Z%*l|T7RgRA za8`D7I*Zv+5`{eHmjbB;^jA@q*9v3~<4`TvrU)<)q;>zp*%q3#9Nt#lN>LWBvdIhQ z{;)uGgxI80M5LCD%_P)kXdsuNH5@ERSOHf$ldb4exP;kmdXIF`W@-7Wbh5B0)|}vpDH6#Ro7J#G_}JXX~Y_n%f`j198;h>jP)NQNw<5l z+}uR;y~ufTn0U-SNq$~4#tko4vPvq;xsP#*uvZ5Vsx%-mey1)n#VnTuH5;gNHj2zL ztRtYZhqwc3l%$?3|GqNl(HSr7~|@V^${}4o{etnQ;ZTPAoUfre%MmdoIyI zi3wuh6+8F_l|)o704J@DRQQI|#}=~%>_$$Ki(Kkx+!!|*KdD9R)d0*C!0KXw&bTc# z=t>%GZmGnn?1j*5BBtil;J61DAL?S>e&j2lMd3%=7+A5GV}?+QXemz8$Vw}t=v@Y5 zX5hfep5^5uvfO5ko7+T9gL6kj0ROz#%s;} znWk(NFpsdFFXn+QlGx6z`--N62Cs5tas6{w^jb`hw1a z**ofkCI`hRgYIhyW(VesNca$y8KLBs?s6~aP?ER!SXm}ke4rIW#bEYox^kbwz^l|y z+6`w!H{BI5Xb@47&09Jh4XqIu9WaF?3ni&w=f8Z_^ovf(VkEZ*TL!A=K>v2b)v?hW zR6ut`T;@g@R9&U)Ld;`2KbqwDxUj{kBsszc9mMABWeUIxB#tT4_zJa+s0Du@);U+{ ziUcJNbXV^$yJ;IZqZwdpC5dr+ojz-V?^H*~VD#AfW943HP*BE{BK8rgJUy{vn-Gw+ z@P%wM^|1;dcudJxO4v^1^_S@VmFkd^WG9fM+U9jNs-j5SOxers)nRZfn45iTTW`mc zYGVZ@<84c|qK*+U&{uTicSlmMYNM@xk~-7VH@RlVT7F4cuJoRVf7G^H?9sT}wgIG^&`LAF)=4rbd9`~-WPvnT07BNyCe)z$&a_x!|^6I@r`k< z**IO8qDrX|6{Cf3aFS=IJmMykd~sjVvGtqR5#LD54!v<_d|Ht%8PX+i(`w=yo{CI! z@k2|Uz7grKl}UeJ%l*4_(idO3`k?gQj(}!fSoq>Qb3ffW+#`<27sN~9k=rgcR+mT4 zB`T{k$}j!ltBPbTU7NnE<pWhaq*+_ci0&`b7KKajiM@VYXFS^NZ!$!~e*ir){wpPAYo3aftq_@voxa zbS*X$J&*2wZpiO=;;KZ}@O^NVA^_)fkwZVo(Kfm~*Q8Gw0FA>|W8-*;eRHe{78`D3 zI7~)zKd?;1JOD`ySaQI`hi9g-7W4%4#{GPRjORkb+FE#DgshztI!`Apc~n=!30YrA ztqx;EAEzM;Bs2Kjsl$##ck1_tmCQ~Z&yMICwSfIyvHFzsjU$z#S{~{WSh#q@Gl|E6 z%^5r#-e)vP)8xFduL_Wo15a%EHt;F$9+(Ly-cqjyU|x9^wuPoYepmdJ@mTkzgO|up z245ST)^crs)d`Fb0k-EK)QWe?4~JhZ-$5>xKcOrdZi*u!skUhNMYHaRlC6BvTpM{t zfAdm#VsMF7`fn9@h4I=`)3xJ?_w|pn=KB4ui-vz>KB%s3T_U^(NY7l@K50!H0O!oN zKju3gjP%f7H|9?|L3!E|ADjqZE%^_y!Ir@3$;KLOni_mFy{~dHx7hPTZPB5JC)6c$ zwvsfpj(Qep-&C8*rtnhvt;mk-I|mLcvm)+H4OXYWP1mYxe=5qPFT|Elp??{IDA zi1pr&> zj>W6?&_1~-G&3o@l>OK*;*1l1ES4T6{c4rCXRuxeBqh>XQO(=<7^NvzGXhkMDmHP> zrN&Wb|7*ih$E zdf=RJgq$;HI*pck1m_(xUhIvG>abq{adXad$#XRaR1QLZ=IEi1)Y$WL2U1Ou88vpd z@}%bw{yx7m2OAS~F>+Du<;oBk@LQLM5bs;vP1B&zU6I-z`ArP`Nk+2=F+Ix8b7Nxd zhC>r~0S+=c47Pn0U$$<4_kr-P=mk!7V0RCN4^{3| zrvyNIcB;P-hC1=NXnZ#a@A)i5$)S#sml_hg?1t&1d_?Y?Uzh(1z(q zFZUBfzBzQxtd7_Qvfk)(`8+QbI_7rXO{Bt2bQ@{GY*!*lIiSr#hqSecpYI6Fsa$uf zyD73OdXet<@p)}~bW&Jfd)K+4Y76ExjXL2jnw4uoiTt3abe~u;7xk3Zz+km(9j_=W z2+`QJYTf$O?FTz0*Fk&cP`mHxziTKQNjQ-%K3c{N9?)a;Y+Ps{wC28KQ2cd{q-dL=Um z_>OaQmEkR#o+Csl<|gR-bKxWHJKVpgIdWfHWF!Oo$Hxy?3Cew{Q$ z<7Jh-Wnc#KJQ4TJjubEURK7Y4Hf6qYsPomKmdacHuDJ5Bxr#odIbWH&AAK6z-gd+* zt#(psDdgJ#%K;s6aY1NGcHK7RfjIpM?H(kVxvun+9{)P&ws!@6Rb)3;8#P~v31nph zHfp$UxyiOrb8{P(aBbpkzj41Oos-rT)^lstNBWlCQ{?HDWQdD%g;BT7Sai22fv^}9 zyRY_f%gFHG^(~H8nqcbYz-2V_>O0~SCLBF?{_p#&M#nZ{xROo=8>gn15NJv&wrwmJ z(@eArOg1jnD_L-whi=YdqOWr)dYwRAYL^uAz-$vxG1tRtqhQAu?qjz72Kro1dg;Nh zc0nP9<5tooC0W>npx42T4lV??RR>#^?UGCNnGSUr=0kAay0DK&v4PX*(hWdM3v^a3 zVB4XDL2+Rjq+titshFV|pl}xP4GJtbZQtTol#w$V;i7jyMd9s`NMYb>T5&{oadKV^OzpkY~~-x!QwFw>dB*H@_?LiSZwluocsv@ zIY?^$%DlnGe%tucs^!lkqR!~<7nWc87OW(XA+<}kiRUrkf-c!G92>$j?|t^zDIkQ- zz#;98lNlQu3ph^Wv}URF&$T>A=BDzt&r}r@_&4^ zg)ZG1PCW4JD_=s(*a!F%=TAQU^g2Fs{`tGxIvsZ&BA})$b`<|yt`#RleN$$;-mW+v z*doi@)T~$;aA!t24RoLb2>K>GM-6yqiYf6t?<#h7wse5bngJWjG54Q6KJI>7QWG-u z79WmpaZ7%2tapW}iBfgEWLy`fI?TUTMzxBEC4k)hW}mD;hD4Q@o_3I=gxy@TR~oH6 zB&sT>bA$_vj+L719pr(!@bkPlgoQ)cSuzSRn(8o>`yGs#WT94nsep6+QZ3;JLDtM2 zD=csbs(Kdd2;o5k5gw_Ebt&5_oE>!DX%eS*%pLp^AG~SlF6tKfV@8LmIs+n-)~ZMv*xyey0f3J{u9d!lw+WZfWI^xxCS}zUjzFDOv#L-6ZG*zb4>{Uh zmRAL73=O&9+fiWj%H9cTR`w}=SBc~}CN@SZI$4fvY*y+_GAb-3roV{jh8ZKJ;s`$x zo#0I!q|FG}(?Z$_dHMx);+Mnam(Mw*Lix^In`g+j!Amm&Ov+%6>|k$&uc`t@DLnESvk^|0~i_)RN>!{#|*ySkMx zey5qPiZ2mS4Q=vz_vT9YUef;BJ7>aNgK=xkM7JA6TFX-1(ZY6c`hn>mh zs1-D$h=3BrlG9;$vA$-oUB5S^hFOK#WDVRSatsoji6^BD zJMMLQU5ba*EhcF?JBA3%A)!8MocR-{y{DkMxd4pD%Su;j5F{eAN2CQVQB*Y;f4rKr zJWJeEX9BQIxEK#sz`=3ABI=xKu+zl8g4ggpEfoNSl(ejIJFb=WkIyr~7|g+V6}NSj zP7-fRguJS`lynW>DS^rVv@+OW8!X zyAZZKcRoLpTbQR=8PLYDtO&l8c?7@sfmymB}08l2$EOUYVQ=^!`Oa>Do z1caAOQj#?=SE5%3npH9FU@Tq0Kh@(}8QG}lh`=S@3{DwP$tyrRaHaB9_g@UCDjZS@B85?dj0q74(0(5$GOEc$;$GC=06qhEM| zaO;J6A2+-XiSzsA1&y-qJf<)fsPb3lHtu@;9~Yp(y-uw_AML!)0#eM7gJw(|(<3Ge z$>T^8r$pa2T~hlkBHqLRXXQQyJeNZ#aRo&l4#*Tc3W`@73|C-0DH31I)YesL}7)*8jmHmT9sL3#7Qay4^N7@sK1 zNlaNA-Fk>t=@pK(y(@ax8$1|x+FEz&Av2q~s&&I# zRlSSfdU&FJw8gmpr{!0gGkcmB);77xPWg%6CyhNsy|r!WoBOf1RQjE|9zM}r^4RuI zH20&2;f!#;w5GQwHid27<~_|-kCo2#*EUQx9wq;#AEC74_r@6C!T#GqQ2!ngr_v+g zBhoAZyYHM{*`p4@17S`LFB-;X8rH9GMYhTS#yo!rkeIrk4!%Y&NNuGE5c#&S%bnHM za!sC(EweG8EF0Qm_{oUq^tWYwh>Y?*eHfvE$}iczTW$P9(buwvx$p1`)iv40(lb9V zOt+CG!qqglxTP>_b{^(z!wo>zJCzwD)zH7JwkJE6!Ug#MWY6D!GsO<~tx5=?fntla zgEP4fFx}4OQg^{wOC8Bw#rJjiPVWH$?8w+96~MN^3FYd=<_ zK7{4t;1gI~D}(C*kzqGnQIw)VYccl{{q?#b%Rd)t-6*XfEswt?OiLd{xw{bpc?Z#U zrJLQ6arIu$kfo+ahKJ3%zOB!4Qk?v()jBi{Mp)mW&b4|P!B?kgR$RD&ETy+9a;R|xyv8!pj(!%c}*>a*Ejmk#xBxT0@)Rsg?l<*3{>+&L|tGr=E&uf{CR{ip+| znLct%=&~-4pYYE_QO8JJyxU2xkjNk)5I6+`ofgFWtf0 zL7VUEeY5-$i54Ex6SQ-|3v;TB=sS@+>{_<7duWIQXafi>!k_Km@xuAG!_k(fjCwWq zH&!jXR$4ZEt6PU3dsjlDXW>bKrD+%fH#&OfBXv2C$X z`Y72+*rK1NU6)0WEe$uTW>6lX8??oEBr;@eU}0zqKkuf`Mt5X$?&MWU88G`!8HX)+ zkyZW5)*GQz>E2;$ZREgFYr#@dl`v{qdSZ@bJ*~7I8HrgZSlm|tSMgabR@!;i>Kh(t zrfD$OWU?U2i9I#i`7Uj?PLSh5>L|E|yVHB_%sc*0^_sEYyOIjQ^J1x9Z6 z1yZk`b#utM+@!`;AgJRZuj@O`wB$PA406bmi7h}^op3>O0cXU4sJ?@Kk7)h!L&6V8oAH$0 zZ$0C12UPRnorsh~=pK1Ft)Q%Q?`oHU4WbfkiDLWEGRv(FU3D5#a-U_Y)PmOAKE|u< zx~6meMxDz@?$n3Pqy`@(Re>v0qpMUSRvQJ2&CQ-w+6!&M+Xf{%Wk*fQw}TLe3Ar}v zo-Z-*sT+EK{Y{J_0*A{G6-K$FgsojzX=Fx)aRtVkmt_@}8NhK=v^}mS4R$ZD*Es}z zFTxzNh33sh5j&U^6$FZ?)AZ3J zxf84e>_eUZgU`#~e|E2lWfxQKB)os3kd{4C4PTv-mYNQS#>;zS!3DH{h2jU*x2{=T zse4;YU!XdZi$-n=YJ+N8B9z)rKVG6+M@{zlm?%sP@a5w}+w`XfBXCyIia`UJl~Ntr z?R=yA%0Ilt=%psMKW*?yd5s;Gb+4F$O*!dIi*T`V>xdtA3zk_#qv~0%W$5Kr17WTK zghAjF!Kv!u1;sYnoHII{I{Z4QQ&2i~B*3C6F$JbGGUh=q*Lo(uT#eGzIf=U?YRFb` z+$6-$mbpNlqvPcgii54>8iiq?Fst3LI0hBgR%QuyWsR}LvV4s1q~j`+JTI6Ue?bNC zV51_Yh?eCVl*<>c>=o%+Il+tv0S^R#uRcZ2;F|Wn3Uh1qJ zlyq*?t2^AxT8iL9Z1RE$7Yxl2(uetC&4q33y+W9bb6zL&(nEiMU^n{mMpaIaYwZC& z7_j;~`YGrxI)(@T*eb{g$s*ly$w^mIgmul_9WHhmnhEnO^|cxGR#=*Lw@}7Z;5u-Y zy7uVaq2k7Vp*@lS6NhUkoY1&E39fFsRhFHNI%t8$tfu94y8FD`k&D-@)>3j@OIbxa z8CE7zylFT(CXR#!JusRqny{8qVt>o$%1&k$lWw|5 zskiu*MgvKf9?rNAYr-&4~36~>zD}XhVNz&>#PDdh@9|8chySHv#JGm4Q9o+zU*EB zR|`p%untWQQ5ZEPMv#plOjb^;VR0llfJkI4R!vpp3S2RdMkE6Zcej_dlA~H$p)8_K zF)OJaGY04YmZMYFvx~n9HwuOZS1FnoW-zBtL67Y4(70y5lG$i&BQBBgI5z^)$}pvH zEwL}_Ne4PIBfnv>1vLTtf@Ej@>Y;C;U_mFj9afqY*7%iQ!SeqYUS-0Vpe8Nir-+xs zselZysD=47@N;{)nO2EquPBucxrWfB##&6zbi{OIobbL8f>gw)wt`L-t8X8&Vjo@$ z46Vco+yE-MgSM$5r>xo5c&}`^k_lKQybdMo#0849;3xCYM4jZI60E67cYs&nEoBY> zNrW7|4SSGRuyqBOI+3}>UUkB*FFU*wMA7W!EDj4u1}mvj*D)aa&=$L~0RS7uT>3+X z32M&#K7efvx^|#~@(2D}_KE%7nlH20z7@1T{_}A#rr@vgCsT(|Et1#JekZza)!jESfVx4ggZrVQchhQOZ9 zi9x{s_*-xv<#Bbgs%&xNs)CVW|K{3(3cZONM}?N`0^kPinNLjdI&tHJL;s5!wHo$l z84=g70Za!#m?%pg1;IzbYLh_$^Bp1ozD`$!N<(gH)Z6#$OQxNoTsZ7Z?m5&axij8j#6I@-1b)Ss)rCTbYrHOK=2FhFV58I`Yqy0r7nO>6|+fE z-HAX5XeXxAkSPTQ)JRYTn2TQ^PB`OpLLB8YFFP%74*Mv@KtTB|0dnYC1wnFJ14O<2 z)Gqru;L=oGV+gvE6L6%wr<~ob#xUvG_w9rX{GacK*Sf4`-(db?-OW%nbdO~G4=qO5 zmR6|>{7nm@1fyR20Xyl(vxwkQ;*>6lbG4AZ9N?NXoY1K1HrxgI^gKUuX z@~rK#uQ1=9Nup^eX(QaO#_er85TaMA7rAoDeLFWG!v7HR1pS;fO(A1Z8CwkWW{4#k zv{YBhvJ>!Pt&@~xSF)c5^?*$`q|{N0+GD-wLQ6t+*Ft1Z^4$9L*IwvpZ9Vne)wPI-*3oh#e|hv={(01_ioRxx1!ew1{w-c) zbfrdC&cF2flS!0KA^C5Y|NW}{H!iP?W%eB5PkA!gR7J`dZstLyL^)mw-HS85F(7K9aa7L=F_G(G7#{ z4Kq94;Ln$4Wx1V;!Ao`i8BmDHz8&)K8Yjn*igr?6<6lEg#3n%bLdeOn+I%tR8!S0r zMmrTdoqm07{$D=XOO&uF)Z4X}dPz>>JUO>2M9%;HNvtKJmYnXBKl8+ZB+15df9)s4 zkE)#`{@TaLoL}x4i=H|;c4jQ9p$5KCyFI{CA)WK6U;EVlv}<}dO`*uw5?1wr+Sou* z#Ia%S{i%#O30y0!n2_^B+kRv~@<|uXS($)Q+J9b)j-#A5>9m62-~ef~oI)$3%%3YT zs@YC&r+&f0sa@NVYnMoi^=a!xSam}xSL+$%J>_$EKSQOoiS}JC+kPw84zIJjw0^W+ ztp$jMNBMU8FHC&E`%nANj7@6Ir)nSge8RcSs9TbY~&?vlFslgCdJq;YCH{}}n5HkldY>yMxI*Z!_?JKyrf zYTo*4z8ek4ulK9`1+2$8{aU)1F-fjy!(cNP)rDVWob8j=5Yn!QS=V#McujLm?onq- z{cDhnw!IWo-%V-_IW-d?^mN(m61 z`6J0D%LzT8aWT)8ik8ffWb|M|J2PovC+K>Y_Bnku^725va#C$Vp=Vb6PwXV;>8u>6 zA4Qk8v(qyEg|vG*4x&E=;30bueah}x_7#lgtFnB3tU$+%I3ndYdyJfNZz0m|l6IRZ z;V4)PZzK(CS^A>-fnCbl-`PpqeYxK38N($=4Lj`zPW_j(KeYQ5l1j{X?8saKXK3v? zS-#PhcIxH17Dx|UfV{P94BML(dcsUQ@4HqvsG#M-Vsxi9q-pFM<4wvWB*!dSh4|KJ zhSRH0>@5A_ptAc^B#_A1HIAy@dWqU+I};O_a&JfxLJi0*a=`6z^!&{qfl1HDxo)&H z1W0<*Xg!408vf+y3CJ(4kKa9dBH!Ss=r8u_t#+-h)gO`PM^e6zqqQq+->&&41}t3= zHsmzbGw6=?{JHas3HpYl<-%^o9>H^D^-0A}s$M-~Zc^+aeE!sTc?jmuk6|R5?@C=2 z`Jrr=)V?yJ&n4{f`MHwTMo7->5w9%2!cb{IGCr+cpHo_OkG~du3B&gjVz+0OzX!5f zYf>?uZ4v!h!Lb#sY757X$!jyh=6Qj&HELa#M@eee?e!E7_S5<4r(16Sv{50loXdrK zAdKOoJNDgDBn&A{)~xC|4iR>066Jkh9C`R+()q+Hy01?UNIWmOI{;I^(X^lKQjsgq z|3n5YCc3mMZR-~E2YOlesvhN6=t`60Gkj^2Eimyxgr4KG#BZPRXaltK=LYlUNAi=y zBl7b3Ng{{z=cQ%>PJTCLiuoaxD;jWn=%tdM!~3XP_FXhDnt15OcxHS<++rkh5{TUo zN=r{Fm@Cd_{z=(hil#Uu-mAr9*xF4 zZ83^sLvmuSNV%@hB#ck$6M7VkVAM8$W;Ea8wR3)9F=QuZ<}u`?e)Nm=elTCnxe8x? zL_=oJcY*}u)Mv#u#AnnaiYfMe`bQEHhY%Y>N4%akq~}HRpF)tHr^)HnO{3cbzeDx+ zt?oHKQ%W#Fm!473-@YI|H_waDo{l4V`H3-g?aCvV09}2&G-J_ z9#e&ctB`ldaLKyf<`AH>vd|OyZYd__CP;C44S&JHxf{jnH!k)4fbrj)6%GiltADj4HZ`h!)0 zGa>ZxMx@qccQ$xY3-_#UI&M$f=!*5D|4k0^-5lxVMX6m0JstVB*orKCMwUi$Ed$M- zn*~8#@=a<&A*ztkMxSPY5pKUQG1)kI>H|XRN9RT9uQC@$XECD%5O{MGvhH(ZE-y)Q zbzNj$mL~MbJGLzCxJ1#dZd5;(`;kFDQjWUUfBZT{A47+4S7C?0HryC#6_;w!&ZyrF zpn+}4^0n3DkZ?Ro4N>~J$r^n+FJCI`*@})N@YSBSZr7O`jlJ#%BG2lgE+v7rV6GeeL?47vgB>>lcvrr}K4$=6Fv^OqCPkO1wh0 z>w6^%j!M5#bjJ7HRTuQ_n7lBOC&z8&uSq@3PwLzGIX}eNq^%HtPA5Y_?XseZeR&xU zZ4BSh>4v2nk|fCv@T!W)NbEPikul@ua=AjdU?FJQ^}*ybx38KgJ#!qd57?77M3?s0 zfyoJ5pnian%zsgWV-(x7^ycvd4%yoH=Vwj3$dFa}GTVRiL;A)Q z%-h$+qrKdWZ8%UA^N5CV#hjrMI9-MiWa@?(K_|zcz6f>UJo?pn&DMdTd#=7}>%ePD z>qDoJqt8oE^m2PdB{oxAeB;EfedOqN=)|8YxR77DmdJr-X#bI!W$p4dd6(R8PoAGX z;*-q37G~wuCy(317)nFHUgn|b%L6ABd(0tAUzok}Esb>tx>}EEuRku?>UjSDeDXI6 zhBfE_?=grY78xm>knKv3o6!D0ZJg_)EMQkN=Iy-xpO zKw~%A37>*ABm6I`i$C!bC`!kXvTdpPjCO3NY>%yXI?QYH*NqF#PbVK7k>fef zxd(5N+KtQ~P&?Ilupm`oU_n@_()D{Nm^T zk@hxlag}G@@R@PqGj%`891eIgVlwA&zyl$W;Am-)4ige&N-R>hCP;m#^|4LN zy1rezopU%t1{frvXtTE4GGs{6ZPmn>P5V5%3LzCg(wH>vrd@Y6A9d4qx0OhIqp#2Q z{a^QdFp&7X+us{wfD^*~b$$G=|8-sW!77;#!#OkO0*pd|NUoUcod~)(fAr6_*Hmmb zY^nqNl94eGpyi3R!}Z~rW5V$xgwqu0_x|8qjRJCEu9g|LC18OJ8$0MBvLDbc_Taul z0OXl?gc~zV(;uB^3t1DwpRI5(u)9*tL8dhBb2aZ_l#eO^#9+`X6tFkJ4fzO+<}09d zQ0*&D8d}6cS{4a0v&M!V?xV4@{73{&9K(i^ZDD_+{TZWIhHm$E0k2rujUnw8*I|{d z6xc(Yr^tCB^gP~64|O~&60RoWEc(D&PZDM!$-ryWdjZOj^XZ{-IW$)S*K>|yy+Ixb45CNZVphwKL11!Uh z=SE|QhA0{%-O4!f``%%m{hhup@JZ>P98tgpNQuqR=NF0-iy_;sv!0x;sz z|NMbkK8--os#}ouvFhR8GZ^C|rS>>tAW|$QbQBdL5(`p%Mq8c}f?^m_Ylzr*7mG^p zC3A5I3Kuw6Q`f~XDA^=pk>hU;y5YvVY@mo-M{e&I&rHqLD#viJa{_|Rlxag8SPuuM zv50gDaKcc8BJLY!D1xR!&g~tnc_H?8JfY|^|Aa3xAyj>?CB`3C!RQRi89xI%PPqIW zjl8j_6`PHS>o|DAx!RiHDbQ&25LQoaP*Urh z@Zs8DAx5UDddXcP` zGqERqO97dErItB_*Np9p;z+cfFTlFi_(7hAfbQk@cU$kynskfx3aogtQ+g zAtYxCaex$(on1Wsp&p98-pRzm*aM1=Ndsv3EJ_P04v0)E*}#jPCtkQ#jza)c^sZ;* zQjW~ki0c7cU|{MIaUMtLxlwpEe|>F}MjAjt=oS0_K4273V`&CPu^F2ze7yc$^AFTg+=FT3Jst}qkMVZIm6Nc z+25;W+d-DEi0Rx?Lz4n+wAd6EfMds$m?&Td*@M>+Gx)+|*!S@8)KQZAopyY?dKki& zWuAZ|&spba3J^0gHrRq0$X@MxHMK9n?!OnT#T1qh*ra)VDP>DffjK$`C7}T{hwSO= zfusg+)8V(GmwvO^!6Zt({khQte9fS5xE|Ck)BPd{0n9!GfF6=TI8FxPO6kXvnffyp z0ud|CiBxbOWCG4M^$RguQs_Vs;nV%mx%>SPJW5xTUa<8nJw^&>CvF}-g3&f2n1B%= ztxNkm91Njb;2t>L*OnN+)QD`!7@8U0^t4Da7jzu?PdIxYa7}3b7e^d<2;0z0Gsqeu z3S0M!=dj|6wAH6=U~@Qk1bYKR^s&O2vP9{9NS#F57SSBC#t0|T(CH-G{cw4|`>R%j zcn_=IT$Y*U@SX_D@x34zbtZfWX&B%-Zq3!e3;9F%icTZDQGuzvCqOmmbZT2^ z9#^#s|HXO0G&=~fq7y==HPP_(zi`X4wZ)e6vR09{<6JNFfW}5p16$CVn#FTLoJlR< z5orfJ=F$i=C5a4g4%^H&lS^ijflnAwN6|#u5Jfy8LPKLh;Kbz1U{Rb(mBO8jkzl*= zUJaON42fe2(h=Y@!okNeJr;x2w$k)oy23@|j+r`_tbC-Wmt(1MG$AB?Iuiw;v*w<7 z0a*C3s;e-mS);}#bFP=kDVASLa|x#A(wf;SnhWuUOI_ygH`+(YI48nX3%+hnhv61>OnbvwHM=Ww86@sFtPwe-)FBvsYy-H zW*vVC&S$61=2b~3e1WoJCWpy3JEdnmbz*Q3*`gqer`u+c{Yd{Bh zQBgt20cRZA|IemM*Z{FFW|MW!X+g@I4b%J}BBHeBlX6$)xM}SDebWrc}fvc6q#EFUSRInEClE< zDH4EvT4k<23j)sAl3e_ha*|m{fWLI2317$sBKoGkkIR%#>*r6SAR&Y1X)DfHXJ|Og z0Ra;L6C*8J*+ZHbi=wWGVwem-7SbD$!7Yn%Bsu6L9gCMJM%-a&B5f*Hrkh&~*U2|7BI zKvpy9dnq;A%8ZSwI3=!;Mhh zEv|qnJSc!9ArnA!JZL&FMnuQ|A4Cv=BrOxn2~mYmOasgfM&>dI&E!H+rzC16G_$Jy zsQN4txfcLM@GVyMc)*+T!@YB2?MwB?r$L&*Ko(U{G97%Oh{O-_e%O$!?L8Kb;Bm0D zl0M=y41UO@<3DSoRyr-;$fSonW+!d^Gq<4VnKF$1)oOGhsR;!*XQdbepj8UvNeEz| z!CH}Rs=&#U-?~Bpuw-TfiONWwefmi%j?aiV@-a$sGhUbO(@p+&zia}H&I?&07mW}`}ujiBb|L?|F5E}8r4wYc;Ueu;$hZfgkm?p4=ttl%DjeD*Z zk^lk`xcI7JQidMvN|V%>2-|J!BL2fI_!-VYgvl!G%$KS1wTI%Y?NXz~Og zt)y{$TWd_N#?WXoTUavCNDY*Y$Y_LS&?TrWc|Qjz}PM|bSV+Pf*&U1 z$G~z11F-NAeY@>Ci1N1EE`JiZ`T%6U^kHEAtkyFJ416m^y&Di1FeJ{|`}++*W5g^aDGG{IgISv%v`Ypl$W5P@sVX6utAoU=p)LFJQqB3B-{cPk!2{ZaCH!6GPR3RBP4cMaoWpK>Jim< z1$sy+NwgieXNfYcR2@{$dKbVbcKx}Ub5q+PZX|hN#kVVzfa^Uog-?)>#51gW2_mM1 z6!WyxsHaF7T2yP1p+zZNX5E0F%7n&;!BKp@E#%#4m&3r(k!P8jG1RA_GOl&{WD7WP zgt?RhLvE%JBFI*bp_i_lh9-ALxiIG6G7sE22HXrdCE&^uZTbuU^hdBfI4XRu53Gp&lxc1O_h9zu#VEUH+8k(L#7O0Q!=qzy%7R|Lk zbBrVf^c+c zq}n~$$n1+UsHYA&bf||NvRR$utU2F+=te&sTd?-TE7a^nE}NXjgSD*4 z6en)n97KBi$jO-pgQs5mxb*e|JvxK4wC)fI9FCq6>tQwabxjUCF^c7UnjbZG2mGfrj!PVY!%6(FKGrU{2 z_=GfV9Zi5ZX{wu{+%Go4I%#53_(Vf_%@q+QU?X<=A#;)?q^M$x!J5WNRE}ia3Qj&D zh)4=iX5ycJtp=u58J2aSUWbA3Yr~XoXD>k_OwWcG4Uf0&{oNmGs zY()bqo0kyaM#_2jj;5h2(jf$0Q9Q{Yed%K?oxM z+il?NuC{X0wH4GA(L#Gobu`GlgItCDpPV7}17yCUY72w0Z9biGqnH4>(R-bR;d7Q= z@;4MWldQ#2FP3rP6g1pAi;_#2aVp?JPYMbQ1hh^ZJvGCsvec;7qNIy`vlvB1_p#chdiW}`Y!B9&B;#=1D1ls> zF zr%*L7)NAl&sM9-gw0&j?Ukqf*HaNS#%yE@^7W~$jq3fL!4Pepy(dWe>81eX7xDd2v zWDOlB%QAqXD!ep}O|JiGOPfJEToj(A#sQ&%4t5bt=)Uh@5AE!&Jt+r5_7pRLRZF#a z!ah<*h<~CDuUH7o-PScmWwlWX$MP~;!4gWSYX$+MP&s)zeWAcx+l-*t2ye|O^2JUQ z=8Aa>6zXRjNcPb|3Wala+k@&TQ4a?a4d1=1k8CJXTB+1twtx-zP_1N?1RX3q2?gVj z?PE14?*({5Y>agG65@~z$P5XKi0Qm3FeCLkPcd-@sG+A8S&PKxK+^$<4-lQLc&upr1!j2!ew;p-v2&8`+rTj}QIP*|YWjfpuw$pgRY`QU63LBom3lMoE zvnSfZBD$-;{9yg0j2sn0)ImARks$V$52$lBXo5j+na)}$5Re!zpQ}ga4)w9v&jr=Wpf8X;WYm!% zO*H)OUx(7G%@Sc;M7FnyZ_aE_bvn%)BL{*fr&=fss=Xozz&XQmX8ak^*gw^aaxBfQpNNAEITeo$=3Mw6^90pg)#3W#I1 z2UO;%*h;bSC_T&^D}%w{7%bF7t^7#4s!)xC?*`%pp#(B7)#`Q9*sfean9FP{VY9`R z^B98>?4fReV4NyC3DZwDnXK0<>#{ifMF@7J1f_VW(fIVgL8$=M`ZGiX?05vxCpMJA zE2;uu*kB{t-deTo%3U*%wIysCb6MEzRF7M#Wi(|yX*LT?8Ab-5qjg#?0MV6y5%Qt{ z@QRikA{S1Y4+eKs;N^JW4oRwl6$nQj!6`tzu57SNX%DXAPwO&~ItX=p&*w0S@S08DbZ5d7NED0M0*kX#(D_+nnAOiK0vM^ zWh88<>0XGc0??*TB)m352Ulu+HZ$7QXt2u~8jgECc5;>?dLkBH(hz3yr|4^AJ9^%* z;zfK8jSBaSwn?&^sjoJfdU+0uHDvL8JfbI(jen4sh!QL>5- z0fSEk@_Y^3%o=4+LWkR(VRR~N#~}mvE{0-QBf-o~=1vEOi;bW9bI{Nia3@v0;5te8 zDIQfXb7uo!&<5eAs3Rq#&=FY<$A&7%Lr|~m42DwFjXU+=)1bF)~VN*R`+ys?2FYdgN_I8e#M>a2>a)q-sUFn}V^c!YNEnmG<1(X3-c z^sus?kX*V7%VoptFf;xky#bBhEUt`r#@c~Jl5J!yThF187r7}*g^$QA6}MGH?}C+t z#RA^js2mBK41FrpbF&Ejk!Qef>Mm#UgwJ6#JXw!G7K1!dxHAfaAwA-#rCzyI5u6XEhk#q7L5x&kRd;ia;&C{yfKhq>e+mcc`wj= zW-Yne`(PB={{B?`Y?qylF);~o9kM`3y&(;P@;R1=p;Tb~eu0W=rcgDF3eTn8W$9_q zu#^s=ST;2=7!gX3{NrXR2(4R?l%4|qfnvuM;UK$qQjKm7^nER34y zK+kvB zY~~o6NCKT;)cyu+HvKPgxyzQLrx6A$08$dd!Tc4(j6&~H_4{-NRNhJTbjXI01T0Ds z&=$a?L+}PmDZ=hydPvv>ymgL-k(J2Wfxo<;fCEa%7YsYnK|VkAD5NuS8e;n(j=y#* zWrL7}t$&c9cn&6|r2`6LyV0yc79jmKDFik(gGreM$|8r~6*OEGIYiL5(7P2qNTlmQ z0B=C2AUZ_~2|_{tFgW2iEdz*CgEL(NVVgR2LgzSh^hjs4iVAsw96}{P4g=rk;>4Ox zQ{4pnG57y=s+WS6c4x)b!*EHg|DItW+ zb1Rsk&SJ|c`wulE z-jFR^9m1(Fk8}lkqxCbZPb6a6`J_)DWG2OV3liY*FGJjo)vx^=i+w?#<_JI}ar2-w z#H0?#j}9!2Qod_zvHV)(gji45q8N}3O*R&+ZOY+bVA}THBor!RY;pwejq(7HzIf?v5i4#K6$>{Y58DDg?nnpr4!RdalTaz*fT9fUP9blFpn0Z)$$b zIui2thdlNx{@yoiT~y)Sn3+X?4t*-16K|O1CSmCr&RDE% z3`F9TQ~V|C%Su~b#$RSs3dJ{+bEigbDbfDn5K`VdZ5d47LM{x{>Du*bCH1RAyeq&p zkkr>dS489`C8!{1ElYHrMu%)Y1lm2V?Sv}XDdd326vag47KzO-eDo^m8g+jXip(22{kgex}I+(N| zc%3ldLYIg{(q4lRiRkq8D=5YO@(+)yvegfxGOOm)mr;6v_*9s0lv2UIP-X@S zexQPiY^lD^N2Hx+?|L$u*^@mmT zUJ|*sfb6T+os7LF!l-VmMM4r&5FqO~1_k9kNPz~Cnj~s(QM^V|V5^2dXPhRebLM5q z;4CWd(Sc>cd?ye@V)K7)<|reE46vTTQruWIUqjp_W#3T6Lv;r4Auq@3Y9R8YfT)7F z>fmWYlj3n`U+Mr5lxN^9_-q~bIRVag_~&2w9``n_`vMLqYkmP{&)VqD!qHDr77k1% zNxbs%dm_$*jsjU7Bywp%5qYyiCM-Mln30-jF3&zdLtN%Z z=dOG30cQ+xDLO+?Q~V>7BlEgHK^s*-P(y_;CPTv=mDvvPE4d~f~VqVfGHlV zMY#wb>QX(vmzgV zuLjgDP)sm2_BLU(7U($zqmThz>{-}CvHz(W52o^r_shl3ByV6QBDi8{?q9waaMP~u zw1;wX->~jd`8JVKa9B8DRqS<8X?~C%wNgTUNp%OEIALenV4KJowq`Q;v~H@UN}oA+ zC$u;q@r}aT&Ux*B$7O1NMUe*`OGeis#pmp;NWeBh-dH*k{PAe*PDD^F^?lW`Vco%) zv)T>_8^iI~gxeRY$IxV*Xh7F*0>x`{-@L%}OUI*n0Cg==uog7}sh9hWJ~eON_2nGpj{ zE|-cg)(Fro5RLN!)mFf)1uIq*9V7e(_VWtgl0xSOUPwSi7EmuLvS9k=&T1DcxW`Qy z0vfz8J@p@Or#ObUZTCWahG@ZGjr+@37YW@!12fL7+7VnL2CNFBjxY`QWf62@ew+@N zDArW0xad*NNz!R|V0a02WOx7bF;-0D1$fJ%mvXzfn8wnOrBX0HR16mfG})B^t5mMa z?$F4suz*z~F@q}6=(P7xs+=bafkJBR4jQ}twjv~+*^P%lPdPaYc(q;(Pw*7EF#>RO z`IFLQV^50UX6d%TD;)}kBfAPJn*xQ@_~{1_xQw8CbP{wwu2JX+&Hw6`&K4U*5GY&D z!U|M4RF~prJj7&2a4QUg1F9_;tw5N>%qm3*i^|q$?F3>NolLehGjvEnjFLI`h=KwK zgR*l8Utn(Ery}DrWUe30z!^-7FmbQ6(`JDvZ9s0?J>#-4>OV(Hx6WwC3({LH1-+?jQberY7~H(W9krl zbc(p`#pyX(Hw_MBO?4gEdKMe)GY}^%Fai+|UBw6|j@sCU&?K#STJ1k;>)wpJ;Y0uk z3`}SRP2{ktOu=zmAKC;ErBZ})g{%{NFwMqE6o}ID8JwW6kr&J?2$BXJM^O!6Wap&~ zKXD>%G#AP_RR*|IIF4c#sP+^n7@R9aT~LlpgM&i~{3V@^V5yR^6eNvHzJq9X61n7p z*&xPM=#=okB3bi3yfTaO&le~YZDo-K`EK+(BP=7^au-TU2r&gKb|MwOt(MXni__1r zV5yi4>cwI*5;3GBN#;fuP%CoJdIxd|LPzJNhxTy}l7WMAIiFJn&nyek`V6KcI$+$_ zNhcMj!LTe%{L{#3#}PEiYo}z4eH?b^3d&p`0$c9Waq&`^H{aURj5{lkdj<`$=mv#q z9=tw=r93bT3gJsm#n}X?M*tHrmLTSIOlYKHLRfa<`e`2B4o1&#pC-bTXkv2+UG2v4 zZ*GV&`KE5ttU)LG_bd(qc;O}Ff>ECcblg;b+Lc!3elI&;Ps;NQD(VEFgG{E1ifT+6XNIb*9;G(NVNq1(+q0QJ_Dn}))rl5Eq5>`=fW?agn2xezA8kG_ScR%+@ ziU`9oRPki29o30J!iSLl-3Awej3A2%f(i{wvW+-&ssCW~qS2dJ- z`fS;iVhkgtJSU7O%t;0_5VMQ(PvFjkwlDwYRVu)x!F!hsE|sEQ2vp+s3XB6A+NxDo zMA_95;g%)kQgp~PG#xSn#H7|KgVvi9e`DZwvqSeU!>yyg!HtN>Y=mQ|jmwfPTzT<{ zSa=pVjO7Q90u4548{{Z>0J3ah;uV+3HIu0BRM5{dI)uxSJi>peeI!$2;+m&++)IDc z*8*p4=o1Q#v-hRITNS%zTA{o|*CN3WMCceS+mB^lWrvy4uv+f)s`nXsMxHn>fTTX| zoY4Z(rP5{f@J^{?pYv33_B_<_jM128G2B@yGGtm%mJ#&J<<^`L45u=AQ8WWKc zvO#(YS)nqpZNfnXnMhU}METAux0TERbN8uD4Pj5SBcdo}3|BlBpnO2ne|?i$F6-p> z>3Yk|fFh47>hwLPIJaYP@UO~IDhxr*_*JEc8K93F5lv_u+^u5G1&7dq(u2Uo+G*!j ztx7(VWyv8TuhD47F#K!V1Xx6RvQ#%<{pbW!>WM#bb$IF*3(HZRfCO6@rFGNSst#mj z2zs%=&~XvT#lYK92qaYl3kD5MT7utK2q=C!Eh}}7h`usIQH0VtA)t(`L$7*=szOO4 z%Jm$$DDoEDGZOq3SaZB(mkMh%a>OE! zEA&%HXa?nJSWi1-lMoq{yyd^VbsQ))j~Uh@a~Eq-l@yV)#Uwysb!>+W>=46xCM%)_ zJ-RfCCXN2M4Z{E){C@XLF@8M#&iukQ(Y`RcmqbixH#agL1m*g#A3d&FXD`+M8Ma8q z#f}TX63#nHIzt&db__>hF9<8+$6r--u72S3-fq$C^hD+wR>j@2MFn&@)>h;lrlPdx zbPDZG6d%AX;lY*e>|=Kcpg3Y7S%o%^-~M8!P1gocx+*sc5&7HM29XfK2ta<*H`Zad z77^CWynWnpn4kzvgt@*kjsM&Zr~G7$#-dvO9NQ{wQ_VL~MMFzqdf5O`11urc`90JU zZ(YF^1Zc}?!<7h|zy9}z7gsawpFhA^;Kr~nTuqT{;mUQAVk(&?uR;EpX(zekhO6ogYB^F4D<$PS z9WLV@StNsQcrjqcKS;vh?0Frsx9tt$gP5`fmENgzEIPQ)kOsK@QPubuQz$(G88{IjLlOv3^ zqXI8Q0K~$ZA7ECl3g#Guq*H|W&;)=WvwSTs{za||m#7!;p&cEF!qGD)qEkFKFJF8_ z1zM_V$L2l@E69^9Iaw|6IA(H@eMru;Bsqy>V*hbSZIt(SLLTd(e!Fbu;>jC zX;ny7<+71chw;0|{{R()Hjj)gnoQE)60rA5YALRgrdzJ*g8tW#H8`f0QvEfB4T}q) z2nigdJJfKHbaf)psHpUM0L()pDpIo%0+r)ppOt5p<@?NBO%v5Ht>1h(*ySP8=P7+4WMX zdlcH^>d;%C`9nlwz3f(;9K%Z&WMVR49Kft#Un%AWo;^^3kd$~$sPa|JA-lKtCTSwLUPs%b9B-BHaP0t_)UAztVhJZYMu!!E7a-6ah zOT5qmS`ysCu_EwlNQRw+)2L;fBI15J@FhC^0GJI(^aVR3;^_yh zdNZ?lg7-dLpN_YrCwv=D(6VQ#bP-v*tOCN{9#K&yi_B0f3A;czB3LnmtS|&04Hke$ zO9uy&2IPiW&f%+18)t%L7@<%64#u=ji8w&O&A|~Y} zdx+RPc8@*^9hNFribkXsF=o!-Fi7UX=VhfV1NmJLH0#p7{}Dlc;s7cwhEVmF*%G2o zciLDTvXH6zb}#@<+7V2L?sWihOBiRVcVgTrmWTn7g(Kg@xYQgf8srXcfbB#W<##lg|EU`B(d96SP-i)F)@YD^r=b#)x;tfdRC!Hg55l`<+AoQw)-8_@G&XU&SpaKfo~ya107 zM1Z)lM>*G|kQ+yzHBuvztK+sOjv{tPx*M(}8{9L)QUhKOMS>_`Y?ucK0>w2FeC;Ai z%TV(0nb(?@ZvB1d9d^cjbh|XUPTVd{XMgYP!n+CB!f_8(f!SFCVDuNGEGl8QduLUV zgNmaS6X^l09d;b8fH?K|gCfE$T&Yee$t8ylNyC{_Qwhl#!(%Wq=(NZhQVuqyfx;A% zf8kOS;oN5trXY2aaWbUac;O3}Qp!IP%LPybU-t4n8Xm!?p@j4b%!nDXVCd9>VL=kixd*TEq-HG`@touclne`}ls54~EKs5_=2d>@ z5frY%sIlgu#Q`B1PwY8eBEbTR?AKU#X6Pg?GVm|c(co^)P3^|@3A(Ds+__FWAq~;E zjMk&t0?_lY1Sm;mpNl==x(;NVd>+N_i@D zH{sHlv4$rbRB#tKf;H;GH9^3uz4U$?NIX2q6fydk4c_xa^>x6{u(>#vCF3`S@Rl1? z9pEiDbPPSDapczM1Te(nBXHr$o-hza`&$QQP^&o&z6R&8KoP;YB#I5N?o=NQ?+sW{ zzh7V_jAVHcbT1NnB317@gi8&;E|3_czC<^4c2kWId(jfz(;40NK_hBQ`gxEG?yRaK z_+2Yf$V;FFJ_Ly>L8$+XNv;O)0vyL;;||N30u3o{ft5|tiT8RI;yhS5Tp|k=MdZ!z zo&mdX7I_Epl2w{It?9xfjU|8@rD_lv&YDC!uZB!#li_HNLWYH1p;&l-8)aNhUi!!FC>2z(*8ZGA9z>uw--<|=HWshGp%@f)U3j&47`b-< z16c1RF0fcpj3BP2|Df*T4?^Q zuK_h8|FF34LG*9!#amx6BD;tW*bSoo9Ns}gmLA6Kgb_dK)d6dar!568>;GE`y?Lzd(18$H@?bcvr&;L}Rt6O+%p;2nULcP=`GP!%F*( zA}W@jSbXHzWE!iSJQ|jrvbBhevfcaD3kkoY{neuabg2^o4GLsv_B~)#wH0XF{?@!b` zVqll{Jm6go>3#zYmFhP@9g%>30|CcCmG@NIbA^&ID|)Y<3KU2LvHtsRH7P>LY--Nv zZ?*sU>Z79oerNA6($KKpuwZ0as6-c`5qcnCWzg?{IW3J8QfLhZh59RK@9E$P(clsA zJ3@u@vF1$Swp%fUJ+NlL5ji0oZ0g>%Lkf+E5Up({ZB!o&UZD5^N5Z_!610AA3azK# z1w$u~!I@QMJ;K@a6Hb2_y4~pR{9+4*HONiT`%xB1I27*Pyk2CDH4rhv&4k`?>)=it zI+hP|0O)lQtqAv>K73T}QMVcUn(mL!T2)-Mr~|Yr(TTPf&Y*IQr~58(-`$cVeEtdy z1AB@#J68S6Oe?OUqIU-p#&8mz`)_U7}Gz0Lx~E? zg;lR18riS+=)R5IhF0 z6q&j+dyBV5(5-Jw71-NJ7QqMxA5-xtdpil!d4iOr^k{G-CzIU-1gKHG3)Cu+q)T7g zA9t75KR-`P7qrrDXHc_69&Q^_mn^-z@u& zanxiZdx!}vI{w63Ki!8<``${MeH?Yl@HO;)90qH#E0D}9Y+h>l7#A%O1U0bpqy%*S zFzZ>5le(7xW*^bIDSmz3qi)n4Exl%hI*)Efk1bFmaWohl7+zt1hc0^snxx~WeOSWL zuwWuBh78W8EKuqRY!LNkWYip2uEO`FUIhHW=TzN#QLPgMx30%HHNIQeB_Q47;U-nQ zJ+@GdS?+$El4je@YRu(`T)C4k6nVKAcqLA4P`Ad$BvT7~;!$JP9&q!$y%yg2-s=fm zXL1qO*Dw4*UCgCLT+bTQy3MIhiAOXr4ZL8Udd<9;SJZ2?fa#F~UQ2Z% zo^oe`=j1Ksu2{X76YJe3eq4uXV%1-NlHQXSzoyQ^an_i`=XvVHq{@j~Eb;c3 z9k{k`RBf`xM4OB8@-EQ|xNnbhHeOxtS);@(BjSl$wkx7IlNSD#=e2T|9Z(g=Stpv! zlIB_2<_+LY@LZml%EL!u9=SBO+mv|(xRzuuD>b}RX~DwyYbuOs%N%e6wA^lHqcSeC z%yDGf`TF0v6plj%4O7LhSd0sJaMg!P=1fszZ@I_QfESP5DBH2QF+HMrHTqWi6>&MZ z&TU}yFV*oJOFx>m+ zE$X@1t?{=$cO4t(_xt1BC%%^c2XxRfJMHv?Iu8fA;RFmQ;G+*;(4k^aGQa-kWWb3= zJuNqHLQ!O)r%^Z{3Z6Q*ZlM5vQZuaaIuDGAG;lud9ysMBD|9^|D7#G&d!_X&J(kdu z7qpBmMxV#A`@DvlFNkYrn#DgH7>SM5&rCjx3dCpCmLw3rHfX&VA08TZ@gi4PD|6fm z#WE#7rUF6h?TBsBd3lY&g(O|^lqLk7<8;o7$oPBT3e{)JQ)->dhxW;uj7q(o7bDFU_Y%zdRlHQ(q0q?Tpf=6x^+J0>HovN zQ9-u`ZU1@a@)!PXjj}Rf%nF>oq9o#}@EKe67He8^HcCqVHf>fC_+6&F*SO|(TWRK9 zfeV3cW>9@g+}F!@Hgrwp zO>4I(M|9sUXSGw(t=6W3(gS7la>@UYej?p`Vq}lB{6U|4Q*r#v+H1d8eY^B%s6a3H zMEttwT(P<-Ur`=gZ{1wIy>#ngyK$~mudm5peZvi<^({xrs&1(^9_2S$e{$vv{C>S{ z-DfJ~Y3sjB^>5UB3rEUIEj~$gX%Ae~#mdpj0>yfB+T6Ea*G|OrJA^xZv*N7Jr<9+Mb@y%( zq$0&CKPb9Ko>h#?8eiU}eY!R_#>>(s$!L%ZG~>sOW6qnjixYS2eA&!ev)Sr9%8N!sao2fn`}7}2e6BIsyuH69EWxIfx{5TnVfn%l zx6V~)y3b|WVxwF4%X^@{_jZG+odGr5?3MabnIi zU*|zBX=tr1KdXgA%Uw3p)ggoh%ZDV#8o+ePgJ}ywdNLHaJwpN2y(^eAh#auT=265!Bd zPT6V8!KR9w&*KNa7H(&KnTn8YJ_M(p~28_Cf zQ^xcSvZB7IEO*JJo?X%w1Bl1124)P^f(`UKB zb@HxQK(|AfAiVnD3VE7a)P#sva~ia6WzFWo`EI^Y5Oo(9@l=|1f2aqPaX}S}A2xRz zIu|!w_j|A~civf{3ySCDJFW>Ftssw;Iy$HN;-W{Elsr!!Np2x1qKGl~=g)7!B^c6Z z%WgaBYIG@iE-tU8-NM#63Y>PGcQns*?=J4*xq86`{G(bdpi}~)?Fw%ZDn^{$#ojHv zuP$c$yu2)KQ3wG9=6*i-qFAzS?M7eAyr{JVH}0Jho@^`QZ`231m6r2`&zAqa`j}qP z7t|_^Va2VN2K%)FdsH(kJ5~udgkR;$9_i`Qt#U&?Pldt9aLHp zcH9+{YqqFXMR2sVr{lJ#K6wMLTg^V>R_C*o+L2`ij|+dp>pQn@C@Boqey(J%@oV#x z6f~EQDBo3z9c^^a7R5i^E`R!?4ynIei8bs^4mFk^mii8~xch@WE0gm1Y3JmV?=<}U z$;X|?eq?;uEVs-nvDZ)U>3(H;$MPq;HbpDV4auRd4Nhr7{p+*l`I>H_cicW!UUMWF zs&E6nRNSJLpN^d$pEBc?cd+JP4RLaa_Xo9`^yY-7S1Qe@urrzy4d{^6M+E;46O?6y zDiLsh7O%J-Kkq0>x#EmA-wZ~m!ATmGN)y_vaM@jbY==2H{zO0h2__IRy*y_0{yS*S zgfFW0CyfpA5Wm9wrc(|ZsFzne<|b{We%)806ke>|gR`+*9 zUsc{I+26dQ?EJm6^0#K+IA3~R`MZ(>fBj_puyaWM)mJazyH7QA$(uqq7@yTQ_BEdD zKk($Q%FiSZHoyOa}cW+%RzHtnz$wd&8sl_fPd>UF8>) zgUyBivGt7P`(VhaIIkR#s@M7->lswLE9RTkw?Y>%$?rM`R&P)KSpOUKTe0A?OeGjt$%ag@i8==teaooRjN=vy84 z$;x9NB&61Rruc#nhDutyemU_xUHaPE^UH~&>Pz~H!lb3W@UQWUvLvh!hY^#^@g1wB z(vmsdtPZhKVS4&#;8vO@l!~Uo2D(94cK9*?GtE>|v?@!{B;(1+bUoS{i zdmfHyqg^-g>vw-%44v06)GxmnY95-X{}KI+p$S=zGLN4P%Zszc(69*sI!p@Wtbu9mZ<& z2ih>^H;-k0vGfc0=W}*{Yey`oua>@J`QL~+hn>^*4!y0tHPm5O31|83$x&K@sD4#{ zIP^ixdg?MSRtYED$75xu__N^yf-gMsQioAIKW?qx?cW?5O0F0!xvXn<)i@;&>vPfQ zfXIJXk$kF#FS!g!s=ut8e`_@9PjuMNIET~&!8u->(B|cj>h?=#oLkHW19z^CN=aLu$8h zr@Jxce(L|y&kDli6Nq@^#QP&Il3YvdpyqkX{JHPqM2TE- zdinOGyr!Lv9gbao|3?+$^4rd+seMKNl`x|;=}sQng{w-Yv>ReAk|ugf z#+7gCU(xOD>Uqtl`&8pB@75a+$HIm()I2!QutV>1jw--x%g6i8T~d=)a#}oSbs9H0 z`t3%=nLQm1dRcPvMYHj6(p}cR=cnG)`i;$2UU>JPO~}c|P8gF`NoNdE=)A654T5ks zb~)w@e|>O!@duKxvqUg|Y`);M-`TXa!e)&>(0jVQ6Z*N=TIn~v!}?{XPkH`y$82BxRPRBxOHP(d zPOjircO(Wb;C(42BL3X`w?_ZPAisjIiXV<&{$9A^VzDZOW=ui3Dfxn6oaojLZ!j)7 zeN|H1H@@q?&?EWIi618WO2WN&cVcFEJa{a+zOZb5uz#4J=9NE{9<@a2(S(w`<%3B} zDcQc^VO0yux3u|`+j}?T2T8ZgSysax_SzzITE9)aEJ>}3kH1GWp+LzdwWQ-4Z*`bq z`T6A+RFNN#eP^W5|J1;Wk&@1V-m_AhEKQak;xA3dj)yMzuH`leW`oN#*9bP(r+9j2 zNAFOb7!Y5~3o0lyX`@2V_KoUAZWAGKK*oE$^`0>vzS}b{>T*cZyc@J)517+{hvU{L z9Tq2|RVLyZ6ZyW$@n1i-Cf4EtrVe=P97*cd@s0QmZs$5PV7vPDq!w@o6gPgdH{#yn zaHy|#n^w8k-yV}iyLP&K;`iuKeOkXTR`}`78bXjbhc- zhgv?6x{o_^)r~txSL*-y8XoPyqw^cmpCpgR=J>{!@OK}^(~p$nv2FbOE&VH7Mp|wv zseE!}Y`?IhRswBeNe!?8i*gqaQhQ{Ez7qHr68;OL5Iol zFHb4WkDPpG*>{0Ugx9Pj$mFHij zZ)&-qUyO@4l?^)=%(I&Djz8R6zQZ)bvDJ;oN85^zKFt5)o)g78T26QEXgP6D;SRac z_nBD99fB{~(beEQz!h<^FY4TK`e6XTGW{#hb9~CP z+`C;>noky<(!)L4YOAVyPS9>_JoSZ>=C{Y23-4V~tK3ywU$k~$dApE|6->_-*y8T# zeCJ|Z-cndRZ~Dw4|BtsyMb3}3aI9$0S)rjTR8cvv$ph0Rb=O|sqt}lbD-|zTjyc)5 z^V@b&+^HF_zvahTN#DUfVUHXUKAa5kniMacDz)zKu->}3(RRj#I$?*d>z*=oTf5{R zRT{N!-Dx}_cuakb%C)!x%OH~h)vfCh@I$6l*sPwk^)^9MBiH78xPTy3qcp`Zo76Q^ zW`0b(W!$GB)RZExRK$+>JZr=?%7AhCOTWo;1%h&!epDHfwP2%ga_da9^u3-~ez0_0 znZBi_FKA=gjU%xe^>~LgSzv!#`-gd2biJo|n>?e%0%s<V2(MmqvCYvbNFiUIrmW15AO&*tD6iaQ@mRh=%LT~+L-$_Sm zXiFIRF6U3ANWJA=uB%Djue%D|;u^lhJuV7@BL7y?v)!oqED0}ntL+NyUV=4yTj0Z?HEz> zSi3&8e3Z}M<13l05vuG1zUzv#@iHY`5v!hxC~M_M3mSF3t8o_}ALZ|J=g(_)Gt_9m zFDP+RNIEHWm$WF8W%<#qrC-_IE;qXsVYjBt-n(qHy0|U$sF5r@^@_1a-^h2htgWt; zlohI?Dq^g%Vi&*nJI>caAXN?s_FBb}`M2BcGon0ii>nm#`|pTt6{cZToN1VrN6K7n za7=6%oS@G}Gi|>@n*Y+L}E1U}T7j{zcSDSl2 zuM9VIImJ61R2e@h_C78DHc{zF9)EdK*<%gww0+gd!aaJ`8-J{VJ0~XAHbtq<*4!XK zt_#Sv zt9Moov>{QS5W%*)dL3AgQtzm0E4=R55y@TW1zY6d-o51+q#t;>!p$`*x@(u&Xq`eu z(mofrN2&8PgKh}8xFS!T&uepaL0K?3uF=({$N{$!i^z^82YIIv8lpLzY(FapC%G}( z3|R6qseZuK`Y(S}t-N0C5y4QD+d;KbJR7&h&BBE8gvXra_?8j;_-dWAdCnK{2FCR; zF5qcIEZ=9z9@FfTR7H|~-hnB_Ks!_T=mOhp!^4v`|UB^?|EHO-QxIfyZ_j> zw;WN;8}z&wtYnu|H$D=1LJ`{@RdlZ9x;0Kj-m2jKcYamZSPAT_Trcn*txj=k9G7Qx z3o2(;xD=%bakXx2G3rL8Sy2UnVX85c>z7T}Z9lv2Z5=0!UDbFuR;0`-ahD91Ar|m{`O~hE z$Vd-2#@Bi6z#o?Hc0|qO*6`dKCxDhrRf@R%k~i9hK45pLH*S0SHC4NO@r^vyRi-(+#fW`PXsd6Fw5#Stxy99N zyEmvcwXvIAd2TDxrSVdqt0=}>38-d5i#Xt|Dz3F7ULTj|Xpp&Si*HsvWzz~DP`wdD zt(F4r0mZK2WiHbFxH=|_TF7#%{Vq{`u?86-zc*liqDuk?56A@`sY@WfS@sXICds^JGf{Gc3@k9g$zZrP{XE!X5p zKFwJxK+z2g8n@QqBED&!^Sk*QWKC7Cx3=_Y4STdETW+-y{t5F)% zdu*|^N5A(Kp#fESH@!{*d!EpLxu4M3i74`E)9 z?I;~i-J}RM?D9UfWx6NSyQAeEy=z_HXH2!o4x7I^;IGv;MK^4{L)kkKYfSb%P_O~~ zc%>5BU;2p`8_LwDo!KwlYKwo{(^;d25=uu`^%uLF>i@0Xz0)v`O|9-eGSl$W8RKWc z>2E4U`_EidQ=;Jzv&C68b5Mx%NKO3OVlfawm#5(*DGfmYLx#}Hk5>R-@9pd zmHrF;BfdLyVdJ>g92R0`?@ae5@vo%N4=1M%j@9(JAtV=$#f@3&q%#djNJrKk3sFMN2mV!|BGZ;x#dUUHh>jCFSo z&rBBX>}wjehex%!9x?Vz?~FZc?>y`mPshwtv9fvf|4}Ow;DB~$mt|#Rfq&jqZ$RP4 zLGyt0l)p-vH=CbMHlLAjyAmj*s*-N(Y4coIZ#<)qj27yyP3ER>D}T}AJA>M)@qsha z`O$;YQ{t+I#yKeo7HX4LN&g%_2LFzIT&W*$_VajfgB(+ako~SwlH*Ftj^@MiSDl2h z(|J0ntwOEbd3~L;S|<*PzQj*;SAdO@-_`M~d<4yT`Ex6SHFxs|Y7%WjYO6k;|9P?h zWC1>O40hiwPUcsYT&mytw9;CSUb6S22nN}MR`WvfoF2YSEZyEcBj2{?kv+-Aiih^j zecHE42t8EM&2I|7F#7&P^dCl6wcogPrC;6Kuu|AJ`NS`!x%#ayUp_ec`S7aljmke1 zRz(x{zuPT*|1-T-)7jPh*To;HxUYI&Yy-ckW929=St_h@=CqzypSu48oxjZ)bsl}Z z$~oh_FD0J(W%9B6yO(feR6SoY@^~oV~wiUhnsX-$;!?T zgN2{h|5SU_dE&`}5dQf;*j3Vw`ZM}j^)kCe&aDMY?_BJ zj?Qna^nd8|tH z>Z}k}@Gl5E;s=9gN_OjyCR@w)hZEg0U)cEsTZw#q{^!k2Jxyl)x#)RkJ~7d>wfK^` z_3qC5wpNv#8hPl+KNqUQgU0p#>N}p$2YQODN)CpE3TY0p%)@uPuNUn*&_wC#xvzv8&7{YP;*Oi&1&aSFemAGubpN}L$DwPKxRy{{-6`uR{Pu}at_nRkYq zNZa9|Hx$NjQK6)Myb+yw^{1n9_+S!mOd7f z3okhQ1wEk+samUk#%YiBWBSgJ`s#R--gw$q8k3^#@~zSS8KuGSTCF<<-J)*2DmNsx zO+C?e!*98X;QfI)IQgAX?rlBMz%^;1Wnc>GCk;~;ox$!_)4PJ&IUHQ~KPDF@eP&CS z?hG0)g*1IgTG{D0PA^w%&Af9n=5wRZyxoZD|1V{41Kvh;=Z%gg163%yt2rJkW5sba zCRY{zyDH7yX$(byTuj=wO}ge_RYWfhh#Bz1d3x3{Y^Ix!0YhO)vT2*$ZMShY?HacCHuk2xhTFS+|1xyneV_Z>`#e`qB8^9*GiT16 zIUm1||Djv^_&)lRzCqFz+d2U2PTetW)zqm8)dw%f4M^kGM&M$5v~*99$x2pICk2uxE+(l1D*6)HU$LhcEbo z&q-U1^z2j6SUt~PeLpG6-^wn?hZy@fy4%Gf$-`3s)pS|KHsFdRH%)>?PjYzIDCN@2 zA!l4?zhG;#1=;I_fKjFgqNSUhx6gzUfHF(v(_EKwkQ!X&0g0A>LCXEJYS|>%lfj*h*P0!Z;#oJ)aLuYKhOeM%Uqj8yX{HSZQbU*GWl&diEb94$2b^5<-&439p&Gx{Iz0A>Ps5d279DQkbTwCnfj{1SE{ zNP1_q1QE@b5`2a3n*yL|=K}yVeYN4p7v+vp{(gB^C4f|r$S*r!18|}0=hxAyQnIjT zJ^fD-8_n#9zPeCK3;>uDnSE2M7TfW_>gPAj;IajSTJgSYEndQX*#`9?b-44Ccwc4h z1C@(!kXz|i2+(uA*l4q|%+2%5)yL-NuuG-dLe$IqRB401?rG)a>R4rkc~*%@N)Han zXXPQ&5-Xd{T7GAANcO3VQQQD?or+lHmiaf%s&&)0T~~W-9^et%j#WO}0W&O^YpuW7 zCm;Wh_maE_a6@>(90rDp>ziABPEXibJ1>DQYYunl6GoEPdn+TT2T1@f^jcM_?G-v` z9OelDZJ&o0P0ytvW}Xxm3Ui7Q#-<_6A*dwkWSQ%lidJ0CE-$Kz9#!ndp@VHf-*uPd zVmQ2j$Mj5#$%1(`KE>pU3(tK<_vTarXXQHdMi@3nL@@v}-@bqfL*KZ`ggK9_TLD$T ze#?MX&lrTduX5gA)zhNI85QLg05zHT01SNO5)E%I7=T_Ng&(??A|us^<-hbP|{u z0Y;?e#gW#4Z;SObS%eFyEVT`g{`&DF@&yw&+gr;nC9Ua&UCObn zc-uL>Xg;x~(n{d5|LYH|a2^e^#FyLZKD3*<#rehdjapUs$+h2tn&SW03rdyauKXow zG~Ft#CZZ+^y)Ij%$492jx%PmNHisaG;s|w!t|rwD5*M?Hi*J++#c&M)Def_ws-@~q zXyv%dDXEV4%whn-T*_lj*lRnt&@+m>hHOw|-b%fs8GHR}t^dRYAeksARm|I*9d_IS z)hY=sxq7{<#T`VZnoAVo`_lYPQ^apy=fS*qBQZ_t;2IexyDZV<#StrK_Y_2v8L4I& z;1Dlw)Yege5zWh3c&6Md4QX;&LKFvTr)I;aafpmPK;&jC$B!dXg%N3=!&;RUAxR_B#??aDL%o%0&%2CssA8)_!)84VO< zouEJgY@r!(Jq7Rgri2;LjCxh=F%y)kYFw}}4HF@Lo?d&KF)w@jWe)2>!HUhr`aCs& zlxdtYhIPPZqVa1v^-vja+nnXo9NdKDJxrNL2+vY-(~vf2H(Iz|2Y7%wF%F-=)0S6a zY}SgxNF&y2>G3yIz49c!?sNGP;1w<7%aqSF*9eko)MzAz&PdpyRvHek6B=+y?fyTE z&$uR=O{AGzV>Ocgu0@P9#~GPl)HM#L4IO$W;&p}{cMK_9g)>n%l$MWb)IP2VNA0E@ zEN5EMhs{JcYlc`ujw`AxwJ0-DG>AD)WlGL<9f5!9p>G}{N=cThasZf`YN!y6Z;)mX zZjeh$*n0^`k1(fDZ=cQ8sw~1ZTkkXkud_I(^uj&hvR+`%CYTF50}h*jP!3}E1|Skc z!1=q?4L0&SWVlc8-%&>$DPE1mul1fKHs2#9HDN~KHrFJ1@AFVXFpjq{K-z*KE^=4Y zQj>#_+-TGZ8q-A=wKwQq0c2uxTB)n;e)F@~wiH*=QLzC!Y3$XDof4NeK~JO^AU$?)7DyHFCR>^@ylU1^xVV5VD00JyV<7-64iq(2%l{An+yJz3 zZHB37O@)ybbDT0uo{*W$Y4AAAF5bVPa|1C{(d#tFWGLwTMbmbOo8{0~aJWdz#7W7F zo9#dm#*b>1fRGzPhaGUx9?}Lt8{?o!JoJ<6IjKSvIA|f|peRb!PU^kILXD!URVDD~ zIyoR?;*!iFD8S$59C6yRL`a1u4@ffTkWvDKVy8}9WnOJko>PeivUaE2>5~^~aUn`E z4Sc-qI7Dg>2+$j9Sy1i)&gK>#utg{!wNLc&(s(5Y*8tD7tTrOXQo>6VfLH<{hg?+A zvBjnd@Upg3RZnu`WOj}*@7@qcYXGwto-FRlbR-Bs&$kB%M;4CO7ZzJQX`<+$~q0y8QQV zEeG>0a_4-@&8q~KbX^{~w>2RUF;R2En%5wEcElS}vZB#O6h}2a4t${8A#E>oNZsUU zF?hdN70KjI?wR$G)PJ|cLn(YV-9!(W_Ve`6lycq*9#Hh})t=~lZm;K8=?#YtKC-kU zv*iB%bRqGeeY(8*-$gCGWZv|xfsVvhysvpJCv_0!SCHv=tGM~|DBl6HD!vZ1-Pj~;#i!_! z@^xcrostcY!mRMkfS%4O<`i3mp}nufYDPENEJ$TSb`aYs#|#`j!>c?}+ud z{re^8%NWf*3uoVlFhF4T6>INSyh_myZP;4I=r3qednl+@}5(0>!J$$mT z-@jxQh8_$@(cLBBs@~VFFBh(M?0ql1TCjI$SA%;Wm%s2nKw*0yN8R^=x`%vY_HxIO z+y5?>h;G|K{)+w7Tpn52|Hb^)vgNki(7)xox36G)$((wO9d&Md+Gqq?{l4Wh}Th-8ZQS4wBwew|t z=E0Hr@R6&BlNXca2Z*B!-x7K>qSm7uK1wC6u)QjZp0Ci-lD2p6`RnZCsDbW)O9-)B z-Szku^Y)@6UWyXnT4174~ZONI88EU#bQrP3i!>YMNcRdTmmy8W^PqOUPXA zH`p4pzcaGk#P4d_4rNm9P}M7;5SYkX++Fy)=5E@(XVwg#?^Gdn7^SzK>=8L@7mm}D zz9>+~t4V5B0nBHNoKqjYf770HjAnjZq0a-{Qyx)$dp18Svx0W?fI==)zB{;-J6m>M zycAvboh{SukNQ@Fd!LnO6Ll*=RzCLbBu1vzU%7_U&uoh&}esf5vuWStp*{;@@wN8 zH_1=tOxz}5+~2K=d`G%-SviaB`}G~lNj#M~I@_CBJwnv>bC5Z_AMM$^bwHS1aeCbuHo|YCG#yp9f%O?=G~mH|Y465zBiH7gm+%!u@q#d_jrNNM5Zkf7K}ym* zteqg!*R!U6bXLp^LqH8rFMAKGt4JQVUI*S~_6bi;OXWrGz3|6U)?>8lyFpJk(v*T| zA^KLaXeC-G;JA)%i}|&aY>yewA62~twPrs2f?m6@Bc1&5@pE6JWJXb9UM~ zXXo>ALH*~i0_Ubl9;b^stW79RsI53U`M99TwALnqI)KMiGjKxdGh`ZyMl9D5Zg+O5 zzzy>gG2#`*R6AqpQ&NvyA)44>=@rfiJ*97K%+)$w%0|V`bF(nut6g3Dc8_d&S}?>I zz%I@4G9^N-&W30$gN^iYXzu|;A}Y*^XiK=#X|?OTw_w{3Sqvt*l=qnAxzdAT6LOyyp$Ts&J>R7!EF}^MFS6uv%w8|9JR>*5JPhL`BvI(W!F4daRGCYeLY| z{2m;_Zvr?}LWw}Mw7yZc4i|k4D3!8)aJRg#Y}HEbjGdFd_4k*iUQGb91whpEcgdd| zuns@{ufJK}wSERjrlchL6jmy2)O5((A^>b9YCxe>O76Jyt;G+buO>gmsXoy({XS2> z&s-^&>wRnco3!CmLDe!o0o~n)o$R3Y-q*W$rIN4V>uau5gSAHuTKwmFC5@V{U@y$d zT|5lbQwQw(L{1LKd|=vc6iHlhD6+>{=R`d)FDaiFL2+aw%50Qm;1O~68{iN-qc)oj z7}uKtbzvx2z=j!!yaXe@(hFuj>sS&DG+|Pz)&<1L6jn9)NtJFOpm0-QLaJMF$02%Jy#rR?bW}Q| zMx)b=sFo}0v%=2J2C`jE2yByfXqKuKlg4|>h&&=oxR0o}P%mGv_6}0cyz=M28&p)& z8>uc`uco%p+pdYHG&`r8FXKqLCCM{NrGvAW$P_Fxol|U&bl$$ER^i8FX2EEf4q+H} z>xS#v)S_nAL=DrGjA3q&dh89V%JpBg`I4#}@y4&|#sFTXIn~7D+vR)IFR@$OGpEGD z{)5tUEBfQ+sLFiG0NSj`Uu~+lYHqNla#h9~0MXCYwE8Y6_HB_-wly?mSCD?4Jzx(j z_t9GlO^3~&;p%WF)2v(%~u&5CGwCB97?*$7kJeOshtUKvN^b9K$6bqktmt?1QB z*`%}uH?Yz`zfw}$u2Ur6K5--w)PJGadz6a5YsQbW9}l;x(%z}oIh4qXesNZna(0c8 zt+cAYr=8d9TJLSGD<~K7?iOt6Q7k7Lkn*GKbV_Q(e z+T~;CB5%1L#}BqTw);OPt~N#QQBD;1mq(l4IIAS&a8udtrV345R{1SzkMe^S(H}aS z7ksz*Zfx1MBfqr&sPQMt^ZP6Ry+7aK`;)_u{qL7so3}~F8&duiO{_g7pM2|FK36x= z?BAwjZ@e&%L0z^37cBSw$f@~9@V$qP?##W$t+CL6T@`)jg7y~O`Cz-9!;@baD(d5+ zKdMalQLT93Ejy-lv1Yd(5jPcY!2d#CkzxtBm0RTU{U&c$JdBTrluNZu(+4`_LAr@F z)V^~zdVJyUiFgj9Cec3FQbp>1W$z>G;aKfFB61^R)BamX6-(##$m|$XoKF|+V~(+w zKWs;X)n!g`w%|M_b! zEIVY%54t`7AwfY|s6 zHm5d{ynO+t&Vy95xVsE#V7JH(S=JoP+FkrhAOC?4wyilGaADm5*ECBQLfo;NRXC~) z4(Sp=WXzVIf@P<<&JFIoBKlg<9CA%P=Ut?L?-N|kBk z#exAcnAbks(4&LDle_sEFWvxPP@~4x@lxEsh?p0e)hLoN3&t!~CJF!#*_;^h>TFhK zY#Wo94ETfJ>4#1%;6NP6ytK{M1;Rv+ZaeGrAw})6Cg`C%N0IJy@zvLIaUx)m8_bz7 z+24jM<;$9*Vp27ktxI0jIHt4BI!Jb~-pOiZXHfy!M?%o3^!zjlos+>Qgj`}?2Eh&= z=H)n6pr44!+>A2Udu8nhjk?u?UY4QP9f+HYhD(HBRLZ=e8Jx=$ZUi*VufHwDRi~lO z5nF4VOvxg4cvXl&ZgbXzeNZ;b)R1hHG-h=hU3sFUHUu4}>TMS|Y;nK-(MGOJP|Nui z%5k$GrsvF>%OtEj4S?-UyHj_Lhy(T<4M;=^4Jlp$E70Cr4$g!%s=alZCIJ4Xp8;@7 zvQfOo>CIxV2?(XCGw$byud8T5fYJntcLb%5ptU<3ob)|?g)`RbU$xRe3e zCpzbKh=A)ziWsmRfu<6k=yP(joV7?8Kq+j-=GvX;%CFz!v^JUdst#{IARZ38W)X-v zzN(suYK5awCD?07eCceG7dgESIZ*9n;$A8VDhCxus&jbzD#5wz6%?;6xUR!yz^2nT zzWWS^y@Cb*;)gL(xS@bhbom6Vozb0~S*2l1@>1nwi@*^RXb_>(sc4Kd70!li2l%)w zSwcL)&sZ5vu!%jWd!1_xH71v3a#T|fS{lNam3+Hz_Z7zUgsmAhnog-zv9&a zAk8p(J<~Gaz@NZO`R-Z$4NG0PE$TUEpA@_JdVnuDQ=B!Apy@m8~ z+umYrS4NsduW{f}UOJ=9v#xwvyDYK2m50nzWMRav%G+bPd3PRrMi%YeTIUx6S%5HsJw9ts)~4U;FU` zAF#_ldw4(!N z8XU>pc*%Fw*t^wpzrPDVEB*NPJwsi%OYgS!)BCiy&za{m>kBNn^3h1$l=6r|?DZT! zrnoOD;6eM(j6;rdlUuE|?1=jWxZx<|987W{cT{po`Jq0Eqt!?+)xZY%sx#^x6*q~es&vb0B5q= zjgR9MY}Dk?cB$TYkoPG>u;HH;`#K@Lc}|^CLV^@9*xj&)R3F4$z#rUE6`?#mpp> z;_P(2T(2}JyQO;h@JC8c-a&r@C=tL^C$V-kt<+n!v$j7AFj9@wY#*e*`|>s0(2L@L z7a#-wZ`O0`|2kgs%tEFix2Nbo*u9>%riiG4;APKy>v8@Sof;$&vUvO=ts zBc*ap%feZU$@7Q-osaccJC zLe}5=AO`f0Y$p_ujBiH(vPzJ{p&hy-uf zkfXDbG`S1Q(q_=8Tu_(jNw%iZuD%{~7p^nN0Ki|;W*am=LhUL13+cz^szzm3@84HwI3Z4QK8bEOZn0~SiB$rA?pq~pI#lOyA0*& zz7R-a2SO97jVwK(8~D@<>TPQFw62(^UH~=AxSmJKc&zMzbUweJsJje0;^;OD+Ka?v zP3zv?eC3IpZtSV3&66F4lh!ws9N9tF>*Sh5cW4Xx>sKX;Aznctv1~0rd;Lyy34yZZ zl)kC~g7(+hL+FxvyzlJTRb6R7dwMrNi!McT;teYb{J8@>SLo9H@8^0Ga9AxscaN+w zqG>zP$2o8#LL$qxA0c^X_PqRo{yym)`&qJE{|I{kcX{fQV`CD*12qQ3U5D;!~_{DfzwdEBXiO`@Zkt zg4!^(=aY{e%KE2!yI4I5L zymr#PO}XKtOtRZgq<>E)cj>6_}>E$UX+u?|e zq_1}FYGkIx;L!D700{&XT&FB>@Dme$49CII>n|(rw7_asN`&Je1Q7H|&@X{{${7bW zv1jZFfMP1vp%{Fk?NhM-1W0N?&a)N&w{@Y;r>3s?(w3;^IAAiGIzwWb!f z)i)g34Cby;Fn8Sr9K*UX45T~%9~+-|yh`$(Z&~0J102Q=I1DK{#Q=vPkg>%N@H!4t zk|})(34!nIQzS?!lypV_#H{ZEBnkXs>jwcM^GRah6I=b^1#Lv!5K#M7>4wtVEw~4^ zc(8>v^+b17R@D7e?Gzo`^vYJkw$)YMTwI)AoUgyMt8(+aU2l&}61)D=8^@dO2Y=TZ ztJqB5WVejGH+@_@kU4wrvUFTD_a){h%ibw|UaK-h30B&v-Ysr2q+4n#bJbuk1KEp@ z=X|_tK;?{WF{6L$7yn@Wc6@SEU?~1yUvU_#20%JgBDO({UaBha!k->;<^FvWiR#l8 zXqVC)jO2FTbfrb{?H^F4aIx2D z-A*cBm(Jj`WEC-48EBX~V@zxAYoE~wBAcvSzg^a3ryX2Jt3I32e{R=-jrhQkbNUIZ zHMS#o8G5^BtE(opXogP@R*LqO3ao8}B+flxt*YR$L>)Zv0w?QT;?{Z*Hxn1*A@;uGXB0E9aQQ9kmVjep#O?*Am*)O#_1o@j=bDKXYKN z=^_%H%*~f;^T;dADHXb)){#?W5|smXFhb35qv?Q;d7auuWTZ=*no>5!_Vip0-B_b~IExNSDP`nBR`@cVPtz=03Z|`Dm@v zDz_1_>-4j>F*!SWVF%Vsz#bR`Q9*UAA0peTc&fDxY4X*5|N62|xrQSk6?9}~rTAsK zVY}92t9{X=6VF*Pl~V%fOp>#RKzv1oV9dEe0wQ?MTbJltdS=;-X3~eHiqn!!?U&8G z@p6l#H|xaa(75ioU_p2qxtMYbQ4}n+rg_d_@BQ6%a;U}d_Tz0RXT040HMiX|uua+~ z?GXF*?nwz9u+(eNDA{I8d-ls>vy97m4NuBs+sKVis8z-&q5gM@0rL0qqw@{M_Udu* zkbWt1&N!EDHNMTNe17d~bpyGK&W4Q>=9JP+Muu$ph!I-T{C=^u^*QKWai*!p+NI*U z^UQeOX4SW8U_d+d%`tw59bAOi59*^({RUEz@I?RV545FrrbNa%i(+C*?$YBwp_;-c z1;wt?@6fAFtI(239FNKJ?EGlaqiZ+Iot3TDF2-JOS_B|P9wif*L`G7ycje#(CL~VH z8oxRiA!g!xnH4>2kG8#?BW_j}v!8s0eNIX;Z3E3*tv<$4H8Vs&lmf<^ceF$V%Nafq zA+<#bOhXW#Mu0#dXhJsP#F0=}S{Fz3dVzF0LB-Lk0X!2IW1Ti=kO&x8Bny-Xbfkq& zxoM72*Y)WpcE8fg5O?L5_j1)6xQo;QuBM|7zXH=Vqm5@)3<9dCg9`Ca+1_g~XFc~p zje0$F04%VmW1KiEYsWQ(&}o2EECg^Wr-BxwR&)j|y#RAnqNvSQ4~+{t_taKX1x&~0 zy@`bZmq3gVD44H(KwduZ4EaOJOSBW>Ep=^PrGb;QRF#q8x~O9OhK=EDfF&tZTq_FDKHq~&xpCoIn-;-m zU2j5wotO0u>q)|iN-q~{(Zi6l3E547qT%8&%?2@ya~8CRgIJI2*Vs5LIw5w9aMAiB z_(6g|up|eZ54S_N9@oTAR#@>0h*DYiszh;ec4mW1xX%fJrpWq9;u|f@&5$5mGN?#Y z6ax4TzIkx@8u%Kz2#f-%-A7ff4=Sz~mkO%%qFx910-6zQl9e45ikvPGQF(74#N~ z$^P-!SMi^xXe1$Km_9$S90rj`9L`$PAk z#CL|q%|iwylTW`6p@M3iaeHA%mpw5yqv^=4Us%l1AJ!RN?EjQs{l@f9>5u4*{r6p! zwNc}AuVr}oXD2^vPNl@CabcI?ocItGeixx9;CKyMF8TDf&!sPHNS#6a8e0o`%ZhfPmbTchy51R0>?q;PU zSMX5$6U9Iw+F||~tmbsiY?5AR=x$%FiTc#jvi6I9TwH?{bqX~Q@f*VE zJYQ3LZF8nn2N|?hGc1tRo~N@ceSp$qnWL|mmq8E$t4wt4KD6*3Lt01Fds=ou&_y^n z&L15BwllxuyMGjLVvU1GcYS1Ax>M`*0X{5_o59dBIMm+{ec)Rd7{jgimJaiR@af9$ z&cYTgNvhyLc!MuLeU;XAfhH6ljP;{!*nNgM;6z>lG7K+BmfN}WI@Jr!C&!%zz>>2l z{I#9|I5`Jq(bA(cW5)%kZB88mX*pr{rzbHy0@x4Z(=t?Fa%Jt`VE_7w){j_!Ge5nQ z^^AQwhJ!Ck=l!Ji$4fc>(tR_{t@`Vyw4&5d`KzJ!`sU1%GGBR6e$ajs->scqsg+d! zarp$)6UAh=~xYsdc7gp=6+LezcWiZ5z4F{MV|XU1x}wIwx&5_#lR6QIeJQ>vmo3a~9e)?l8T z(Z&=HS|)mi9cPKN))9b>mkej(l9?ip>hg%W9L~$On}PGv-AW&lSW)t5(n)iP{FvtO zbeA-iZ7^%?51DibpSK=v)gpLI?kxZ9(Y?B?2Be$KlsL34LmY4Y%dG97=36G8I4(oa z)Cl=-aNa2i08%su-F-%lP*~8>ju0!Zwn$G9!L-#;Ok@_&1U+&6h^`A67S^Y!F>N>4 z@yR+p?g$ae>mc?0=!06<0`4*5R6%-BSRN_=$7l-r}{zJ zV97PIJtd130=|$Cs6`a4CxjU*X?#G9Nh`i!gftDEVqYOzayMU59&zv1D?TqiNT;gH zPBvxkWls+!o)*L#TS?*@I#4!c(aPX{WB&ujGAkORi9ah(mp@>qPwM^tmkrqxs)Z3v zamLfOV6d%ALR6nttmW8Ogf5*l;Y7)w(iDMBD9*_7ya$q~2lM7SGtlwkv7rXIQrWA!_xUl7D$V>U%>h(%o-cZxl4z?#TRu zJ#0pdi{t{aojvK+y03&c>z1sC{$chxxic7xOz0z0mj2T%US7SZ@h+jqYSW#$7JbZs z#t8+aS)-fsM%;NH@4cQ(7_A8SAXl@^g{&G!Z!HrIr4>;ZSXV`8NTy3&8f~%*$0}$bGKuvW{D=^ODLbhHmYlbzA zjZ6WVr=6n0qRY8e@$zUeEHew$hSnY0jX( z--3v-E^Raz#B7>Ibmg@ zpcY!PRI#9#nVaZQqB>n`&wMwoGAK|VpYCOi1f`tCJDuTBf!su#%yZpf8^kT_ync*M z5HblW6^M?ETP=g^{7z~3J*a7<2XSl$TQQ_eigRXw8iI*U zAiJ8VsdHS7bAkI4)Cbv|s)PU&l(S`dP=Zu78~O^qW<~_rIV3!_U zui@~v1{IEzicnytWPR2Sn6eOu_`2T3_A(&RuxJ6$#sx-l5Kef(e+}q&M3MHx!(zbL ziW@9K_PBrrJ)`s&-`?1MZKE8AB5`o6ow6nrIIF2zRwJAZj>k5ZL(^5fXGgP8> z2_=Lft_%~ZW&&-0tDTy(AztW+GT$3;aL9~6+CV`CIuQQW=Hz9{@}2vB_~_94Fvl`?WdG{i(UF^nZe_tYq>f@Y`g1g#J)wQS(1b$DTT(acrS}eJjz2)pMK5PJ zwBeX}_=0-PbN$GFr~P2v*(1NA$JG|xetc*}HN*~0F(7_v1P#ndPe;w-CW@k|WgyZt z7Wx3DKgtOjvA^hX4~2rmO~P$URE#LQ%DUvTdHMOG{6*5HKdtYWR*v@$Nc9IoOXNb3 z-h?`2BPcKXoV(~k@IfQECh5VprzvX429Lv7TXp}GeyByYhFrNl9I~YI>acaEaYpTb zf(6$~0_cW<4VvZ^<#5mfDKmxlUc;zYD<23MKKlT}QW5s?oe=hp@B9LF^9{5^Mi=q4 zv<*}*Q&P19(8z3+I%ov@R0tP|$}Zz`(vqU}8=)p7m1m_Y+07z-8@ZpsV=?)zclPfgY9qCnlD>T==_c&UT0f27-pZPX<*Ff7pt1BbQcVJC~ zhn~NB68!T!q~YW+eFgQ3UmEC!*ap32_v~3c>8_U_{pbZ>cgGS~Zr=+>!&RQYWN(4) zcn68F#7DRNv~E>VK&%S0iT=)A1_+&v(CuGQc2Mb6ww9Id4!@SY%CwqQ90tmv^P49x z`_6B^n)109@ZUy2!40{4OE)6Uza7jx82eKe8FDk*)a5<0l4*B-mE2luPy-KxR*4-Gch78kYRK73yJ-Sp1 zod8!ATj{f&@&9Dtpmg`Zxc;WqZ5jWV-d}fqXdgPjTG`L<{mcz3qIkDOULTfzS-2Vu z{pc%7SJ>xY%Vu{ZuLdK*{A3qg5Lg96%ZIN9{jhkh5i9JV`+X~t+xJad{))CkLw_|H zNp|V!1JY1oCq0o{_8-6>2lcYmZ1#>liM!a7dr8H9pLvIR)0DFNF%XsCJ}fPuo2HYR zZz{3b_BgunT1&R8?~CS_X`RgPrmRHHY#~)6pweeknQr4PU}I8?wC=3kTnAAAiY&2w ztf+26o8)l$K<930`|Zz4{kPX0?<=2W5FY|))zBepR^0O&BLu9Yd~7A?uPHovw`UvO zqSUM+&knXm$u4c1_C>Yq0~!I;9qQfTeZdO`Fg`ohH}Yx7`)1a`GLuSKpXNiKgP5GB zkl1U4jH$#H3VDC7;)tm4E_CDfeJ6uivfHk9u+aOZYSj0!_Sg=nK4bX?diOwbO)qrV zRmgXt^OCv`U6Azy|7;+B#w4skK)u|1R7H5h(9sGvcU}K1v?4oV&n|H%;1v2F0^6 zHuDHd_LehGZ$)`-l6w<(8%Ma!q8SYDuCYk2rRl*e(O1bPV*^u>qrJ@=F5-ELs&yIK$_~{mDl`^RpwyGXW&gq&x&0G+lTTR1pdI*X?VIaMQdEE%HAz^#m3YxERh_0PhK<4*k*O> z<|Uf^@K^!V{K+3xeCSthg)0AS7B(RE!%ArHn|-^CF6*hG$B}ur4Aj1yXYFet>vbh` zA+>NJXz^GtA#UQqtD+HZ$)Zj*_BNek;myikqAwa{xI>?zN zPH(OdB0`M-ae*+{lT5DzzTjY{7|2Q}J}r5qSl??`gTI`5CHP;Q|E2JcL*V>hr}&~J zCT*R2`-DjYuTQi@ph2Jai2{3l<5P}rXKczW1%xd5^nv38wROoy^ofqT#PO~B^#A9^ zZx<_mui}1s=e?y=*P{r0lGqcF*uuK$zp|tF-m#_VtFM>7hv)$R4cJGB0SOx=_7TEA zLNI~pPrv&Qh!hDYSW}-bg{_=_lm1WJe{A*1{X^+2YBu5#Fyw*2Qj~WX&do4o^a%QR zMYTg6m#f7gJT6ahWJZNi`xzLH!CMagIz*2nUQHfA5SOi7nYn7wse=#wvhz0=4ifHSy;Xl`d@&>&Y>duOcT)L$#9qx#~x zL-Ktg>mURS(@1j4w5*Duq@GC??5(6gtPERY2X2m0bngNJ`G0Pc?Rk|d$ITP{eKsnc^t-NW*My2>a>>?`!*{kyr_C7nS~KOw5HUvh zcrBYP>bQ046xkd2&fB~4dLa^RZoR9%#zgE*Jnzq21S-qT( zH;aSwjf%M$wfFXw?T-roO3Smhv`v$GLFYMXSll>jGw_gNG$gu|OqGeQ7k8;cHx|Vv zt?V&zdjbxf5Me=)>9pOV464|(pjgW81YQhe<+3ShM!NShEvuPC6KWMxT=vRe9~^WK zRzt|i+zrx#QOWyFy-5vRs^hIU7InF*x+h}miSsrVZ)R z3cLEFpH(&+hBhY!`jJn3G+2S7C^MxEqR!?=wl$YumOT4Gd4PsDS-#WyHmP2dd}7OO zm6ELYt8GilP-Lrm+AKSVly4pp-dCplhVRibcT;uZ;b>9(+SlequofHp?BE48v3=Iw zEY-{#bqk~cS4g+n^F1RTe7v@NpVe%ftKKiCi@3_VF9Wdb1@ROL6O%IuMiZqkC2Qmp#W$hl$!bT;B9T$e*-o9fE<$NW_ zTaZ4l$p!D^l;S>XF949=bVzkp10vmoH>2j-WmZC6soyu>vacO z7`k8I#cFKT(UzwVuASBzRqo3j*0 zgb8v~1hS%1flWXlv_adB1NcT;Y|d*dLWrR2IEaGx^6MMpH&l4|dL;Q57K5G|PGCHA@Lzn#Upo?iGV+3CLR7ESW{! zL{x4c5qPN4rT2{b{SSz4sPP?R1pUl27ZUh^=t;}N$(kb zLtLfpJFG!AVmc7CFLe&;M$-x!=uu>e%gKJ;<@5^F>*T_s zZf`*NAzkLx-Ky7QmyIFGco2O?(q68C25~|SIRd5)df*mR0W4Pw>amzxcS{m54oG)p zWtYeCG+!r}xfa*W@+3-Gl4UO80m(4VXvDz=2{&pOifEQdI*^Xa_&Tm8!-JvIVKw3h zGuHxoMh)mZQV?3i5pu-&?I?(xLDiWMY@nG1Zny`KU)l64$f8Ixn$rsKws9NVntAg5KWs!2=(T$(b*Uxs3DChjEJNdL zM9CcU7m0XQ@ma$KGey?oLxQY3o#K(8%}wb(f!QH2JafMU3vP=_qi z#&^jUw7MC@HOQLD0B-20ZYSnkx+1d*JEK5Ec+ngIDZ zOI$6L7kywO3vGz=c|hQ_pp(fBSXU}ZS)OpHiUKDT=LaQ{uvMYjmKdyBa{p0)N91?W zgdu|)iN){qO@Oi5wb0C@GsRgFXX3OJXZDk*cD9doWZ5js9D$5-(6a!y8L%hCoJ`?? zskGsFXmhq=k}zhm3WI!;kTD2nkfKd82iJJzYkxdb4dht66GGNxieg3-W0L^GsIGR0 zG$C?xkLh*PVa0(ZX^k6+WIII;Nh#TVO;Uo$ zpUzPnTEde0n8c}rs-=4DY9&tsD?i)n3Q6MIN|H}*07HI*$wdP1NZ_{@RIj1qY0)wR z$mx4WA?$Y5a&SF1_?Nsq(61D!ac2o{15=8w@JfSd&Pkd|wi+O{@lQ$|8H3OjoV@t6 z7Xl+$G1PBqu1Q#cG3rU^52xWCo!YIQ&h@?ZZxP{fe8_4h*Tj^kLe>f$6#10~s{r@Pe*OEAL11|mB+FIz;U*mV+{lGM%K&9<9?W#oQsF4XU|>#t z>|f636ZdrZzmY!H8h)3(WpTQPdLz}S?)U#znK<*bYX2AVX`n{iCst{@j_zabXF{`Hhy1B!5PIFf(12%$wEbYlN<9+*3U|mDRj8gY2k(*$Yj{f)V;oE^+1$iFy8l zmi08m3o-BcBT=sNyuiqbtRx=4iOIS6>7uXUDb!wSz6;`Semi z{vBxv1DiDQG=Iy-X-Mbzb)Y4BMM#b3{cG+O`RYE>^4}L-7nS7~fp7gudTFgBudR@# z^b!wxpa7W29NYm#-{NkF6xu!B6s|AoxK- zM}N;p_8#Wr@r#E-_cavn*al%G+kW8vICG!_6#5S?jvvtJr(U)2w*A_vXI8&e>6zpv zxv+9tXo<{^uLR_+bJ6+nR{|@Lsk>|5HHEIaGOw%65Xy2Ge~~($Q`~(* zOEH>ETtcf-b91O*@{sN@DQ_l)wjCi5^8@e$871=l@&mh$wPC~t1_0uVz&EeWysiD|$9pdT_g6>S)_e&1fP#tQc3)Cw8DB}Kb{F8dsDFJZJF+yYX-Iu8aMUEV1g=4^Jg_-9p!6oEyO z#8t5!VE;$<2PYC05E^pzc)S6@PE%Kp6RDi~rTe1m&$#_X@i4pU1=OhmP>84C*wW{5 z#9yks3>_I#v|%q~v%EynB+;c;0J!Pf*>dS+W<}!~aCuu5bA_!D%BAs6vcxcYg>s6W z4Lub<%RmXE?QFADE>)yo1uxQWl1Y?HaP;Gidtn)KRZBy(%956D+*`ba11~AZegUB= zVL%dE)t4E`SPa$Jr?g1S@|faCOUi!v3zBj#1;a0;%cx}xSQyKM@}8vafC~OpDza~b zJppbxai}VuDyxfP_{K(|27h7)ahd`x4sf#Be*h6FI;DxTV_ETuvROd7zl$>QTa)%n zW9XDS;s=T4%8BYKV$XCV&fRBBH;&UPSomP?G~RRAL8X@r)+q{xTH$kTjD zHys+y)`4%0QF#+f$7e?;xh`*x&2QfdfGQ!A;#i*R5_O@;#CCwGgdcKxdMCYE+P5-( zQQ)bhG1kh*je&5Q`IgMuE{y_R{;3XSP%O!4T(Zk;rX6aHS0GK6)9C@us5NEjRG*nk z0Y+^LX?L60XD$S4yrpRe=qeg1+OdF5Hn|-Q@g*lIE-N~_Xl+FFU*KD*1Fh1IkWyk6 z^6!xzp~rx05|S$D`coq9r47G6Al{s%VqHRxw%(h+8Sr81&zb^>BKGQy%Ike#j`4VW zeviB@uYrB84`%)@4h-(RqIUV4{5QlK^Vp%}!4FjGUaG-uqt9@+90bfW9u8N~C+jW> z3UxPY3ys~}UZB6;(aravdpz+n0 z2L5R8gbFTQtkTOX#yDU>VB(|1rwGS+MToBHKvpaXMuj#6B8bK3u%KLTkjqRUQ5aAJ zVd1J-bwXEQizY$Od4i=$%t%Dwm(%9>4AGxMDtJ3}$h7|aGAbodz5;T8LkZYmgTfym zC@rQF7Zr()TY$6#maJNt$ttSQaq#U>|vH0$`zQ6KfQ7eG#Biures^b>?}~8Y=b41_z*0naV5R9;urIK*WhYG4s`g z&PBiSH!k|xqHA+>KS@|Q`W5p$dyRSidUnkL0KX2P)PL-BZQ|c`=J^`2UC%1foihDj zB*9PM%Xiqyj1Ee@p;OOWv^6iveL~Bpc(=V;ZXi*mqJ+7N2N8N*XK_heq=CJo-ai+4 z!0`=?D;D-q8!+UCM419}Dd(t0TX=^TSv8>z@=G|F&lU)m_^6}6R0T051*W^;b;I-f zsJr-PP!4{*jG-JqI1{1sX42C&>|OlB>;}GXsd$=kw(~^mPrAC~3AEQy$|V8haPj84 z_6Vx~{OAX3fRht?r23zrQ>Om&-?YI1J-%z~NtlnJ54%Q72cF=Chfaj=p;>FKSU}?- zF%DaQfgz1N(8l&MQN_h}(sSI=-jietxf!$96&Mf9Ft`{(6rbg3kQE_+gc?)_^X&Lm zx&+-K3fkQTV=l>q=i;(_{q15}q&;Er9-g#<;x1t+L|kFrcV$Nn%_k^~Ga$1-$xhm2 zt5yE`y1C3)dm2v8EyQM22*I~pmkAmZlvu;iw^$50LpG*Z0!G!~FvWm^X)$nM`2Psl zRI#TAtY6cBltCM?4~B~^hRU3Lf;SD}Ei#P_v5>e|KEF$z^GZgmJX74164C|++0L+! zL`NwrjB0b66gTVFjMZGeiL&0Km4~_8d1jREua+4Xco{CUN)^IKIp5!Tln&}h7+(i4sO1Z+>nJLfLiUh6(9T7Mi z#e4bsL!tUvzKwZVl!il0vc8k<`*R!*q4&~F)cbT7Wy)V)e};crXvM0-!nix~D{}Tx z>>57PcJv+UWx6&{B(O_LJ#!alF8L-~UDE1eijwqX-OShqLSZ*wOTV$T?2QYkqphk$ z?!6&gKQ&eo?ErpLD^Ckp@b4DosttcQ{$8Es@Dc?U0m&btF?`rH<}OGv2f0gh4c@_hqvK$$pQ&PV z8;cj&pY5G>ToK+vMcl)+MQ-nSp6y=xre&8Je>}U4v<*UI$BmxIhRB2Q%Cvh4lz621 zC-=$)Q)M5j9l)~??w;B;jT(eTQi)|@S^8;-$1C(5grJY>nKE(~C@^^$#8(Nkbp~N;;Y>w@LFIMx@{xvA0JPZUAkDuqqcjjQuR%yInpa%fX|8a}IK6 z+rX9j4h~$W7qO;vKmRq#Nq85fM0q)AwARenO`KYe$Pl4%@_GTR9Y<8^Apr*aoYCS( z)G|wbmOsJ;f$OFL3yqcC!0Z6Fq(McP#H1cvLp`FQ9$-iu5$QK9F=tUJIQDmQk43D0L^8Ap#W` zZb&1nUowkM6R#RHJi|7DmT9_QVG%O4yz^h3CLo@`V8=Ux%M4OxA;T#g$2(Oc+{>)I zxl(hGNO;U6+P=P|IZo7EUW!0JMcv~T&Pf0d4~ zy+(SMtWpOVKWKOS;o(x`qJDcY9~(8!Z;VmqY_a2^;0ZiKvqKV_LX8MGIXgKCei0{sQZNR^h{|jHu_->n z@N}8!glIX(2w72!v*x-X*5Vjh}h0-T5CZ(!snnZ*QBV!yc3?NcUzcx%Zu+0sZEL*NpZ8w%=98a;mkLi zi@^*6oHvJfSYfnpR)0>T(*I-I5%e_Gwiv6!6; zTwpADD*Qql*)6zFfeiAb@toa`d|dONlDSMtOitQ)c^*N2BcpgmO6{`h7HUS-9{7dq>8Z# z!<93HKYL=YIAGjMOC_G!;8q|sdueyI>ayJ|A2V997lHGIA_Bf9I*mKQALND^PVO}l z17q_l_DPmDEALp~7pP{FM(i{5%yBjytWA2@sdjq(xYw4@;7oHY5)iUw;2h;TsNj=blA` z+xWwo)~U@b_Yd;lb8lumt#W@)i_m(sg>-&>+Lre-c*gB*FAWDj3Cu9iE_b;*x1J<@E1`xg@KC0J>+=NfG6 z`QEM^Akdfn@+WFeNVHCmeOGL(IN?Fw!}w5;jw%T>LMbE6@pDQ5~UzkGcpGK584u+FTuKD&_hi3U^Za z3(d!6ui%Q%8vz#U;pZ5AJLpiZZKkgmU*&{rg$V(j1O@$z{?Uy>BV&j4yn;dBt{t!K z_7fdCi|en&tx&rDrd`{aj;iIuK6N||${dvQSBC%SdH=>`mfqoblKcrg;UHE1WeA$p zeGu(0GZ0Vk`oXHqQjojf{wd^ZRO9pDJHYHnrb^QASJ$9LtWmk(6TH-zDZ`} zo4_RklfJ2uDp#j}DXz3|J$O0bzJJ?7V|55T5Fz0Nj3nvjYm@$r@OAr*>^7)df3WXk zp|kPv!Ov54>G)5Dmjt~Au-`ZsGKS+%GAb~>TqlEF3)>5>LZENsx%NBI+gb}+R4xu*tw`TV zj$eEl{68CZRj`TCr0ls`tQ1k_+B?qURi>{Z^ep5iL0JWB{_Z|co@=+RS=hl z%T9UE1z+qqw}@usXZQS?Zb4agW8&HPW=WnX%A1h~<;Jm=U90rAJHSB`B>2gThdze) zkt$`b;De2;j9Ss2oV#aY*mF?@pGx>$4m{8s@fX?$wRg#1A2QvJ`C+@J=rHV~MjY#f#oFR1pT z=niyWeDg>->VQk`iNa7t$9?Ha@M4`SATy*eSRq5Gidrad3~Y3jrKydH`;^U6N7*W+ zBvTzgnFDs*FT>|F?IM-Z3Jj;;oxvb4RsGV(- z1os4`Ga8=*Q9rKhW_M$Myi%SSa-rE~|;qoj)t4M>e_vO6dPnbFSmj!MN0uT{%~BRLGg0H*ev=(5=lZJAAxv|R0ez=$uwzMgYqjg$8`8z?McDqZt)BQyM7;? z^va2d-xgB)<)Q<+$7d!|%vqD}6XpX)2mNWCy%nUVTd7_~Un;r}!&jz@df$Yn1~+_p%_s{%jG zsuMwyfzxzC>Sz>So5&BAOen$O4*!IT2RrK_9hnh3SQaH`s%aUZogo2L_m{$>@@Y8NjbNqWdfC zkL!oPrr#N6+pmA)|!7lS@fZ`~9OlJ#(^>XnU{aHqLU>_}B_-V_+$OyHDQVI7g z)kLlh!c-MM2G|rlIID_(^uJBK&E8e~7+_O6GwUW;`C0%=@tQxv=J3~nh_!)j$&U`ajK1$GP&*MBnB{Hk0^$Jws=Ens~xOMmq5C*Zf>K}Yx_5zNN~IAItx z9ImI(Np5$li0{(U3)7lfxqyA#TgpvZWwLByVR}McoGycj`a`|FrvLN6n$qXjz$VO?sQQQL3L%doLA7NkPv{7UP*|h`M~pA?p)ZHfYoL zpEapES?;Sp=YLE=>oW$OOJL~1ADj~ZpT8FS-vuW^y+Vzg0EK@?A?~NjqJjkU4t$Q} zWLpaFnv*>nGi<^u`IUB*sFh~w$1=Udlgm9Y$$(ei^mD?7^mO2l&nREY@fpc3WmW&b zc{KksbJMclT-TMHo+(ax2LI?7%f2-&e~`)UW%f?@CuXLr@NI8Z?A!JhX%fO(`>*Jx z+TRw4zY==88F2CY@XNNJIeBD;lb079oJrc$3}PiwK_Y!44iN-|M6`tq!l3>sY zyxvUtmV7f3^1PhWCee&BKtZ?1WMKOJV3ui7pq%5z&sc%Aw`1s=6!K4_%aX~*uq!1W zIWxFdcua;!C|o~*6d7}&8l1=gJu!=evq?`<7?mGprNE_Bj^G)r^?s~=k2HBwbGUGN z#4bLQ>m9s(W=6D2$Cd9The_`{bJGJ}NfCElQg2_Fxd8vYv8YBj2YxB1r+fF_eyNgN zo$i}%`k@Ss%=XfXL2@Q3{#|dkLlsA7zUTQGDuL1L-uG0ByBQUmLxQ3H1ySt-bFhe@ z@*Dbg&!&x5RA!2)il7?lUgc||q|(!yLJ!r-eS&xQ^Ig)6(q7V*-K?}0XzPbKCYY83 zrrsL$X}*sGBOjBK%QyBNWBQKm8j?53@u;9`f9?IRm`e(bB5(pRI+gWhDdD)VAPmdU zVWjG>BdYU_+D%C8&0+ft zp}}(?f=h|kmr|Igr*ZQ3zUIDy>s8-P5?_Hvk_>KI`RkXL1wi@Clx*vi`QZXqE>4R$ zvPXR^_<{@EH{=^p-a*hwMjW@VD-;>IRDD3zgfSt;i=296wd@x~;kZ~OiBp<6c!3L! zc}^gIE4_U&bcvlHpCNTa@jwPQYb96c3t~Eg%OeJrYF6-DC$~+IW{wo!P!6583KTkL z-czf!*f2AzW?Tv0%gQ#>cj-dBpYLSARY60Q($0Z$yqz8iFMX;W&NuF8e_(Wd%-#=VcaDeUY7&X$WS zo}yB<`)tXd<3+AU{>-C3%y!II63=6jrAZ=^H$xi9i<0vA|=+;2VcTfsjM-Wfp^&l@0Ifa? z5P>87Y3+z#me>+9ihMNlPXK20nrT;>*~u9S!?Ek7GQ>(|=LCYMhS_Do(iYifPORP; zF!(IEp95SBKSGP#aiFd+a07MHUU0Co7_vtca29aFIpvcr()&ooU!wrv>4Tp<1&Smv z2r)Pnpc*6EuTA0(Q8w^kZJ2#TP}@3Au@2SPG>c~w)gyKAXr%*T1yx{$ji*L9?wt@Hv(#aW^$ir3 zV^oIaxFK~@udAj>DWI>?19Tl#^F}g_5!fvU2v3aBK@lWhDJW$i|IzV51*wc6lFYA zLCRzraXhB7VI_&%g;uLmVl%vATaJZ!d`<$zMH`RU`l z!DlLGN;*{$U&qN@SsSngqb@5A(AtR0R4NjVxUdD9zsAd&F)pNOEcnzqu!4UtWxSJv z___A5ua?`Xur_e+O;G0dG`H~xd*bkaQHNx!7wBS~&6JaJ)~H}L6Z#GY-D7M$fq2Sj z*a_we2$Xga${NugmDx$zlC`Ol#cK8q%U01u`HY&unKb$s>IOmD!~n~Wv)G|o924ML zyV9|)z|0&GtTfY(ts`_?MV8I1`wWW_PI6irIgTk@%m`9EmIp6~0^=i$MFa;^cAxBH zQ>qnyg1`lyMGP?LRL()Ku<1Ax3JZ$-hzb(X5|fo41EDoGlSVaeQT}x2|7Ca&A=;ea zki}|j6zE$`9>!~PS`0k0n(L5}ujZK~mH<+Q3q#%x=cZM$@P2N)gQtDhw;@S@ix;eu zq#?#P=6RCauQ3aL3+NaD9Og!Btl=}ygAk5f+eC~4AZmdWjXj-=MP>yR><&oG!YKxs z)10P$0no3_w9ME|L%b(2XdGpm=~R;|%>h(LAP1;+l&<&Y9rG)ac91GC-8g-MGv7pq zlud$EU@nty7d8oT^TR)i!G#sqj2$vmt(*%(sP~k zy_fuLe4$tm5X;TC$lrNBSNiALm#b&w@AtIMeY!Y3i>tj={69+bk!i~HYjO{60r+L6 zJ?dCi8Sc><@f(%)1tutbRFc(fACV7Bvrpyrwco`nv*le{PtDIe9%m;z!qt133j5XW zyvdo)ytaYTuKj8!txkU@Fp1~spC!28&vbI1{viDQ#xo_2%OZ1FSQ1P36*Lq#{m2fj zS-Rv`c}*urS86?e&&gk%6mFIF<7!)l1GW50?j9?ir-xnV!jrV?XHAH~kIA>+^6kam zuC}G}N`ILD=BH{qE%j65kBYN3t3t6unxE4~fR*{yysDb^OVn~w&K2Jyyuo}vW9J(Z zMZJ?GFYsHYHCcor@1z3Nwg$CA`H^P>IF7xI@Ne>G_I4SIvbY;<1Kss0<-kw+m>Ch@aF{7gzlvfB<%jq1so~v&%J^7 zAD3RoKkHxq1;A(Zv2+~oUKae^w++)25H?zY6e^O%#WXJ z?7Fr_XCwP>UJ#}IY;X6o-O?Ah=KDW5v~adsE?#_m9?&xJ{ZDl~t%_Mb4saLD@w-2= zF8~x~zbn->_=g9o@qRLSyld*?H~>^SyDL>u_7m;Rz+HEp<=qgW@=b9?5GrloTn&on zLwpxr4TwbmfE}!IEd|^~EO2>t*!O}`ZBg#QJ;9|Upi|YMDjiB|+0_Zu>HhWxsZ_|T zJz3u)_h@>ZT%CcQ#FdUEZ2vm>wXA;|?9a)Qa)Z0n^>cDK*p;~&V!uxA)nUK!lW3WP zf&fYTxpsGt^s!L${027EPx@s52ld+BD7WkZG%V;Y3NBAEI;i%o_Qnm{I{ov2qFoKy ze*&zj1-Uac83e#1GS77m^dwhqKj`e@=7GlHS%9M3kG8S8@8HFe9H{BCp~1jKG#xye z65zL^+kYufWs4i^HBHb@HlJQvxywna1w!cPdMSmZ9! zE1vP&&IO-Y5ytNvNnRXT@ucrmq4?3Z?G1kNV&Yw8Gj_jmtprFFX$hZ`D*_-X4bR9G z;r*evVBNWbs~)0@O}D++@eu%OsVeS31Vnx4(%69q-sS_dHM8od*6`dT9Cr*Q;0N;m zp1Vl3A$t}V%muo_NM}f7Hyeyw(o+vg2git4yb4s=LEsa zZ`iO&u8Z#Rv_fD=AMo^^g;V}h{Ty(QWL~$CDpDg>+T{2uP?&^y5|Zw;2^HF#@)Iql zjZ~o=GAlOvmHsL+{g}?;sX#-kGOPLZ)6&%YG;vb?5QtQ~D;+Ipr!(!hG2q#;mz@guW z;THuoSrlHN`EJ20lq1&+1TdvL@v0}&cO{)F%YH`FF3b6UIK!9oMR{Djq>K8P%u#Ix zb=`PW$HiX7rqTG+9@!B=2>mb-4j@U3VM41Xq^Hr z{0h-m3G8qGVv3aK-#P_%%*A*rIB+T@PT>{EenN{v3af>E^^^GeN*gX~lb`d?2^sW(3wOvWRdn!w-n#Qr{F=#W-lb%n6G$0AMR=1yYBmaFiXGkP6_{ z{W(JWlu`UFDq@dmC#Y4FP&<;rbTw>hD*r7Q)3{VTE(H!f+tX496%O z4f|Rd+UhsD^MN5`h5zwIgiMbx)AW!4ts-dZg9EvR7ETjZCV47VQ??P-sm>Ru;Tl_z z3P;$J|AUXxjt~A!6Y&-t(B{B7cYl@`!TTXQeH2F_h8>?>X6H5=144DJ7z zLn^;IGI-tt!)aND6q((1SM2mWT_TtY0G?&w#hR0_PZP|2+C$G2+@_U1%;cF=2Y@8g zTA$`g_GsGeGca|#tf_K6NYgtoU~7?UFEF5=2mY_Mfad5+!P!)Q0px5rd+i0Zx3|xL z)q%#a)7l%$zj*b32gjfjvG(^LtS|%gT49c+k2GHc)PPU`Gd{cZjuTij%h}CXOq$!P zl-+_FSe_P|nKz(Eo&)H-w}n5t5n@c@-oUmO3qf2!`#R?vrl?rwDoPrmS>u@AGS(c zW!q?dzg8g)v|$zO7L%5ORlddmQVr>ZU=l*T*CY2lZ$1L@5EFFK?0j7}gbEBxlzW{z zY_KizMyDuQc<+{4q-l(ON*X5E->%}Jq{n21Ok)}ILj@1=o5((NTlB2x(^9Q<7W#jZ zA?Uqlm>5GWpW)OHT#F{;k!Iwfwk?8M7HP6gtm}4HH}&rV~a9M1)^+V6zz>CXSAjT$x`4@ z7`7TB(=W=4EQ)$j!qPV?44Bm>G{Jxm=<0*F84Lv`pRs-&WAKQrT$|wO%!!;uDyiY@ zQyg34x7FlRTbR@OaJtpBfeTS|p$3;LL?i5ThM;iUMhvT|(Mgv31N`Y$bJH?^(DjeC)0++a(cVrZ&rO17OQ8T=aF$+ko zs}<@qS!+jcKBJjS6MU?#M0J}lqvd07F+r1D79xU;3wwdVtQsrC+p~3Yv|gjke&bDJ z=%x_udOm(@h|ZHaA%mFuC?|6Fu!^yOs+OJ8a>+OZz0}p#Ot{rCPRwI#!V;sRg7tZS zeyAFkMZlm*VBTX!&}Lb4xVyV=QsOy`?6qQ@*oMo2=EB*R-|n$;+%R*Rb$pxh7;Cvk z#!Yol#lk&y*J{4nprD}mYNA{HL6oKc(Q7&P4qu;f+iJ6@a#Sl=(HK!lbe4!0G`2f` z^41>kVgH6r{H%6bpg^04M9QyZQN8H_^P}kd}`ip{=O+VX3}V zuJ!CuqI>z%;t|#Gr!T%X1YF0M*~u9(J4Bt6I9E`mGtEM5SOblvh7>Ejt?&DO#8xc6LTc#aKB0|Cqc@)}+lf*b{lPL&)L2^`~V@7t1Oq{aTCi^VJfRG!kL(MUcu_QU(lrX}DohAmz za)xq%WG%?b$}g6hhFRKKKO;+iSPs?&PN_DM;uL}aZRGnTi+0 zw^$yOcV&I0pH z$=aHzHbFAPs1&IKhdXKRLCn)8WR+N^sSVITtD}G>s!=>b#~E|rZtOP^r%4pG5_6rH zAD<;AegYsXkP~iEfS?6mp3|r}jo^M8FgkgKm}4-GPOOr|nhdcO@Jq8Btn7$`%V#d2 zkia%5`8u;wc^5`;vb_hy1eM?{5Iv}VTd~49V`o`ueeW<^(xJ2gN*2Qw7S327iv$x8 zns*Niz6lwn1=bg(dUs(%1Q9RB&IYF| zd;aI>W);H1aD0dBc&88&JaYmHc^(>exG!O)?X|}qtL>p3D`FdQwIwUM&o1Ie*;aXf z8!H)~qHDpGxiS-%w-Yx0ZTzNE>K|m@Nxm=7l57*ZF*nF2FQFxHjOwvjK4}g3TggtE ztwiyT#`tmm-GVyHkRzUAo0Dzx%JW^~569ZFQYBL&|I;li;4;}iovTcu&FFj8IU8Gu zd`w%}_D(R}bkR$E&e2KR+CABdeYT#G$aKjfx)7wNz+UVEnIxekK1-#8u_dv#=>mBl zKgP78x>5RFZg9$9EjH4w?Tqwp!JZz+9tD-Eb?x}3wOiC_lKS!6HDoCRPm*f!!I#^&@ zrQ=2Vm~mr4Rk}^5P1)CoRj-kGaH!Oqp1@WZh0bduQw7%P+YzcC(`AC)fkpfqM$dZu z5b3T}`e5?kw$VRBcke0278)&?BCrw+Q|mIt3)&BdQ<7^;1xnVFq-mK@3HKTEjy7Kd0IX z3PA<5)VSv(aBww#d-H7wN-t4;&lSPSF0d}Yy2d>_0Yx7nS&5GG0?N|QQ?om z&tEvj&YuOb;aCRnt@!5w^wBkb%0EgUwXaTrGg5{4>rfr|7QM2ROy3FImON0F0lX9c z9CBVlV|mbt|A<|DVU9o9*He&nfH75b%Y%OjwLi%$ z?fEFQoaw6?zc`@dWsRStPX>XTq_a!L+nYYRTe*opOwGshFEs(tteiTWYP+wIssgzr zRPET)RpVQqxcCS6p7hb>jJ~nZHc-_5+SDhc?a=RBB^UUm0S!R{@~dOuO8`^}J%AXD zYvlXeR~fpBTM7ma>ub9%s$CTqqqAeJPlJTg%Xns=?t($+gRpL3&)a+>eMGze9zBqQ zFCWMcE6s9Xd8)6xZKHet8Ynh?@o8YcHSophD3Fn+QfqEMoU5g5H5Ag1>lmmKafc4G zF@?9e#HBZCJamW(n$JZduI0wS0VI9V7%sAZks;0UEB9=CPA#-oi047nncA@}4Sm~z zZCk-BiTOaU%|)cwMt36$u8_y}_3v5@UsRBm-jnzRZV3erY!zHJ)zG+9)ZQ+*mN9}~jx4$k zfScB4TLqk9@ysnb`!C(R=M;u0B;ZHTaC(6Wkpx&!`M^#kNEXUZ;fvbj#HVGeICO`* z@mNc7C%r1m8@bn@d!Nb)FR4{rwh4lx)?`X4b-2bhD~?#h5jSmle>xHTWUT*UP-8b%|cVZrrP(LUv1& zEvhIxWtfsSGekiK1C)<$%d!UwEKt%#&{qQVRU$l3CA%uZ0Pu)sy1ZAwQ;fbHxPD6Z zuL~`_sgR9Z3T>Q%8mV%MD0M3|tvFFg?H!|Rqaw(|M39FWVq;)*8Q>5Lbn_983}n zSt)4*YxO9yjtIA0F9P2T@`?%g?Xu9=G1#-<%LPwTJLqDS8ge3ONg_~F`q&>5Gx(Mv z{b_btLF_|*gD=NgyBW!{(+|c>22^ue%=%5%)#}?eiP9F;ASm!CG{kt56Q06Ad;=1Y z&I?LlXz%D6HzUa7$UYK)0!hq`EG!@?Mny7ghjs@riVX0&7R&-O4L)(X>kpog2Am?c zjiX@@l7mM+l2|t;@SS3C+{kQ_!6L>w!}$0bj;1y-N$R8!LG-31?UP-w{~=;%^Sim6 z5J+>%jmQVKgbZud!r)Y%?}f@e8<=Z^q*v>{A|zdvC?GMx^WsX2W9g=A3cB1^3c8PB76ki()6>7N%>ruD zZeS!m0h+$M9=aCn`}&zBMvs&E_+H1F>aKWg8~l|`RNlhhHrAO_Ys+fZW`RTyCV;mD z62Zx};zq7OIL#;Da5Vn@T4`%stKyGAB6w|6eFD&;wK=-H?{5>UyuJ=d1XuapYZ5`S zI$d&q9f^WPK4Jx0A@jQCF7VDx!uI5o~HIH zOh9PYd`5v~>mtaCEF#znIoC-$*?L(p*B$k^XHym@T9O(6yId?2;<#cXU3ZDIoS@go zX28vCLH1I`fp3FtUO;ZOHwmmo9+I+f8pc!Fq1D-cWLe(9MWu1GU!4}+CWp~2rr3nV z3D-Z2=vv|Oz?{s|R!;07h-30a0j`kvaJ$cR1o>1WJ3U}#i!HcVG!^6OC`C(oVMf|o zO9fJ72xvGGV3j_>vcGbFgu&2kZU+D(VCJbIg{AyvqEUD#RC{)sK@PY{(3nBIiiWBU zvJE7P9qQehAQ+>K?fDrM5QAbvz|^2H#g&a(wL(FAF1~TtS4YH&LQO`3m>(G1qAUIkSRQn83Lc^yD*db8G=J(ZMvWY{!witGMe6l@m2;7~GC|VSnBf zx5V#ubkWNcUst_U`UF*sxYm(cn=;NDN|9~dMxK3|cYOg;LjKm?+aUvn%h4ri&fbm3 zGW%~GW1@Ej`nlLqFv{lPI}Fz5X<~ zB9U4?`L+7>d1LNABHZw!-u+ih8*Z<^AO@&N!F0*(p}aA#x}Tq9FDPl+la}M)R@+)m z2y1hQ^E;`Hn&3uI3}tV>@)>x8N3s`wT^gVjLDH;b84y}qRGy6w9h0TVc>>c z#*WBNV~~;}ZH5`nHw{*1J_~QS|A*@ZYXV#1X`$DcF!>SBw2IT>5ys6=jbJoO3+6JD zhcfz1!DZok+ zm`jXK%$n>L_IV60RZg0N{%vcp&Lk&+sadAMa_F_*n0#%47m z@mZeFh`AIN2+6mApvh27S35M0*GNX zN^qMhF@w|zn|ZKjH*OJwtqT8SMjcY?jWl-}o|o$B0tg3*sn_v4837ntc#~+AJL#1d z(@wRTmlW$2#YAU`VTeKuq5xn3Ttt}QW5yv)c%Y@NykdjyV9*uV_(U{lVvX#`R^Xt=*~|GZH)TW6>(Db66p5JRoEnkAo+ zAgdQ#=_Q8A2feewSM3#jw+U90hEQ$`>(trH4U}`80ow;00>j`^o(plC7^NTi+RzYu zNg=2CNCtv~KUxM~Ba;E`WULNcY?9zEX{a;h8AT;X(dNRS(`3a)-T^Qyz)JAXFeYlk zW+w}I3;-psp!dorX96)tejkd(DcS2CHWXFWQ zz`<{}PKp`x!5Y)xhoZIv{9s0#?k8wwZnHij>4qXS1Y9P19`+ zA`3yoJ~#(O;3a)_^f?%sKnjrYj9JjY0ZWRU)&LmVf*@1HsGAbNcUW(NvqCy<+D!R0 zBd6`fO1l8xI|}ymE27)51%eSR}nCqPbe(0$L~W z&rm>3()D>J#hf9|30 z%QB;dRL`=^W-8^{elk!a4?YlR6*nLHw=+$&Qq9}iG`neIRJoB;;(6s7LN{vJ$wmCu+*>s>?2H&P`S)Kyar5?sV z?Scd^FautF3WiFjQe5k3{!|KlV?IP6nY#9-H`Pxu zK0z;&^#7IR$ct`MA@pl45 z06*ovPtZSJTfCdwD9^U+&hht7JQ2<&FElTcCw1$k4>p6$3fzXstAn5JnRmgUc|4<2 zcfh~se@uYU(Y6i3hYMk#u|IX; zzU9yn6>xK5E8srnQN6gw473*QU#H;))dXOe(igbOOpGYi?2>Y=#4M zeU3Wrm%m^}c7!%WHwrD>CwgQsI6Ce5M3_tHm4pL1i7o`-@G}Qa<#$AzYYJXd7IlWv zVCFffzy<-<7UB414rovvi{sZS0{ftKf!;WjG!84b$lIb&ZE`_c2#3>qwKGdUUw~^1 zn8|0xXx%yKO}YhxPZCBAFmVzbmKx}?C2(bW-1B)xPtxra<{?lR?3%hge}D*G*FD>> z`4Cl7&=c>S%m6|G%fv7)-&zIhED5BXf{k7gzyLlNc$0pKfZ6v*w`blztIomuAN)%+ zTg6_2L}8GH(~BF<$)HMI3|?AWy5wctb9S%zda6pfm^XF&)YPF+g}is5r4g2^E62%g zvuX;ziA#WZQl+)adw&m=u`38hmG!jXXb9e3W}-A?`EG><9N<%1pL#RY_>{ZV-Vmx} z)*PbVq#J3-IgX!P^HYL)82Mvg)#7GBuSGP}5QIw1+iNrBbydttCf_9A7OY4Y3CN?_ObY1Dj=%LN1bJSovt}4%$*Rx zmdMI5s){UUqo|N(>aHN;kgElyrDh}+GB&x#wZbq}nFBHagEDZQdYR30Dyki9l6XE% zfoU2nRXXKU6=Og#q8_i{X2+PGiY!wYJkVL;npf&ZMIDw2lglWahJ(BGxlqZeHVCnV zu@?5X5{GVex5#@G2m~*M3<0{EHi=fOd#2;oI!P#j2gxaM5)fPC2HxHZk-}qCm5`B& zz}XTkosLe}=i}c_wSw<=s#0j0=UUk&*^3>M!=EUwZZUJHFvK9(Kldp5kb*fp4R_^2KK+15aVITg`avjAh5sjK|8zar_UH8d^Ye zJ$6R26WhY1CQTt0F6#iV;52m8E?qR^kv(>lI5r9!{*~BOz_2MHc_Ev!eI+&y2%2J& z2A1yYF4!-yhHaO`>#!!vd;HEF*-5%JqWgjYp%o=bn4+x#xV(xo3vNTE005IAYhw36gE0j!A6hgY$_OsB*8v- zAn(cpENqwV7q6bk80O{SRr%7j0_VB>>Z!;;vGH2Ql)0za$a=E_l9HZ=XER?9n_36z zZwJ8cYppl7+`fXp-g+~8vUN0c<@-5pX4_Wz>CR>5*IHqJZeb<*>B^#&A0p&kS>$=Q zAQlo=PMEQf>TYhB&agCpYeZ0MUVJ;YuIHJ$P~ct4&2IdsdPWUfXn`6Wpb zr&#vt5n*LN^aImh)R?iDm5MekZ!g=!cqf7r`AmQQ(%>d3C|)Z(tv?`E%Nkg6uYjz>n`jABrKpe=ez_P?eNvKb50#X3AQLP&-ao;C@0$>!x zvEZK_qJuY%1_KkqY#KfU!wo8qhrtxW@Q-dOJ75J3uJ%5w)`7=;c9MWYz;~~VeTj?<5l-wyN+9UL{u<-BsO=Z1f*>T(MViIP{xS+GJ;D1|g_`|kx4|=s*DyJ^=C)^` zvfZs>fe^H^mxJdOupVcW!Xlh z^PT8I@CIxP{9*{`_mAOgHyDo>ULry43tV2p9A-~(usaE!rCTDfo4HVIRsPXX!A;|W zaYjaqJ!_1j@f$V=-h5z$7j>O)Eo@vi+C(fDUK|+S#%4{c)-o|qsTEd(@iUm;_{*L= z^zvrmq?BO1Cj)Iwg_#dT3#4FSmm<8z%7^=bclrY%p?8!$!A*0>d`+5)q6fY%bxw%V z@6h)50@FR}cwyD5-Xt9s zMlu2hDia|ZH42ZaK{aI6Hp;4s_9PH16-tVu>eN+^D}}FaaZy?5eIb~ebt2N@Rq_y& z@hC>c$})++K9t#9wJlfFA*k&PqRh*8=VwUda(z`?y8mEB& z=9f21M7Pi{6R?S}n|wwjouc9hlPl3bv?z`S8Qfe+B%DJcf(H(W482Vv!V)QlL#?dL z$}p29f>3-EQKc%Lg}WtL)}VHc;ttB9pJG+#^i%J_wpNPuDv8Q0M7bG=h=ZG9Qoc@x zz7vTgUo|dL@ZbT0*ujP=FC|MdaYWn!%@v4Mmv@RH8Wc;RRP9I^A=a@R<)o{qm2@HL zp~1J3uYXxRSL^8SZ)W(76!Qu zFM2aVoRCYd5Nn`nM@vWkt&Pu!G$ z2oj^wfCX`&h($O7UL+AGc8G@aXb%A!a9A3&IQ;kMA!k120thd^QD%xR%Ni0m8Md5= ziYPr4WWDqXWrIjmgqs8rG9my+WEtKiLf&Q32u7%#6!Zh}IS3s0PEuPei>6r<5}pyv z{sN+g3ZXDO>ns2}r;!Hb(hRz!_fK~VgapgU5wVj*Ecojqj8H?umNOC_gW)E_{i*;T z!Wvx}Ep)i(X0CxJIw|n0p%(#Cm7Q&dn}&ikJaiM~(}bxABC+)6UjYF^xagUil;T9; zQIQAW0We_@8Ye3mBslUCYhEY8#wlJ^WK$uYktsnKf}%DCPswl(V%Ql%MGo-vMLEnu zJy1(gMS#hC2P>}-c$VkGWn7q)ARHs`PBg;7uAeFi%Qb9OQbDAp=Cvj~4*?wNLP~(X zOU0rj=93y+P)G_ygd$!+QcRSb<*ed^{dJNIIW*?!Uq1)~lZA{Ipau3dkP9kEio6;^;wDzCgp?{g&;d%4deDnV zmR}QDhf)jkMFE(_RK}jA?FXBJ(k{YeV>Aqd5^w|<18@_5oqWOM#xFP}5wa5~1unpR z-*@xna4j&D=pa>I5C{QoHT!bIV!+asJfwKQOPakyAy@^X>85;?0Ji|*XyWvTzjdk< zL%4xYaA6h|CIK@u+#r97Ly?@Mcnu`#6u=XqAtjg~@&VdMGE8m!6@q*bCkxDV4vHYU zs{PfO(BJUY8rvkmrdtr4jSL0PQo-%J9j{noT<{Dr)ml)^gg|qcgn%0$Ku)*?d_CgD zU(1cAq%1LnOr3(E4I7Y8gnJ2J%g8mg>I1M`@YR&fJ75(Y!OlwitXonRu>zPumxG{D zr6LPk-zfYIjD#r-t194+vJ__NFcpTN-CvhM$Z&nD^9BZXVCe;m;I0BNfp-f-7UYq` zLvWSmI{Y=9>j0Dt=l~uQxFSNlRVar@<#6@oS2x2mW|9!$lrXh$U&#CIiN;mfW6DRGwd#uU6%33A;T{km7fJ9T zOqXR}QaHeFWg_dfYA(oTE(ADEc2fl+3QCYVroyuUhZ69ZB)0c;zxF0TksxUJIXlpf z1nEO=l5h`6sGNuFDi2FBV2yfc1!|BP6fhLV5FWiELBVo%Xau2y9AKj1&LF(-(ugptL8=za68H-%Vw)vEo6S-XDa-@><>|+_!xioVy~W51 zO5ISll?TMOhz#o_>@&0{TA0#U=Sitd>S$5eI)&vpmXg9y6%9n+o?jxtdnQG;NrZ_8 z%VZTRQ67NNVN2ub1I5r3c?7cJHwdh^kM%O*aZn!6bNdC)*(Iw+R`SbPwNNjUmI+1V z3Q2`v8WuD>(vZUp@1nRtbZ!zupukQ`VjZF(BM*N6!Fv4AaZM|fe!RB(yF=4_){8&u znW~BWQ~rv!#hLXTBd)4n{Y1&S@m8+PZY^v@A5WCuzO(X$bMst!!%tcpuR;vu=K;wU zzO-=Q({6ji-d3dmw9hkZudwfID-6~wg=9Ba_0PX-h0tQb=~4!alzGl$BLN0s!a{6Rc+Tk6|vvWvk=pkWu@BebLG{;G&m)@1n4y zhekaM>^r?s^Ax&iWB#`0t2WEWL*#&p$Ln+ra||9~T`NXDE-D%ufy1Ngj~!hP(l`5x zG+XEYHC#9Z7YV;CnBcSjWO%^9`M-?WU&9B8yc#&eH}^))Hfz1+8J_Re&;HeeX>4^n z6u^O&x0^k(!#8Jn5MgLG&Ouwv(DbwhtpX9xpBXKxM+c-}0{U76he7D)v zk~u+{x6k{I9s5$WTyGCFl=jz#EW_6X%X{^#z|1S$`&?-g?ny}L!qPqai5rKw)g063 zYyF-d45yLj7kZ9mT!o2(|4&Ya`R3OXi__Kh#N4E|1{szy3PtnQZ(sP*P|z7OZS%#}bUkevtf~-|NzwVo{_VvybUp!%cE(2Mn%-+c)Bf{D z%%>=vd%l$p!P^_fZS9S2hg?$V^>6DiB`TUTpgyJTt*6r4wmt022RO>$Z>9!$8;sfX-D?YrIF&q3{wHIPiH=a8ku`XB*Us z+9=Gwld8ml#;27O6Y!lI(qY-!9JtW_Vbj({tJSItmhJqm-1$wt|C^Jx3AlQ+7E~_;^l|&P{yPbk>hQV`7T>2&!q=}%hYNdt0M4)YSRJ%! zFa-9ZKc@%gorl-nkVYod!?I&Dpi~V{77*>SoY{ z7i^z-Md9_oO@~`OI}V@%vC)tD08#MEu_`Y`tjsE9w;3 z4w+Id_ND{g!O~zPdZRYN)oWp5pgy#n3SrNMd1vEeAH9+$mH0U~jje$ptJlJs2=^QI z{y>V#rz?SH??{&>#2ufv^_2F%m@c`8=8r*u$Lg7}j=hrX`y$xKm1-3@yY|I2&3)PW zI053`1DsD_?Pq9RqY4qpO~LrHE6#ms8c%xty$M_8;l6{CiL6(XQaA5>`}cG?UpD7I zrTrB8_UxlyO&9kQqrK6?roH%CNmX~A0VxQ0HkvLo+^GBbSTblZW-Ggd`jSbgM`zF|2680E*grw{A03ZfJ@oM}FQV|JmYn8J~8?lOW$%Qs3dOgY_9rC3*Xr z(h)aFb`}`_hwUrsQBb;{zSMm1>$GWH82M&Clv;1u@-&YAF}&Pt zH^-7{58BVA)uSo(-a|*fM9n8%Pv}0Vz8)FmZ?qcvpHlUGa(%j-KRf}VSoh)ScMKbP zNeVy$&IbbLo&Qf5X&QnyEipEy{QgT6+!skB3W9AtJP&PS4=@O@_EcpytUJF4{K2_2 zC;_l6pj;QBZ~4XSZM9ng+k;qV};1e%Smt0b~FH6;Pi<7*>o>PMIo>#;B-Qo6s((qNTdB#_W{a#CPUBqcI|(Fhq|(5t)&2*8cUjwm=(DIlO0`@VqQG! zNrT69kw}1wPKrcQSA$3#!(idDRLc66AEv=CYWuuV`~RGdo@otx(f}dZT6{=*p~(cyvO1-*{J>1t()9<; zcbT{VQwl5Ymj8GuO;()UHcr*CjcDM(#gOT|j{Mn~Gyqt$eD1ZK$fO02EqUBJHsMpP zldq?38qD_nu!+arhA_1*6N(60b5Z z7KS0nWxde8osKz+8_(JZ^A7*(18D&3?b(mnk2UceY;6mlja#Q`|7_j9wD#XN(J*dq zAAkVD+6+T*K6o^5^3wi){&LX(&@~R(^d@i=(Vm8j6eR-xCw0)gJQ#g8eLNVor4JB% zh~L>_kV`krc~A37;ZF4BX&lc%yX&(WFzGs`Qh{d=Y)fnRKW_@K5oGfK$FptmTdz97 zXG^UI(~!(Gr-w(AlOIL_qiMDTg0I$a-fNs!2G1VKOyekgAt=DUv(1D#WjO0RL&CWI zns-60kH4BeV`SEM232H7vtclBR3+&=r}W|f`1|fFf zQ_`^XK>FatF)W62@fGa4UL0VVcN|J)(hyA-a`H5T7qhF9YVG0KE2;#^EPVqyt`^V*<`9wVCkh=qngu zw3+Z(Bzz+cahAj@v!iZ@mmtgo_K}_M27-Z84bMlq=2wh=$);m2exXRPePzrBJJyXS zNUK_n(WI37XqLW|UPjDI1>h&2VXpq-tu&Hx3`7x@hyTor&!%E?Q97vrOA+g*W3{uB zWfbQh&Ve}di{+LrUorxc3JO^8DhAxA>*}gh8_i0wSid!%4uExz=Qs%z%K}7!=hQUN z0HOdkY>EI-@A`BgS#w0-5bXaCB9?wN9RZk&h`_ia4hZ8UM0+%F7UI$KnE$+s=Gbr2 z;Z1+hh_KO392dqzGs_B4mKa=2A)3$MlMY<*B3>l5B_0uM)z8rZ()k%?+%MAN-Onyx zzy%Oayox9JfsM(rQGhrx=8O&7BuD0Rv7fy+9fmb#2tX~#%t)|kd!s-Z#(n^6gU!OJ z@`Xxvrpu)Jf)J1dIzLW;Su@K5jh@>Y{V7{^{vwp7MFX>ZHb&hGX@j<91#AJx5%8ww zl+o(56XutX2tb-J;NE)-8w#K&MZi5N9*LnN~i03JEFGnBL7zF9}Kf^Wy|) zhdDM>gG|n$U-PaS*Wa5iO=-`|WSANYB@lr8Nt2RuuPZ*GSNT_o(BvQuBZ~0C-0z$Jx0R-5JGV$@TSw%St z=)-4Fuy17=Wzwd=hN8xz*suhV1QIkDhx4B2yc6S=XVYa^CdXkG82wy{IEDd9fWwa2 z;JD3*MSw&dn=QaHFCX?TN$X(DLqaYjde3=V^OCUis&rYl_E2EufK&X(=9Y`PmteU_ zq)s`_O+!Tm8w$blHyfU|X|O>6pbLn=$we28{Q1ndv*Z7oz9r*}KnAv?ZF6!vq(Fj> z!22J!tFUO)@sMHE98DQE>U-ysnX%V;1rjdr)W*!2#4JW?8?~4&9KmghrnG9G=Pwzf zOJJLNDDmRSD5M{PhXc7iI2p9(&bp)UjF!)6e_!H~XU6Zfk5K@d0IaQS3UG2!>J-d< z8JFx@e9>r#0tf)vZZj56jmKYtX9U8; zJdX&?yIAyam#!b}Ao`tkzl@II40Xu1!`Yyqu9}WP0OjcVl-ZBhW_+pI$M|HD0XR1q za{#b%U_mM%>XT#XQ;wMRNd*mqF{_}Vb-;d7Te5FWUb1g>entQdN#$rCBt`KUC`X_p zm9stdgLFzl0wZuR8^+)uDTHwRuupvcokP9Xq|0dgd|SpbGt2_mFa(|U!2_OBX~MVGk6mhN$3^_U&~|O2D~k83 zri`Wcj;Biv1IwYma~=Pq8eiIT$%xK{p5w$WoL_4vcmdl1T05WOI=m@F9W&%bqyQ6yv2${t@3AhqGR66c z56Gph^DysW?%T}Axicw)%=n|4_Odb6~+2 zf&$QicnV^_6e~es0%*bW$%OMy!|j(IdAu+U?Ur%Q4iJ!3gf

6G^EGb1psd_=O_k z5nQM;S*!(XLx8YxntQ6n;DguGu^8;d+VBxvs?u&eVK?r-D9e4`iE#Z7(qRIDK7yXw z#VV7HL-ZSnQPG7Q`*~Y`>z#C1+ZVNt^Mr=*N`%RgaH>*D1F+<_bZEjaNc(sVg4h9+ zt;6|2nEf?0q)E}KMDKU&(;|9ybE9|>!oxqQ;X!}_fJDy7`8=)pRnTo~(naM!izh!=cuXu!1-aVQNB0)%dW z4Xah8fcNiAm9ux(|GnhILCgYM6Lzv z%U9YgsKTYIW@qF4vq2t6+iduaT|aZSdJ9$!NgeWAh6@N7VnbV;~q&P?L5wOK{+DIm@Fwmzz>mis`_P zY_W*iadwOy+558r(15JX2>h2qDnDtu>s!z6$K1mSeaqmIV>eOiE&s4XJ2&Vo%ycAz@cDve6_Q!~hEF zATbd(>!*xp&lG;S2$+Qw@kn61(Jp?8d5|iQGxky1%q0*6T>jD)1iSoXau_fHsYBYm zo6`nnU>d-O!Of2)Y^xDV!e^6El9di{cUJU(gjr}4cA;S(8<&B^r6W@2MUG9UPc>sM zd;v%Rw>~)%pdDw~fYD9xWl~rvkM*a`pR}dnVj{qc!1AyjZ;VV`%V?}5ZA$u>T@v#G zC;oUMmda^ctd99XOJnE0cFtgY1h%fjTAk8j?EOX>v;&5DVV$xoGTIazv+H7hoTyVi zn}U*;(=aP8rT}ln``IWk0|LK50W-eSr0~858hRbt;Zb)sr{`~z* zU##pje&{r=P>L6eW3|VU^*Lu5aTGHm1@8FH-=slNUo;q4N7;h`2g$(zdCW~+9P%JZ2knvy7+)HURFFLQ!6Cq+!jvcr>u4#3F%B%2~MtO$p%`a-3wm>IUp!ps2w8?UUmEFzvB zDXV3E`_ikU*(G4G2&5z;)h5Z;f0YJ<=Ar??$^t{gIFT3P=SjK13M;j#{gRbl=>$!c z+{JxtPG^C-fbp>ZrC3j&{U2%Y!v7OHlG9{Pg(oX!!aPZB?S3r{DV(zs3VTE$!3U6l z8YJw8^AB1lSSB3`pwBx!q5xth;-Dl{RUdV*y4LZ^;?f@)KR*e!uEr!l9ntfq{=D(T zXa7D8VS)>?h!Y%V+3?5HaQ2}~uVMFle86TM7D$}ygjaBk*TeD+o=+;7Jp1RDUV;4! zM+THNz<4R_s(%G<0K&W&bpk6=mest6O`8)6vlBa9SSFI2W^D>^jfJ_jdtMb;|C7(3 zg0HyE%UNfgLv_<`Q>u`i8l@8gK?tmdhz^q$ojgk;gYc6|0nPGGmI4RLN1bqrl1>^# zn`R{3m$5{PlXrwEA5ENY4Y|#JzK(J53gKSBY8u?k3?qYL!&CuH1mN}rp_CfUvQMQ5 zmLNp!j``P3)+NiuQL?vPo!S7lH>JixNp_9mrBB!cB_sTY-?x zs7|qpa}bH{7zetL^-*w^kU9r7H_h)-ou>?eK_imaw4wu&n`}T%9MUT_6Q@hwAbbut zsSARH8-tgd^l>IlI7QM;Mpy@sKKsHoq7mVvBTbqQbATYi21SG&#MrZcQYxxGdhQNH zm?9LyN!8Irh#yw$OK0Dl)R9Tz1xi<)$vePlH>DERz8c4OWbYf%;X9A*ml!TDkF}W$ z-wu|$D1W1*X!%^Zs(DXX1>dVYEVc9Nje;8JrTuanRCt%+%^lR-iJs+n%NBkNG(L&<`)Z%uQbO7dLzC!(4?wp#K+Mr?&)3 zQDyi_jqm7aM-x(+$_B=TQ85p(MR6f|N*W&R?tAKOBn-11NMbZ9|CC23Suy|2i{du^ z-BIq91L{WgL^a&Gl6iP!6O!8mqu$tpM&2wD zjYo{aqQ^&84Ee=VD0&Kw%6_w-^E*!8&JnE1>RDD9D@moCyha>QUi(C%EMX64Q z)Qot7sQT|UBUJ-MwPua=D(kYWsJTMSw?p3p}!B|86DoxyJ{tZS22 zkBHtyi6bLna_g|{l1xcb=+k$t@KGWmnF5l;4MMk9qKZs5Xf!MjIK;5SsmgIP%#p<1 zmIJx_bu}2$*L9 zg?{X+1%*%xZh(`Sj7S-TDz&YWoN^f1fhm_BiTTpwmT zb$Yk(*d0_2D^bBB)K?oUdQpkQcyFKb3r;LD%WL$SAYa1M+U}OlVQ%NCJ|nK18b<`X zjKPRN7jtimqTCUn)jIxNHOOw4`Nbx?TgYr?3$-EL(`{1aXe)anOXfDIgZXR@FJ@nH zzj82E5zNTFH*O&5xTHiRB`(~x52-pQP>OO2ZDG2V8&@7@>ZXIsEdK#MR>}7YBgzWd z>&Rb4d-apc4Tlzusl{ei9OYSW>mEitEQ{7S8)v&6Ybk4=el93IvTLA16HLK7Y7}b5 zPQDBE8c%wk9F6q~KhiQES(){l!K1O&azH-l-^GT!!+ZJVidbu|5;Q%}a!y@$jzHNI zp-SP)c&0%KpK1!S-2zu>N=$-2g;0T8~S}soZC+m;s&;q%eQzs?2tInd6ufNK1Y5?mqFw3Ji9?&t0weZ(^NDn z+YuHTO+WCJ)>^X4_$sm>CJ@?k(U~wMqR!H3mqwLzeI=LnG@2TyF%&i|#nEY~5;DyL zTtZUo9Fh-KsfC8etdhtc+9#=t)P7H<##Uy^?g|0;`Q=6J?D0kUm4?zFIh%|fMga<-W=X0Mnf1ov)eag#t6Bv?+&y5j!oVP`p1qb~I4pt)kiH>}kOOUq7YnO(?;uAo zPMR%H8YB*Xbhpb1qUL;rQh!iaROIb z!6_M(M=FbGM;V%}VraLZQ@rdJ$~eO5V2K_Tae_yeNlqrhG{M4ph=@T#w8C19&AL)+ z+#ot1M4XS|RZUZy45!hK90hbi5kK)mdOPQ)Od?F`Uq*$E{c#AKXi#k2==U`0G zKoByWh}x#*gFyRus!AHtiHt>(lR*q3eJ16zK>kW&rK-oYgasi>7saS#{Mmyf2P?N2 z7DzY6i$r#_IpU!eH$`abTesomPar_VB~;3)UX`-kROaPQw4ecT$`EkHCu$2MpV%x^ zE%F(TZW`S{9pN}afwj{F!-a4=YPGukDzMo}XQ>(uX3k^()J26AO)J+55*i`oX^OVN z2vceS{k9GgDj{02swkq>+M#+XCBnJ3!dh%>q&w-lg{&MlRQe{Cb`DEO=XS^Btdkt& zYkDLc(il})OS`GCY)E>@^wD4SN(7RnDvB#WIwE0!j|dXSb56>sS@NPz8o5bCa6T$? z7ixlTZeYR08s1?tgdPs5Zbt`MDp}!7@`z*-gi`|ZkYmGO0slwY-D01}jIM5Osj67E zO}olC{=c;?`46a9zi;l(xTfxUF;Q`4U(atq->y_$a|DMeP^$qFn;gFtPdq7<$ z)W($MY+k{}+sk^`{jVx#2G#0K3GUSBgG`Cq&Rsd0Io%^=z9=y*o8_uXPtAfgeRYDs zw2f>CzFd}F+pMnL%x&Z{Tce(XW|R5nR_iOmna<{{^17O)?WdGa?&}WibzlAhyF@KR z1q&97YXgf9<{!}7^O∾IhWj{B8V-%)qZ({(ev)dJQQMSUip)nwJ9pt?+m9G3gJf}m9#uh-hy zpjCJ-#=+T1G{dp*3FbyE!**G92b#Q%R@P?88W6WKY$PH1g+{9wzH~KOs$V#6O`v{` zh2O#ZHW|KP;aBjH-|^m_GXZZeKXdSb5_~ka zZieG-hO0J3$7|tdfnTqms5-+|?%TCFdXIV#O+7CBoVhu-%lv1p{+nllJY2YUycQ0g zh`rQY@3+rP?JcOsr=Y351*>XHBKCc!4syw(;8aDdL_gExf2nzuy1e2p*VJKVO>VC_ z^|bW!%;lLS+*JI+?}b$X{43$KXLBam_~6N{ zE4_JH-dM~1Qx#b&P&PO2e;HkU$U9TEAXa&laeQz#^^&>rN*g<Fxi4N zs%Sb2TP=+6$j~!R$i6JBM-IJiuL)*3ErA{aZ1V& zj{w-ls)yL)`k=TfBwRIP#-Hvi88}-j_e^e_VVj=^xio)dl<3F1*~#1cP)3uwswI!# z$v3wmhRx&k)n@&z<9cTH=8Dd%l~SS8XlQWiJ&*eBpNe*4lTk=Mk{#u-5m zye>}n=u4Z2)a7cYZhyC!$3F@vOZg!M$hOQklnNG4cfrJVMRGy;oIf@=^tl~8yva5f+~P{Wjm-eHQ`Zm~{imo-{g z&w_;ork0O%`c+!@sSdxx+%4YKMt5j*zD5dwL=j-`P$~hSi%Pf+63`WN8CXu>p@M}1 zhz%TXK)e&~#=#|X|CqUN#;&QE=nl3xk=N^}*z9dnPREm@a_qPduc=m+uY*TF}e-w92b*D+g6_SgYmg z`g058oV=Z1KJqGWZRaNg!U#XO%a|O2KMJ4Dy=IGL?ahU-&%ijkrf?_}7%&ViFaS$G zybpE^AtdXfWpqn%qtl9Wt{+%KpfZllGgV+Lfbf-*>nUrRZd( zc+%=TrED^c*62-NMLpk;%ut@RBf4X>(AqoViX)@&>%U1v;z%hxB^%Q*4b=v`l}*o_ z>T9ai!mWIj#F>rJT76?;IAK`Ga`kw})k$I0(rV7S`oF{y{ zPz_Ie2V(A{O&XDXSheUK@+z;AQRHzT)GxAH1_$~x)@hEnP#Y?;qxxRiZOWvqZ)n@F z4J_(jL~BP7r|G>4r%5|yv{gc~A)sF6itc_>sOm%xqL7b|KwrakW-jh=TrPc7s|{Fw zs_Kd=hekNfMsv3*TDy(ihU#+oE&c1V(j!!CvsUq0wB9+cg!J`;a+bNP(p}&{ch#;T zSyV@|KEyMjOqB6XN8G#Rc$boW!eScx4St!ljmfskP(-=Hi*!kpn#@9!iz+*|>Prkc zyTw=oAj{%-&)OFDN@XB$w>ZiyG1&apK4yo(8DUb9dlZT(9$v%N!_uRA#6}^vRot<1 zm#XGdN4l2@9V-3F5QR1(O&3&aLHH^w=69g*Uh!qx%Y%bfP-#Y_pLpGhtZ|4$8c*b& zG{|EX6(ISMuuSge0M?LO(FUb+2(kGgJ|a7~T73nmgFv^S(C(sBqD5(HbF9apP1nR< zsY*E)Rm}lq2j3u=Ik_39ZZe+LHg*+PXKik2?dZIrdn7AlUWLYGrsBc9)N&yfaGB<4 zQCsycwsdQ6S&R{$zS=CjD%iC$_)TL4yPe4vwF7D&!<7iAvhgLhwEq#qQ8=tC)`1G^ z>UL9)p*Myrc%dRkvR0s4etE|R$rD$O6h<@IgZ$Lr|CO3$yvTH*3i$?7uN7WCtFRC3 z&H5Mq!NbiHdS_#zka{M!Quzk9bqWyWkpBFv5G< zJaq$NM>W?ojbYu}vm?sx~C&l5U4d6b*2FS!Si!#TtboDO$@08g!yCgLQO|%e1pCq;vg5q)Y;| zz*}K?M;JNmkwbbb&kPV;Sc#)ru5_r5d#b#L6dwB@S2zJ?@RJ0ahX9RduQ3LYlc(jY z4N_Rf5`Z1<76EE7-qz!>3WYXR$#28S~g(#$6X0RaLJ5QPqKlBKvu`c$IJklY7U z5*DPpwrPlL0?b2*6XAuT?+Pam!40C6l^S%J5x4>kx_?aX~h{ldTjs zWV`pXi$Zk*Y4TA)0Ps)8Q4h6Vq%)1<0?FJVz}Vn**G(D`S`NcBfOWcMNP+vB0>Pb* zjyq+hP3dS+baaHlee;o8m^{J}rbUPZ!2<|K3;@WatmH?^089Z0r9p$W+Ssv+FB)Kd zCX23;vmu%ympO-p4Xhu=w~*RBOl)g;Elv_n#FBy}Nh^g$wp8>PWT@SsM#XVzyzM;YJcnK4Ns1Ws5_TM$N)C`I+ru$@!=z`MYWEdnn~ zbGjmAQTf9%;{zPhtMQ7X6d)FlMG+<PZtnDSkY2%Q-F9mT1+`Y8sw3G0!-X|zf}3jhRKQU@bY`M0xPjR`R<;k0x~2WIoC z$r`&OgjJ+-L zij0$VB2sGsx;q>&+ZM5A2tsBeFWn6~Q+FbU_Np+t05K7D8m)(Q&7>3`RV0y>ia;nr zZ|^E`AqWV8ct4%#Xgre5GfvpkhMbkWZV+LSY_No1Do%i8*(R_4*Sa@U$pRv%x>=Jx zBKc`mB}yfAy@LoV=%k=>SCJWP=?~2Sqh6(XRShYz1LIUD;3ajYQd%92{SxL_9WExSYK>iQiFcMgk z>Y<$cn&!F68`-PPcZ)UtNF5Z!C zMu!bH#}Ia~Splx^n%D|{?ZPb8+~ujhKQ~it4ONXRF{47sjP+FHSxhnKZ)vGJq3~^} zU|OnDmNW@9H)gFdWqG}kTXVVSqZF^-m{|4Smhk1d`MRj1onn?=#%ySRhM{wYc~5sE zt1}I3$8mw*!VL16+>80vVtqLqD^z7>#GgOhp=Ny7HCd6!T`Fk@%TA!-z5?}rZYkQr zo~+>33;xD}VP?Fd@Py8cFoh3SXz#U4eQeETnI{9of6aeOtk&7*6!t^GQ+M3FnT;27 zMg3B%zT=;ID_0$mp5w=rb`8K9uAM~~(J2w`fj@V_=Vp8w?9KpSIX#Vo7#}k7w616` z8jQJ4SX!%5S@;wW2?K=>agabUB=!s_@W)fUYqPd%sFr8D%wp3o;JZHu>tILSK^3s0 zR)4)A#l>!+-4Ewu0}4ip;A^w)Ia4^kYf6awg)@F(DSC)wd(8>^BRYW&r~?9^ME>5T zUH>Ku*Jb4Kz2=ADrhj)od%SXrvxMr+@bd*D=S=Xl)+^> z=w(}mp|eF&edr9!LWcm9$|{LWYe2)B>X~4m*Y54@labdSn%cYP8giSrmz^jax)I2g z3JPsNthw2t^cimyY zgK(FD3xOHn2dC}?5*r`ty=ijvAbNwHs${ZeG_5yqE*>j^woj}-aPX(W8~b~kpNj*t z_I&i@0LFm!2M)$(JEx5Wk~cM{p*I+++cWcs`RL>F*T7(41CNWv>~iK>`7N|bI#n=T z)%I3k3-@6#@Vwcyx_;w(k)8Q(1rlt5E9ZCe$&dcYN_bJz;pX2&3Nlm zfK99kJZ5t5dEYCTR@%P#)X)3Z1Rqx4(%xU*CM-2S{E3;DmFIf6^%m{i!3S<$_pr*W z65=~&y3I9cO(+Mb;McT`1?=x0JW)Lrd*J3^=Hc%5@0c8D-1Q6VIsj+3s#(MY3JhofT4V} zH;#d^t?Ex2sp1nR?avVOpZO zTDMg$f2|v!JrDJ$48PGE^M=f<}P`4R+PC(t-d^Si`?4c zY*g!81{g+Zh06h067M9J0L~)X=Sd6_Nv|=R3dV0$cFFYswH=W=&>%y6^9^T+H-VaJ z+DFE9uBe?gCfGri$Q7yX307oJ^uRk+(A*qm5v+Yr0$ePbdz!g0^AAm8i#|{d*g?xos6=H4ffsG)VhM*`Rmo2 zxbLy>qw1iT*)FK?-dLRl?@aXxG00@=WJ7B~kii&Fkh$@s)F@^jkWf&RYsKodq8mB5ybQmQ1uWGd3M%|B&wh&c{m22>iXRLoB^ir? zbdv%wdI-ZE7a^$uvh^^>2{5$@8zu2onD`qOo`x-O4B#c;dRGIvoDxQ0b3xdraH^%e(rmKlpLy_MO_Z5XUb96BTLcGN6)Y$sSW^EIb^o?W2B_6e{|W3;`efLYlpk` z7N7cNZi$W4oT~lo0~<}bcNW6&0)#Ux`R_de5YF&^?%o7II7k103|LeD6Jhq5XmQ+b z&t7&hUDkH#uOB3M*X6HSf-zK08Q%_yI87@4wy}yUY@5 z$e>U+P}N<=WeXL&Ql(sO6qNDSDz917G_q<5K)M@@Ebau)nV0c9cs)3>jop!B*0u9- zPWx-Lg5%uALPprmXnd8ZfREe7yGd5LPPF*KlU{)(S(V?g>*|ndb@gS-`ZcV+6|o}G z&Hvk*6Jcfo&|0Amg49`zqiU3`riwIOJTCDGWPWP|ybYWe z)=h%P6Rv1>f*0Az8XaVWYt_|`Va;96b;}TI=67(4X7B~OIIElFoiR>c9TA-k*m{3AQPgrGE9aIm@Ey}KChnybKm57C$FG!BXd}LQ@I~iDBvPB z>(>i)y5p)5fw+1UvK9yyNjtn5s4LHt!>e? z9#ZsEfdQ?f!VJeFyH~h7B~9w2TnP)Q1)3f=Rc(jiE{dBYgM$__j(Ci~b6SSnEofrg z$la@N*Fwq)D_5oiyA+ui8L?RRBDZ{}WxO%yb<>bnxa?A)NTHn%?izB6ir*9oE2Boog+e-{7lAZx29^k*7I$(i2j^4yt(V~z=Dzj*sVFTZo3#g2)PVK?4jMlEvLRx9`rn^)KQNqDCP=`9f4imwq|ctAAjmQl{ejHxll~rDnwg6{xYGXU2ZLY zM*g;GZS`!tN3eeB(Z8X;CNnX4H(J4a+WCa>y~EWV3y-}Z*D6_)p$ap5Z_93t-_6Nd zb(?`&i?ur8ikdAqJA4jsufShZ3lcmb5}KU#ln8mGbtu>j8eEnMrF>ZQNt8!srE*@C z2seMQRgl{ZAyc&)O-R0h{I(8OrT~JYO%Ndw(#u3e?6&$*7VSQDUALiUGJJ)6RC$1x zn^~SQRHgU*=JA)gj@*nX+9_RV=lY-NBC% zQE*!u3<+`W1<r#NwrgVZ)r_c)QiHbci;D8c5(kaMm=5-8vybcaUi~nh1`Jpjph;NgdMMqEjDpgCf*3NrbrBk=kXhk^HC6^ht|?3^VGu-Q5r7+ACAaV>%!pg`Ax?yaykG2AC{+t* zLDqx2ng%S(+r|=> ziuxcYM}*uTNC>(dg*6~j#2HA6?0`@XKyD78IPB-kLbd7LExN_9uubd zVhJ*BNXS#{Q5}*-EM7}ASh)XHjB2$SxWA0W_y86*p!Z=13w<&+L_ml-9X{i@m+G@* zAwudP4Jr;Y=!OzBvmA!rA%l_Qqsnn6k8sx`+aS$HMT&1o0Wm4now~yCz#TVa84A!^ zqKYyRq{wK-dSQeSDz++pgjt0g(LV!~ub6~pS{rnqW>H3U$joGU1`Qqz(}V%v5aw%F z|EcEFn$0YQCo@NRu1bJZ980EsQM#i!;ewgwgj`!M15>*yB4nAGLp!z;aeh-ncZY~ zer1*ELWP*Iu*c1-Y8eni~JxNaBL@Zyv0L)0D5KQlbc z*C>^(4HiUgR=;Uhs@HWtBTSlXAOG$BT1$U}zFq!ydtye|9%vWDvH|aK7n{M22%|>k z&qkC7%d2(Ap0;vpr^vPUXk~`ye(k36fagyh7185H<8Z;`y;Kfg(3X4J;-4{;XAxSE zS&1sTU*9vq7yPP6Dl?>>J^9|yew1f)ncGqKxW=4A_d+Ha2{=YSXGVrZe+$m3d5Uka zwhs2##{gwStbQ(Qiv?JR`lO(7ulL52^uoLUB zJji7=+i5j|(V|2k=MMfXhVK;3w6kdnT;n=ZDY%&-u*=&meh2_~NT=CXzkNjLDyz9y zn}O*34K*_wpaPIwvIb|>?A`O+MiY`uh6bLqb85!cfroxG-xcfSFHU{Ih(wRl*{)Wg zyLiZaf$S4=)6>(j%w)!h%f7Zf@KH3G1M>t|-;DE1;EW}lPeGYYuQ|aF-e02s+iWI{ z^yjYLY&*Z?p94Q)W>Zq&c93WSxj7F(`U*}ovGZ8|Mq2DLO3eL%K^fok2~&6d+W4-q zB|3fXth`#tZ82+rSM^mb<{IeXV8+^W$lJT_sUGOD&at8pgEN&NvY#yD{14BX_9fHP3KKP)oy`td#9Lg%DT z{G)%gPdxHQ-TV28b=`3TGHG_U6~3SU^15xBF6|w*erYb<51kkQOReLCI;<^edswW^ z$O~SpJ*GYNP&C$ZQ6H*?wMVt=bC72x{IQ+*7VgBrPUYfG*cmd=iK5uX91$~OiS?~N z=tK}_5Y2YtTeuU!M?Nfy=NUy2=tLsW9Um+<_U_bt=!ErVU2{*Vz((WJHmTgapRPWo z_X(aj6Wy%v4vm4GjIKsqm!ce5UBfSgRZ9zNR0iE?aDA2(tx&8VvGA)Ou?^j#53lw9R`An59*?Q|Tn(^V=u)j~j5 zsk&7ygrt@=jGXac)$O7wHO-F{;Rx(Fw2+CMI1}U1&T%r?nXc-RRFWn1<2HfB8A}>r z%btV)hj^Gw&IUDYw(W32Ok@wqW;O;ZJ~$_5f{4PwtT*2GR!e}LjN{Dg+08lo6sYRf z{rT>_Pu;3}zxTf1*HQD?Qu?LB_3e9`E6TrGT@u+WkubBk;BRgE zRQt+!f27`w@|)#9t9&!b9q1{qIbLkP`rxlu4i+zFxI|h@C(Wf%oR3_WBobbZUr2Dd zw>kduM2x#8SrdsZjfuZX7BgIu$V@pNnJ8y+k-pMiQC>5x2Rn9C;M|aX( zE+)WS(OArq$(_nae$W1MktGvpEEi{rw3a9&l4BaNha=@Q$>p)+l>4jW+;k5XO3(b|yu4ti{PvS09CgLuU z9GwodGsj9-eLKx%i3J*+DT_;7Ok{bz@VKhk*qdp5N2q1Gn2j|=!-~w{%cJipuO1m# z%se@IStPHdQH@P$TqVLOk%6+V6SYVOUbrwO*GY6q$8@q(-bg&zaUt>Ku1JVU6Hk_3 z%yxNwAZ{Kf0(N zTo_pV@S>s+w}0cZI9m67*JC>B37O1GzqO*W>eAfZm2BzU&Sm`{9KWKlecj%h{)6`;vXN-ge`zg~;E3xHI46a+Z zax`1GvbnEb>TVPUi^;nBEvp)1-CMRdM#|?Z%UZ*=%E}K{=G(RQWO+}y@@TE=mU8=% zdgUXv-i_z;3nP!VdRj@69QfnbT&*?PGg;oZp*FbCYUQf!CtHz9Q#sHxeSdxIc-*zT zx4vh0Yi^;we`{fK=l;oj@62SmXS{c;w_5t$R&HfsXDff8xA~L*p_V;cDz}CoEKcXn zRCm>nCx@$<%I3bIPgL(M*5}@y9GR&vpFe)tiPJ0E%kr&D>xUOMb`MNeyO->2mFF&d z+if3Sn4Nj5v18ea^7xT2LLs< z*^%SDwUT)t` zDLH(qb}Tnt?XFhuY!9AXTF>ei-L-q`edVYNRrl3T7Bf%OH+B#1YxORD<&Xb5 zLJQ-~lUpj&-9M4sJhVT5x*D~RJ8D1Hm>g|(N}c zeE17n`{o+k8&|guJ)Y$M>gf2M(bMIdXR80Kmfw?my!v9HF?tq()*bDymi9bZ+`X%?e&rQgTm3svm#Vj% zEX}UZezdsfvG!x7bCpV=_R&xG*S=K${B`w8b#L*hOUF)3ET78l-qk9t8*MiFC+p`= zR&H+I*jVyPp+5X;*F8P*=;YX{OIBw;aJtmU*B-95XUkuFd#*HD{nYRk2O|y}!P> zKGqY}!%V5Pv{1|b@ZNG|Q)P2&ZF#z@RvRq!=2~l`s$Vbnw05?(WIj-i?`@zrYVqs4 z8Zd9}9PfR9?~`w-S2AOdC1X9gE9$qlZp}YY7%!Jj7mC#--L-?Ki^K7;uB()` zRWi3$CVDGTah@JXs=bv)tu$QPP@O0~+Man^AzEZKy7SGowfdc<4cTZubbVJNLg~XN zmM+OvPBe1cvyCm8W-N45dwwoL`kea__{F6UElj4dsKm;r#uzTi$WWiRRjfWMrnBJ$=2$ z8kO91_Ix#>TD2v;adW1-8D(cO)7|aq?xo$i(!t!=(Si9ZlG>8&_IzffC$q6DEj^Q| zL@j)HAvcz*Z>>z$x-e3(*Z$L=NTvzclp@=V+5oSBueqUo+|-?q63+_w5! zxn~PwtxG22zru7gEv#Ky)txqR zu8p;>d_-Lu5jKwhm!?g1a;;|dU{V{1*zmU0XSyd=+}X@hrIl+HV&d>@++<1d#v`jH z_Ai)UMt@pCyG*RLooELZEVc8dY8wSE^;9neGBF3?uqUV$12mgx#F=%JE^Yf zjjO|KPnwn&`_!0BH$L;lY_ogIaC@}2s_WKfw6=P85h{eIYvDMmHTsfPq7K-^5wfy48kQSeb!*FBLEZ>Ks73=wtW_C-Vwze6UMalHyc`;JN zYTXB86So!jP1XBe{>q<5X{C+5^{I{dYQDN7AD88gbd|APU4`jlbF4iY$4%{5bG2W| z57jP-$W{ndqt5oV)AiPS``T~G%-mB>7ffo| z;+2i`lZ;O(eN-O$&z1GJHtN+wrDS%~&|5z+7njI|g=%eC*R{R(SKnQ2WluJSXWudO z!O@%Qk#M!Xuw?G&*vs{GwFjo=3P06+=aShY#e>-u$<3pKQ_T%ihq627E?-~Wy*>Gr zk)fxvi_0wSE{r`}xpDoz;R8Rm=gRHXf!xarvB;~L;r8X%ZW})EPihC7!?PQPCO=&J z=BuxKsBvP;vZIC8<#!#J8>n5IJ({g9yZ*lH?p^ovzB_w1n}00ZyCOTbF8iSelJ%oQ zlOL;PM{atg-nAtAY~l9g-DCG>?|ODa_57w>ZQ%CivfK8&b6_?*w2&)Zk^B9TsfmHj zbL%(lD&C(PF7~V~9m;P``lp%$?-)L`ek%FZ57(A%X>NG`i$l4S$BV1py6OiGmTDi( z552nX8^=z>+37uR&T8Atz=QDymBac zVap>)BvMp{R_E8WZY#X^h2;5@xBc?Lczg4a7o+OBYYe*;P3k%l-S>-Xtye z_@RjxCmz`H?t+(C!b$`^7CC`OE!{L)+?)CA&(`Fa1pH&)#HltN(lUCo=!nEPXMy z<)gLO%!_+F@gI}h(!Gz@bfvM~Bacj^d-X_i*=wg-v6uP9y&Fk)=b!ySv}alJwS)6< zi~NS=?@JzdbZFiBHM{c<42^wlXzR=$u3P`U`mfa9b875syJtt1Z>c_68d&$p`|1ZCEq{3Z3qxo2J$lXe*p1JQ{pFT_D7*3Q#l7nPv-=CX zc6UY2$Ki5+vaNBtzdIY}mLt{N;o?}SD_iaF9>{&L*veKri6ND0e{z5Edp#AhwPvnZ zZRd6rTLa(ulrG#EpAPg^zdil~#e8H5w6aOH)s?Ru$kwZ^#`gG0exf)$n=9^~N(#l@ z)t+MX{m>Y0#kt~pKh^rSM;{FlPra~YEWSLhY6``4;oR#3um0EnRKDzyY=l~$t3~Uq z6~`l$6q(Kne)Zy--Wa$z>GgU3=D_Z4wclA&-IBW^ZO*y- z%eBZU8``}2ru@?C-}>BtWp3!3#i3i0nD4>cZ;#}XHMy6IvF!dsTV5_ln&9SN{^Wnx zz{hW^4ZU0{UX?qZeel)Fg%x~r zit_r{bX;T&ch1h~V4T`};;QteuY4xja;KwTFChYDamm|BS9yKuIL*J2sq)6ybk>(& z`0|eHM*dmh#=D+5`TSk4+*DXI^~&VHyT;OVl)ImqJvW->c1(RM9Tnk{+*8kd;@oJO zUNZHqrw6VYi(Hk(xpq9$zUR?bCLf5lJAc07XRrIrRRz#$3 ziJ`mGp_9+FFN`~xj(cNjXXwJXSo8N;=65ZBcCqwy%NK_ZJU{l@(}gv6{q+XDLvJ$Y~bqLC;!zCy&?a74@KWIQT>}J&6l?fMT52c`1a)T^1EB}lfS>v^BddGEPJqA zeev|bJMUfg=gp_Le!lnmQ-u|+@oah7_D|GiZhCAX+4c1H+Q+_CY0b>f&n(P;;kk(q z-hbd1w=R6Kx^PYF-c761YFg{wXx01FlJcs@pW9OZ=(5%MwAs}8g{9-?zfhR`$hOn- zd+U>*s9!Tbzi>nA%DJ)G`kGqf1I*Hn_x(P;WPw(_2_dUn3p&TU)S+*wQp zwnqln+b%!;)#dHeD?e0jK9Y-eI{S}|C+iABC(6yjxBpArXC9ASpG;&v%R(+_p5vBeO*a&u-tvLHBp$2TlP^wiQKeIq?^Q@ z>gWopUF%)?Xg;cCxz*c-OV2hM`Khe~U00r7c_N=1ukPBtuCOe(xv=+j%G>OO8Br!~P{N#Ld6`qq&2hoy_f8+Wbs;)z0NN)suD6W?)@AdPBK3 z*_n@i0+JicNonjY#cC$IuiU+1X4RH@uACpMm$vR3+kd*g>}WZc+g;tU^Ki8?cw6Ie zc~#F9wQZIC#jZoOx%}SZ4SQyPq`qS&|46y_Ky*PfG_tilIlt#b_TD(I_O|!u=97o3 z57hUcXhlCV^|M3u-X}kpWLCCM*T4O_x0N%swMj$~q81%>p12Xcw){jrTfH;tcHLG+t>bko(=E{v%^5vc*ljW}I-aDJoxkx=T(GK46_R-eH-e&ow>)ux>tlg9; zjh#qxSJnojJ;%PDW?`nMQOL|yij&RgEM#Rf?%1rIE#@o7s>u`eRow%nuI6BEN3&3C zK2ceIHc}7=Unm{l-YVQ!`b_!}QO z@9!S#jgBg!{+m9Mh#eeDnzhKUDOM|^t%$_jbh?&F>x*53y;0qsYz{Wdry{_X+ub`> z?><(JJ#GEYb^j=~X*#Vqr#U*CTBmE-%v`S7j4Ikxa(Qm*$b+@6#U9_Lqc+)lV{`Xh zCnj>P73pv3JlR_dL-UPdGYvKcOLyD|89P%s-8wcAF^tyQ;e%U-&s3@}?)agoXQmq; zTN>?bayPb?RJRrQEg90$L6G~yn8;^*C;$US9_$~nmW+eQ*6{iBOdk4FTKAIw<4XdVZi7i5Q)@QMy#8CcW}h@j&@>yE69PN(4qq zt77G~h&n|qV!9`pZbh#(U#%wPXhJ+4=lJGeS7EHDuec+-si$7-%a(iFwMH$X7R|^O zi7w{uj?hQ>aB*m7OmCK3OQMZaU%ea~pO&|*J2%|U)=KRy&D^HmcDc2-mTA27^B=sm zurWJVEyec41`qb688=HVEv=erUY;-2BULCc)4fbTwEYoinCTjvJ39P$H5+$rE?lBS zjdZXlb2tJ#d(vNm=4EG3{iDoSM<(`h-C(xXJ22YLZr`7VK`vawMA#wP-=sSqoAm5R zHlJR_ZjH$i+e$YjxZCMLk*k(VtE!P@8`#a_y}vlvZpOt?uAUoncc^dTx;o;J@3rco-AM1I~+ft-Ia97W39-KnXA;7CY3ZEvMFvRMEkW)nX&v} zPrZ4p_4?E_ka8q`U)!DOgS}CO@BND>e|XQ;k%&^-G@qMXH*ig@P+b=HBlF|)@%?X< z#&%}+%w}(FUD>=l4Vk?Crpl80S1+sFbGrA&JqOo4wSM5AABdRAsz+M+(dO=Zq7mst z<#fGr*GCFdzc=vq`Mpt(c*juf$68BfhxaT$U0-|h;JWek19u-dcX#`pqYSVoZEn7EL;mt)eET*jI|ncRjE@dEoZB^<(!Ozdv`w(n4nWR|@|kIh))d?r8s{I);)>HnBKA8%i*Ki|5i{>}E#LlH)~XX2gQCuCNku|Mz_2NShJ~TTqmB07F zhwi&Ne{+OJCchCo^YiUL-5EP|VP8I7*t>0feUk1%{X*kB3HQL;p67@7#fl`;&%DM)&Wn|C_H(tSc`0T4TPR#zwA89+({(`^#MY zfzLhoTaTTp4$KbSa`of6*{L6UH2-4b%)Z%!(VfWgGy6Khkw0qOzdJfBx$pSpjVtR1 z?mO9;)VS}#@AEZ{uY5m6%zq#{IEhHeg*D9Yy!bW^mwd6k>D71LR9>>~k=TUC(zr-* zcGK+<7HQ0W;60zc@uN#;tGB=WSo7-Yz_mkT-$?i1*KeFz^ABnd_HBCg+E4WrtEbA< z{<-?Vx^jOs53EKz{Ks0AM*fl3sYYDu^mkugJ{{qZMzuU~w2^B~9BthI0A|^#iohZrJtACI@TuK_d z*HvnTtINZkNJ-(Vg?g)awy?8aNS6Kd#K>ix(8!0|r{grSbXz9`axj7(|2VQrKGljg z_)`<?@1mk=OR^`-#>K(S6D*$B$orniH@## z@K@7;e2hBtz$*{Nq5I7(6X~e$n(LDnOZ-`stn#A1~AT>F)iN>0`11bq z(Y;gh%`LfK-}K2lUMtV88H#3kF%)g=hR&r!FTXLAPW{p^pa0B*=>l6~fi1745t+Z* zzwcM0E#jHd`4h*lTKbvWek0n0MH{}wSr*Iel+-CRU12$$nEUm#Dc|A>FAklXh#$vJ zndkPm54P6a)_w7+@WL5lx+iYheP@iNbFp?Y#&Th{IE8=mz$F(`R9=s<#3}!8hFIbT z&V{x>Z=8iPnLBg0eC_71f9%G|w?{_mn!8>}V<>4B%2R1va?960_F7{xVl(#I!HaXecHr+j^#4$q zh3h(zmb;&6J%3>{Ti>X^{Jy7;tvt3pDNUDqF8sf%-Y&IQ9jKgoA-T1EBDzjleIPPV zRv-9C`_v0_X;5W#D+ZIKJrh@_5lu<|#f57#y(jXfe{=N+yS(wQo@qaIE<5~Y^Z(}X zbQ}h6dc3sbm!FthUC*tq<%TX@lk}`f@>Ap6?k-Q3&lhTk^3TUDtmMho=b+YRlrV}IPi>iqT7_H1axwf`6M zNiDL>mp2=|m1HC-cI{}a+Rz>-ovdzbC8gP7rZhO0OPcM&NxpP%wwYAst}YICtt!;t zx^pnw+uTz+|B0(NmLjX<*5pImgP>=1Emf*&{4506jh z7ix_?`4?*&>XZ5UW9{0t3lUMO9cb-p_1@BcOH$jDChBae&$kcH=NBU6va>!jAEB3z zEX>U}YBTkGeMjr}TfH~5*UsPBzP0tCsFFYayY=kZVt4NPN>^@cW=U~0o8%_5M+%z@ z*+?TCEgj6hy*ZjJ%e}oY)XwdWd*MsF`;*U?@>iA~&URlrm;aFyg{w}qM^_xoE^j^( zm&U927ye0kS@+DBjwjhxI(xDqm%pa;)nf8U%>Pt=c>nXI)@WSyHt#vHr`R0$RC!hV z+%qp`BAn7y&SYv)XBq72Yv$YiarwW#KG~gXJ&|8tD@Ln_jZr8?eU=tK2# z_gJ-3SU;B^k2}5_d!i=Mh_-(1xFy}JXO=$uuWHeIOm03>SKd+^XiR03!r19eh0VET z>$4x)a98t+-0>T{xqNYXbID!Vl}Gb;O`X_uNp!Jts<>)L@l&73mF~@L z>eMa}!4@{b!4FJC_w-D8`At3z-955rN3{z3o8r`0<-7(dpTR$zAQjotxrAIiBA9&n1mq zr4T6*txPGCTsd2t&i!Ctt!r%|3d&}Vl_ScLjYOteu5o9ws*#O8BpOj`jXqGfRU;M_ zft$BQ-#3-XO1AgJs;(btwqklxis_M*_(ZfwC`NA{&0^P@J1#9O>1jnrA3f1=MRjAP zd#3u%{JySCva!;rrUy!9Wz4o??e>UJv@#>z(ZNxsvUbBnwX3fbw|pNi#~C7eduV0$ zv?Bs@NlYC4(*CYY@m(uw^Tmx(n~%p}ykw_)BCBLi2S$Sr0~bRq*0eCaD}t@L&6 z@4m9w>i=+5J0k&PdA|2TwL6Z%$R8+29>7P7cNTAGu8NpN?c4un#7^p+va6ZgvFc!U zHX8{gmvu)Ew2Rf`&^a6x>tiF=?;MKqhSI#1*z@&qJWuz=f*af2A04VLjfNnlXDiiO z65*cF<`p|4jis-*Z>~Ds6`hNvnV0d1G>2%25h06eG$AQAH$}iCsm3pMTHQ|5KZje< zsAFTT*o??XB-Esj)^yLqd*3oxU6m_uP9Dgf%+<0RyN0@!r%5337>oczTrk%9OGmR2 zF{ zcV;uEqj^#_nglI|TfUm_J{nPwt(C*YVt?1It>|+mVkGJAq+j`@Jk>1RTB%ivxlCl3 z#9o~L$gzAt*B>4~k}*qd1wYhU&L__(SEHb?8B>pLH2EeeUINf8`w#nj@_ z&DB-at&u`9l9t}cOxNOmO`5CINex>Xe_44 z#%CN6hs~{W=h%o_gz0UU&#eWBig<3)LlQB&wQ? z4XbzNk3;a-(AqQKpiA0K?CIUPT0k)$-3Pk$_D-rrocWY<70GC{hN_$`b_ zBmWokKQvvfY@S<{-Bp}xT~_#e5!e`= zy7tLV0!i+&-fHAkd~9lN*=YHln<4`vzx>(4B}*sc%Tc&%?jzMS3^F>kH~X{o%T5&6 zcV<6P`<2Fun1A@-AJmQvMT^moTwkfSwj}AS8>&|}Bd?^{KX=W)dG^Nqk=*r13bl__ zU(Ai}>EEo3J}1M}-AcjQKo7ImHbmYgZR^_NCgw=T~QO@1laP#l@cP25<%XL#Z5 zwL_!htK!tQB$l~kW^+V-_ZDlX-v458TWeK!|Mp~G_Stv*P&>sh_2o{Lo=Bn(rTEQ_ zz(;*1;(|S`Br-+fn2k1W?Vbn~4n`75@7!Rdh75MDoO%a)Vq_;_q}3ajP=mb_k^6tu zS7tWn4rV8_+a}(7B=_sZ;m_xJ#qGN=^}=p{ zAKU-qqvh<9sYa_BF@&QdKejHvqS46;x#O{F{vYRam)(EO*jax^+A9BFPwn&y#aaGdF+eY_B_N8|m@3*#4GV>K6ZNj}&3ts^f+ z8J}ytkt33(fh?wj-28z~zQ=_ukuO$mjO37=#}6D$gB=rv^Z)$z*zOPfUE3X7znJ`S zO)T{fVZ#T`pZLoNar8#$p%6bP5jBr&k51-CU%Wk>h9tg6F2{GJc4Vvnt@M!B6Fxcv zedmAcy11^0+wgG)s1-K8k@(TIY{^o(a|~8rn97v_?3U@U;kfgJ6)J`E?O2xN1fzv2}i_P;cvzxV%9rzKe?v* z^^bjJ=8^TUL_p&1KRlO9^E}=@d`rY3UeEZr>wFq?NV_8G#34Ev9~Vb0&b1>_Db}SK zACpHvxZ?fSeIw2INDJ&_e00h=`+CMlXXuSQjk{mZ2YF-M*VAxET4pDUWU`Y*(kb(A z$s+l#rQW=r$Sk@0nKa`g4TfCI_=wHC|K9SSoOsLrw+=?vpxu>ZE$1@z}oj^xk$UiKf;e8UM!>Py9}6!lA79!omF|pMR5>$surXb1^^`wUKc3%MJJYyq%YAph zR?AJspX4Y$k!0hK7Q@teYIMnyuMT^ zcL8RywEk&=q?o^KYgfo^^R!pUT=Qj?%7<@-o5p%!&Cbzo7;oi z%I_|8O>_^=bZuPUK2VDGzJtBLpOh+b$=@IMc5khZMY8X^{!?VfXQtvn?}|ooV2eY3?PR9f*EN@^oerC*Xr-0so}{n4HTd3YGp_ynx@Kzav_6yG<*a2gf3ZDH zw&^^|8#~{e3-A7pmw)})bcVm#2I+e*_R?4W*UrbDepUOri{E?ZH&6Y!&-KI~ji{Wi z^p#81-n4JcTBE-wZehla=Pk`bF{xEDh04SEq_?s$i7qc5?`!9Nx|rUUZsd*^r>ni0 zXzday-I>8gfA3)NL^XP`C{!Bpe{OA|`O@-otZceFJkm!iDhq>AEseqH&W}p$XZ+2u zMlP+Xq>qcg6s@Siwc`CJi~K*rm!=;_Ma|xXL3m?Q)qwn58jflCO*x4E0>v1+k>>?sEHA= z0gdP_G5+9EZ~T3cH0wTE>&NGiOTPzEZ655}lI}>S72l`-pi{=*^XWJK@CVW*1{Zfc z24dxJdZgQO;U_j7TaACE_!q0p{K+r9`S_{4kw5qPcXZ>0vYP3lZ#;f(G`f_GGA^vL z6t(?}6BiG#TKcw_KBYen>0z6$uk-ZG)jyn$fAf*pnXxnSyPrB6zc})lA6@(?zhegn z-`Ih4$1XfBly_m_-#HV3hrjpZyZ+$W_q+Us599lqy+gA&=es`sLl?|9zOhHI`@W(0 zdby>}(8iw3@BE9`>0{L3o8P=X^LGw(K8crpdGEy|=5X?NFW8J(A|})wPnl<~{2%x1 zd~TJ+?TRd-I2dZ}Vm%!jiCd9j6oI0ym>LID`dP*~Kl9QZ?}ExE z6m!;-mGL|j9k@i4D!;XqIhM^_f3{LMQL98(s{)v5XPW6w_HOKLH5NDQ?|Lpwr`wpxJX3$$8?$zFJG+;OU-M!h_wA^3 zb}Ft#(l26Mro`NX5p0W>xE6`!|M%F1zxU(+g#+}BZTkPu%HH&)d}H@xXfc=KjZxRt zzwJSQ009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7csfxk;&X=XTc@$vgH m(3SbwTc>{N{Xe;OaP5Uh42)L)@jEtb_@}*@?wI5Gm;P@|9NO3b diff --git a/FPGA/output_files/WOLF-LITE.pow.rpt b/FPGA/output_files/WOLF-LITE.pow.rpt deleted file mode 100644 index cda4903..0000000 --- a/FPGA/output_files/WOLF-LITE.pow.rpt +++ /dev/null @@ -1,39576 +0,0 @@ -Power Analyzer report for WOLF-LITE -Thu Jan 07 18:22:22 2021 -Quartus Prime Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Parallel Compilation - 3. Power Analyzer Summary - 4. Power Analyzer Settings - 5. Indeterminate Toggle Rates - 6. Power Analyzer Generated Files - 7. Operating Conditions Used - 8. Thermal Power Dissipation by Block - 9. Thermal Power Dissipation by Block Type - 10. Thermal Power Dissipation by Hierarchy - 11. Core Dynamic Thermal Power Dissipation by Clock Domain - 12. Current Drawn from Voltage Supplies Summary - 13. VCCIO Supply Current Drawn by I/O Bank - 14. VCCIO Supply Current Drawn by Voltage - 15. Confidence Metric Details - 16. Signal Activities - 17. Power Analyzer Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 2018 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details. - - - -+------------------------------------------+ -; Parallel Compilation ; -+----------------------------+-------------+ -; Processors ; Number ; -+----------------------------+-------------+ -; Number detected on machine ; 8 ; -; Maximum allowed ; 4 ; -; ; ; -; Average used ; 1.16 ; -; Maximum used ; 4 ; -; ; ; -; Usage by Processor ; % Time Used ; -; Processor 1 ; 100.0% ; -; Processors 2-4 ; 5.2% ; -+----------------------------+-------------+ - - -+-------------------------------------------------------------------------------------------+ -; Power Analyzer Summary ; -+----------------------------------------+--------------------------------------------------+ -; Power Analyzer Status ; Successful - Thu Jan 07 18:22:22 2021 ; -; Quartus Prime Version ; 18.1.0 Build 625 09/12/2018 SJ Standard Edition ; -; Revision Name ; WOLF-LITE ; -; Top-level Entity Name ; WOLF-LITE ; -; Family ; Cyclone IV E ; -; Device ; EP4CE10E22C8 ; -; Power Models ; Final ; -; Total Thermal Power Dissipation ; 299.22 mW ; -; Core Dynamic Thermal Power Dissipation ; 160.93 mW ; -; Core Static Thermal Power Dissipation ; 80.72 mW ; -; I/O Thermal Power Dissipation ; 57.57 mW ; -; Power Estimation Confidence ; Low: user provided insufficient toggle rate data ; -+----------------------------------------+--------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------+ -; Power Analyzer Settings ; -+------------------------------------------------------------------+----------------------------------+---------------+ -; Option ; Setting ; Default Value ; -+------------------------------------------------------------------+----------------------------------+---------------+ -; Use smart compilation ; On ; Off ; -; Maximum processors allowed for parallel compilation ; All ; ; -; Enable parallel Assembler and Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Default Power Input I/O Toggle Rate ; 80.0% ; 12.5% ; -; Power Analyzer Report Signal Activity ; On ; Off ; -; Power Analyzer Report Power Dissipation ; On ; Off ; -; Output Signal Activity File name ; output_files/signal_activity.saf ; ; -; Device Power Characteristics ; MAXIMUM ; TYPICAL ; -; Preset Cooling Solution ; No Heat Sink With Still Air ; ; -; Board thermal model ; None (CONSERVATIVE) ; ; -; Default Power Toggle Rate ; 12.5% ; 12.5% ; -; Use vectorless estimation ; On ; On ; -; Use Input Files ; Off ; Off ; -; Filter Glitches in VCD File Reader ; On ; On ; -; Automatically Compute Junction Temperature ; On ; On ; -; Specified Junction Temperature ; 25 ; 25 ; -; Ambient Temperature ; 25 ; 25 ; -; Use Custom Cooling Solution ; Off ; Off ; -; Board Temperature ; 25 ; 25 ; -+------------------------------------------------------------------+----------------------------------+---------------+ - - -+----------------------------------------------+ -; Indeterminate Toggle Rates ; -+---------------+------------------------------+ -; Node ; Reason ; -+---------------+------------------------------+ -; ADC_INPUT[0] ; Multiple clock domains found ; -; ADC_INPUT[1] ; Multiple clock domains found ; -; ADC_INPUT[2] ; Multiple clock domains found ; -; ADC_INPUT[3] ; Multiple clock domains found ; -; ADC_INPUT[7] ; Multiple clock domains found ; -; ADC_INPUT[6] ; Multiple clock domains found ; -; ADC_INPUT[5] ; Multiple clock domains found ; -; ADC_INPUT[4] ; Multiple clock domains found ; -; ADC_INPUT[11] ; Multiple clock domains found ; -; ADC_INPUT[10] ; Multiple clock domains found ; -; ADC_INPUT[9] ; Multiple clock domains found ; -; ADC_INPUT[8] ; Multiple clock domains found ; -+---------------+------------------------------+ - - -+---------------------------------------------------------------------------------------------------------+ -; Power Analyzer Generated Files ; -+----------------------------------------------------------------------+----------------------------------+ -; Description ; Filename ; -+----------------------------------------------------------------------+----------------------------------+ -; Output Signal Activity File -- activities used during power analysis ; output_files/signal_activity.saf ; -+----------------------------------------------------------------------+----------------------------------+ - - -+----------------------------------------------------------------------+ -; Operating Conditions Used ; -+-----------------------------------------+----------------------------+ -; Setting ; Value ; -+-----------------------------------------+----------------------------+ -; Device power characteristics ; Maximum ; -; ; ; -; Voltages ; ; -; VCCINT ; 1.20 V ; -; VCCA ; 2.50 V ; -; VCCD ; 1.20 V ; -; 3.3-V LVTTL I/O Standard ; 3.3 V ; -; 2.5 V I/O Standard ; 2.5 V ; -; ; ; -; Auto computed junction temperature ; 33.1 degrees Celsius ; -; Ambient temperature ; 25.0 degrees Celsius ; -; Junction-to-Case thermal resistance ; 10.50 degrees Celsius/Watt ; -; Case-to-Ambient thermal resistance ; 16.60 degrees Celsius/Watt ; -; ; ; -; Board model used ; Typical ; -+-----------------------------------------+----------------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Thermal Power Dissipation by Block ; -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------+---------------------+-----------------------------+--------------------------------+-------------------------------+ -; Block Name ; Block Type ; Total Thermal Power ; Block Thermal Dynamic Power ; Block Thermal Static Power (1) ; Routing Thermal Dynamic Power ; -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------+---------------------+-----------------------------+--------------------------------+-------------------------------+ -; MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|wire_pll1_clk[0] ; PLL ; 9.18 mW ; 9.18 mW ; -- ; 0.00 mW ; -; tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated|wire_pll1_clk[0] ; PLL ; 12.88 mW ; 12.88 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[13] ; Register cell ; 0.04 mW ; 0.02 mW ; -- ; 0.02 mW ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[12] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[11] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[10] ; Register cell ; 0.03 mW ; 0.02 mW ; -- ; 0.01 mW ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[9] ; Register cell ; 0.05 mW ; 0.03 mW ; -- ; 0.02 mW ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[8] ; Register cell ; 0.05 mW ; 0.02 mW ; -- ; 0.03 mW ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[7] ; Register cell ; 0.06 mW ; 0.05 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[6] ; Register cell ; 0.06 mW ; 0.02 mW ; -- ; 0.05 mW ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[5] ; Register cell ; 0.06 mW ; 0.03 mW ; -- ; 0.03 mW ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[4] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[3] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[2] ; Register cell ; 0.06 mW ; 0.02 mW ; -- ; 0.04 mW ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[1] ; Register cell ; 0.04 mW ; 0.02 mW ; -- ; 0.02 mW ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[0] ; Register cell ; 0.03 mW ; 0.02 mW ; -- ; 0.01 mW ; -; clock_buffer:SYSCLK_BUFFER|clock_buffer_altclkctrl_0:altclkctrl_0|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component|wire_clkctrl1_outclk ; Clock control block ; 6.71 mW ; 0.00 mW ; -- ; 6.71 mW ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[13]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[12]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[11]~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[10]~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[9]~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[8]~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[7]~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[6]~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[5]~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[4]~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[3]~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[2]~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[1]~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[0]~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|FLASH_continue_read ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|Add0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|Add0~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|Add0~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[0] ; Embedded multiplier output ; 0.17 mW ; 0.00 mW ; -- ; 0.17 mW ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[0] ; Embedded multiplier output ; 0.19 mW ; 0.00 mW ; -- ; 0.19 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[0]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[1]~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[2]~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[3]~6 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[4]~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[5]~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[6]~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[7]~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[8]~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[9]~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[10]~20 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[11]~22 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[12]~24 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[13]~26 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[14]~28 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[15]~30 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[16]~32 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[17]~34 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[18]~36 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[19]~38 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[20]~40 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[21]~42 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[22]~44 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[23]~46 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[24]~48 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[25]~50 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[26]~52 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[27]~54 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[28]~56 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[29]~58 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[30]~60 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[31]~62 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OE ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|Add0~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|Add0~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|Add0~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|Add0~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|Add0~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1 ; Embedded multiplier block ; 0.61 mW ; 0.61 mW ; -- ; 0.00 mW ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1 ; Embedded multiplier block ; 0.60 mW ; 0.60 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|busy ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[7]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OE~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[6]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[13] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[5]~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[12] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[4]~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[11] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|q_b[0] ; M9K ; 2.15 mW ; 1.97 mW ; -- ; 0.19 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|q_b[0] ; M9K ; 2.18 mW ; 1.97 mW ; -- ; 0.21 mW ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MINMAX_RESET ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[0]~20 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[1]~22 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[2]~24 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[3]~26 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[4]~28 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[5]~30 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[6]~32 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[7]~34 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[8]~40 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[9]~42 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[10]~44 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[11]~46 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|LessThan0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[0]~20 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[1]~22 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[2]~24 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[3]~26 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[4]~28 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[5]~30 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[6]~32 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[7]~34 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[8]~40 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[9]~42 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[10]~44 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[11]~46 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|LessThan1~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[0]~16 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[1]~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[2]~20 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[3]~22 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[4]~24 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[5]~26 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[6]~28 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[7]~30 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[8]~32 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[9]~34 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[10]~36 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[11]~38 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[12]~40 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[13]~42 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[14]~44 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[15]~46 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[0]~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[1]~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[2]~20 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[3]~22 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[4]~24 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[5]~26 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[6]~28 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[7]~30 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[8]~32 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[9]~34 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[10]~36 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[11]~38 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[12]~40 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[13]~42 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[14]~44 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[15]~46 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[21] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[20] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[19] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[22] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[24] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[25] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[23] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[26] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[16] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[17] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[15] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[18] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[29] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[27] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[28] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15] ; Register cell ; 0.05 mW ; 0.03 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[16] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.02 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[17] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[18] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[19] ; Register cell ; 0.03 mW ; 0.01 mW ; -- ; 0.02 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[20] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.02 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[21] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.02 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[22] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[23] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[24] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[25] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[26] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[27] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[28] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[29] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.02 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[30] ; Register cell ; 0.03 mW ; 0.01 mW ; -- ; 0.02 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[31] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15] ; Register cell ; 0.05 mW ; 0.03 mW ; -- ; 0.02 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[16] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[17] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.02 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[18] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[19] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.02 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[20] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[21] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[22] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[23] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[24] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[25] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[26] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[27] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[28] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.02 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[29] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.02 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[30] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[31] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[0] ; Embedded multiplier output ; 0.12 mW ; 0.00 mW ; -- ; 0.12 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[0]~30 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[1]~32 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[2]~34 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[3]~36 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[4]~38 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[5]~40 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[6]~42 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[7]~44 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[8]~46 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[9]~48 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[10]~50 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[11]~52 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[12]~54 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[13]~56 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[14]~58 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[15]~60 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[16]~62 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[17]~64 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[18]~66 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[19]~68 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[20]~70 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[21]~72 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[22]~74 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[23]~76 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[24]~78 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[25]~80 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[26]~82 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[27]~84 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[28]~86 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[29]~88 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[21] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[20] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[19] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[22] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[24] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[25] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[23] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[26] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[16] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[17] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[15] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[18] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[29] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[27] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[28] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[13] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[12] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[14] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2 ; Embedded multiplier output ; 0.04 mW ; 0.00 mW ; -- ; 0.04 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2 ; Embedded multiplier output ; 0.04 mW ; 0.00 mW ; -- ; 0.04 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15]~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15]~20 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15]~22 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15]~24 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15]~26 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15]~28 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15]~30 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15]~32 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15]~34 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15]~36 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15]~38 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15]~40 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15]~42 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15]~44 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15]~46 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15]~47 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[16]~49 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[17]~51 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[18]~53 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[19]~55 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[20]~57 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[21]~59 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[22]~61 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[23]~63 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[24]~65 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[25]~67 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[26]~69 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[27]~71 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[28]~73 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[29]~75 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[30]~77 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[31]~79 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2 ; Embedded multiplier output ; 0.04 mW ; 0.00 mW ; -- ; 0.04 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2 ; Embedded multiplier output ; 0.04 mW ; 0.00 mW ; -- ; 0.04 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15]~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15]~20 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15]~22 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15]~24 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15]~26 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15]~28 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15]~30 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15]~32 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15]~34 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15]~36 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15]~38 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15]~40 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15]~42 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15]~44 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15]~46 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15]~47 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[16]~49 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[17]~51 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[18]~53 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[19]~55 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[20]~57 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[21]~59 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[22]~61 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[23]~63 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[24]~65 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[25]~67 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[26]~69 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[27]~71 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[28]~73 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[29]~75 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[30]~77 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[31]~79 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1 ; Embedded multiplier block ; 0.08 mW ; 0.08 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[8]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[0] ; Embedded multiplier output ; 0.12 mW ; 0.00 mW ; -- ; 0.12 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[0]~30 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[1]~32 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[2]~34 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[3]~36 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[4]~38 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[5]~40 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[6]~42 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[7]~44 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[8]~46 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[9]~48 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[10]~50 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[11]~52 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[12]~54 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[13]~56 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[14]~58 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[15]~60 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[16]~62 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[17]~64 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[18]~66 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[19]~68 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[20]~70 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[21]~72 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[22]~74 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[23]~76 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[24]~78 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[25]~80 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[26]~82 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[27]~84 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[28]~86 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[29]~88 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_8a7:usedw_counter|counter_comb_bita0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_8a7:usedw_counter|counter_comb_bita1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_8a7:usedw_counter|counter_comb_bita2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1 ; Embedded multiplier block ; 0.84 mW ; 0.84 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1 ; Embedded multiplier block ; 0.84 mW ; 0.84 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_8a7:usedw_counter|counter_comb_bita0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_8a7:usedw_counter|counter_comb_bita1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_8a7:usedw_counter|counter_comb_bita2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1 ; Embedded multiplier block ; 0.84 mW ; 0.84 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1 ; Embedded multiplier block ; 0.84 mW ; 0.84 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[2]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[3]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[4]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[5]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[6]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[7]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[8]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add13~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add13~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add13~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add13~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add13~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add13~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add13~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add13~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add13~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[0] ; M9K ; 0.98 mW ; 0.94 mW ; -- ; 0.04 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1 ; Embedded multiplier block ; 0.08 mW ; 0.08 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[8]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[8] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~4 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~16 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~20 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~22 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|q_a[0] ; M9K ; 1.51 mW ; 1.38 mW ; -- ; 0.13 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|q_a[4] ; M9K ; 1.50 mW ; 1.38 mW ; -- ; 0.12 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|q_a[8] ; M9K ; 1.49 mW ; 1.38 mW ; -- ; 0.11 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|q_a[12] ; M9K ; 1.49 mW ; 1.38 mW ; -- ; 0.11 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_u8a1:auto_generated|q_a[0] ; M9K ; 0.79 mW ; 0.72 mW ; -- ; 0.06 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_u8a1:auto_generated|q_a[4] ; M9K ; 0.76 mW ; 0.72 mW ; -- ; 0.04 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_u8a1:auto_generated|q_a[8] ; M9K ; 0.77 mW ; 0.72 mW ; -- ; 0.05 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_u8a1:auto_generated|q_a[12] ; M9K ; 0.77 mW ; 0.72 mW ; -- ; 0.05 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_p8a1:auto_generated|q_a[0] ; M9K ; 0.77 mW ; 0.72 mW ; -- ; 0.04 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_p8a1:auto_generated|q_a[4] ; M9K ; 0.78 mW ; 0.72 mW ; -- ; 0.05 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_p8a1:auto_generated|q_a[8] ; M9K ; 0.78 mW ; 0.72 mW ; -- ; 0.06 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_p8a1:auto_generated|q_a[12] ; M9K ; 0.77 mW ; 0.72 mW ; -- ; 0.04 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~20 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~22 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[0] ; M9K ; 0.98 mW ; 0.94 mW ; -- ; 0.04 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[5] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[2]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[3]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[4]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[5]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[6]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[7]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[8]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add14~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add14~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add14~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add14~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add14~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add14~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add14~12 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add14~14 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add14~16 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[1]~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[2]~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[3]~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[4]~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[5]~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[1]~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[2]~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[3]~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[4]~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[5]~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0]~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1]~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2]~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3]~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4]~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5]~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[74] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[20] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[19] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[18] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[17] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[16] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[15] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[14] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[13] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[12] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[11] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[0] ; Register cell ; 0.03 mW ; 0.03 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[12] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[13] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[14] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[15] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[16] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[17] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[18] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[19] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[20] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[21] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[8] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[10] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[74] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[20] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[19] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[18] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[17] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[16] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[15] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[14] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[13] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[12] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[11] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[1]~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[1]~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[2]~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[2]~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[3]~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[3]~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[4]~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[4]~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[5]~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[5]~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][8] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][10] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][13] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][14] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][15] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[1]~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[2]~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[3]~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[4]~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[5]~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[1]~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[2]~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[3]~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[4]~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[5]~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0]~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1]~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2]~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3]~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4]~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5]~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[74] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[19] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[18] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[17] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[16] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[15] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[14] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[13] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[12] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[11] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[0]~22 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[1]~24 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[2]~26 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[3]~28 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[4]~30 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[5]~32 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[6]~34 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[7]~36 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[8]~38 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[9]~40 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[10]~42 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[11]~44 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[12]~46 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[13]~48 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[14]~50 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[15]~52 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[16]~54 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[17]~56 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[18]~58 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[19]~60 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[20]~62 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[74]~64 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[0]~22 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[1]~24 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[2]~26 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[3]~28 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[4]~30 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[5]~32 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[6]~34 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[7]~36 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[8]~38 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[9]~40 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[10]~42 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[11]~44 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[12]~46 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[13]~48 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[14]~50 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[15]~52 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[16]~54 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[17]~56 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[18]~58 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[19]~60 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[20]~62 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[21]~64 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[74] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[19] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[18] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[17] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[16] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[15] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[14] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[13] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[12] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[11] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[10] ; Register cell ; 0.02 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[0]~22 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[1]~24 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[2]~26 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[3]~28 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[4]~30 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[5]~32 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[6]~34 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[7]~36 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[8]~38 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[9]~40 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[10]~42 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[11]~44 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[12]~46 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[13]~48 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[14]~50 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[15]~52 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[16]~54 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[17]~56 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[18]~58 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[19]~60 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[20]~62 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[74]~64 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[0] ; M9K ; 0.90 mW ; 0.79 mW ; -- ; 0.11 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[20] ; M9K ; 0.89 mW ; 0.79 mW ; -- ; 0.10 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[16] ; M9K ; 0.84 mW ; 0.79 mW ; -- ; 0.05 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0]~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1]~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2]~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3]~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4]~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5]~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6]~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[1]~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[1]~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[2]~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[2]~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[3]~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[3]~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[4]~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[4]~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[5]~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[5]~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][10] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][12] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][13] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][14] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][15] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[19] ; Register cell ; 0.04 mW ; 0.00 mW ; -- ; 0.03 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[18] ; Register cell ; 0.02 mW ; 0.00 mW ; -- ; 0.02 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[17] ; Register cell ; 0.03 mW ; 0.00 mW ; -- ; 0.02 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[16] ; Register cell ; 0.04 mW ; 0.00 mW ; -- ; 0.03 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[15] ; Register cell ; 0.02 mW ; 0.00 mW ; -- ; 0.02 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[14] ; Register cell ; 0.02 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[13] ; Register cell ; 0.02 mW ; 0.00 mW ; -- ; 0.02 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[12] ; Register cell ; 0.03 mW ; 0.00 mW ; -- ; 0.02 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[11] ; Register cell ; 0.02 mW ; 0.00 mW ; -- ; 0.02 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[10] ; Register cell ; 0.03 mW ; 0.00 mW ; -- ; 0.03 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[9] ; Register cell ; 0.02 mW ; 0.00 mW ; -- ; 0.02 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[8] ; Register cell ; 0.02 mW ; 0.00 mW ; -- ; 0.02 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[7] ; Register cell ; 0.02 mW ; 0.00 mW ; -- ; 0.02 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[6] ; Register cell ; 0.02 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[5] ; Register cell ; 0.02 mW ; 0.00 mW ; -- ; 0.02 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[4] ; Register cell ; 0.02 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[3] ; Register cell ; 0.02 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[2] ; Register cell ; 0.02 mW ; 0.00 mW ; -- ; 0.02 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[1] ; Register cell ; 0.03 mW ; 0.00 mW ; -- ; 0.02 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[0] ; Register cell ; 0.02 mW ; 0.00 mW ; -- ; 0.02 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[0]~21 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[1]~23 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[2]~25 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[3]~27 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[4]~29 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[5]~31 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[6]~33 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[7]~35 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[8]~37 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[9]~39 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[10]~41 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[11]~43 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[12]~45 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[13]~47 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[14]~49 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[15]~51 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[16]~53 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[17]~55 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[18]~57 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[19]~59 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[74]~61 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[19] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[18] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[17] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[16] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[15] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[14] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[13] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[12] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[11] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[0]~21 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[1]~23 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[2]~25 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[3]~27 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[4]~29 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[5]~31 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[6]~33 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[7]~35 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[8]~37 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[9]~39 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[10]~41 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[11]~43 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[12]~45 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[13]~47 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[14]~49 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[15]~51 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[16]~53 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[17]~55 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[18]~57 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[19]~59 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[74]~61 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|state[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|full_dff ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|counter_reg_bit[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|counter_reg_bit[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|counter_reg_bit[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|counter_reg_bit[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[13] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[12] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[42] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[41] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[40] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[43] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[37] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[38] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[36] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[39] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[34] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[33] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[32] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[35] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[45] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[46] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[44] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[47] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[74] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[73] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[72] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[75] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[69] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[70] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[68] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[71] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[66] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[65] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[64] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[67] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[77] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[78] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[76] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[79] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[53] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[54] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[52] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[55] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[58] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[57] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[56] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[59] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[50] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[49] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[48] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[51] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[61] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[62] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[60] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[63] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[21] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[22] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[20] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[23] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[26] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[25] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[24] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[27] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[18] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[17] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[16] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[19] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[29] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[30] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[28] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[31] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[85] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[84] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[82] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[81] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[80] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[83] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[0] ; M9K ; 0.89 mW ; 0.79 mW ; -- ; 0.10 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[20] ; M9K ; 0.86 mW ; 0.79 mW ; -- ; 0.07 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[16] ; M9K ; 0.84 mW ; 0.79 mW ; -- ; 0.05 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0]~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1]~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2]~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3]~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4]~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5]~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6]~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[74] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[17] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[16] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[15] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[14] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[13] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[12] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[11] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[9] ; Register cell ; 0.02 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[0]~20 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[1]~22 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[2]~24 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[3]~26 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[4]~28 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[5]~30 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[6]~32 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[7]~34 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[8]~36 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[9]~38 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[10]~40 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[11]~42 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[12]~44 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[13]~46 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[14]~48 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[15]~50 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[16]~52 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[17]~54 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[18]~56 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[19]~58 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[74] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[17] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[16] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[15] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[14] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[13] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[12] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[11] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[0] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[0]~20 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[1]~22 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[2]~24 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[3]~26 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[4]~28 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[5]~30 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[6]~32 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[7]~34 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[8]~36 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[9]~38 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[10]~40 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[11]~42 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[12]~44 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[13]~46 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[14]~48 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[15]~50 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[16]~52 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[17]~54 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[18]~56 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[19]~58 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|count[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|count[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|count[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|count[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|dffe_nae ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[0]~86 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[1]~88 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[2]~90 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[3]~92 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[4]~94 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[5]~96 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[6]~98 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[7]~100 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[8]~102 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[9]~104 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[10]~106 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[11] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[11]~109 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[13] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[12] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[12]~111 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[13]~113 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[14] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[14]~115 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[15] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[15]~117 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[42] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[41] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[40] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[39] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[38] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[37] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[36] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[35] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[34] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[33] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[32] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[31] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[30] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[29] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[28] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[27] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[26] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[25] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[24] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[23] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[22] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[21] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[20] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[19] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[18] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[17] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[16] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[16]~119 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[17]~121 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[18]~123 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[19]~125 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[20]~127 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[21]~129 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[22]~131 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[23]~133 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[24]~135 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[25]~137 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[26]~139 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[27]~141 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[28]~143 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[29]~145 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[30]~147 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[31]~149 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[32]~151 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[33]~153 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[34]~155 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[35]~157 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[36]~159 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[37]~161 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[38]~163 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[39]~165 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[40]~167 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[41]~169 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[42]~171 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[43] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[43]~173 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[45] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[44] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[44]~175 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[45]~177 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[46] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[46]~179 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[47] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[47]~181 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[74] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[73] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[72] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[71] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[70] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[69] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[68] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[67] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[66] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[65] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[64] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[63] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[62] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[61] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[60] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[59] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[58] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[57] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[56] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[55] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[54] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[53] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[52] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[51] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[50] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[49] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[48] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[48]~183 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[49]~185 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[50]~187 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[51]~189 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[52]~191 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[53]~193 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[54]~195 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[55]~197 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[56]~199 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[57]~201 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[58]~203 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[59]~205 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[60]~207 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[61]~209 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[62]~211 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[63]~213 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[64]~215 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[65]~217 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[66]~219 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[67]~221 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[68]~223 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[69]~225 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[70]~227 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[71]~229 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[72]~231 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[73]~233 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[74]~235 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[75] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[75]~237 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[77] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[76] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[76]~239 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[77]~241 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[78] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[78]~243 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[79] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[79]~245 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[85] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[84] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[83] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[82] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[81] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[80] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[80]~247 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[81]~249 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[82]~251 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[83]~253 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[84]~255 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[85]~257 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|state[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|full_dff ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|counter_reg_bit[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|counter_reg_bit[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|counter_reg_bit[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|counter_reg_bit[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[11] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[15] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[37] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[38] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[36] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[39] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[42] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[41] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[40] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[43] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[34] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[33] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[32] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[35] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[45] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[46] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[44] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[47] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[69] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[70] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[68] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[71] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[74] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[73] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[72] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[75] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[66] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[65] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[64] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[67] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[77] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[78] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[76] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[79] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[58] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[57] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[56] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[59] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[53] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[54] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[52] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[55] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[50] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[49] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[48] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[51] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[61] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[62] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[60] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[63] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[26] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[25] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[24] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[27] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[21] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[22] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[20] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[23] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[18] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[17] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[16] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[19] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[29] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[30] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[28] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[31] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[85] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[84] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[81] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[82] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[80] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[83] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[74] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[16] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[15] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[14] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[13] ; Register cell ; 0.02 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[12] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[11] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[0] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[0]~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[1]~21 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[2]~23 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[3]~25 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[4]~27 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[5]~29 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[6]~31 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[7]~33 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[8]~35 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[9]~37 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[10]~39 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[11]~41 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[12]~43 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[13]~45 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[14]~47 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[15]~49 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[16]~51 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[17]~53 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[74]~55 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[74] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[16] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[15] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[14] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[13] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[12] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[11] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[0]~19 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[1]~21 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[2]~23 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[3]~25 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[4]~27 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[5]~29 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[6]~31 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[7]~33 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[8]~35 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[9]~37 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[10]~39 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[11]~41 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[12]~43 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[13]~45 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[14]~47 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[15]~49 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[16]~51 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[17]~53 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[74]~55 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_8a7:usedw_counter|counter_reg_bit[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[5][0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[4][0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[2][0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[1][0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[0][0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[3][0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_8a7:usedw_counter|counter_reg_bit[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr|data_ready ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_8a7:usedw_counter|counter_reg_bit[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[0]~86 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[1]~88 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[2]~90 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[3]~92 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[4]~94 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[5]~96 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[6]~98 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[7]~100 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[8]~102 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[9]~104 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[10]~106 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[11]~109 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[12]~111 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[13]~113 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[14]~115 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[15]~117 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[42] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[41] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[40] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[39] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[38] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[37] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[36] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[35] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[34] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[33] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[32] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[31] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[30] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[29] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[28] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[27] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[26] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[25] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[24] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[23] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[22] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[21] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[20] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[19] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[18] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[17] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[16] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[16]~119 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[17]~121 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[18]~123 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[19]~125 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[20]~127 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[21]~129 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[22]~131 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[23]~133 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[24]~135 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[25]~137 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[26]~139 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[27]~141 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[28]~143 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[29]~145 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[30]~147 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[31]~149 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[32]~151 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[33]~153 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[34]~155 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[35]~157 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[36]~159 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[37]~161 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[38]~163 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[39]~165 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[40]~167 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[41]~169 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[42]~171 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[43] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[43]~173 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[45] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[44] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[44]~175 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[45]~177 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[46] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[46]~179 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[47] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[47]~181 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[74] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[73] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[72] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[71] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[70] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[69] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[68] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[67] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[66] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[65] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[64] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[63] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[62] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[61] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[60] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[59] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[58] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[57] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[56] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[55] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[54] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[53] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[52] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[51] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[50] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[49] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[48] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[48]~183 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[49]~185 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[50]~187 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[51]~189 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[52]~191 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[53]~193 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[54]~195 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[55]~197 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[56]~199 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[57]~201 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[58]~203 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[59]~205 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[60]~207 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[61]~209 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[62]~211 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[63]~213 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[64]~215 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[65]~217 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[66]~219 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[67]~221 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[68]~223 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[69]~225 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[70]~227 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[71]~229 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[72]~231 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[73]~233 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[74]~235 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[75] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[75]~237 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[77] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[76] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[76]~239 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[77]~241 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[78] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[78]~243 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[79] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[79]~245 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[85] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[84] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[83] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[82] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[81] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[80] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[80]~247 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[81]~249 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[82]~251 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[83]~253 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[84]~255 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[85]~257 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|count[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|count[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|count[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|count[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|dffe_nae ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[0]~86 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[1]~88 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[2]~90 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[3]~92 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[4]~94 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[5]~96 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[6]~99 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[7]~101 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[8]~103 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[9]~105 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[10]~107 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[11] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[11]~109 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[12]~111 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[13]~113 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[14] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[14]~115 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[15]~117 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[37] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[36] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[35] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[34] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[33] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[32] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[31] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[30] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[29] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[28] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[27] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[26] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[25] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[24] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[23] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[22] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[21] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[20] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[19] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[18] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[17] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[16] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[16]~119 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[17]~121 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[18]~123 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[19]~125 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[20]~127 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[21]~129 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[22]~131 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[23]~133 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[24]~135 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[25]~137 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[26]~139 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[27]~141 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[28]~143 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[29]~145 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[30]~147 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[31]~149 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[32]~151 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[33]~153 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[34]~155 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[35]~157 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[36]~159 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[37]~161 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[38] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[38]~163 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[39] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[39]~165 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[42] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[41] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[40] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[40]~167 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[41]~169 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[42]~171 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[43] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[43]~173 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[45] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[44] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[44]~175 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[45]~177 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[46] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[46]~179 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[47] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[47]~181 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[69] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[68] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[67] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[66] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[65] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[64] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[63] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[62] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[61] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[60] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[59] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[58] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[57] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[56] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[55] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[54] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[53] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[52] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[51] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[50] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[49] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[48] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[48]~183 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[49]~185 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[50]~187 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[51]~189 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[52]~191 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[53]~193 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[54]~195 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[55]~197 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[56]~199 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[57]~201 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[58]~203 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[59]~205 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[60]~207 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[61]~209 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[62]~211 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[63]~213 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[64]~215 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[65]~217 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[66]~219 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[67]~221 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[68]~223 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[69]~225 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[70] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[70]~227 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[71] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[71]~229 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[74] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[73] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[72] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[72]~231 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[73]~233 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[74]~235 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[75] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[75]~237 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[77] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[76] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[76]~239 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[77]~241 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[78] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[78]~243 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[79] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[79]~245 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[85] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[84] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[83] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[82] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[81] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[80] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[80]~247 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[81]~249 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[82]~251 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[83]~253 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[84]~255 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[85]~257 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[16] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[15] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[14] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[13] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[12] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[11] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[0] ; Register cell ; 0.03 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[0]~18 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[1]~20 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[2]~22 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[3]~24 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[4]~26 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[5]~28 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[6]~30 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[7]~32 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[8]~34 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[9]~36 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[10]~38 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[11]~40 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[12]~42 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[13]~44 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[14]~46 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[15]~48 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[16]~50 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[74]~52 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[16] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[15] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[14] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[13] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[12] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[11] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[0]~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[1]~20 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[2]~22 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[3]~24 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[4]~26 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[5]~28 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[6]~30 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[7]~32 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[8]~34 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[9]~36 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[10]~38 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[11]~40 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[12]~42 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[13]~44 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[14]~46 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[15]~48 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[16]~50 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[74]~52 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|full_dff ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_8a7:usedw_counter|counter_comb_bita0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_8a7:usedw_counter|counter_comb_bita1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr|lpm_counter:lpm_counter_component|cntr_asi:auto_generated|counter_reg_bit[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr|lpm_counter:lpm_counter_component|cntr_asi:auto_generated|counter_reg_bit[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr|lpm_counter:lpm_counter_component|cntr_asi:auto_generated|counter_reg_bit[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr|lpm_counter:lpm_counter_component|cntr_asi:auto_generated|counter_reg_bit[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_8a7:usedw_counter|counter_comb_bita2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[0]~86 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[1]~88 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[2]~90 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[3]~92 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[4]~94 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[5]~96 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[6]~98 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[7]~100 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[8]~102 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[9]~104 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[10]~106 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[11] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[11]~109 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[13] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[12] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[12]~111 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[13]~113 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[14] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[14]~115 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[15] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[15]~117 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[42] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[41] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[40] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[39] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[38] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[37] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[36] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[35] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[34] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[33] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[32] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[31] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[30] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[29] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[28] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[27] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[26] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[25] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[24] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[23] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[22] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[21] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[20] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[19] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[18] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[17] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[16] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[16]~119 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[17]~121 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[18]~123 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[19]~125 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[20]~127 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[21]~129 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[22]~131 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[23]~133 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[24]~135 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[25]~137 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[26]~139 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[27]~141 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[28]~143 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[29]~145 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[30]~147 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[31]~149 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[32]~151 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[33]~153 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[34]~155 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[35]~157 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[36]~159 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[37]~161 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[38]~163 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[39]~165 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[40]~167 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[41]~169 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[42]~171 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[43] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[43]~173 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[45] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[44] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[44]~175 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[45]~177 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[46] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[46]~179 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[47] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[47]~181 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[74] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[73] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[72] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[71] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[70] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[69] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[68] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[67] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[66] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[65] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[64] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[63] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[62] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[61] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[60] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[59] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[58] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[57] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[56] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[55] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[54] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[53] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[52] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[51] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[50] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[49] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[48] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[48]~183 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[49]~185 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[50]~187 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[51]~189 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[52]~191 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[53]~193 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[54]~195 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[55]~197 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[56]~199 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[57]~201 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[58]~203 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[59]~205 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[60]~207 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[61]~209 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[62]~211 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[63]~213 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[64]~215 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[65]~217 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[66]~219 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[67]~221 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[68]~223 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[69]~225 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[70]~227 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[71]~229 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[72]~231 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[73]~233 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[74]~235 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[75] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[75]~237 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[77] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[76] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[76]~239 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[77]~241 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[78] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[78]~243 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[79] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[79]~245 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[85] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[84] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[83] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[82] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[81] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[80] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[80]~247 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[81]~249 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[82]~251 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[83]~253 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[84]~255 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[85]~257 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[5][0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[4][0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[2][0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[1][0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[0][0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[3][0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_8a7:usedw_counter|counter_reg_bit[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_8a7:usedw_counter|counter_reg_bit[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_8a7:usedw_counter|counter_reg_bit[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[0]~86 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[1]~88 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[2]~90 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[3]~92 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[4]~94 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[5]~96 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[6]~99 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[7]~101 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[8]~103 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[9]~105 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[10]~107 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[11]~109 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[13] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[12]~111 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[13]~113 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[14]~115 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[15]~117 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[37] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[36] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[35] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[34] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[33] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[32] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[31] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[30] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[29] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[28] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[27] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[26] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[25] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[24] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[23] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[22] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[21] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[20] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[19] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[18] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[17] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[16] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[16]~119 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[17]~121 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[18]~123 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[19]~125 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[20]~127 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[21]~129 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[22]~131 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[23]~133 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[24]~135 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[25]~137 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[26]~139 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[27]~141 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[28]~143 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[29]~145 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[30]~147 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[31]~149 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[32]~151 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[33]~153 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[34]~155 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[35]~157 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[36]~159 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[37]~161 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[38] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[38]~163 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[39] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[39]~165 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[42] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[41] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[40] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[40]~167 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[41]~169 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[42]~171 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[43] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[43]~173 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[45] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[44] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[44]~175 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[45]~177 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[46] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[46]~179 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[47] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[47]~181 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[69] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[68] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[67] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[66] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[65] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[64] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[63] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[62] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[61] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[60] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[59] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[58] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[57] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[56] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[55] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[54] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[53] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[52] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[51] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[50] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[49] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[48] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[48]~183 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[49]~185 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[50]~187 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[51]~189 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[52]~191 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[53]~193 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[54]~195 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[55]~197 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[56]~199 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[57]~201 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[58]~203 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[59]~205 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[60]~207 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[61]~209 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[62]~211 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[63]~213 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[64]~215 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[65]~217 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[66]~219 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[67]~221 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[68]~223 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[69]~225 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[70] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[70]~227 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[71] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[71]~229 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[74] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[73] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[72] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[72]~231 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[73]~233 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[74]~235 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[75] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[75]~237 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[77] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[76] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[76]~239 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[77]~241 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[78] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[78]~243 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[79] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[79]~245 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[85] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[84] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[83] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[82] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[81] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[80] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[80]~247 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[81]~249 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[82]~251 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[83]~253 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[84]~255 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[85]~257 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|q_b[0] ; M9K ; 1.96 mW ; 1.88 mW ; -- ; 0.08 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[0]~17 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[1]~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[2]~21 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[3]~23 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[4]~25 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[5]~27 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[6]~29 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[7]~31 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[8]~33 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[9]~35 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[10]~37 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[11]~39 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[12]~41 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[13]~43 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[14]~45 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[15]~47 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[16]~49 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|q_b[0] ; M9K ; 2.01 mW ; 1.89 mW ; -- ; 0.11 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[0]~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[1]~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[2]~21 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[3]~23 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[4]~25 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[5]~27 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[6]~29 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[7]~31 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[8]~33 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[9]~35 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[10]~37 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[11]~39 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[12]~41 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[13]~43 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[14]~45 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[15]~47 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[16]~49 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr|lpm_counter:lpm_counter_component|cntr_asi:auto_generated|counter_comb_bita0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr|lpm_counter:lpm_counter_component|cntr_asi:auto_generated|counter_comb_bita1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr|lpm_counter:lpm_counter_component|cntr_asi:auto_generated|counter_comb_bita2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr|lpm_counter:lpm_counter_component|cntr_asi:auto_generated|counter_comb_bita3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[0]~86 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[1]~88 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[2]~90 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[3]~92 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[4]~94 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[5]~96 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[6]~98 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[7]~100 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[8]~102 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[9]~104 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[10]~106 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[11] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[11]~109 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[13] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[12] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[12]~111 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[13]~113 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[14] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[14]~115 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[15] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[15]~117 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[42] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[41] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[40] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[39] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[38] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[37] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[36] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[35] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[34] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[33] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[32] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[31] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[30] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[29] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[28] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[27] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[26] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[25] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[24] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[23] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[22] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[21] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[20] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[19] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[18] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[17] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[16] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[16]~119 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[17]~121 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[18]~123 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[19]~125 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[20]~127 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[21]~129 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[22]~131 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[23]~133 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[24]~135 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[25]~137 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[26]~139 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[27]~141 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[28]~143 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[29]~145 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[30]~147 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[31]~149 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[32]~151 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[33]~153 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[34]~155 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[35]~157 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[36]~159 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[37]~161 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[38]~163 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[39]~165 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[40]~167 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[41]~169 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[42]~171 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[43] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[43]~173 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[45] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[44] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[44]~175 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[45]~177 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[46] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[46]~179 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[47] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[47]~181 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[74] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[73] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[72] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[71] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[70] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[69] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[68] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[67] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[66] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[65] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[64] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[63] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[62] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[61] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[60] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[59] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[58] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[57] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[56] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[55] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[54] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[53] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[52] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[51] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[50] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[49] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[48] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[48]~183 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[49]~185 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[50]~187 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[51]~189 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[52]~191 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[53]~193 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[54]~195 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[55]~197 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[56]~199 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[57]~201 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[58]~203 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[59]~205 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[60]~207 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[61]~209 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[62]~211 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[63]~213 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[64]~215 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[65]~217 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[66]~219 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[67]~221 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[68]~223 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[69]~225 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[70]~227 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[71]~229 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[72]~231 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[73]~233 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[74]~235 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[75] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[75]~237 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[77] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[76] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[76]~239 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[77]~241 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[78] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[78]~243 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[79] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[79]~245 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[85] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[84] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[83] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[82] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[81] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[80] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[80]~247 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[81]~249 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[82]~251 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[83]~253 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[84]~255 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[85]~257 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|full_dff ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_8a7:usedw_counter|counter_comb_bita0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_8a7:usedw_counter|counter_comb_bita1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_8a7:usedw_counter|counter_comb_bita2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[0]~86 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[1]~88 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[2]~90 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[3]~92 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[4]~94 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[5]~96 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[6]~99 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[7]~101 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[8]~103 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[9]~105 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[10]~107 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[11] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[11]~109 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[13] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[12] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[12]~111 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[13]~113 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[14] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[14]~115 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[15] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[15]~117 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[37] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[36] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[35] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[34] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[33] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[32] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[31] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[30] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[29] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[28] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[27] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[26] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[25] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[24] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[23] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[22] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[21] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[20] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[19] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[18] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[17] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[16] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[16]~119 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[17]~121 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[18]~123 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[19]~125 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[20]~127 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[21]~129 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[22]~131 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[23]~133 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[24]~135 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[25]~137 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[26]~139 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[27]~141 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[28]~143 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[29]~145 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[30]~147 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[31]~149 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[32]~151 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[33]~153 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[34]~155 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[35]~157 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[36]~159 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[37]~161 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[38] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[38]~163 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[39] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[39]~165 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[42] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[41] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[40] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[40]~167 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[41]~169 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[42]~171 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[43] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[43]~173 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[45] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[44] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[44]~175 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[45]~177 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[46] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[46]~179 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[47] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[47]~181 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[69] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[68] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[67] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[66] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[65] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[64] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[63] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[62] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[61] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[60] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[59] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[58] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[57] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[56] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[55] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[54] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[53] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[52] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[51] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[50] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[49] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[48] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[48]~183 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[49]~185 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[50]~187 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[51]~189 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[52]~191 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[53]~193 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[54]~195 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[55]~197 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[56]~199 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[57]~201 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[58]~203 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[59]~205 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[60]~207 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[61]~209 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[62]~211 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[63]~213 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[64]~215 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[65]~217 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[66]~219 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[67]~221 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[68]~223 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[69]~225 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[70] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[70]~227 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[71] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[71]~229 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[74] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[73] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[72] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[72]~231 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[73]~233 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[74]~235 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[75] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[75]~237 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[77] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[76] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[76]~239 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[77]~241 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[78] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[78]~243 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[79] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[79]~245 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[85] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[84] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[83] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[82] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[81] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[80] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[80]~247 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[81]~249 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[82]~251 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[83]~253 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[84]~255 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[85]~257 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[0]~86 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[1]~88 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[2]~90 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[3]~92 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[4]~94 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[5]~96 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[6]~98 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[7]~100 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[8]~102 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[9]~104 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[10]~106 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[11]~109 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[12]~111 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[13]~113 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[14]~115 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[15]~117 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[42] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[41] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[40] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[39] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[38] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[37] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[36] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[35] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[34] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[33] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[32] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[31] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[30] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[29] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[28] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[27] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[26] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[25] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[24] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[23] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[22] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[21] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[20] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[19] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[18] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[17] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[16] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[16]~119 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[17]~121 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[18]~123 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[19]~125 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[20]~127 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[21]~129 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[22]~131 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[23]~133 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[24]~135 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[25]~137 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[26]~139 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[27]~141 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[28]~143 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[29]~145 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[30]~147 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[31]~149 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[32]~151 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[33]~153 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[34]~155 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[35]~157 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[36]~159 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[37]~161 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[38]~163 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[39]~165 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[40]~167 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[41]~169 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[42]~171 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[43] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[43]~173 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[45] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[44] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[44]~175 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[45]~177 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[46] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[46]~179 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[47] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[47]~181 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[74] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[73] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[72] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[71] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[70] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[69] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[68] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[67] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[66] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[65] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[64] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[63] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[62] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[61] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[60] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[59] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[58] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[57] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[56] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[55] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[54] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[53] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[52] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[51] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[50] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[49] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[48] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[48]~183 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[49]~185 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[50]~187 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[51]~189 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[52]~191 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[53]~193 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[54]~195 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[55]~197 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[56]~199 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[57]~201 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[58]~203 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[59]~205 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[60]~207 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[61]~209 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[62]~211 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[63]~213 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[64]~215 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[65]~217 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[66]~219 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[67]~221 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[68]~223 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[69]~225 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[70]~227 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[71]~229 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[72]~231 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[73]~233 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[74]~235 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[75] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[75]~237 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[77] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[76] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[76]~239 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[77]~241 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[78] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[78]~243 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[79] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[79]~245 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[85] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[84] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[83] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[82] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[81] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[80] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[80]~247 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[81]~249 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[82]~251 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[83]~253 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[84]~255 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[85]~257 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[0]~86 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[1]~88 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[2]~90 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[3]~92 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[4]~94 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[5]~96 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[6]~99 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[7]~101 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[8]~103 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[9]~105 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[10]~107 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[11] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[11]~109 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[13] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[12] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[12]~111 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[13]~113 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[14] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[14]~115 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[15] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[15]~117 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[37] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[36] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[35] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[34] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[33] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[32] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[31] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[30] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[29] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[28] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[27] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[26] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[25] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[24] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[23] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[22] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[21] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[20] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[19] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[18] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[17] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[16] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[16]~119 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[17]~121 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[18]~123 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[19]~125 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[20]~127 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[21]~129 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[22]~131 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[23]~133 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[24]~135 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[25]~137 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[26]~139 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[27]~141 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[28]~143 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[29]~145 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[30]~147 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[31]~149 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[32]~151 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[33]~153 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[34]~155 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[35]~157 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[36]~159 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[37]~161 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[38] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[38]~163 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[39] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[39]~165 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[42] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[41] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[40] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[40]~167 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[41]~169 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[42]~171 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[43] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[43]~173 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[45] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[44] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[44]~175 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[45]~177 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[46] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[46]~179 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[47] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[47]~181 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[69] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[68] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[67] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[66] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[65] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[64] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[63] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[62] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[61] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[60] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[59] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[58] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[57] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[56] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[55] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[54] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[53] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[52] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[51] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[50] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[49] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[48] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[48]~183 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[49]~185 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[50]~187 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[51]~189 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[52]~191 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[53]~193 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[54]~195 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[55]~197 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[56]~199 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[57]~201 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[58]~203 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[59]~205 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[60]~207 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[61]~209 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[62]~211 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[63]~213 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[64]~215 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[65]~217 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[66]~219 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[67]~221 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[68]~223 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[69]~225 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[70] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[70]~227 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[71] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[71]~229 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[74] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[73] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[72] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[72]~231 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[73]~233 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[74]~235 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[75] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[75]~237 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[77] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[76] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[76]~239 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[77]~241 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[78] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[78]~243 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[79] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[79]~245 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[85] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[84] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[83] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[82] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[81] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[80] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[80]~247 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[81]~249 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[82]~251 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[83]~253 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[84]~255 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[85]~257 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~8 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~12 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~12 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[8] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[2] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[3] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[1] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[4] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[10] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_s9b:wr_ptr|counter_comb_bita0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_s9b:wr_ptr|counter_comb_bita1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_s9b:wr_ptr|counter_comb_bita2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_r9b:rd_ptr_msb|counter_comb_bita0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_r9b:rd_ptr_msb|counter_comb_bita1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[1] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[8] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[10] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_s9b:wr_ptr|counter_comb_bita0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_s9b:wr_ptr|counter_comb_bita1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_s9b:wr_ptr|counter_comb_bita2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_r9b:rd_ptr_msb|counter_comb_bita0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_r9b:rd_ptr_msb|counter_comb_bita1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[0]~86 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[1]~88 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[2]~90 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[3]~92 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[4]~94 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[5]~96 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[6]~98 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[7]~100 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[8]~102 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[9]~104 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[10]~106 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[11]~109 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[12]~111 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[13]~113 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[14]~115 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[15]~117 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[16]~119 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[17]~121 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[18]~123 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[19]~125 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[20]~127 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[21]~129 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[22]~131 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[23]~133 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[24]~135 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[25]~137 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[26]~139 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[27]~141 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[28]~143 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[29]~145 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[30]~147 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[31]~149 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[32]~151 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[33]~153 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[34]~155 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[35]~157 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[36]~159 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[37]~161 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[38]~163 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[39]~165 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[40]~167 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[41]~169 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[42]~171 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[43]~173 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[44]~175 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[45]~177 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[46]~179 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[47]~181 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[48]~183 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[49]~185 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[50]~187 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[51]~189 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[52]~191 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[53]~193 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[54]~195 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[55]~197 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[56]~199 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[57]~201 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[58]~203 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[59]~205 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[60]~207 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[61]~209 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[62]~211 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[63]~213 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[64]~215 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[65]~217 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[66]~219 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[67]~221 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[68]~223 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[69]~225 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[70]~227 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[71]~229 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[72]~231 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[73]~233 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[74]~235 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[75]~237 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[76]~239 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[77]~241 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[78]~243 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[79]~245 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[80]~247 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[81]~249 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[82]~251 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[83]~253 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[84]~255 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[85]~257 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[0]~86 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[1]~88 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[2]~90 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[3]~92 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[4]~94 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[5]~96 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[6]~99 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[7]~101 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[8]~103 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[9]~105 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[10]~107 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[11] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[11]~109 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[13] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[12] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[12]~111 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[13]~113 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[14]~115 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[15]~117 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[37] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[36] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[35] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[34] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[33] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[32] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[31] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[30] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[29] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[28] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[27] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[26] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[25] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[24] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[23] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[22] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[21] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[20] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[19] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[18] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[17] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[16] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[16]~119 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[17]~121 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[18]~123 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[19]~125 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[20]~127 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[21]~129 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[22]~131 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[23]~133 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[24]~135 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[25]~137 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[26]~139 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[27]~141 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[28]~143 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[29]~145 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[30]~147 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[31]~149 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[32]~151 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[33]~153 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[34]~155 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[35]~157 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[36]~159 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[37]~161 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[38] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[38]~163 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[39] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[39]~165 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[42] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[41] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[40] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[40]~167 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[41]~169 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[42]~171 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[43] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[43]~173 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[45] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[44] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[44]~175 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[45]~177 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[46] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[46]~179 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[47] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[47]~181 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[69] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[68] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[67] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[66] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[65] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[64] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[63] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[62] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[61] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[60] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[59] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[58] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[57] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[56] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[55] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[54] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[53] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[52] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[51] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[50] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[49] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[48] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[48]~183 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[49]~185 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[50]~187 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[51]~189 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[52]~191 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[53]~193 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[54]~195 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[55]~197 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[56]~199 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[57]~201 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[58]~203 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[59]~205 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[60]~207 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[61]~209 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[62]~211 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[63]~213 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[64]~215 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[65]~217 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[66]~219 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[67]~221 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[68]~223 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[69]~225 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[70] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[70]~227 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[71] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[71]~229 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[74] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[73] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[72] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[72]~231 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[73]~233 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[74]~235 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[75] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[75]~237 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[77] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[76] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[76]~239 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[77]~241 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[78] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[78]~243 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[79] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[79]~245 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[85] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[84] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[83] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[82] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[81] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[80] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[80]~247 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[81]~249 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[82]~251 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[83]~253 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[84]~255 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[85]~257 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[19] ; Register cell ; 0.03 mW ; 0.01 mW ; -- ; 0.02 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[1]~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[1]~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[2]~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[3]~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[4]~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[5]~21 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[6]~23 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[7]~25 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[8]~27 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[16] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[17] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[15] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[18] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[9]~29 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[10]~31 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[11]~33 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[4] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[8] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[9] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[7] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[10] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[1] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[13] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[12] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[14] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[19] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[1]~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[1]~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[2]~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[3]~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[4]~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[5]~21 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[6]~23 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[7]~25 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[8]~27 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[17] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[16] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[15] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[18] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[9]~29 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[10]~31 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[11]~33 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[8] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[10] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[4] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[3] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[6] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[2] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[1] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[12] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[13] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[14] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[0]~86 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[1]~88 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[2]~90 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[3]~92 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[4]~94 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[5]~96 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[6]~99 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[7]~101 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[8]~103 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[9]~105 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[10]~107 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[11]~109 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[12]~111 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[13]~113 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[14]~115 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[15]~117 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[16]~119 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[17]~121 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[18]~123 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[19]~125 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[20]~127 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[21]~129 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[22]~131 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[23]~133 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[24]~135 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[25]~137 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[26]~139 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[27]~141 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[28]~143 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[29]~145 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[30]~147 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[31]~149 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[32]~151 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[33]~153 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[34]~155 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[35]~157 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[36]~159 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[37]~161 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[38]~163 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[39]~165 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[40]~167 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[41]~169 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[42]~171 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[43]~173 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[44]~175 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[45]~177 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[46]~179 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[47]~181 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[48]~183 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[49]~185 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[50]~187 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[51]~189 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[52]~191 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[53]~193 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[54]~195 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[55]~197 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[56]~199 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[57]~201 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[58]~203 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[59]~205 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[60]~207 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[61]~209 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[62]~211 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[63]~213 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[64]~215 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[65]~217 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[66]~219 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[67]~221 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[68]~223 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[69]~225 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[70]~227 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[71]~229 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[72]~231 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[73]~233 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[74]~235 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[75]~237 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[76]~239 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[77]~241 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[78]~243 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[79]~245 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[80]~247 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[81]~249 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[82]~251 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[83]~253 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[84]~255 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[85]~257 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[0] ; Embedded multiplier output ; 0.11 mW ; 0.00 mW ; -- ; 0.11 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[0]~20 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[1]~22 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[2]~24 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[3]~26 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[4]~28 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[5]~30 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[6]~32 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[7]~34 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[8]~36 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[9]~38 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[10]~40 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[11]~42 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[12]~44 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[13]~46 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[14]~48 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[15]~50 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[16]~52 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[17]~54 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[18]~56 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[19]~58 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[8] ; Register cell ; 0.03 mW ; 0.01 mW ; -- ; 0.03 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[0] ; Embedded multiplier output ; 0.13 mW ; 0.00 mW ; -- ; 0.13 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[0]~20 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[1]~22 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[2]~24 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[3]~26 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[4]~28 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[5]~30 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[6]~32 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[7]~34 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[8]~36 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[9]~38 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[10]~40 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[11]~42 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[12]~44 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[13]~46 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[14]~48 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[15]~50 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[16]~52 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[17]~54 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[18]~56 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[19]~58 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|ena_diff_s[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_q9b:rd_ptr_msb|counter_reg_bit[0] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|full_dff ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|sample_state[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[8] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_7a7:usedw_counter|counter_reg_bit[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_7a7:usedw_counter|counter_reg_bit[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1 ; Embedded multiplier block ; 0.19 mW ; 0.19 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[8]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~0 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~2 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~6 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~8 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~10 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~12 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~14 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~16 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1 ; Embedded multiplier block ; 0.19 mW ; 0.19 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_q9b:rd_ptr_msb|counter_comb_bita0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~20 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_7a7:usedw_counter|counter_comb_bita0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_7a7:usedw_counter|counter_comb_bita1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|ena_diff_s[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_q9b:rd_ptr_msb|counter_reg_bit[0] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|full_dff ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|sample_state[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[8] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_7a7:usedw_counter|counter_reg_bit[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_7a7:usedw_counter|counter_reg_bit[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[0] ; M9K ; 2.45 mW ; 2.36 mW ; -- ; 0.10 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[0] ; M9K ; 2.45 mW ; 2.36 mW ; -- ; 0.09 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_r9b:wr_ptr|counter_reg_bit[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_r9b:wr_ptr|counter_reg_bit[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_q9b:rd_ptr_msb|counter_comb_bita0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~20 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_7a7:usedw_counter|counter_comb_bita0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_7a7:usedw_counter|counter_comb_bita1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[1] ; Register cell ; 0.03 mW ; 0.01 mW ; -- ; 0.02 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[2] ; Register cell ; 0.03 mW ; 0.01 mW ; -- ; 0.02 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[3] ; Register cell ; 0.03 mW ; 0.01 mW ; -- ; 0.02 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[5] ; Register cell ; 0.03 mW ; 0.01 mW ; -- ; 0.02 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[4] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.02 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[1] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[2] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[3] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[5] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[4] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_r9b:wr_ptr|counter_comb_bita0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_r9b:wr_ptr|counter_comb_bita1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_r9b:wr_ptr|counter_reg_bit[0] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_r9b:wr_ptr|counter_reg_bit[1] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[1]~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[2]~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[3]~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[4]~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[5]~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[1]~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[2]~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[3]~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[4]~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[5]~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0]~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1]~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[2] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2]~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3]~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4]~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5]~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[1]~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[2]~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[3]~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[4]~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[5]~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[1]~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[2]~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[3]~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[4]~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[5]~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0]~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1]~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2]~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3]~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4]~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[5] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5]~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_r9b:wr_ptr|counter_comb_bita0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_r9b:wr_ptr|counter_comb_bita1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[1]~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[1]~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[2]~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[2]~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[3]~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[3]~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[4]~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[4]~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[5]~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[5]~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[1]~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[1]~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[2]~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[2]~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[3]~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[3]~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[4]~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[4]~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[5]~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[5]~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0]~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1]~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2]~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3]~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4]~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5]~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6]~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0]~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1]~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2]~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3]~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4]~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5]~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6]~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~2 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~4 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[0] ; M9K ; 0.85 mW ; 0.77 mW ; -- ; 0.09 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[0] ; Embedded multiplier output ; 0.06 mW ; 0.00 mW ; -- ; 0.06 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_s9b:wr_ptr|counter_reg_bit[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_s9b:wr_ptr|counter_reg_bit[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_s9b:wr_ptr|counter_reg_bit[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_r9b:rd_ptr_msb|counter_reg_bit[0] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_r9b:rd_ptr_msb|counter_reg_bit[1] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[0] ; M9K ; 0.89 mW ; 0.77 mW ; -- ; 0.12 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1 ; Embedded multiplier block ; 0.21 mW ; 0.21 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_s9b:wr_ptr|counter_comb_bita0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_s9b:wr_ptr|counter_comb_bita1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_s9b:wr_ptr|counter_comb_bita2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_r9b:rd_ptr_msb|counter_comb_bita0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_r9b:rd_ptr_msb|counter_comb_bita1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[0] ; Embedded multiplier output ; 0.06 mW ; 0.00 mW ; -- ; 0.06 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_s9b:wr_ptr|counter_reg_bit[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_s9b:wr_ptr|counter_reg_bit[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_s9b:wr_ptr|counter_reg_bit[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_r9b:rd_ptr_msb|counter_reg_bit[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_r9b:rd_ptr_msb|counter_reg_bit[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1 ; Embedded multiplier block ; 0.21 mW ; 0.21 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_s9b:wr_ptr|counter_comb_bita0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_s9b:wr_ptr|counter_comb_bita1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_s9b:wr_ptr|counter_comb_bita2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_r9b:rd_ptr_msb|counter_comb_bita0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_r9b:rd_ptr_msb|counter_comb_bita1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|is_zero ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[0]~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[1]~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[2]~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[3]~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[4]~20 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[5]~22 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[6]~24 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[7]~26 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[8] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[8]~28 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[9]~30 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[10] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[10]~32 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[11]~34 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[11] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[16] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[17] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[18] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[23] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[22] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[19] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[20] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[21] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[0] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|is_zero ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[0]~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[1]~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[2]~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[3]~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[4]~20 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[5]~22 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[6]~24 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[7]~26 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[8] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[8]~28 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[9]~30 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[10] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[10]~32 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[11]~34 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2 ; Embedded multiplier output ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2 ; Embedded multiplier output ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[11]~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[11]~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[11]~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[11]~20 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[11]~22 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[11]~24 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[11]~26 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[11]~28 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[11]~30 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[11]~32 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[11]~34 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[11]~35 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[12]~37 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[13]~39 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[14]~41 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15]~43 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[16]~45 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[17]~47 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[18]~49 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[19]~51 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[20]~53 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[21]~55 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[22]~57 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[23]~59 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[11] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[12] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[14] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[16] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[17] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[18] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[23] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[22] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[19] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[20] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[21] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1 ; Embedded multiplier block ; 0.27 mW ; 0.27 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1 ; Embedded multiplier block ; 0.27 mW ; 0.27 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2 ; Embedded multiplier output ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2 ; Embedded multiplier output ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[11]~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[11]~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[11]~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[11]~20 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[11]~22 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[11]~24 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[11]~26 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[11]~28 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[11]~30 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[11]~32 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[11]~34 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[11]~35 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[12]~37 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[13]~39 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[14]~41 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15]~43 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[16]~45 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[17]~47 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[18]~49 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[19]~51 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[20]~53 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[21]~55 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[22]~57 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[23]~59 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_h982:auto_generated|q_a[0] ; M9K ; 0.59 mW ; 0.55 mW ; -- ; 0.04 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_h982:auto_generated|q_a[4] ; M9K ; 0.59 mW ; 0.55 mW ; -- ; 0.04 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_h982:auto_generated|q_a[8] ; M9K ; 0.59 mW ; 0.55 mW ; -- ; 0.04 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_fu91:auto_generated|q_a[0] ; M9K ; 0.31 mW ; 0.29 mW ; -- ; 0.02 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_fu91:auto_generated|q_a[4] ; M9K ; 0.31 mW ; 0.29 mW ; -- ; 0.02 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_fu91:auto_generated|q_a[8] ; M9K ; 0.31 mW ; 0.29 mW ; -- ; 0.02 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_au91:auto_generated|q_a[0] ; M9K ; 0.31 mW ; 0.29 mW ; -- ; 0.02 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_au91:auto_generated|q_a[4] ; M9K ; 0.31 mW ; 0.29 mW ; -- ; 0.02 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_au91:auto_generated|q_a[8] ; M9K ; 0.31 mW ; 0.29 mW ; -- ; 0.02 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1 ; Embedded multiplier block ; 0.27 mW ; 0.27 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1 ; Embedded multiplier block ; 0.27 mW ; 0.27 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cs[9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cs[10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[9] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[10] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[0] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[1] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[2] ; Register cell ; 0.02 mW ; 0.00 mW ; -- ; 0.01 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[3] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[4] ; Register cell ; 0.02 mW ; 0.00 mW ; -- ; 0.01 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[5] ; Register cell ; 0.02 mW ; 0.00 mW ; -- ; 0.01 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[6] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[7] ; Register cell ; 0.02 mW ; 0.00 mW ; -- ; 0.01 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[8] ; Register cell ; 0.02 mW ; 0.00 mW ; -- ; 0.01 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[9] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.02 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[10] ; Register cell ; 0.02 mW ; 0.00 mW ; -- ; 0.01 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[15] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[16] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[17] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[18] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[19] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[20] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[21] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[9]~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[10]~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[10] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[8] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[0]~22 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[1]~24 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[2]~26 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[3]~28 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[4]~30 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[5]~32 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[6]~34 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[7]~36 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[8]~38 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[9]~40 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[10]~42 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[11]~44 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[12] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[12]~46 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[13] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[13]~48 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[14] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[14]~50 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[15] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[15]~52 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[16] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[16]~54 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[17] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[17]~56 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[18] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[18]~58 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[19] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[19]~60 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[20] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[20]~62 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[21] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[21]~64 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|preamp_enable ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|SCK_C ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|CS_S ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|MOSI_DQ0 ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|tx ; Register cell ; 0.04 mW ; 0.00 mW ; -- ; 0.04 mW ; -; stm32_interface:STM32_INTERFACE|ATT_05 ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ATT_1 ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ATT_2 ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ATT_4 ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ATT_8 ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ATT_16 ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|BPF_A ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|BPF_B ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|BPF_OE1 ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|BPF_OE2 ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|LPF_1 ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|LPF_2 ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|LPF_3 ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; mux14:DAC_MUX|lpm_mux:LPM_MUX_component|mux_rsc:auto_generated|result_node[13] ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; mux14:DAC_MUX|lpm_mux:LPM_MUX_component|mux_rsc:auto_generated|result_node[12]~0 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; mux14:DAC_MUX|lpm_mux:LPM_MUX_component|mux_rsc:auto_generated|result_node[11]~1 ; Combinational cell ; 0.02 mW ; 0.00 mW ; -- ; 0.01 mW ; -; mux14:DAC_MUX|lpm_mux:LPM_MUX_component|mux_rsc:auto_generated|result_node[10]~2 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; mux14:DAC_MUX|lpm_mux:LPM_MUX_component|mux_rsc:auto_generated|result_node[9]~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; mux14:DAC_MUX|lpm_mux:LPM_MUX_component|mux_rsc:auto_generated|result_node[8]~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; mux14:DAC_MUX|lpm_mux:LPM_MUX_component|mux_rsc:auto_generated|result_node[7]~5 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; mux14:DAC_MUX|lpm_mux:LPM_MUX_component|mux_rsc:auto_generated|result_node[6]~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; mux14:DAC_MUX|lpm_mux:LPM_MUX_component|mux_rsc:auto_generated|result_node[5]~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; mux14:DAC_MUX|lpm_mux:LPM_MUX_component|mux_rsc:auto_generated|result_node[4]~8 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; mux14:DAC_MUX|lpm_mux:LPM_MUX_component|mux_rsc:auto_generated|result_node[3]~9 ; Combinational cell ; 0.02 mW ; 0.00 mW ; -- ; 0.02 mW ; -; mux14:DAC_MUX|lpm_mux:LPM_MUX_component|mux_rsc:auto_generated|result_node[2]~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; mux14:DAC_MUX|lpm_mux:LPM_MUX_component|mux_rsc:auto_generated|result_node[1]~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; mux14:DAC_MUX|lpm_mux:LPM_MUX_component|mux_rsc:auto_generated|result_node[0]~12 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|WORD_SR[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|bypass_reg ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|tdo~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|tdo~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k[6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k[8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k[9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Equal20~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k[5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k[7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Equal8~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Equal9~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; stm32_interface:STM32_INTERFACE|k[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; stm32_interface:STM32_INTERFACE|Equal8~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ATT_1~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|FLASH_enable ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|spi_stage[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|spi_stage[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|spi_stage[5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|SCK_C~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|spi_stage[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|Equal0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|SCK_C~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|Equal0~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|CS_S~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|FLASH_data_out[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|spi_bit_position[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|FLASH_data_out[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|spi_bit_position[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|FLASH_data_out[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|Mux0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|FLASH_data_out[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|Mux0~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|FLASH_data_out[5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|FLASH_data_out[6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|FLASH_data_out[4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|Mux0~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|FLASH_data_out[7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|Mux0~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|spi_bit_position[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|MOSI_DQ0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|Equal1~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|MOSI_DQ0~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|BPF_A~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|BPF_A~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[5] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; stm32_interface:STM32_INTERFACE|DAC_GAIN[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[4] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; stm32_interface:STM32_INTERFACE|DAC_GAIN[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux0~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DAC_GAIN[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[8] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[9] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux0~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[10] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux0~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DAC_GAIN[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[0] ; Register cell ; 0.03 mW ; 0.01 mW ; -- ; 0.02 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[1] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[31] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux0~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux0~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux0~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[13] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[12] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[11] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux0~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[14] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux0~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux0~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[21] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.02 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[20] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[19] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.02 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux0~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[22] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.02 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux0~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[24] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[25] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[23] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.02 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux0~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[26] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux0~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[16] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[17] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[15] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux0~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[18] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux0~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux0~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[29] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[28] ; Register cell ; 0.03 mW ; 0.01 mW ; -- ; 0.02 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[27] ; Register cell ; 0.03 mW ; 0.01 mW ; -- ; 0.02 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux0~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[30] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.02 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux0~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux0~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DAC_GAIN[4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DAC_GAIN[6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DAC_GAIN[7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux2~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|LessThan0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DAC_GAIN[5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|LessThan0~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux1~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux1~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux1~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux1~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux1~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux1~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux1~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux1~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux1~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux1~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux1~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux1~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux1~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux1~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux1~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux1~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux1~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux1~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux1~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux1~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux2~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux2~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux2~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux2~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux2~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux2~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux2~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux2~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux2~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux2~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux2~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux2~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux2~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux2~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux2~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux2~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux2~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux2~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux2~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux3~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux3~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux3~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux3~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux3~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux3~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux3~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux3~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux3~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux3~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux3~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux3~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux3~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux3~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux3~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux3~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux3~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux3~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux3~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux4~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux4~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux4~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux4~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux4~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux4~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux4~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux5~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux5~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux5~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux5~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux5~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux5~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux6~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux6~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux6~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux6~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux6~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux6~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux7~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux7~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux7~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux7~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux8~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux8~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux8~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux8~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux9~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux9~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux9~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux9~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux10~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux10~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux10~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux10~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux11~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux11~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux11~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux11~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux12~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux12~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux12~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux12~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux13~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux13~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux13~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DAC_corrector:DAC_CORRECTOR|Mux13~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|hold_reg[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|vjtag_sdr_i~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|vjtag_sdr_i~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|WORD_SR[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|word_counter[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|word_counter[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|word_counter[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|word_counter[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|Mux3~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|vjtag_uir_i~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|WORD_SR~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|WORD_SR~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Equal0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Equal0~1 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Equal0~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~2 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; stm32_interface:STM32_INTERFACE|Equal15~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Equal34~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Equal33~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Equal25~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Equal33~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Equal29~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Equal34~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Equal34~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~4 ; Combinational cell ; 0.03 mW ; 0.00 mW ; -- ; 0.03 mW ; -; stm32_interface:STM32_INTERFACE|Equal8~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Equal24~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Equal33~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Equal33~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~14 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~16 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; stm32_interface:STM32_INTERFACE|k~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Equal2~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~18 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; stm32_interface:STM32_INTERFACE|k~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~20 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; stm32_interface:STM32_INTERFACE|k~21 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Equal17~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Equal24~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~22 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~23 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~24 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~25 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~26 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Equal28~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Equal32~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~27 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~28 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Equal4~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~29 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~30 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~31 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~32 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~33 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~34 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~35 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~36 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[4]~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~37 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Equal22~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~38 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~39 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~40 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~41 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; stm32_interface:STM32_INTERFACE|k~42 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~43 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~44 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~45 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~46 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~47 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Equal23~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~48 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Equal20~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~49 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Equal13~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Equal35~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~50 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~51 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~52 ; Combinational cell ; 0.02 mW ; 0.00 mW ; -- ; 0.02 mW ; -; stm32_interface:STM32_INTERFACE|k~53 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~54 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|FLASH_enable~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|FLASH_enable~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|FLASH_enable~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|continue_read_prev ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|always0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|enabled_prev ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|spi_stage~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|spi_stage~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|spi_stage~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|spi_stage~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|spi_stage~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|spi_bit_position[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|spi_bit_position[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|Equal5~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|spi_bit_position[7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|spi_bit_position[5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|spi_bit_position[6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|Equal5~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|Equal5~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|spi_stage~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|spi_stage~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|spi_stage~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|Equal1~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|spi_stage~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|FLASH_data_out[7]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|spi_bit_position~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|spi_bit_position~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|spi_bit_position~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|spi_bit_position~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|spi_bit_position~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DAC_GAIN[0]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|hold_reg[0]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|hold_reg[0]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|WORD_SR[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|Mux2~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|WORD_SR~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|word_counter~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|Equal0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|word_counter~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|word_counter~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|Add0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|word_counter~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|Add0~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|word_counter~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|FLASH_continue_read~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|FLASH_continue_read~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|continue_read_prev~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|continue_read_prev~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|spi_bit_position~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|spi_bit_position~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|spi_bit_position~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|spi_bit_position~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|spi_bit_position~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|WORD_SR[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|Mux1~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|WORD_SR~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|data_out[7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|I_HOLD[15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|I_HOLD[7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[4]~12 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[4]~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[4]~15 ; Combinational cell ; 0.02 mW ; 0.00 mW ; -- ; 0.02 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OE~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OE~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|data_out[6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|I_HOLD[14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|I_HOLD[6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~20 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|data_out[5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~21 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~22 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|I_HOLD[5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~23 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|I_HOLD[13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~24 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~25 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~26 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|data_out[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~27 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~28 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|I_HOLD[12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~29 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~30 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|I_HOLD[4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~31 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~32 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~33 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~34 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Equal10~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~35 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|I_HOLD[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|I_HOLD[11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~36 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~37 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~38 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~39 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|data_out[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~40 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~55 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~41 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~42 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[3]~43 ; Combinational cell ; 0.04 mW ; 0.00 mW ; -- ; 0.03 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~44 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~45 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~46 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|I_HOLD[10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|I_HOLD[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~47 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~48 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~49 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~50 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|data_out[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~51 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~52 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~53 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|I_HOLD[9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~54 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~55 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~56 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~57 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|overflow_dffe[0] ; Register cell ; 0.03 mW ; 0.02 mW ; -- ; 0.01 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~58 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~59 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|I_HOLD[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~60 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|data_out[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~61 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~62 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~63 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|I_HOLD[8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~64 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~65 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~66 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~67 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|I_HOLD[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~68 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~69 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~70 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~71 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|data_out[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~72 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~73 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg[4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|WORD_SR~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|WORD_SR~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|Equal5~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|data_out[7]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|data_out[7]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|data_out[7]~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|SCK_C~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|busy~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[21] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|CICFIR_GAIN[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[20] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|CICFIR_GAIN[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[19] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux16~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[22] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux16~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|CICFIR_GAIN[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[24] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[25] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[23] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux16~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[26] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux16~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|CICFIR_GAIN[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[16] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[17] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[15] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux16~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[18] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux16~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux16~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[29] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[27] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux16~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[28] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux16~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux16~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux16~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux16~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux16~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux16~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux16~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux16~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux16~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[13] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[12] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux16~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[14] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux16~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux16~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|CICFIR_GAIN[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux16~20 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|rx ; Register cell ; 0.03 mW ; 0.00 mW ; -- ; 0.03 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[7]~0 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[0]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[0]~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[0]~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|I_HOLD[15]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|I_HOLD[8]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|I_HOLD[8]~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|I_HOLD[7]~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|I_HOLD[0]~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|I_HOLD[0]~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MAX~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MAX~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MAX~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MAX~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MAX~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MAX~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MAX~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MAX~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MAX~36 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MAX~37 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MAX~38 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MAX~39 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MIN~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MIN~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MIN~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MIN~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MIN~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MIN~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MIN~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MIN~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MIN~36 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MIN~37 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MIN~38 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MIN~39 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|data_out[6]~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|data_out[6]~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|data_out[6]~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|I_HOLD[14]~6 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux17~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux17~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux17~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux17~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux17~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux17~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux17~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux17~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux17~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux17~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux17~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux17~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux17~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux17~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux17~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux17~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux17~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux17~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux17~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux17~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|I_HOLD[6]~7 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[6]~4 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; spi_interface:FLASH|data_out[5]~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|data_out[5]~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|data_out[5]~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|I_HOLD[5]~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[5]~5 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; stm32_interface:STM32_INTERFACE|I_HOLD[13]~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux18~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux18~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux18~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux18~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux18~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux18~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux18~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux18~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux18~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux18~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux18~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux18~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux18~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux18~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux18~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux18~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux18~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux18~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux18~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux18~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|data_out[4]~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|data_out[4]~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|data_out[4]~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|I_HOLD[12]~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[4]~6 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux19~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux19~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux19~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux19~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux19~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux19~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux19~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux19~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux19~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux19~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux19~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux19~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux19~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux19~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux19~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux19~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux19~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux19~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux19~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux19~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|I_HOLD[4]~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|I_HOLD[3]~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[3]~7 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; stm32_interface:STM32_INTERFACE|I_HOLD[11]~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux20~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux20~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux20~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux20~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux20~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux20~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|data_out[3]~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|data_out[3]~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|data_out[3]~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|I_HOLD[10]~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux21~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux21~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux21~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux21~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux21~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux21~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[2]~8 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; stm32_interface:STM32_INTERFACE|I_HOLD[2]~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|data_out[2]~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|data_out[2]~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|data_out[2]~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|I_HOLD[9]~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[1]~9 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux22~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux22~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux22~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux22~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux22~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux22~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux22~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|overflow_dffe[0]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|I_HOLD[1]~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|data_out[1]~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|data_out[1]~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|data_out[1]~20 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|I_HOLD[8]~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[0]~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux15~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux23~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux23~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux23~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|I_HOLD[0]~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|Decoder0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; spi_interface:FLASH|data_out[0]~21 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|full_dff ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|stall_reg ; Register cell ; 0.12 mW ; 0.01 mW ; -- ; 0.11 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|valid_wreq ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|empty_dff ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|source_valid_s ; Register cell ; 0.04 mW ; 0.03 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|source_valid_s_process~0 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|low_addressa[0] ; Register cell ; 0.03 mW ; 0.03 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|rd_ptr_lsb ; Register cell ; 0.05 mW ; 0.05 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|ram_read_address[0]~0 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb|counter_reg_bit[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|low_addressa[1] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|ram_read_address[1]~1 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb|counter_reg_bit[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|low_addressa[2] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|ram_read_address[2]~2 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb|counter_reg_bit[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|low_addressa[3] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|ram_read_address[3]~3 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb|counter_reg_bit[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|low_addressa[4] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|ram_read_address[4]~4 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|is_zero ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[15] ; Register cell ; 0.03 mW ; 0.02 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|add_one ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[8] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[10] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[12] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[13] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[14] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|full_dff ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|stall_reg ; Register cell ; 0.11 mW ; 0.02 mW ; -- ; 0.09 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|valid_wreq ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|empty_dff ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|source_valid_s ; Register cell ; 0.03 mW ; 0.03 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|valid_rreq~0 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[3] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|low_addressa[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|rd_ptr_lsb ; Register cell ; 0.04 mW ; 0.04 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|ram_read_address[0]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb|counter_reg_bit[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|low_addressa[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|ram_read_address[1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb|counter_reg_bit[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|low_addressa[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|ram_read_address[2]~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb|counter_reg_bit[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|low_addressa[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|ram_read_address[3]~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb|counter_reg_bit[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|low_addressa[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|ram_read_address[4]~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|is_zero ; Register cell ; 0.04 mW ; 0.03 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[15] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|add_one ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[8] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[10] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[12] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[13] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[14] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg[5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_valid ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|data_valid_out_Q ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|reset_n ; Register cell ; 0.23 mW ; 0.02 mW ; -- ; 0.20 mW ; -; stm32_interface:STM32_INTERFACE|Equal12~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|CICFIR_GAIN[0]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux24~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux24~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux24~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux24~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux24~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[21] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[20] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[19] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux8~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[22] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux8~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[24] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[25] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[23] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[26] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux0~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[16] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[17] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[15] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux8~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[18] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux8~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux0~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[29] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[27] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux0~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[28] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux0~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux0~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[9] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux8~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[10] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux8~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux8~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux8~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux8~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux8~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux0~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[13] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[12] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux8~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[14] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux8~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux0~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux0~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux8~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux8~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux8~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux8~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux8~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ADC_MINMAX_RESET~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux9~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux9~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux1~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux1~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux9~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux9~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux1~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux1~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux1~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux1~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux9~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux9~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux9~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux9~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux9~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux1~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux9~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux9~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux1~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux1~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux9~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux9~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux9~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux9~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux9~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux25~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux25~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux25~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux25~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux25~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux10~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux10~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux10~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux10~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux10~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux10~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux10~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux10~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux10~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux10~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux10~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux10~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux10~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux10~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux10~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux10~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux26~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux26~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux26~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux26~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux26~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux2~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux2~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux2~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux2~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux2~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux2~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux2~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux2~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux2~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux2~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux11~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux11~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux3~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux3~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux11~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux11~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux3~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux3~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux3~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux3~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux11~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux11~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux11~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux11~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux3~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux3~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux11~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux11~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux3~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux3~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux27~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux27~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux27~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux27~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux27~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux11~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux11~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux11~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux11~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux12~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux12~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux12~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux12~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux28~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux28~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux28~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux28~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux4~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux4~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux4~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux4~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux4~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux4~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux5~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux5~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux5~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux5~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux5~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux5~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux29~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux29~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux29~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux29~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux13~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux13~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux13~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux13~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux6~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux6~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux6~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux6~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux6~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux6~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux30~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux30~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux30~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux30~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux14~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux14~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux14~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux14~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux7~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux7~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux7~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux15~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux31~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux31~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux31~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux15~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux15~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux15~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux15~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[0] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|_~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|_~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|_~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|dffe_nae ; Register cell ; 0.03 mW ; 0.01 mW ; -- ; 0.02 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|dffe_af ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|stall_w~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|usedw_is_1_dff ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|usedw_is_0_dff ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|_~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|usedw_will_be_1~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|usedw_will_be_1~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|usedw_will_be_1~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|_~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|source_valid_s_process~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|_~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|Equal0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|Equal0~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|Equal0~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|Equal0~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|Equal0~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|Equal0~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[3] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|_~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|_~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|_~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|dffe_nae ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|dffe_af ; Register cell ; 0.04 mW ; 0.03 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|stall_w~0 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|usedw_is_1_dff ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|usedw_is_0_dff ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|_~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|usedw_will_be_1~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|usedw_will_be_1~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|usedw_will_be_1~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|_~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|source_valid_s_process~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|_~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|Equal0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|Equal0~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|Equal0~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|Equal0~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|Equal0~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|Equal0~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg[6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_oseq_gated_reg_q[0] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_15|delay_signals[0][0] ; Register cell ; 0.03 mW ; 0.03 mW ; -- ; 0.01 mW ; -; stm32_interface:STM32_INTERFACE|sync_reset_n ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|_~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_valid ; Register cell ; 0.04 mW ; 0.02 mW ; -- ; 0.02 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_valid_out_Q~0 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|sample_valid ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw[2] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw[0] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw[1] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Equal2~0 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|sink_ready_ctrl~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr[2] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[5][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[4][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr[0] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|sink_ready_ctrl~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr[1] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|sink_ready_ctrl~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[2][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[1][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[0][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Mux0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[3][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Mux0~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|sink_ready_ctrl~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_8a7:usedw_counter|counter_reg_bit[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_8a7:usedw_counter|counter_reg_bit[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_8a7:usedw_counter|counter_reg_bit[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|dffe_nae~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|dffe_nae~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|dffe_af~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|dffe_af~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|dffe_af~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|_~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|sample_valid ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw[2] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw[0] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw[1] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Equal2~0 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|sink_ready_ctrl~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[2][0] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[1][0] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[0][0] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Mux0~0 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[3][0] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Mux0~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[5][0] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[4][0] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|sink_ready_ctrl~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|sink_ready_ctrl~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|sink_ready_ctrl~3 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_8a7:usedw_counter|counter_reg_bit[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_8a7:usedw_counter|counter_reg_bit[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_8a7:usedw_counter|counter_reg_bit[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|dffe_nae~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|dffe_nae~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|dffe_af~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|dffe_af~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|dffe_af~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg[7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_oseq_gated_q[0] ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_aseq_eq ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14|delay_signals[0][0] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|sync_reset_n~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|sync_reset_n~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_15|delay_signals[0][0] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_oseq_gated_reg_q[0] ; Register cell ; 0.03 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|Equal0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|Equal0~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[2] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[4] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[8] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|Equal0~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[10] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[11] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|Equal0~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|usedw_process~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw[0]~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|usedw_process~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|usedw_process~2 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr[1] ; Register cell ; 0.03 mW ; 0.02 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr[0] ; Register cell ; 0.03 mW ; 0.02 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr[2] ; Register cell ; 0.03 mW ; 0.02 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[5][0]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[4][0]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[4][0]~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[2][0]~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[2][0]~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[1][0]~5 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[1][0]~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[0][0]~7 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[0][0]~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[3][0]~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|full_dff ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|valid_wreq ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|empty_dff ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|Equal0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|Equal0~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[8] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|Equal0~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[10] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|Equal0~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|usedw_process~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw[0]~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|usedw_process~1 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|usedw_process~2 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr[1] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr[0] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr[2] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[2][0]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[2][0]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[1][0]~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[1][0]~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[0][0]~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[0][0]~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[3][0]~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[5][0]~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[4][0]~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[4][0]~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|full_dff ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|valid_wreq ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|empty_dff ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg[8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_oseq_eq ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Equal0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Equal0~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Equal0~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14|delay_signals[1][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_aseq_eq ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14|delay_signals[0][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_16|delay_signals[0][0] ; Register cell ; 0.04 mW ; 0.02 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_oseq_gated_q[0] ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Equal0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Equal0~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|valid_rreq ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|usedw_is_1_dff ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|usedw_is_0_dff ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|usedw_will_be_1~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Equal0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Equal0~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|valid_rreq ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|usedw_is_1_dff ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|usedw_is_0_dff ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|usedw_will_be_1~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg[9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Equal1~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Equal1~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Equal1~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[0] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux8~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux8~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux8~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux8~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux7~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux7~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux7~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux7~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux6~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux6~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux6~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux6~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux5~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux5~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux5~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux5~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux4~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux4~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux4~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux4~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux3~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux3~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux3~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux3~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux2~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux2~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[0][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][6] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][11] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][12] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][13] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][14] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][15] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute|delay_signals[0][0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[0] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_aseq_eq~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_aseq_eq~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_aseq_eq~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_aseq_eq~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14|delay_signals[1][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_15|delay_signals[0][0] ; Register cell ; 0.04 mW ; 0.02 mW ; -- ; 0.02 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_oseq_eq ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[0] ; Register cell ; 0.04 mW ; 0.01 mW ; -- ; 0.03 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[1] ; Register cell ; 0.03 mW ; 0.01 mW ; -- ; 0.02 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[2] ; Register cell ; 0.04 mW ; 0.01 mW ; -- ; 0.03 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[3] ; Register cell ; 0.03 mW ; 0.01 mW ; -- ; 0.02 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[4] ; Register cell ; 0.04 mW ; 0.01 mW ; -- ; 0.03 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[5] ; Register cell ; 0.03 mW ; 0.01 mW ; -- ; 0.02 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[6] ; Register cell ; 0.03 mW ; 0.01 mW ; -- ; 0.02 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[7] ; Register cell ; 0.03 mW ; 0.01 mW ; -- ; 0.02 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[8] ; Register cell ; 0.04 mW ; 0.01 mW ; -- ; 0.03 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cs[9] ; Register cell ; 0.04 mW ; 0.02 mW ; -- ; 0.02 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cs[10] ; Register cell ; 0.04 mW ; 0.02 mW ; -- ; 0.02 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[9] ; Register cell ; 0.04 mW ; 0.02 mW ; -- ; 0.02 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[10] ; Register cell ; 0.06 mW ; 0.02 mW ; -- ; 0.04 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[0] ; Register cell ; 0.07 mW ; 0.01 mW ; -- ; 0.06 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[1] ; Register cell ; 0.06 mW ; 0.01 mW ; -- ; 0.05 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[2] ; Register cell ; 0.07 mW ; 0.01 mW ; -- ; 0.06 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[3] ; Register cell ; 0.08 mW ; 0.01 mW ; -- ; 0.08 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[4] ; Register cell ; 0.06 mW ; 0.01 mW ; -- ; 0.05 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[5] ; Register cell ; 0.07 mW ; 0.01 mW ; -- ; 0.06 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[6] ; Register cell ; 0.05 mW ; 0.01 mW ; -- ; 0.04 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[7] ; Register cell ; 0.06 mW ; 0.01 mW ; -- ; 0.05 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[8] ; Register cell ; 0.06 mW ; 0.01 mW ; -- ; 0.05 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[9] ; Register cell ; 0.08 mW ; 0.01 mW ; -- ; 0.07 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[10] ; Register cell ; 0.06 mW ; 0.01 mW ; -- ; 0.05 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg[10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[0]~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[1][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[0]~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][5] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][12] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][13] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][15] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute|delay_signals[1][0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux8~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux8~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux8~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux8~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux7~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux7~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux7~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux7~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux6~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux6~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux6~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux6~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux5~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux5~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux5~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux5~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux4~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux4~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux4~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux4~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux3~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux3~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux3~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux3~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux2~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux2~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[0][0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][6] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][10] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][12] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][13] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][14] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][15] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute|delay_signals[0][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14|delay_signals[0][0] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Equal1~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Equal1~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Equal1~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout_valid ; Register cell ; 0.03 mW ; 0.01 mW ; -- ; 0.02 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[59]~0 ; Combinational cell ; 0.03 mW ; 0.00 mW ; -- ; 0.03 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[20]~1 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[19]~2 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[18]~3 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[17]~4 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[16]~5 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[15]~6 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[14]~7 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[13]~8 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[12]~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[11]~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[10]~11 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[9]~12 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[8]~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[7]~14 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[6]~15 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[5]~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[4]~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[3]~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[2]~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[1]~20 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[0]~21 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|Add0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout_valid ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[59]~0 ; Combinational cell ; 0.03 mW ; 0.00 mW ; -- ; 0.02 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[20]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[19]~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[18]~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[17]~4 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[16]~5 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[15]~6 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[14]~7 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[13]~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[12]~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[11]~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[10]~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[9]~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[8]~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[7]~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[6]~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[5]~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[4]~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[3]~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[2]~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[1]~20 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[0]~21 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg[11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[2][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_memread|delay_signals[0][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[0]~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[0]~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_clkproc~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[0]~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[1][0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[0]~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][10] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][12] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][13] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute|delay_signals[1][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14|delay_signals[1][0] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout_valid ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Register cell ; 0.03 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[74]~66 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[10] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[8] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[1] ; Register cell ; 0.05 mW ; 0.04 mW ; -- ; 0.01 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[12] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[13] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[14] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[15] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[16] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[17] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[18] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[19] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[20] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[21] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout_valid ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Register cell ; 0.03 mW ; 0.02 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[74]~66 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|source_valid_s ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|data_valid_out_Q~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|CIC_GAIN[4] ; Register cell ; 0.02 mW ; 0.00 mW ; -- ; 0.02 mW ; -; stm32_interface:STM32_INTERFACE|CIC_GAIN[6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|CIC_GAIN[1] ; Register cell ; 0.02 mW ; 0.00 mW ; -- ; 0.02 mW ; -; stm32_interface:STM32_INTERFACE|CIC_GAIN[0] ; Register cell ; 0.02 mW ; 0.00 mW ; -- ; 0.02 mW ; -; data_shifter:CIC_GAINER|Mux31~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|CIC_GAIN[3] ; Register cell ; 0.02 mW ; 0.00 mW ; -- ; 0.02 mW ; -; data_shifter:CIC_GAINER|Mux31~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|CIC_GAIN[2] ; Register cell ; 0.02 mW ; 0.00 mW ; -- ; 0.02 mW ; -; data_shifter:CIC_GAINER|Mux31~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~20 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|CIC_GAIN[5] ; Register cell ; 0.02 mW ; 0.00 mW ; -- ; 0.02 mW ; -; data_shifter:CIC_GAINER|Mux31~21 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux25~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~22 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~23 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~24 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~25 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~26 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~27 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~28 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~29 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~30 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~31 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~32 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~33 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~34 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~35 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~36 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~37 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~38 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~39 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~40 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~41 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~42 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~43 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~44 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~45 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~46 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~47 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~48 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~49 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~50 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~51 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~52 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~53 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~54 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~55 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~56 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~57 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux22~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux31~58 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_q[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~20 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~21 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~22 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~23 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~24 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~25 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~26 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~27 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~28 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~29 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~30 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~31 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~32 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~33 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~34 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~35 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~36 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~37 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~38 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~39 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~40 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~41 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~42 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~43 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~44 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~45 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~46 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~47 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~48 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~49 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~50 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~51 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~52 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~53 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~54 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~55 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~56 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~57 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~58 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~59 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~60 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux30~61 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~20 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~21 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~22 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~23 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~24 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~25 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~26 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~27 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~28 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~29 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~30 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~31 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~32 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~33 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~34 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~35 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~36 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~37 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~38 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~39 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~40 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~41 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~42 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~43 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~44 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~45 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~46 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~47 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~48 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~49 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~50 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~51 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~52 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~53 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~54 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~55 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~56 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~57 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~58 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux29~59 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~20 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~21 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~22 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~23 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~24 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~25 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~26 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~27 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~28 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~29 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~30 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~31 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~32 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~33 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~34 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~35 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~36 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~37 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~38 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~39 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~40 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~41 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~42 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~43 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~44 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~45 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~46 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~47 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~48 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~49 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~50 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~51 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~52 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~53 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~54 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~55 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~56 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~57 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~58 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux28~59 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux27~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux27~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux27~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux27~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux27~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux27~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux27~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux27~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux27~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux27~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux27~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux27~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux27~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux27~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux27~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux27~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux26~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux26~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux26~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux26~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux26~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux26~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux26~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux26~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux26~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux26~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux26~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux26~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux26~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux26~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux26~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux26~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux26~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux25~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux25~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux25~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux25~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux25~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux25~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux25~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux25~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux25~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux25~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux25~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux25~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux25~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux25~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux25~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux24~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux24~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux24~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux24~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux24~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux24~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux24~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux24~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux24~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux24~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux24~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux24~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux24~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux24~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux24~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux23~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux23~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux23~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux23~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux23~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux23~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux23~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux23~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux23~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux23~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux23~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux23~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux23~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux23~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux23~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux22~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux22~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux22~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux22~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux22~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux22~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux22~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux22~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux22~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux22~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux22~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux22~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux22~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux22~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux22~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux22~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux21~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux21~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux21~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux21~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux21~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux21~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux21~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux21~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux21~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux21~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux21~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux21~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux21~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux21~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux21~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux21~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux20~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux20~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux20~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux20~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux20~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux20~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux20~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux20~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux20~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux20~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux20~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux20~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux20~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux20~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux20~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux20~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux19~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux19~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux19~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux19~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux19~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux19~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux19~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux19~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux19~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux19~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux19~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux19~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux19~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux19~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux19~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux19~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux18~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux18~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux18~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux18~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux18~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux18~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux18~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux18~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux18~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux18~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux17~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux17~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux17~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux17~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux17~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux17~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux17~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux17~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux17~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux17~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux17~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux17~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux17~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux17~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux17~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux16~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux16~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux16~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux16~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux16~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux16~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux16~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux16~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux16~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[2][0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_memread|delay_signals[0][0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[0]~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[0]~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_clkproc~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute|delay_signals[0][0] ; Register cell ; 0.03 mW ; 0.02 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout_valid ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17] ; Register cell ; 0.03 mW ; 0.02 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Register cell ; 0.03 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Register cell ; 0.04 mW ; 0.01 mW ; -- ; 0.02 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Register cell ; 0.03 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[74]~63 ; Combinational cell ; 0.03 mW ; 0.00 mW ; -- ; 0.03 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[16] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[17] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[18] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[19] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[20] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[21] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout_valid ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Register cell ; 0.03 mW ; 0.03 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[74]~63 ; Combinational cell ; 0.02 mW ; 0.00 mW ; -- ; 0.02 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|empty_dff ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|source_valid_s~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|CIC_GAIN[0]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout_valid ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|stall_reg ; Register cell ; 0.07 mW ; 0.00 mW ; -- ; 0.07 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|valid_wreq~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|rd_ptr_lsb ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|ram_read_address[0]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|ram_read_address[1]~1 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|ram_read_address[2]~2 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|ram_read_address[3]~3 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|ram_read_address[4]~4 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_count[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|source_valid_s ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|data_valid_out_I~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~20 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~21 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~22 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~23 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~24 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~25 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~26 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~27 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~28 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~29 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~30 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~31 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~32 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~33 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~34 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~35 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~36 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~37 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~38 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~39 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~40 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~41 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~42 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~43 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~44 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~45 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~46 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~47 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~48 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~49 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~50 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~51 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~52 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~53 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~54 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~55 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~56 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux15~57 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_q[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~20 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~21 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~22 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~23 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~24 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~25 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~26 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~27 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~28 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~29 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~30 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~31 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~32 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~33 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~34 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~35 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~36 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~37 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~38 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~39 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~40 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~41 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~42 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~43 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~44 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~45 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~46 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~47 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~48 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~49 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~50 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~51 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~52 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~53 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~54 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~55 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~56 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~57 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~58 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~59 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux14~60 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~20 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~21 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~22 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~23 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~24 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~25 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~26 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~27 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~28 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~29 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~30 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~31 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~32 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~33 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~34 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~35 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~36 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~37 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~38 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~39 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~40 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~41 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~42 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~43 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~44 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~45 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~46 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~47 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~48 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~49 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~50 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~51 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~52 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~53 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~54 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~55 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~56 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~57 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux13~58 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~20 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~21 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~22 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~23 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~24 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~25 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~26 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~27 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~28 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~29 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~30 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~31 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~32 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~33 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~34 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~35 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~36 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~37 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~38 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~39 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~40 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~41 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~42 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~43 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~44 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~45 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~46 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~47 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~48 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~49 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~50 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~51 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~52 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~53 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~54 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~55 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~56 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~57 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~58 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux12~59 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux11~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux11~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux11~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux11~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux11~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux11~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux11~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux11~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux11~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux11~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux11~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux11~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux11~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux11~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux11~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux11~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux10~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux10~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux10~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux10~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux10~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux10~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux10~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux10~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux10~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux10~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux10~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux10~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux10~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux10~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux10~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux10~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux9~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux9~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux9~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux9~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux9~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux9~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux9~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux9~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux9~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux9~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux9~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux9~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux9~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux9~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux9~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux8~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux8~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux8~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux8~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux8~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux8~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux8~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux8~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux8~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux8~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux8~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux8~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux8~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux8~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux8~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux7~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux7~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux7~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux7~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux7~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux7~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux7~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux7~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux7~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux7~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux7~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux7~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux7~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux7~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux7~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux6~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux6~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux6~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux6~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux6~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux6~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux6~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux6~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux6~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux6~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux6~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux6~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux6~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux6~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux6~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux6~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux5~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux5~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux5~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux5~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux5~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux5~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux5~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux5~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux5~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux5~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux5~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux5~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux5~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux5~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux5~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux5~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux4~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux4~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux4~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux4~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux4~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux4~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux4~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux4~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux4~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux4~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux4~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux4~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux4~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux4~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux4~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux4~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux3~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux3~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux3~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux3~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux3~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux3~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux3~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux3~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux3~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux3~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux3~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux3~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux3~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux3~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux3~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux3~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux2~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux2~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux2~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux2~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux2~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux2~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux2~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux2~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux2~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux2~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux1~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux1~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux1~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux1~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux1~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux1~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux1~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux1~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux1~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux1~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux1~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux1~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux1~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux1~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux1~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux0~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux0~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux0~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux0~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux0~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux0~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux0~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:CIC_GAINER|Mux0~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute|delay_signals[1][0] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout_valid ; Register cell ; 0.04 mW ; 0.01 mW ; -- ; 0.02 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[74]~60 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[15]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[7]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[21]~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout_valid ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[74]~60 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|usedw_is_0_dff ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|usedw_is_1_dff ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|empty_dff~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|empty_dff~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|usedw_will_be_1~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|usedw_will_be_1~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|usedw_will_be_1~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|usedw_will_be_1~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout_valid ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout_valid~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout_valid~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|Equal2~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|state~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|stall_reg~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|usedw_will_be_1~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|_~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|_~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout~108 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|_~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[0]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|rd_ptr_lsb~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|rd_ptr_lsb~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|_~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[2]~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[3]~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[4]~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_count[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_enableQ[0] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add2~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|empty_dff ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|source_valid_s~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout_valid ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|stall_reg ; Register cell ; 0.07 mW ; 0.01 mW ; -- ; 0.06 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|valid_wreq~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|rd_ptr_lsb ; Register cell ; 0.03 mW ; 0.02 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|ram_read_address[0]~0 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|ram_read_address[1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|ram_read_address[2]~2 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|ram_read_address[3]~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|ram_read_address[4]~4 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_count[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_memread|delay_signals[0][0] ; Register cell ; 0.05 mW ; 0.02 mW ; -- ; 0.03 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout_valid ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Register cell ; 0.04 mW ; 0.03 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[74]~57 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout_valid ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[74]~57 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|_~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout_valid ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout_valid~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|Equal2~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|comb~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|count[3]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|Add0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|count[2]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|Add0~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|count[3]~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|count~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|count[1]~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|sink_ready_ctrl~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Equal2~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|sink_ready_ctrl~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|sink_ready_ctrl~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Mux0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Mux0~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|sink_ready_ctrl~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|dffe_nae~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|dffe_nae~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout~259 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout~108 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_count[0]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_enableQ~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|usedw_is_0_dff ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|usedw_is_1_dff ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|empty_dff~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|empty_dff~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|usedw_will_be_1~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|usedw_will_be_1~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|usedw_will_be_1~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|usedw_will_be_1~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout_valid ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout_valid~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout_valid~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|Equal2~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|state~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|stall_reg~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|usedw_will_be_1~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|_~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|_~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout~98 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|_~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[0]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|rd_ptr_lsb~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|rd_ptr_lsb~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|_~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[2]~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[3]~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[4]~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_count[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_enableQ[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add2~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_q[0] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout_valid ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Register cell ; 0.03 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[74]~54 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout_valid ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[74]~54 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout_valid ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout_valid~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|valid_wreq ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|empty_dff ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_8a7:usedw_counter|_~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Equal3~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|usedw_process~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|sink_ready_ctrl_process~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|ready_fifo_wrreq~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Decoder0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Decoder0~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Decoder0~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr|always0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr|data_ready~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout~259 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout~108 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~20 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|_~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout_valid ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout_valid~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|Equal2~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|comb~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|count[3]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|Add0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|count[2]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|Add0~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|count[3]~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|count~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|count[1]~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|sink_ready_ctrl~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Equal2~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|sink_ready_ctrl~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|sink_ready_ctrl~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Mux0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Mux0~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|sink_ready_ctrl~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|dffe_nae~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|dffe_nae~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout~259 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout~98 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_count[0]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_enableQ~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_count[1] ; Register cell ; 0.03 mW ; 0.02 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|data_valid ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[0]~51 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|data_valid ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Register cell ; 0.03 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[0]~51 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout_valid ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout_valid~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|_~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|_~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|usedw_is_1_dff ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|empty_dff~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|rd_ptr_lsb~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|_~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|usedw_is_0_dff ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|usedw_will_be_1~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|usedw_will_be_1~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|usedw_will_be_1~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|empty_dff~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|empty_dff~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|empty_dff~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|empty_dff~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|usedw_process~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout~259 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout~108 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout_valid ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout_valid~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Equal3~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|usedw_process~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|sink_ready_ctrl_process~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|ready_fifo_wrreq~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Decoder0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Decoder0~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Decoder0~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|valid_wreq ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|empty_dff ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_8a7:usedw_counter|_~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout~259 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout~98 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~20 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_count[0] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_enableQ[0] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|tx_iq_valid ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add2~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[24] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; stm32_interface:STM32_INTERFACE|TX_CICFIR_GAIN[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[25] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_CICFIR_GAIN[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[23] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux20~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[26] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux20~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_CICFIR_GAIN[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[20] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[21] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[19] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux24~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[22] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux24~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_CICFIR_GAIN[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[16] ; Register cell ; 0.03 mW ; 0.01 mW ; -- ; 0.02 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[17] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[15] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux28~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[18] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux28~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux16~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[29] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[27] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux16~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[28] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux16~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux16~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[5] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux28~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux28~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[8] ; Register cell ; 0.03 mW ; 0.02 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[9] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[7] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux28~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[10] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux28~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[0] ; Register cell ; 0.03 mW ; 0.01 mW ; -- ; 0.02 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux28~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux28~7 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux16~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[13] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[12] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[11] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux28~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[14] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux28~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux16~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_CICFIR_GAIN[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[15]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_s9b:wr_ptr|counter_reg_bit[0] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_s9b:wr_ptr|counter_reg_bit[1] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_s9b:wr_ptr|counter_reg_bit[2] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|low_addressa[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|rd_ptr_lsb ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|ram_read_address[0]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_r9b:rd_ptr_msb|counter_reg_bit[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|low_addressa[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|ram_read_address[1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_r9b:rd_ptr_msb|counter_reg_bit[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|low_addressa[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|ram_read_address[2]~2 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux21~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux21~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux25~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux25~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux29~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux29~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux17~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux17~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux17~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux17~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux29~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux29~3 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux29~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux29~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux29~6 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux17~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux29~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux29~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux17~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[14]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux22~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux22~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux26~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux26~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux30~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux30~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux18~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux18~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux18~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux18~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux30~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux30~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux30~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux30~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux18~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux18~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux30~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux30~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux18~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[13]~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux23~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux23~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux27~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux27~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux31~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux31~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux19~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux19~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux19~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux19~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux31~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux31~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux31~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux31~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux19~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux19~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux31~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux31~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux19~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[12]~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux20~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux20~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux20~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux20~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux20~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[11]~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux21~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux21~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux21~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux21~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux21~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[10]~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux22~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux22~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux30~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux22~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux22~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux22~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[9]~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[0]~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[8]~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux23~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux23~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux24~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux24~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[7]~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[7]~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[7]~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux25~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux25~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[6]~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[6]~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[6]~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux26~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux26~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[5]~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[5]~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[5]~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[4]~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[4]~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[4]~20 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux27~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux27~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[4]~21 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[3]~22 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[3]~23 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux28~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux28~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[3]~24 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[2]~25 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[2]~26 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux29~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux29~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[2]~27 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[1]~28 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[1]~29 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux30~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux30~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[1]~30 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[0]~31 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux31~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux31~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[0]~32 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[21] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[20] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[19] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux8~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[22] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux8~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[25] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[24] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[23] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux4~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[26] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux4~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[17] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[16] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[15] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux12~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[18] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux12~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[29] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[27] ; Register cell ; 0.04 mW ; 0.02 mW ; -- ; 0.02 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux0~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[28] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux0~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux0~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[8] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux12~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[10] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux12~3 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[5] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux12~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[6] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux12~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[0] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux12~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[1] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux12~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux0~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[12] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[13] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[11] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux12~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[14] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux12~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux0~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[15]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_s9b:wr_ptr|counter_reg_bit[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_s9b:wr_ptr|counter_reg_bit[1] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_s9b:wr_ptr|counter_reg_bit[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|low_addressa[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|rd_ptr_lsb ; Register cell ; 0.03 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|ram_read_address[0]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_r9b:rd_ptr_msb|counter_reg_bit[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|low_addressa[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|ram_read_address[1]~1 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_r9b:rd_ptr_msb|counter_reg_bit[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|low_addressa[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|ram_read_address[2]~2 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux9~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux9~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux5~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux5~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux13~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux13~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux1~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux1~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux1~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux1~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux13~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux13~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux13~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux13~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux13~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux1~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux13~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux13~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux1~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[14]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux10~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux10~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux6~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux6~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux14~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux14~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux2~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux2~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux2~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux2~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux14~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux14~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux14~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux14~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux2~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux2~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux14~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux14~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux2~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[13]~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux11~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux11~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux7~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux7~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux15~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux15~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux3~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux3~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux3~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux3~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux15~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux15~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux15~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux15~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux3~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux3~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux15~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux15~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux3~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[12]~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux4~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux4~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux4~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux4~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux4~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[11]~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux5~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux5~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux5~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux5~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux5~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[10]~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux6~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux6~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux14~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux6~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux6~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux6~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[9]~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux7~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux7~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[8]~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[8]~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[8]~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux8~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux8~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[7]~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[7]~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[7]~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux9~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux9~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[6]~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[6]~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[6]~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux10~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux10~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[5]~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[5]~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[5]~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[4]~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[4]~20 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux11~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux11~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[4]~21 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[3]~22 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[3]~23 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux12~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux12~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[3]~24 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[2]~25 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[2]~26 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux13~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux13~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[2]~27 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[1]~28 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[1]~29 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux14~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux14~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[1]~30 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[0]~31 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux15~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|Mux15~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[0]~32 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout_valid ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout_valid~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|usedw_will_be_1~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|empty_dff~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout~259 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout~108 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout_valid ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout_valid~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|usedw_process~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|_~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|_~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|_~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|usedw_is_0_dff ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|usedw_is_1_dff ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|_~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|empty_dff~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|usedw_will_be_1~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|usedw_will_be_1~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|usedw_will_be_1~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|usedw_will_be_1~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|empty_dff~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout~259 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout~98 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_count[0]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[5] ; Register cell ; 0.05 mW ; 0.05 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_enableQ~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|tx_iq_valid~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|tx_iq_valid~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_CICFIR_GAIN[0]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][16] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][17] ; Register cell ; 0.03 mW ; 0.02 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][15] ; Register cell ; 0.03 mW ; 0.02 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][18] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][5] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][4] ; Register cell ; 0.08 mW ; 0.08 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][3] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][6] ; Register cell ; 0.03 mW ; 0.02 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][8] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][7] ; Register cell ; 0.06 mW ; 0.05 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][10] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][2] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][0] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][13] ; Register cell ; 0.03 mW ; 0.02 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][12] ; Register cell ; 0.03 mW ; 0.02 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][11] ; Register cell ; 0.03 mW ; 0.02 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][14] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][17] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][16] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][15] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][18] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][9] ; Register cell ; 0.04 mW ; 0.03 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][8] ; Register cell ; 0.03 mW ; 0.02 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][7] ; Register cell ; 0.03 mW ; 0.02 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][10] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][5] ; Register cell ; 0.03 mW ; 0.02 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][0] ; Register cell ; 0.03 mW ; 0.02 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][12] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][13] ; Register cell ; 0.03 mW ; 0.02 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][11] ; Register cell ; 0.03 mW ; 0.02 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][14] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|ena_diff_s[1] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout_valid~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout~259 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout~108 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[13] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[12] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[42] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[41] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[40] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[39] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[38] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[37] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[36] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[35] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[34] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[33] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[32] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[31] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[30] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[29] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[28] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[27] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[26] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[25] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[24] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[23] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[22] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[21] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[20] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[19] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[18] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[17] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[16] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[43] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[45] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[44] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[46] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[47] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[74] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[73] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[72] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[71] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[70] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[69] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[68] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[67] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[66] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[65] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[64] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[63] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[62] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[61] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[60] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[59] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[58] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[57] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[56] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[55] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[54] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[53] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[52] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[51] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[50] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[49] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[48] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[75] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[77] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[76] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[78] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[79] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[85] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[84] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[83] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[82] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[81] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[80] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout_valid ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout_valid~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout~259 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout~98 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~20 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][23] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][22] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][21] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][20] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][19] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_aseq_q_16|delay_signals[0][0] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][21] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][20] ; Register cell ; 0.03 mW ; 0.02 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][19] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][22] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][23] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|fifo_rdreq ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|valid_rreq~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout~259 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[10] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|empty_dff ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|valid_rreq ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[8] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[11] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[13] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[12] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[14] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[15] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[42] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[41] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[40] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[39] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[38] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[37] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[36] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[35] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[34] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[33] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[32] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[31] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[30] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[29] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[28] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[27] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[26] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[25] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[24] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[23] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[22] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[21] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[20] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[19] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[18] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[17] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[16] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[43] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[45] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[44] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[46] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[47] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[74] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[73] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[72] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[71] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[70] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[69] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[68] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[67] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[66] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[65] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[64] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[63] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[62] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[61] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[60] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[59] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[58] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[57] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[56] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[55] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[54] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[53] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[52] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[51] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[50] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[49] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[48] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[75] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[77] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[76] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[78] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[79] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[85] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[84] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[83] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[82] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[81] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[80] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|ena_diff_s[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout_valid~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout~259 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout~98 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[37] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[36] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[35] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[34] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[33] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[32] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[31] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[30] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[29] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[28] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[27] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[26] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[25] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[24] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[23] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[22] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[21] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[20] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[19] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[18] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[17] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[16] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[38] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[39] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[42] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[41] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[40] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[43] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[45] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[44] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[46] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[47] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[69] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[68] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[67] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[66] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[65] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[64] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[63] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[62] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[61] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[60] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[59] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[58] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[57] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[56] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[55] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[54] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[53] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[52] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[51] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[50] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[49] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[48] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[70] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[71] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[74] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[73] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[72] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[75] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[77] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[76] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[78] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[79] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[85] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[84] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[83] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[82] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[81] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[80] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|fifo_rdreq~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[268] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[182] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|low_addressa[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|ram_read_address[1]~0 ; Combinational cell ; 0.03 mW ; 0.00 mW ; -- ; 0.02 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[96] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|low_addressa[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|rd_ptr_lsb ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|ram_read_address[0]~1 ; Combinational cell ; 0.02 mW ; 0.00 mW ; -- ; 0.02 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[10]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[10]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Equal0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|valid_wreq~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|valid_wreq~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|valid_wreq~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|_~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|usedw_is_0_dff ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|usedw_is_1_dff ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|_~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|empty_dff~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|_~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|valid_wreq~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|usedw_will_be_1~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|usedw_will_be_1~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|empty_dff~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[267] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[95] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[181] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[9]~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[9]~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[266] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[180] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[94] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[8]~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[8]~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[93] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[179] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[265] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[7]~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[7]~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[264] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[178] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[92] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[6]~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[6]~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[263] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[177] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[91] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[5]~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[5]~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[262] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[90] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[176] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[4]~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[4]~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[89] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[261] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[175] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[3]~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[3]~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[260] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[174] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[88] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[2]~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[2]~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[259] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[87] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[173] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[1]~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[1]~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[258] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[172] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[86] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[0]~20 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[0]~21 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[269] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[97] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[183] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[11]~22 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[11]~23 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[271] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[99] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[185] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[13]~24 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[13]~25 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[270] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[98] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[184] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[12]~26 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[12]~27 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[100] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[272] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[186] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[14]~28 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[14]~29 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[101] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[187] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[273] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[15]~30 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[15]~31 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[300] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[128] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[214] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[42] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[42]~32 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[42]~33 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[127] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[41] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[299] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[213] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[41]~34 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[41]~35 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[298] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[212] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[40] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[126] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[40]~36 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[40]~37 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[125] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[39] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[211] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[297] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[39]~38 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[39]~39 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[296] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[210] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[124] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[38] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[38]~40 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[38]~41 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[123] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[37] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[295] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[209] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[37]~42 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[37]~43 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[294] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[122] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[208] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[36] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[36]~44 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[36]~45 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[293] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[207] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[121] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[35] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[35]~46 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[35]~47 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[292] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[120] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[206] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[34] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[34]~48 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[34]~49 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[119] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[33] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[205] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[291] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[33]~50 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[33]~51 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[118] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[32] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[290] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[204] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[32]~52 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[32]~53 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[117] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[31] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[289] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[203] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[31]~54 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[31]~55 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[116] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[30] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[202] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[288] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[30]~56 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[30]~57 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[287] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[115] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[201] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[29] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[29]~58 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[29]~59 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[286] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[200] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[28] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[114] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[28]~60 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[28]~61 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[113] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[27] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[199] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[285] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[27]~62 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[27]~63 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[112] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[26] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[198] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[284] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[26]~64 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[26]~65 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[283] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[197] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[111] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[25] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[25]~66 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[25]~67 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[110] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[24] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[282] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[196] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[24]~68 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[24]~69 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[281] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[109] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[195] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[23] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[23]~70 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[23]~71 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[108] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[22] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[280] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[194] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[22]~72 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[22]~73 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[279] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[193] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[21] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[107] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[21]~74 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[21]~75 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[106] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[20] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[278] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[192] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[20]~76 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[20]~77 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[277] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[105] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[191] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[19] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[19]~78 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[19]~79 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[276] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[104] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[190] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[18] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[18]~80 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[18]~81 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[103] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[17] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[189] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[275] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[17]~82 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[17]~83 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[274] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[102] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[188] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[16] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[16]~84 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[16]~85 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[301] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[129] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[215] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[43] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[43]~86 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[43]~87 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[131] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[45] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[303] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[217] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[45]~88 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[45]~89 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[130] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[44] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[216] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[302] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[44]~90 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[44]~91 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[304] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[218] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[46] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[132] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[46]~92 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[46]~93 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[305] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[219] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[47] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[133] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[47]~94 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[47]~95 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[160] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[74] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[332] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[246] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[74]~96 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[74]~97 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[331] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[159] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[245] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[73] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[73]~98 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[73]~99 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[330] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[244] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[72] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[158] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[72]~100 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[72]~101 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[157] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[71] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[243] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[329] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[71]~102 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[71]~103 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[156] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[70] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[242] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[328] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[70]~104 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[70]~105 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[327] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[241] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[69] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[155] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[69]~106 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[69]~107 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[154] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[68] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[240] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[326] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[68]~108 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[68]~109 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[153] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[67] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[239] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[325] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[67]~110 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[67]~111 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[324] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[152] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[238] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[66] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[66]~112 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[66]~113 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[323] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[151] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[237] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[65] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[65]~114 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[65]~115 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[150] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[64] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[236] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[322] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[64]~116 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[64]~117 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[321] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[149] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[235] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[63] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[63]~118 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[63]~119 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[148] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[62] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[320] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[234] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[62]~120 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[62]~121 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[147] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[61] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[319] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[233] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[61]~122 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[61]~123 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[146] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[60] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[318] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[232] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[60]~124 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[60]~125 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[145] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[59] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[231] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[317] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[59]~126 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[59]~127 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[144] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[58] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[230] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[316] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[58]~128 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[58]~129 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[143] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[57] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[315] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[229] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[57]~130 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[57]~131 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[142] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[56] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[228] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[314] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[56]~132 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[56]~133 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[141] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[55] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[313] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[227] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[55]~134 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[55]~135 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[312] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[226] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[140] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[54] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[54]~136 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[54]~137 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[139] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[53] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[225] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[311] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[53]~138 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[53]~139 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[138] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[52] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[310] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[224] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[52]~140 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[52]~141 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[137] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[51] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[223] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[309] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[51]~142 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[51]~143 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[136] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[50] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[222] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[308] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[50]~144 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[50]~145 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[135] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[49] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[307] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[221] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[49]~146 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[49]~147 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[134] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[48] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[306] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[220] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[48]~148 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[48]~149 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[161] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[75] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[333] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[247] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[75]~150 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[75]~151 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[335] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[249] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[77] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[163] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[77]~152 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[77]~153 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[162] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[76] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[248] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[334] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[76]~154 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[76]~155 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[164] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[78] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[250] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[336] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[78]~156 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[78]~157 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[165] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[79] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[251] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[337] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[79]~158 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[79]~159 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[343] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[257] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[171] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[85] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[85]~160 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[85]~161 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[170] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[84] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[342] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[256] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[84]~162 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[84]~163 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[169] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[83] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[255] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[341] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[83]~164 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[83]~165 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[168] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[82] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[340] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[254] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[82]~166 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[82]~167 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[339] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[253] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[81] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[167] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[81]~168 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[81]~169 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[166] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[80] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[252] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[338] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[80]~170 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[80]~171 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|fifo_rdreq ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|valid_rreq~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout~259 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|empty_dff ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|valid_rreq ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[2] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[8] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[13] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[12] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[14] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[15] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[37] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[36] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[35] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[34] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[33] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[32] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[31] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[30] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[29] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[28] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[27] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[26] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[25] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[24] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[23] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[22] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[21] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[20] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[19] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[18] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[17] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[16] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[38] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[39] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[42] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[41] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[40] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[43] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[45] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[44] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[46] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[47] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[69] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[68] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[67] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[66] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[65] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[64] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[63] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[62] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[61] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[60] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[59] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[58] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[57] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[56] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[55] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[54] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[53] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[52] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[51] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[50] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[49] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[48] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[70] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[71] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[74] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[73] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[72] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[75] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[77] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[76] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[78] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[79] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[85] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[84] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[83] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[82] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[81] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[80] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_aseq_eq ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|ena_diff_s~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[10] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|address_reg[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|address_reg[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|wren_reg ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|decode_msa:address_decoder|w_anode48w[2]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|decode_msa:address_decoder|w_anode40w[2]~0 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_q9b:rd_ptr_msb|_~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|low_addressa[1]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|decode_msa:address_decoder|w_anode32w[2]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|decode_msa:address_decoder|w_anode19w[2]~0 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|low_addressa[0]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|rd_ptr_lsb~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|rd_ptr_lsb~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|_~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Equal0~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Equal0~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Equal0~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|sample_state~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|sample_state~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_7a7:usedw_counter|_~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[8] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[7] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[4] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[1] ; Register cell ; 0.03 mW ; 0.03 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[11] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[13] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[12] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[14] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[15] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[42] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[41] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[40] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[39] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[38] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[37] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[36] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[35] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[34] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[33] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[32] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[31] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[30] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[29] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[28] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[27] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[26] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[25] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[24] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[23] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[22] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[21] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[20] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[19] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[18] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[17] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[16] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[43] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[45] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[44] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[46] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[47] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[74] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[73] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[72] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[71] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[70] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[69] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[68] ; Register cell ; 0.03 mW ; 0.03 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[67] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[66] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[65] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[64] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[63] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[62] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[61] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[60] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[59] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[58] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[57] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[56] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[55] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[54] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[53] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[52] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[51] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[50] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[49] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[48] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[75] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[77] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[76] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[78] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[79] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[85] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[84] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[83] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[82] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[81] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[80] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|fifo_rdreq~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[91] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|low_addressa[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|ram_read_address[1]~0 ; Combinational cell ; 0.02 mW ; 0.00 mW ; -- ; 0.02 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|low_addressa[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|rd_ptr_lsb ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|ram_read_address[0]~1 ; Combinational cell ; 0.02 mW ; 0.00 mW ; -- ; 0.02 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[263] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[177] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[5]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[5]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Equal0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|valid_wreq~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|valid_wreq~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|valid_wreq~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|_~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|usedw_is_0_dff ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|usedw_is_1_dff ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|_~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|empty_dff~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|_~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|valid_wreq~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|usedw_will_be_1~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|usedw_will_be_1~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|empty_dff~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[90] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[262] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[176] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[4]~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[4]~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[261] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[175] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[89] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[3]~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[3]~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[88] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[260] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[174] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[2]~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[2]~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[87] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[173] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[259] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[1]~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[1]~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[86] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[172] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[258] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[0]~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[0]~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[92] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[264] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[178] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[6]~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[6]~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[265] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[93] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[179] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[7]~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[7]~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[268] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[96] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[182] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[10]~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[10]~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[95] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[181] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[267] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[9]~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[9]~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[94] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[266] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[180] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[8]~20 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[8]~21 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[97] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[269] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[183] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[11]~22 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[11]~23 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[99] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[271] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[185] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[13]~24 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[13]~25 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[98] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[270] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[184] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[12]~26 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[12]~27 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[100] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[186] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[272] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[14]~28 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[14]~29 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[273] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[101] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[187] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[15]~30 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[15]~31 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[295] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[123] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[209] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[37] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[37]~32 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[37]~33 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[122] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[36] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[208] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[294] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[36]~34 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[36]~35 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[121] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[35] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[293] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[207] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[35]~36 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[35]~37 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[120] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[34] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[206] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[292] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[34]~38 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[34]~39 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[291] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[205] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[33] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[119] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[33]~40 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[33]~41 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[118] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[32] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[204] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[290] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[32]~42 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[32]~43 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[117] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[31] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[203] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[289] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[31]~44 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[31]~45 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[116] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[30] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[202] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[288] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[30]~46 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[30]~47 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[115] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[29] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[287] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[201] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[29]~48 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[29]~49 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[114] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[28] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[200] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[286] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[28]~50 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[28]~51 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[113] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[27] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[199] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[285] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[27]~52 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[27]~53 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[284] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[112] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[198] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[26] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[26]~54 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[26]~55 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[283] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[197] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[111] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[25] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[25]~56 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[25]~57 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[110] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[24] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[196] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[282] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[24]~58 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[24]~59 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[109] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[23] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[195] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[281] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[23]~60 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[23]~61 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[280] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[108] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[194] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[22] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[22]~62 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[22]~63 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[279] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[107] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[193] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[21] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[21]~64 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[21]~65 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[106] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[20] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[278] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[192] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[20]~66 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[20]~67 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[277] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[191] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[19] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[105] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[19]~68 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[19]~69 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[276] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[104] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[190] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[18] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[18]~70 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[18]~71 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[103] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[17] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[275] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[189] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[17]~72 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[17]~73 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[274] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[102] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[188] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[16] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[16]~74 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[16]~75 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[124] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[38] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[210] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[296] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[38]~76 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[38]~77 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[125] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[39] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[297] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[211] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[39]~78 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[39]~79 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[128] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[42] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[214] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[300] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[42]~80 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[42]~81 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[127] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[41] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[299] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[213] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[41]~82 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[41]~83 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[298] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[126] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[212] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[40] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[40]~84 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[40]~85 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[129] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[43] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[215] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[301] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[43]~86 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[43]~87 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[303] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[131] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[217] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[45] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[45]~88 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[45]~89 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[302] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[130] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[216] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[44] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[44]~90 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[44]~91 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[304] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[132] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[218] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[46] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[46]~92 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[46]~93 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[305] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[133] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[219] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[47] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[47]~94 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[47]~95 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[155] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[69] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[241] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[327] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[69]~96 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[69]~97 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[326] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[154] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[240] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[68] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[68]~98 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[68]~99 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[153] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[67] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[239] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[325] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[67]~100 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[67]~101 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[152] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[66] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[238] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[324] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[66]~102 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[66]~103 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[323] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[151] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[237] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[65] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[65]~104 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[65]~105 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[322] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[150] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[236] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[64] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[64]~106 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[64]~107 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[321] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[149] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[235] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[63] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[63]~108 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[63]~109 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[148] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[62] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[320] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[234] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[62]~110 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[62]~111 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[319] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[233] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[61] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[147] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[61]~112 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[61]~113 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[318] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[232] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[146] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[60] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[60]~114 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[60]~115 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[145] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[59] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[317] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[231] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[59]~116 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[59]~117 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[316] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[230] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[58] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[144] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[58]~118 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[58]~119 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[143] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[57] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[229] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[315] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[57]~120 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[57]~121 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[314] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[142] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[228] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[56] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[56]~122 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[56]~123 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[313] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[141] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[227] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[55] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[55]~124 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[55]~125 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[312] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[226] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[140] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[54] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[54]~126 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[54]~127 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[139] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[53] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[311] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[225] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[53]~128 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[53]~129 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[138] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[52] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[224] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[310] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[52]~130 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[52]~131 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[309] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[223] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[51] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[137] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[51]~132 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[51]~133 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[136] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[50] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[308] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[222] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[50]~134 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[50]~135 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[307] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[135] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[221] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[49] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[49]~136 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[49]~137 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[134] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[48] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[220] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[306] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[48]~138 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[48]~139 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[328] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[156] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[242] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[70] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[70]~140 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[70]~141 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[329] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[157] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[243] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[71] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[71]~142 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[71]~143 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[332] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[246] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[74] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[160] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[74]~144 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[74]~145 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[331] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[245] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[159] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[73] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[73]~146 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[73]~147 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[330] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[158] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[244] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[72] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[72]~148 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[72]~149 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[161] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[75] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[247] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[333] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[75]~150 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[75]~151 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[163] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[77] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[249] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[335] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[77]~152 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[77]~153 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[162] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[76] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[334] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[248] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[76]~154 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[76]~155 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[164] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[78] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[336] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[250] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[78]~156 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[78]~157 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[337] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[251] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[79] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[165] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[79]~158 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[79]~159 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[171] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[85] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[343] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[257] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[85]~160 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[85]~161 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[342] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[170] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[256] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[84] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[84]~162 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[84]~163 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[341] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[255] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[83] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[169] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[83]~164 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[83]~165 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[168] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[82] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[254] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[340] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[82]~166 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[82]~167 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[339] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[167] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[253] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[81] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[81]~168 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[81]~169 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[338] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[166] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[252] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[80] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[80]~170 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[80]~171 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[0] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[1] ; Register cell ; 0.03 mW ; 0.02 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[2] ; Register cell ; 0.04 mW ; 0.03 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[3] ; Register cell ; 0.03 mW ; 0.03 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[4] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[5] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[6] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[7] ; Register cell ; 0.04 mW ; 0.02 mW ; -- ; 0.02 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_aseq_eq~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_aseq_eq~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_aseq_eq~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_aseq_eq~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[0] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[1] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[2] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[3] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[4] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[5] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[6] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[7] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|ena_diff_s~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[5] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|address_reg[0] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|wren_reg ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|address_reg[1] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|decode_msa:address_decoder|w_anode32w[2]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|decode_msa:address_decoder|w_anode19w[2]~0 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_q9b:rd_ptr_msb|_~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|low_addressa[1]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|low_addressa[0]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|rd_ptr_lsb~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|rd_ptr_lsb~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|decode_msa:address_decoder|w_anode48w[2]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|decode_msa:address_decoder|w_anode40w[2]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|_~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Equal0~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Equal0~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Equal0~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|sample_state~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|sample_state~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_7a7:usedw_counter|_~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[3] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[6] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[10] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[8] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[13] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[12] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[14] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[15] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[37] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[36] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[35] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[34] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[33] ; Register cell ; 0.03 mW ; 0.03 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[32] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[31] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[30] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[29] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[28] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[27] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[26] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[25] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[24] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[23] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[22] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[21] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[20] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[19] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[18] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[17] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[16] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[38] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[39] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[42] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[41] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[40] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[43] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[45] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[44] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[46] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[47] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[69] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[68] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[67] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[66] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[65] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[64] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[63] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[62] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[61] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[60] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[59] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[58] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[57] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[56] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[55] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[54] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[53] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[52] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[51] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[50] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[49] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[48] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[70] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[71] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[74] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[73] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[72] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[75] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[77] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[76] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[78] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[79] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[85] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[84] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[83] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[82] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[81] ; Register cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[80] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[0] ; Register cell ; 0.03 mW ; 0.01 mW ; -- ; 0.02 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~3 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~5 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux8~0 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux8~1 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux8~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux8~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux7~0 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux7~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux7~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux7~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux6~0 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux6~1 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux6~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux6~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux5~0 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux5~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux5~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux5~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux4~0 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux4~1 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux4~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux4~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux3~0 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux3~1 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux3~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux3~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux2~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux2~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[0][0] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][0] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][1] ; Register cell ; 0.04 mW ; 0.03 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][2] ; Register cell ; 0.05 mW ; 0.03 mW ; -- ; 0.02 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][3] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][4] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][6] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][8] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][10] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][12] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][13] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][14] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][15] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[0] ; Register cell ; 0.06 mW ; 0.05 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux8~0 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux8~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux8~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux8~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux7~0 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux7~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux7~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux7~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux6~0 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux6~1 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux6~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux6~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux5~0 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux5~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux5~2 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux5~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux4~0 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux4~1 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux4~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux4~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux3~0 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux3~1 ; Combinational cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux3~2 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux3~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux2~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux2~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][2] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][3] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][8] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][10] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][11] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][12] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][13] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][14] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][15] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_r9b:wr_ptr|_~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[1][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[0] ; Register cell ; 0.04 mW ; 0.04 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][1] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][2] ; Register cell ; 0.02 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][8] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][10] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][12] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][13] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][14] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][15] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][8] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][10] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][12] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][13] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][14] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][15] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_r9b:wr_ptr|_~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[2][0] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[0]~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_clkproc~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][3] ; Register cell ; 0.04 mW ; 0.04 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][4] ; Register cell ; 0.04 mW ; 0.03 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][5] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][7] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][8] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][9] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][10] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][12] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][13] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][14] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][15] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[0]~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_clkproc~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][3] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][4] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][5] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][6] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][7] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][8] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][9] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][10] ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][11] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][12] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][13] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][14] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][15] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_Q[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_Q[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_Q[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_Q[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_Q[4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_Q[5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_Q[6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_Q[7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_Q[8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_Q[9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_Q[10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_Q[11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_Q[12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_Q[13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_Q[14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_Q[15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_I[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_I[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_I[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_I[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_I[4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_I[5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_I[6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_I[7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_I[8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_I[9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_I[10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_I[11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_I[12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_I[13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_I[14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_I[15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_Q[0]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[8]~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|k~56 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[8]~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[9]~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[10]~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[11]~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[12]~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[13]~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[14]~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[15]~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|valid_rreq ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|low_addressa[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|rd_ptr_lsb ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|ram_read_address[0]~0 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|low_addressa[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|ram_read_address[1]~1 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|low_addressa[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|ram_read_address[2]~2 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_s9b:wr_ptr|_~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|low_addressa[0]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|rd_ptr_lsb~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_r9b:rd_ptr_msb|_~0 ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|low_addressa[1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|low_addressa[2]~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|valid_rreq ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|low_addressa[0] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|rd_ptr_lsb ; Register cell ; 0.02 mW ; 0.02 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|ram_read_address[0]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|low_addressa[1] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|ram_read_address[1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|low_addressa[2] ; Register cell ; 0.01 mW ; 0.01 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|ram_read_address[2]~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_s9b:wr_ptr|_~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|low_addressa[0]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|rd_ptr_lsb~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|rd_ptr_lsb~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_r9b:rd_ptr_msb|_~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|low_addressa[1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|low_addressa[2]~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|add_one ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|Equal0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|Equal0~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|Equal0~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|Equal0~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|is_zero~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|add_one ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|Equal0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|Equal0~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|Equal0~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|Equal0~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cs~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cs~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~14 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[16] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~16 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[17] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~17 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[18] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~18 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[19] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~19 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[20] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~20 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[21] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~21 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[9]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[7]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[16]~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|empty_dff~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|empty_dff~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[8]~33 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[8]~34 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux7~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux7~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux23~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; data_shifter:RX_CICFIR_GAINER|Mux23~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|tx~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DAC_GAIN[5]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|rx~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|rd_ptr_lsb~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|rd_ptr_lsb~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr[0]~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr[0]~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr[0]~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr[0]~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[9]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[9]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[7]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[5]~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[3]~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[1]~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[0]~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[12]~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[13]~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[15]~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[16]~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[17]~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|CIC_GAIN[5]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[9]~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[7]~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[5]~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[3]~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[1]~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[0]~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[12]~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[13]~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[15]~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[16]~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[17]~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|rd_ptr_lsb~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|rd_ptr_lsb~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[0]~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[0]~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[0]~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[0]~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[0]~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[0]~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[9]~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[7]~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[5]~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[3]~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[1]~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[0]~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[12]~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[13]~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[15]~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[16]~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[17]~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; ~GND ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~10_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~2_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~4_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~6_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~8_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[0]~_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[1]~_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[2]~_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[3]~_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[4]~_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[5]~_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add13~10_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add13~2_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add13~4_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add13~6_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add13~8_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~10_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~2_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~4_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~6_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~8_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0]~_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[0]~_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[1]~_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[2]~_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[3]~_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[4]~_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[5]~_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add14~10_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add14~2_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add14~4_wirecell ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add14~6_wirecell ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add14~8_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~10_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~2_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~4_wirecell ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~6_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~8_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0]~_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[0]~_wirecell ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[1]~_wirecell ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[2]~_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[3]~_wirecell ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[4]~_wirecell ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[5]~_wirecell ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~10_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~2_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~4_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~6_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~8_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[0]~_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[1]~_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[2]~_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[3]~_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[4]~_wirecell ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[5]~_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[31]~_wirecell ; Combinational cell ; 0.01 mW ; 0.00 mW ; -- ; 0.01 mW ; -; altera_internal_jtag~TDO ; JTAG ; 0.03 mW ; 0.00 mW ; -- ; 0.03 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[11] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[13] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irsr_reg[4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|tms_cnt[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[0]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|tms_cnt[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[0]~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[1]~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[2]~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[3]~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4]~15 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|Add0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|Add0~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|Add0~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[2]~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|Add0~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|Add0~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[3]~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|tdo ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|splitter_nodes_receive_0[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|clr_reg ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[10] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[12] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[14] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[15] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|tdo_bypass_reg ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|virtual_ir_tdo_sel_reg[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irsr_reg[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irsr_reg[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_info_reg_ena~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|WORD_SR[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|node_ena~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|node_ena~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|virtual_dr_scan_reg ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|node_ena_proc~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|node_ena~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|node_ena_proc~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|node_ena~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[9] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[8] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[7] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[6] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|Equal0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[5] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|Equal0~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|Equal1~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|virtual_ir_dr_scan_proc~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|clr_reg_proc~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state~9 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state~10 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state~11 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state~12 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state~13 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|reset_ena_reg_proc~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irsr_reg[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|tdo_bypass_reg~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irsr_reg~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irsr_reg~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irsr_reg~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2]~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irsr_reg~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irsr_reg~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|WORD_SR[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|WORD_SR~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|clear_signal ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|WORD_SR~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_ident:ident|Mux3~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|sldfabric_ident_writedata[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_ident:ident|Mux3~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_ident:ident|Mux3~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|design_hash_proc~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[1]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[1]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|Equal0~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|reset_ena_reg ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[2]~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[2]~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|tms_cnt[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|tms_cnt~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irsr_reg[3]~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irsr_reg[3]~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irsr_reg[3]~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|WORD_SR[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|word_counter[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|word_counter[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|WORD_SR~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|WORD_SR~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|Equal7~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal~8 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|sldfabric_ident_writedata[0]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_ident:ident|Mux2~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|sldfabric_ident_writedata[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_ident:ident|Mux2~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_ident:ident|Mux2~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|tms_cnt~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|tms_cnt~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|word_counter[0] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|word_counter[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|Mux1~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|word_counter[4] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|WORD_SR~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|WORD_SR[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|WORD_SR~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|word_counter~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|word_counter~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|word_counter~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[1] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_ident:ident|Mux1~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|sldfabric_ident_writedata[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_ident:ident|Mux1~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_ident:ident|Mux1~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|Equal0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|word_counter~3 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|word_counter~4 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|word_counter~5 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|Mux0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|WORD_SR~6 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[2] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_ident:ident|Mux0~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|sldfabric_ident_writedata[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_ident:ident|Mux0~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_ident:ident|Mux0~2 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~7 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[3] ; Register cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[2]~0 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[0]~1 ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|~GND ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|clr_reg~_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[0]~_wirecell ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; PREAMP ; I/O ; 0.64 mW ; 0.05 mW ; 0.60 mW ; 0.00 mW ; -; AUDIO_I2S_CLOCK ; I/O ; 0.97 mW ; 0.37 mW ; 0.60 mW ; 0.00 mW ; -; AUDIO_48K_CLOCK ; I/O ; 0.60 mW ; 0.00 mW ; 0.60 mW ; 0.00 mW ; -; FLASH_C ; I/O ; 0.32 mW ; 0.07 mW ; 0.25 mW ; 0.00 mW ; -; FLASH_S ; I/O ; 0.32 mW ; 0.07 mW ; 0.25 mW ; 0.00 mW ; -; FLASH_MOSI ; I/O ; 0.32 mW ; 0.07 mW ; 0.25 mW ; 0.00 mW ; -; DAC_PD ; I/O ; 0.64 mW ; 0.05 mW ; 0.60 mW ; 0.00 mW ; -; DAC_CLK ; I/O ; 5.46 mW ; 4.86 mW ; 0.60 mW ; 0.00 mW ; -; ATT_05 ; I/O ; 0.34 mW ; 0.05 mW ; 0.29 mW ; 0.00 mW ; -; ATT_1 ; I/O ; 0.34 mW ; 0.05 mW ; 0.29 mW ; 0.00 mW ; -; ATT_2 ; I/O ; 0.34 mW ; 0.05 mW ; 0.29 mW ; 0.00 mW ; -; ATT_4 ; I/O ; 0.34 mW ; 0.05 mW ; 0.29 mW ; 0.00 mW ; -; ATT_8 ; I/O ; 0.34 mW ; 0.05 mW ; 0.29 mW ; 0.00 mW ; -; ATT_16 ; I/O ; 0.34 mW ; 0.05 mW ; 0.29 mW ; 0.00 mW ; -; BPF_A ; I/O ; 0.34 mW ; 0.05 mW ; 0.29 mW ; 0.00 mW ; -; BPF_B ; I/O ; 0.34 mW ; 0.05 mW ; 0.29 mW ; 0.00 mW ; -; BPF_OE1 ; I/O ; 0.34 mW ; 0.05 mW ; 0.29 mW ; 0.00 mW ; -; BPF_OE2 ; I/O ; 0.34 mW ; 0.05 mW ; 0.29 mW ; 0.00 mW ; -; LPF_1 ; I/O ; 0.34 mW ; 0.05 mW ; 0.29 mW ; 0.00 mW ; -; LPF_2 ; I/O ; 0.34 mW ; 0.05 mW ; 0.29 mW ; 0.00 mW ; -; LPF_3 ; I/O ; 0.34 mW ; 0.05 mW ; 0.29 mW ; 0.00 mW ; -; TXRX_OUT ; I/O ; 0.64 mW ; 0.05 mW ; 0.60 mW ; 0.00 mW ; -; DAC_OUTPUT[13] ; I/O ; 0.95 mW ; 0.17 mW ; 0.78 mW ; 0.00 mW ; -; DAC_OUTPUT[12] ; I/O ; 0.58 mW ; 0.17 mW ; 0.41 mW ; 0.00 mW ; -; DAC_OUTPUT[11] ; I/O ; 0.58 mW ; 0.17 mW ; 0.41 mW ; 0.00 mW ; -; DAC_OUTPUT[10] ; I/O ; 0.58 mW ; 0.17 mW ; 0.41 mW ; 0.00 mW ; -; DAC_OUTPUT[9] ; I/O ; 0.58 mW ; 0.17 mW ; 0.41 mW ; 0.00 mW ; -; DAC_OUTPUT[8] ; I/O ; 0.58 mW ; 0.17 mW ; 0.41 mW ; 0.00 mW ; -; DAC_OUTPUT[7] ; I/O ; 0.58 mW ; 0.17 mW ; 0.41 mW ; 0.00 mW ; -; DAC_OUTPUT[6] ; I/O ; 0.58 mW ; 0.17 mW ; 0.41 mW ; 0.00 mW ; -; DAC_OUTPUT[5] ; I/O ; 0.58 mW ; 0.17 mW ; 0.41 mW ; 0.00 mW ; -; DAC_OUTPUT[4] ; I/O ; 0.34 mW ; 0.17 mW ; 0.17 mW ; 0.00 mW ; -; DAC_OUTPUT[3] ; I/O ; 0.34 mW ; 0.17 mW ; 0.17 mW ; 0.00 mW ; -; DAC_OUTPUT[2] ; I/O ; 0.34 mW ; 0.17 mW ; 0.17 mW ; 0.00 mW ; -; DAC_OUTPUT[1] ; I/O ; 0.34 mW ; 0.17 mW ; 0.17 mW ; 0.00 mW ; -; DAC_OUTPUT[0] ; I/O ; 0.34 mW ; 0.17 mW ; 0.17 mW ; 0.00 mW ; -; STM32_DATA_BUS[7] ; I/O ; 0.45 mW ; 0.04 mW ; 0.30 mW ; 0.11 mW ; -; STM32_DATA_BUS[6] ; I/O ; 0.44 mW ; 0.04 mW ; 0.30 mW ; 0.10 mW ; -; STM32_DATA_BUS[5] ; I/O ; 0.45 mW ; 0.04 mW ; 0.30 mW ; 0.10 mW ; -; STM32_DATA_BUS[4] ; I/O ; 0.46 mW ; 0.04 mW ; 0.30 mW ; 0.12 mW ; -; STM32_DATA_BUS[3] ; I/O ; 0.48 mW ; 0.04 mW ; 0.30 mW ; 0.14 mW ; -; STM32_DATA_BUS[2] ; I/O ; 0.44 mW ; 0.04 mW ; 0.30 mW ; 0.10 mW ; -; STM32_DATA_BUS[1] ; I/O ; 0.47 mW ; 0.04 mW ; 0.30 mW ; 0.13 mW ; -; STM32_DATA_BUS[0] ; I/O ; 0.32 mW ; 0.02 mW ; 0.30 mW ; 0.00 mW ; -; STM32_CLK ; I/O ; 0.78 mW ; 0.21 mW ; 0.05 mW ; 0.52 mW ; -; STM32_SYNC ; I/O ; 0.17 mW ; 0.08 mW ; 0.05 mW ; 0.04 mW ; -; ADC_INPUT[0] ; I/O ; 0.36 mW ; 0.00 mW ; 0.36 mW ; 0.00 mW ; -; clk_sys ; I/O ; 0.48 mW ; 0.43 mW ; 0.05 mW ; 0.00 mW ; -; ADC_INPUT[1] ; I/O ; 0.36 mW ; 0.00 mW ; 0.36 mW ; 0.00 mW ; -; ADC_INPUT[2] ; I/O ; 0.36 mW ; 0.00 mW ; 0.36 mW ; 0.00 mW ; -; ADC_OTR ; I/O ; 0.46 mW ; 0.09 mW ; 0.36 mW ; 0.01 mW ; -; ADC_INPUT[3] ; I/O ; 0.36 mW ; 0.00 mW ; 0.36 mW ; 0.00 mW ; -; FLASH_MISO ; I/O ; 0.16 mW ; 0.12 mW ; 0.01 mW ; 0.03 mW ; -; ADC_INPUT[7] ; I/O ; 0.36 mW ; 0.00 mW ; 0.36 mW ; 0.00 mW ; -; ADC_INPUT[6] ; I/O ; 0.36 mW ; 0.00 mW ; 0.36 mW ; 0.00 mW ; -; ADC_INPUT[5] ; I/O ; 0.36 mW ; 0.00 mW ; 0.36 mW ; 0.00 mW ; -; ADC_INPUT[4] ; I/O ; 0.36 mW ; 0.00 mW ; 0.36 mW ; 0.00 mW ; -; ADC_INPUT[11] ; I/O ; 0.36 mW ; 0.00 mW ; 0.36 mW ; 0.00 mW ; -; ADC_INPUT[10] ; I/O ; 0.36 mW ; 0.00 mW ; 0.36 mW ; 0.00 mW ; -; ADC_INPUT[9] ; I/O ; 0.36 mW ; 0.00 mW ; 0.36 mW ; 0.00 mW ; -; ADC_INPUT[8] ; I/O ; 0.36 mW ; 0.00 mW ; 0.36 mW ; 0.00 mW ; -; altera_reserved_tms ; I/O ; 0.02 mW ; 0.01 mW ; 0.01 mW ; 0.00 mW ; -; altera_reserved_tck ; I/O ; 0.04 mW ; 0.03 mW ; 0.01 mW ; 0.00 mW ; -; altera_reserved_tdi ; I/O ; 0.02 mW ; 0.01 mW ; 0.01 mW ; 0.00 mW ; -; altera_reserved_tdo ; I/O ; 0.29 mW ; 0.04 mW ; 0.25 mW ; 0.00 mW ; -; ~QIC_CREATED_GND~I ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|reset_n~clkctrl ; Clock control block ; 0.36 mW ; 0.00 mW ; -- ; 0.36 mW ; -; tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated|wire_pll1_clk[0]~clkctrl ; Clock control block ; 13.36 mW ; 0.00 mW ; -- ; 13.36 mW ; -; data_shifter:RX_CICFIR_GAINER|data_valid_out_Q~clkctrl ; Clock control block ; 0.04 mW ; 0.00 mW ; -- ; 0.04 mW ; -; altera_internal_jtag~TCKUTAPclkctrl ; Clock control block ; 0.23 mW ; 0.00 mW ; -- ; 0.23 mW ; -; stm32_interface:STM32_INTERFACE|tx~clkctrl ; Clock control block ; 0.05 mW ; 0.00 mW ; -- ; 0.05 mW ; -; MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|wire_pll1_clk[0]~clkctrl ; Clock control block ; 0.15 mW ; 0.00 mW ; -- ; 0.15 mW ; -; MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|wire_pll1_clk[1]~clkctrl ; Clock control block ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[28]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[5]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[14]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[24]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[28]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[9]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[10]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[11]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[1]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[4]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[6]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cs[9]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cs[10]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[1]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[4]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[6]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[7]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[10]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][1]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][4]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][6]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][7]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][12]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][13]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][14]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][15]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[1]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][5]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][7]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][8]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][9]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][10]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][11]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][12]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][13]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][14]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][15]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[26]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[29]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[27]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][17]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][15]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][18]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][5]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][4]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][6]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][8]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][9]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][7]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][12]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][11]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][17]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][16]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][15]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][18]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][8]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][7]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][10]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][5]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][12]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][13]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][11]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][14]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][20]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][21]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][23]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_aseq_q_16|delay_signals[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][20]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][21]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][22]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|address_reg[0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|address_reg[1]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[9]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[8]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[5]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[4]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[11]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[13]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[12]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[14]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[41]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[40]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[39]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[38]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[37]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[36]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[34]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[33]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[30]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[29]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[28]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[25]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[24]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[23]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[21]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[20]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[19]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[17]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[16]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[45]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[44]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[46]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[74]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[73]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[72]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[71]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[70]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[68]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[67]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[65]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[64]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[62]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[61]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[60]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[57]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[55]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[54]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[52]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[51]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[50]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[49]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[75]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[76]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[79]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[84]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[83]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[81]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[5]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[4]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[1]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[10]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[9]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[8]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[12]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[37]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[36]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[34]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[32]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[31]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[25]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[22]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[21]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[20]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[19]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[18]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[17]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[16]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[39]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[42]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[41]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[43]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[44]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[46]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[69]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[68]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[66]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[63]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[61]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[60]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[59]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[58]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[57]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[55]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[54]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[53]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[52]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[51]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[50]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[49]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[48]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[70]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[71]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[74]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[73]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[76]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[78]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[79]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[84]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[83]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[82]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[81]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_Q[7]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_I[15]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_Q[6]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_Q[5]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_I[13]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_I[12]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_Q[4]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_I[10]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_Q[1]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_Q[0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[6]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[5]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[4]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|I_HOLD[9]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|I_HOLD[8]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|stall_reg~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|stall_reg~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|reset_n~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_15|delay_signals[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|sync_reset_n~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_valid~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14|delay_signals[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_15|delay_signals[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14|delay_signals[1][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14|delay_signals[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_16|delay_signals[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][1]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][5]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][7]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][10]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][13]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][14]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute|delay_signals[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14|delay_signals[1][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_15|delay_signals[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[1][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute|delay_signals[1][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][5]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][7]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][9]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][10]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][11]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][12]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][14]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][15]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute|delay_signals[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14|delay_signals[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[2][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14|delay_signals[1][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[10]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[8]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[6]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[14]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_q[0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[2][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute|delay_signals[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute|delay_signals[1][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[2][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|tx_iq_valid~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[18]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[8]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[10]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|ena_diff_s[1]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[7]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[6]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[5]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[4]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[11]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[12]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[14]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[42]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[40]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[39]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[37]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[36]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[33]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[31]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[30]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[29]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[28]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[26]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[25]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[24]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[22]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[18]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[17]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[16]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[43]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[45]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[74]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[72]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[71]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[70]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[69]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[68]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[65]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[64]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[63]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[61]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[58]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[57]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[55]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[52]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[50]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[49]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[77]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[76]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[79]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[83]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[82]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|wren_reg~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|ena_diff_s[1]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[1]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[6]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[9]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[8]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[13]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[14]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[15]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[36]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[33]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[32]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[30]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[28]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[27]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[26]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[25]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[23]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[22]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[21]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[20]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[19]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[18]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[17]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[16]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[39]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[42]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[41]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[40]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[43]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[45]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[44]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[47]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[69]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[67]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[66]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[65]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[62]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[61]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[60]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[59]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[57]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[55]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[54]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[53]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[52]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[51]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[49]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[48]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[71]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[74]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[72]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[77]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[76]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[78]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[85]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[84]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[83]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[82]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[81]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[80]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[268]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[182]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[96]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[267]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[181]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[95]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[266]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[180]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[8]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[93]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[179]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[178]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[264]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[92]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[263]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[177]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[5]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[176]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[262]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[90]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[261]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[89]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[174]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[260]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[173]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[259]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[87]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[172]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[258]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[86]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[183]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[269]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[97]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[271]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[99]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[270]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[184]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[98]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[14]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[272]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[100]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[15]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[187]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[101]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[300]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[128]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[41]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[299]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[127]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[298]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[212]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[40]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[211]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[125]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[39]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[124]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[296]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[210]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[37]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[295]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[208]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[122]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[294]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[121]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[293]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[207]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[292]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[205]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[119]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[33]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[32]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[118]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[290]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[31]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[117]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[289]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[202]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[116]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[30]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[115]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[287]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[201]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[200]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[286]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[28]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[199]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[27]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[113]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[112]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[26]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[198]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[111]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[283]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[197]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[24]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[282]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[110]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[281]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[109]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[195]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[108]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[22]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[280]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[193]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[279]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[21]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[278]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[106]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[191]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[277]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[105]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[276]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[104]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[190]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[189]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[103]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[17]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[274]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[102]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[188]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[301]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[129]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[215]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[131]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[45]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[303]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[130]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[44]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[216]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[46]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[218]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[47]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[219]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[305]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[160]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[74]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[332]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[159]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[245]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[331]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[330]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[72]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[244]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[243]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[156]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[70]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[242]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[69]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[327]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[241]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[68]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[154]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[240]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[153]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[67]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[239]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[152]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[324]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[238]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[323]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[236]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[150]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[64]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[321]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[149]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[235]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[320]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[148]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[61]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[146]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[318]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[60]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[145]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[231]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[59]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[58]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[144]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[230]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[57]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[315]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[143]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[142]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[56]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[228]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[313]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[141]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[55]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[226]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[312]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[140]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[139]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[53]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[225]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[138]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[310]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[52]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[223]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[137]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[51]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[136]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[222]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[50]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[49]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[307]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[135]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[134]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[306]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[48]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[333]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[161]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[75]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[249]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[335]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[77]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[162]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[76]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[248]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[78]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[250]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[164]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[251]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[165]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[257]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[343]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[171]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[84]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[342]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[255]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[169]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[83]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[340]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[168]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[82]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[253]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[339]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[81]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[252]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[80]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[166]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|wren_reg~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[5]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[91]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[263]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[262]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[90]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[4]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[175]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[261]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[89]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[260]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[88]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[87]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[1]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[86]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[6]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[92]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[264]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[179]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[268]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[96]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[9]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[95]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[94]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[8]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[266]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[269]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[97]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[11]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[271]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[99]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[13]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[12]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[270]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[98]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[186]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[100]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[14]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[273]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[101]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[209]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[295]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[122]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[36]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[208]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[121]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[35]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[293]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[120]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[34]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[206]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[205]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[291]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[33]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[118]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[32]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[204]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[31]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[117]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[116]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[30]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[202]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[29]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[287]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[115]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[28]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[114]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[200]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[199]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[27]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[112]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[284]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[198]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[283]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[111]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[24]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[110]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[196]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[109]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[195]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[23]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[280]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[108]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[194]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[107]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[278]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[106]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[20]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[277]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[19]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[191]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[276]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[104]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[103]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[17]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[275]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[274]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[102]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[38]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[39]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[297]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[125]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[214]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[42]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[128]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[41]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[299]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[127]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[298]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[126]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[212]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[129]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[43]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[217]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[303]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[131]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[130]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[302]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[132]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[304]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[218]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[219]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[133]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[305]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[69]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[241]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[155]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[326]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[240]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[154]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[67]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[239]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[153]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[66]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[238]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[151]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[322]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[236]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[150]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[149]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[321]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[320]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[62]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[148]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[319]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[61]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[232]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[59]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[317]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[230]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[316]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[58]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[57]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[229]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[143]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[141]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[227]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[226]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[312]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[140]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[53]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[139]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[311]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[224]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[138]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[52]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[309]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[223]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[50]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[308]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[136]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[307]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[221]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[135]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[220]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[134]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[48]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[328]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[242]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[156]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[157]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[329]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[243]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[74]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[332]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[246]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[159]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[331]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[245]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[330]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[244]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[75]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[247]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[163]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[77]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[249]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[162]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[334]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[78]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[336]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[164]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[79]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[337]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[171]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[85]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[343]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[170]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[342]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[256]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[83]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[341]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[255]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[254]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[82]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[253]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[167]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[339]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[252]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[338]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[166]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][5]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][6]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][8]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][9]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][11]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][12]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][13]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][14]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][5]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][6]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][7]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][8]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][9]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][12]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][13]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][15]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[1][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][1]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][4]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][6]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][11]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][12]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][13]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][14]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][1]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][4]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][5]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][8]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][10]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][12]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][13]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][14]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][15]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][1]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][4]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][5]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][6]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][7]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][9]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][10]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][12]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][13]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][14]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][15]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][4]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][5]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][6]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][7]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][9]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][10]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][11]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][13]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][15]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_Q[8]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_Q[9]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_Q[10]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_Q[11]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_Q[12]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_Q[13]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_Q[15]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[8]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[9]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[10]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[11]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[12]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[13]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[15]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][1]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[6]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[4]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[1]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[15]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_I[7]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_I[6]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[6]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[14]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[14]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ATT_8~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DAC_GAIN[6]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|LPF_3~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|LPF_2~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ATT_4~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_I[5]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[21]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[21]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|LPF_1~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|ATT_2~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[20]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[4]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|CICFIR_GAIN[4]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DAC_GAIN[3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_I[3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[19]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[19]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[11]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[11]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|CICFIR_GAIN[3]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|CIC_GAIN[2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|DAC_GAIN[2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_I[2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|BPF_OE1~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[10]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[10]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[18]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|CICFIR_GAIN[2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|FLASH_data_out[2]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_I[1]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|FLASH_data_out[1]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|TX_I[0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|BPF_A~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|CIC_GAIN[0]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -; stm32_interface:STM32_INTERFACE|NCO_freq[8]~feeder ; Combinational cell ; 0.00 mW ; 0.00 mW ; -- ; 0.00 mW ; -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------+---------------------+-----------------------------+--------------------------------+-------------------------------+ -(1) The "Block Thermal Static Power" for all block types except Pins is part of the "Core Static Thermal Power Dissipation" value found on the PowerPlay Power Analyzer-->Summary report panel. The "Core Static Thermal Power Dissipation" also contains the thermal static power dissipated by the routing. - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Thermal Power Dissipation by Block Type ; -+----------------------------+-----------------------------------+-----------------------------+--------------------------------+-------------------------------+-----------------------------------------------------------+ -; Block Type ; Total Thermal Power by Block Type ; Block Thermal Dynamic Power ; Block Thermal Static Power (1) ; Routing Thermal Dynamic Power ; Block Average Toggle Rate (millions of transitions / sec) ; -+----------------------------+-----------------------------------+-----------------------------+--------------------------------+-------------------------------+-----------------------------------------------------------+ -; JTAG ; 0.03 mW ; 0.00 mW ; -- ; 0.03 mW ; 10.250 ; -; PLL ; 22.06 mW ; 22.06 mW ; -- ; 0.00 mW ; 69.264 ; -; M9K ; 37.93 mW ; 35.29 mW ; -- ; 2.64 mW ; 12.254 ; -; Embedded multiplier block ; 6.60 mW ; 6.60 mW ; -- ; 0.00 mW ; 15.468 ; -; Embedded multiplier output ; 1.15 mW ; 0.00 mW ; -- ; 1.15 mW ; 8.939 ; -; Combinational cell ; 17.93 mW ; 15.19 mW ; -- ; 2.74 mW ; 8.302 ; -; Clock control block ; 20.92 mW ; 0.00 mW ; -- ; 20.92 mW ; 63.831 ; -; Register cell ; 52.93 mW ; 32.97 mW ; -- ; 19.96 mW ; 11.208 ; -; I/O ; 31.81 mW ; 9.92 mW ; 20.51 mW ; 1.38 mW ; 15.844 ; -+----------------------------+-----------------------------------+-----------------------------+--------------------------------+-------------------------------+-----------------------------------------------------------+ -(1) The "Block Thermal Static Power" for all block types except Pins and the Voltage Regulator, if one exists, is part of the "Core Static Thermal Power Dissipation" value found on the PowerPlay Power Analyzer-->Summary report panel. The "Core Static Thermal Power Dissipation" also contains the thermal static power dissipated by the routing. - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Thermal Power Dissipation by Hierarchy ; -+------------------------------------------------------------------------------------------------------------------------------------------+--------------------------------------+---------------------------------+-----------------------------------+-----------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Compilation Hierarchy Node ; Total Thermal Power by Hierarchy (1) ; Block Thermal Dynamic Power (1) ; Block Thermal Static Power (1)(2) ; Routing Thermal Dynamic Power (1) ; Full Hierarchy Name ; -+------------------------------------------------------------------------------------------------------------------------------------------+--------------------------------------+---------------------------------+-----------------------------------+-----------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; |WOLF-LITE ; 191.36 mW (32.08 mW) ; 122.04 mW (9.92 mW) ; 20.51 mW (20.51 mW) ; 48.81 mW (1.64 mW) ; |WOLF-LITE ; -; |hard_block:auto_generated_inst ; 0.00 mW (0.00 mW) ; 0.00 mW (0.00 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|hard_block:auto_generated_inst ; -; |sld_hub:auto_hub ; 0.13 mW (0.00 mW) ; 0.10 mW (0.00 mW) ; -- ; 0.03 mW (0.00 mW) ; |WOLF-LITE|sld_hub:auto_hub ; -; |alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric ; 0.13 mW (0.00 mW) ; 0.10 mW (0.00 mW) ; -- ; 0.03 mW (0.00 mW) ; |WOLF-LITE|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric ; -; |alt_sld_fab:instrumentation_fabric ; 0.13 mW (0.00 mW) ; 0.10 mW (0.00 mW) ; -- ; 0.03 mW (0.00 mW) ; |WOLF-LITE|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric ; -; |alt_sld_fab_alt_sld_fab:alt_sld_fab ; 0.13 mW (0.00 mW) ; 0.10 mW (0.00 mW) ; -- ; 0.03 mW (0.00 mW) ; |WOLF-LITE|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab ; -; |alt_sld_fab_alt_sld_fab_ident:ident ; 0.00 mW (0.00 mW) ; 0.00 mW (0.00 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_ident:ident ; -; |alt_sld_fab_alt_sld_fab_sldfabric:sldfabric ; 0.12 mW (0.00 mW) ; 0.09 mW (0.00 mW) ; -- ; 0.03 mW (0.00 mW) ; |WOLF-LITE|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric ; -; |sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub ; 0.12 mW (0.08 mW) ; 0.09 mW (0.06 mW) ; -- ; 0.03 mW (0.02 mW) ; |WOLF-LITE|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub ; -; |sld_rom_sr:hub_info_reg ; 0.01 mW (0.01 mW) ; 0.01 mW (0.01 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg ; -; |sld_shadow_jsm:shadow_jsm ; 0.03 mW (0.03 mW) ; 0.02 mW (0.02 mW) ; -- ; 0.01 mW (0.01 mW) ; |WOLF-LITE|sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm ; -; |sld_jtag_interface_mod:\jtag_interface_mod_gen:device_family_mod_inst ; 0.00 mW (0.00 mW) ; 0.00 mW (0.00 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|sld_hub:auto_hub|sld_jtag_interface_mod:\jtag_interface_mod_gen:device_family_mod_inst ; -; |data_shifter:CIC_GAINER ; 0.82 mW (0.82 mW) ; 0.62 mW (0.62 mW) ; -- ; 0.21 mW (0.21 mW) ; |WOLF-LITE|data_shifter:CIC_GAINER ; -; |DAC_corrector:DAC_CORRECTOR ; 0.84 mW (0.84 mW) ; 0.54 mW (0.54 mW) ; -- ; 0.30 mW (0.30 mW) ; |WOLF-LITE|DAC_corrector:DAC_CORRECTOR ; -; |dac_null:DAC_IDLE ; 0.00 mW (0.00 mW) ; 0.00 mW (0.00 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|dac_null:DAC_IDLE ; -; |mux14:DAC_MUX ; 0.12 mW (0.00 mW) ; 0.01 mW (0.00 mW) ; -- ; 0.10 mW (0.00 mW) ; |WOLF-LITE|mux14:DAC_MUX ; -; |lpm_mux:LPM_MUX_component ; 0.12 mW (0.00 mW) ; 0.01 mW (0.00 mW) ; -- ; 0.10 mW (0.00 mW) ; |WOLF-LITE|mux14:DAC_MUX|lpm_mux:LPM_MUX_component ; -; |mux_rsc:auto_generated ; 0.12 mW (0.12 mW) ; 0.01 mW (0.01 mW) ; -- ; 0.10 mW (0.10 mW) ; |WOLF-LITE|mux14:DAC_MUX|lpm_mux:LPM_MUX_component|mux_rsc:auto_generated ; -; |DEBUG:DBG_ADC ; 0.03 mW (0.00 mW) ; 0.02 mW (0.00 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|DEBUG:DBG_ADC ; -; |altsource_probe_top:in_system_sources_probes_0 ; 0.03 mW (0.00 mW) ; 0.02 mW (0.00 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0 ; -; |altsource_probe:issp_impl ; 0.03 mW (0.00 mW) ; 0.02 mW (0.00 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl ; -; |altsource_probe_body:altsource_probe_body_inst ; 0.03 mW (0.00 mW) ; 0.02 mW (0.00 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst ; -; |altsource_probe_impl:\wider_probe_gen:wider_probe_inst ; 0.03 mW (0.02 mW) ; 0.02 mW (0.01 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst ; -; |sld_rom_sr:\instance_id_gen:rom_info_inst ; 0.01 mW (0.01 mW) ; 0.01 mW (0.01 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst ; -; |sld_jtag_endpoint_adapter:jtag_signal_adapter ; 0.00 mW (0.00 mW) ; 0.00 mW (0.00 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|sld_jtag_endpoint_adapter:jtag_signal_adapter ; -; |spi_interface:FLASH ; 0.28 mW (0.28 mW) ; 0.21 mW (0.21 mW) ; -- ; 0.07 mW (0.07 mW) ; |WOLF-LITE|spi_interface:FLASH ; -; |MAIN_PLL:MAIN_PLL ; 9.33 mW (0.00 mW) ; 9.18 mW (0.00 mW) ; -- ; 0.16 mW (0.00 mW) ; |WOLF-LITE|MAIN_PLL:MAIN_PLL ; -; |altpll:altpll_component ; 9.33 mW (0.00 mW) ; 9.18 mW (0.00 mW) ; -- ; 0.16 mW (0.00 mW) ; |WOLF-LITE|MAIN_PLL:MAIN_PLL|altpll:altpll_component ; -; |MAIN_PLL_altpll:auto_generated ; 9.33 mW (9.33 mW) ; 9.18 mW (9.18 mW) ; -- ; 0.16 mW (0.16 mW) ; |WOLF-LITE|MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated ; -; |rx_cic:RX_CIC_I ; 16.73 mW (0.00 mW) ; 12.27 mW (0.00 mW) ; -- ; 4.45 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I ; -; |rx_cic_cic_ii_0:cic_ii_0 ; 16.73 mW (0.00 mW) ; 12.27 mW (0.00 mW) ; -- ; 4.45 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0 ; -; |alt_cic_core:core ; 16.73 mW (0.00 mW) ; 12.27 mW (0.00 mW) ; -- ; 4.45 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core ; -; |auk_dspip_avalon_streaming_controller:avalon_controller ; 0.19 mW (0.08 mW) ; 0.11 mW (0.01 mW) ; -- ; 0.08 mW (0.06 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller ; -; |auk_dspip_avalon_streaming_small_fifo:ready_FIFO ; 0.11 mW (0.11 mW) ; 0.10 mW (0.10 mW) ; -- ; 0.01 mW (0.01 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO ; -; |alt_cic_dec_siso:dec_one ; 12.51 mW (0.06 mW) ; 8.62 mW (0.04 mW) ; -- ; 3.89 mW (0.02 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one ; -; |auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff ; 0.99 mW (0.64 mW) ; 0.68 mW (0.42 mW) ; -- ; 0.31 mW (0.21 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff ; -; |auk_dspip_delay:\glogic:u0 ; 0.35 mW (0.35 mW) ; 0.25 mW (0.25 mW) ; -- ; 0.10 mW (0.10 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; -; |auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff ; 0.97 mW (0.67 mW) ; 0.65 mW (0.42 mW) ; -- ; 0.32 mW (0.24 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff ; -; |auk_dspip_delay:\glogic:u0 ; 0.31 mW (0.31 mW) ; 0.23 mW (0.23 mW) ; -- ; 0.08 mW (0.08 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; -; |auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff ; 1.04 mW (0.68 mW) ; 0.66 mW (0.42 mW) ; -- ; 0.37 mW (0.26 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff ; -; |auk_dspip_delay:\glogic:u0 ; 0.36 mW (0.36 mW) ; 0.24 mW (0.24 mW) ; -- ; 0.11 mW (0.11 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; -; |auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff ; 0.97 mW (0.63 mW) ; 0.66 mW (0.42 mW) ; -- ; 0.32 mW (0.21 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff ; -; |auk_dspip_delay:\glogic:u0 ; 0.34 mW (0.34 mW) ; 0.24 mW (0.24 mW) ; -- ; 0.11 mW (0.11 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; -; |auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff ; 0.93 mW (0.62 mW) ; 0.66 mW (0.42 mW) ; -- ; 0.27 mW (0.20 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff ; -; |auk_dspip_delay:\glogic:u0 ; 0.32 mW (0.32 mW) ; 0.24 mW (0.24 mW) ; -- ; 0.07 mW (0.07 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; -; |auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff ; 0.97 mW (0.65 mW) ; 0.66 mW (0.42 mW) ; -- ; 0.32 mW (0.23 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff ; -; |auk_dspip_delay:\glogic:u0 ; 0.32 mW (0.32 mW) ; 0.24 mW (0.24 mW) ; -- ; 0.09 mW (0.09 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; -; |auk_dspip_channel_buffer:fifo_regulator ; 3.47 mW (0.00 mW) ; 2.30 mW (0.00 mW) ; -- ; 1.17 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator ; -; |scfifo:buffer_FIFO ; 3.47 mW (0.00 mW) ; 2.30 mW (0.00 mW) ; -- ; 1.17 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO ; -; |scfifo_qm51:auto_generated ; 3.47 mW (0.00 mW) ; 2.30 mW (0.00 mW) ; -- ; 1.17 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated ; -; |a_dpfifo_5ku:dpfifo ; 3.47 mW (0.16 mW) ; 2.30 mW (0.09 mW) ; -- ; 1.17 mW (0.08 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo ; -; |altsyncram_m7h1:FIFOram ; 3.23 mW (0.00 mW) ; 2.15 mW (0.00 mW) ; -- ; 1.08 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram ; -; |altsyncram:ram_block1a0 ; 3.23 mW (0.00 mW) ; 2.15 mW (0.00 mW) ; -- ; 1.08 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0 ; -; |altsyncram_nci3:auto_generated ; 3.23 mW (2.95 mW) ; 2.15 mW (1.95 mW) ; -- ; 1.08 mW (1.01 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated ; -; |decode_msa:address_decoder ; 0.02 mW (0.02 mW) ; 0.00 mW (0.00 mW) ; -- ; 0.02 mW (0.02 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|decode_msa:address_decoder ; -; |mux_sob:output_mux ; 0.25 mW (0.25 mW) ; 0.20 mW (0.20 mW) ; -- ; 0.06 mW (0.06 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux ; -; |cntr_q9b:rd_ptr_msb ; 0.02 mW (0.02 mW) ; 0.02 mW (0.02 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_q9b:rd_ptr_msb ; -; |cntr_7a7:usedw_counter ; 0.02 mW (0.02 mW) ; 0.02 mW (0.02 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_7a7:usedw_counter ; -; |cntr_r9b:wr_ptr ; 0.04 mW (0.04 mW) ; 0.03 mW (0.03 mW) ; -- ; 0.01 mW (0.01 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_r9b:wr_ptr ; -; |auk_dspip_integrator:integrator[0].integration ; 0.49 mW (0.00 mW) ; 0.37 mW (0.00 mW) ; -- ; 0.12 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; 0.49 mW (0.49 mW) ; 0.37 mW (0.37 mW) ; -- ; 0.12 mW (0.12 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; -; |auk_dspip_integrator:integrator[1].integration ; 0.49 mW (0.00 mW) ; 0.37 mW (0.00 mW) ; -- ; 0.12 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; 0.49 mW (0.49 mW) ; 0.37 mW (0.37 mW) ; -- ; 0.12 mW (0.12 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; -; |auk_dspip_integrator:integrator[2].integration ; 0.48 mW (0.00 mW) ; 0.37 mW (0.00 mW) ; -- ; 0.11 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; 0.48 mW (0.48 mW) ; 0.37 mW (0.37 mW) ; -- ; 0.11 mW (0.11 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; -; |auk_dspip_integrator:integrator[3].integration ; 0.49 mW (0.00 mW) ; 0.37 mW (0.00 mW) ; -- ; 0.12 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; 0.49 mW (0.49 mW) ; 0.37 mW (0.37 mW) ; -- ; 0.12 mW (0.12 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; -; |auk_dspip_integrator:integrator[4].integration ; 0.49 mW (0.00 mW) ; 0.37 mW (0.00 mW) ; -- ; 0.12 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; 0.49 mW (0.49 mW) ; 0.37 mW (0.37 mW) ; -- ; 0.12 mW (0.12 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; -; |auk_dspip_integrator:integrator[5].integration ; 0.55 mW (0.00 mW) ; 0.37 mW (0.00 mW) ; -- ; 0.19 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; 0.55 mW (0.55 mW) ; 0.37 mW (0.37 mW) ; -- ; 0.19 mW (0.19 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; -; |counter_module:latency_cnt_inst ; 0.04 mW (0.04 mW) ; 0.03 mW (0.03 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst ; -; |auk_dspip_downsample:vrc_en_0.first_dsample ; 0.09 mW (0.00 mW) ; 0.07 mW (0.00 mW) ; -- ; 0.02 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample ; -; |counter_module:counter_fs_inst ; 0.09 mW (0.09 mW) ; 0.07 mW (0.07 mW) ; -- ; 0.02 mW (0.02 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst ; -; |auk_dspip_avalon_streaming_sink:input_sink ; 1.12 mW (0.00 mW) ; 0.95 mW (0.00 mW) ; -- ; 0.17 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink ; -; |scfifo:sink_FIFO ; 1.12 mW (0.00 mW) ; 0.95 mW (0.00 mW) ; -- ; 0.17 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO ; -; |scfifo_ef71:auto_generated ; 1.12 mW (0.01 mW) ; 0.95 mW (0.01 mW) ; -- ; 0.17 mW (0.01 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated ; -; |a_dpfifo_vkv:dpfifo ; 1.11 mW (0.12 mW) ; 0.94 mW (0.10 mW) ; -- ; 0.17 mW (0.02 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo ; -; |altsyncram_h7h1:FIFOram ; 0.89 mW (0.89 mW) ; 0.77 mW (0.77 mW) ; -- ; 0.12 mW (0.12 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram ; -; |cntr_r9b:rd_ptr_msb ; 0.03 mW (0.03 mW) ; 0.03 mW (0.03 mW) ; -- ; 0.01 mW (0.01 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_r9b:rd_ptr_msb ; -; |cntr_8a7:usedw_counter ; 0.03 mW (0.03 mW) ; 0.03 mW (0.03 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_8a7:usedw_counter ; -; |cntr_s9b:wr_ptr ; 0.03 mW (0.03 mW) ; 0.02 mW (0.02 mW) ; -- ; 0.01 mW (0.01 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_s9b:wr_ptr ; -; |auk_dspip_avalon_streaming_source:output_source_0 ; 2.91 mW (0.01 mW) ; 2.60 mW (0.01 mW) ; -- ; 0.31 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0 ; -; |scfifo:source_FIFO ; 2.90 mW (0.00 mW) ; 2.59 mW (0.00 mW) ; -- ; 0.31 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO ; -; |scfifo_ji71:auto_generated ; 2.90 mW (0.00 mW) ; 2.59 mW (0.00 mW) ; -- ; 0.31 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated ; -; |a_dpfifo_gqv:dpfifo ; 2.90 mW (0.18 mW) ; 2.59 mW (0.13 mW) ; -- ; 0.31 mW (0.05 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo ; -; |altsyncram_vah1:FIFOram ; 2.59 mW (2.59 mW) ; 2.38 mW (2.38 mW) ; -- ; 0.22 mW (0.22 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram ; -; |cntr_t9b:rd_ptr_msb ; 0.04 mW (0.04 mW) ; 0.03 mW (0.03 mW) ; -- ; 0.01 mW (0.01 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb ; -; |cntr_aa7:usedw_counter ; 0.03 mW (0.03 mW) ; 0.03 mW (0.03 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter ; -; |cntr_u9b:wr_ptr ; 0.05 mW (0.05 mW) ; 0.03 mW (0.03 mW) ; -- ; 0.03 mW (0.03 mW) ; |WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr ; -; |rx_cic:RX_CIC_Q ; 17.34 mW (0.00 mW) ; 12.37 mW (0.00 mW) ; -- ; 4.97 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q ; -; |rx_cic_cic_ii_0:cic_ii_0 ; 17.34 mW (0.00 mW) ; 12.37 mW (0.00 mW) ; -- ; 4.97 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0 ; -; |alt_cic_core:core ; 17.34 mW (0.00 mW) ; 12.37 mW (0.00 mW) ; -- ; 4.97 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core ; -; |auk_dspip_avalon_streaming_controller:avalon_controller ; 0.20 mW (0.08 mW) ; 0.11 mW (0.01 mW) ; -- ; 0.09 mW (0.07 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller ; -; |auk_dspip_avalon_streaming_small_fifo:ready_FIFO ; 0.12 mW (0.12 mW) ; 0.10 mW (0.10 mW) ; -- ; 0.02 mW (0.02 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO ; -; |alt_cic_dec_siso:dec_one ; 13.07 mW (0.06 mW) ; 8.72 mW (0.05 mW) ; -- ; 4.36 mW (0.01 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one ; -; |auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff ; 1.00 mW (0.60 mW) ; 0.69 mW (0.42 mW) ; -- ; 0.31 mW (0.19 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff ; -; |auk_dspip_delay:\glogic:u0 ; 0.39 mW (0.39 mW) ; 0.27 mW (0.27 mW) ; -- ; 0.12 mW (0.12 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; -; |auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff ; 0.94 mW (0.63 mW) ; 0.65 mW (0.42 mW) ; -- ; 0.29 mW (0.21 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff ; -; |auk_dspip_delay:\glogic:u0 ; 0.31 mW (0.31 mW) ; 0.23 mW (0.23 mW) ; -- ; 0.08 mW (0.08 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; -; |auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff ; 0.99 mW (0.66 mW) ; 0.63 mW (0.42 mW) ; -- ; 0.37 mW (0.25 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff ; -; |auk_dspip_delay:\glogic:u0 ; 0.33 mW (0.33 mW) ; 0.21 mW (0.21 mW) ; -- ; 0.12 mW (0.12 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; -; |auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff ; 0.97 mW (0.61 mW) ; 0.68 mW (0.42 mW) ; -- ; 0.29 mW (0.19 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff ; -; |auk_dspip_delay:\glogic:u0 ; 0.36 mW (0.36 mW) ; 0.26 mW (0.26 mW) ; -- ; 0.10 mW (0.10 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; -; |auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff ; 1.09 mW (0.74 mW) ; 0.69 mW (0.42 mW) ; -- ; 0.41 mW (0.33 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff ; -; |auk_dspip_delay:\glogic:u0 ; 0.35 mW (0.35 mW) ; 0.27 mW (0.27 mW) ; -- ; 0.08 mW (0.08 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; -; |auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff ; 1.01 mW (0.65 mW) ; 0.64 mW (0.42 mW) ; -- ; 0.37 mW (0.23 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff ; -; |auk_dspip_delay:\glogic:u0 ; 0.36 mW (0.36 mW) ; 0.23 mW (0.23 mW) ; -- ; 0.14 mW (0.14 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; -; |auk_dspip_channel_buffer:fifo_regulator ; 3.69 mW (0.00 mW) ; 2.35 mW (0.00 mW) ; -- ; 1.34 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator ; -; |scfifo:buffer_FIFO ; 3.69 mW (0.00 mW) ; 2.35 mW (0.00 mW) ; -- ; 1.34 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO ; -; |scfifo_qm51:auto_generated ; 3.69 mW (0.00 mW) ; 2.35 mW (0.00 mW) ; -- ; 1.34 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated ; -; |a_dpfifo_5ku:dpfifo ; 3.69 mW (0.15 mW) ; 2.35 mW (0.09 mW) ; -- ; 1.34 mW (0.07 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo ; -; |altsyncram_m7h1:FIFOram ; 3.46 mW (0.00 mW) ; 2.20 mW (0.00 mW) ; -- ; 1.26 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram ; -; |altsyncram:ram_block1a0 ; 3.46 mW (0.00 mW) ; 2.20 mW (0.00 mW) ; -- ; 1.26 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0 ; -; |altsyncram_nci3:auto_generated ; 3.46 mW (3.17 mW) ; 2.20 mW (2.00 mW) ; -- ; 1.26 mW (1.17 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated ; -; |decode_msa:address_decoder ; 0.02 mW (0.02 mW) ; 0.00 mW (0.00 mW) ; -- ; 0.02 mW (0.02 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|decode_msa:address_decoder ; -; |mux_sob:output_mux ; 0.27 mW (0.27 mW) ; 0.19 mW (0.19 mW) ; -- ; 0.08 mW (0.08 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux ; -; |cntr_q9b:rd_ptr_msb ; 0.02 mW (0.02 mW) ; 0.02 mW (0.02 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_q9b:rd_ptr_msb ; -; |cntr_7a7:usedw_counter ; 0.04 mW (0.04 mW) ; 0.03 mW (0.03 mW) ; -- ; 0.01 mW (0.01 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_7a7:usedw_counter ; -; |cntr_r9b:wr_ptr ; 0.02 mW (0.02 mW) ; 0.02 mW (0.02 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_r9b:wr_ptr ; -; |auk_dspip_integrator:integrator[0].integration ; 0.50 mW (0.00 mW) ; 0.38 mW (0.00 mW) ; -- ; 0.12 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; 0.50 mW (0.50 mW) ; 0.38 mW (0.38 mW) ; -- ; 0.12 mW (0.12 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; -; |auk_dspip_integrator:integrator[1].integration ; 0.47 mW (0.00 mW) ; 0.37 mW (0.00 mW) ; -- ; 0.10 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; 0.47 mW (0.47 mW) ; 0.37 mW (0.37 mW) ; -- ; 0.10 mW (0.10 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; -; |auk_dspip_integrator:integrator[2].integration ; 0.70 mW (0.00 mW) ; 0.38 mW (0.00 mW) ; -- ; 0.32 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; 0.70 mW (0.70 mW) ; 0.38 mW (0.38 mW) ; -- ; 0.32 mW (0.32 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; -; |auk_dspip_integrator:integrator[3].integration ; 0.48 mW (0.00 mW) ; 0.37 mW (0.00 mW) ; -- ; 0.11 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; 0.48 mW (0.48 mW) ; 0.37 mW (0.37 mW) ; -- ; 0.11 mW (0.11 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; -; |auk_dspip_integrator:integrator[4].integration ; 0.49 mW (0.00 mW) ; 0.38 mW (0.00 mW) ; -- ; 0.11 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; 0.49 mW (0.49 mW) ; 0.38 mW (0.38 mW) ; -- ; 0.11 mW (0.11 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; -; |auk_dspip_integrator:integrator[5].integration ; 0.56 mW (0.00 mW) ; 0.37 mW (0.00 mW) ; -- ; 0.19 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; 0.56 mW (0.56 mW) ; 0.37 mW (0.37 mW) ; -- ; 0.19 mW (0.19 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; -; |counter_module:latency_cnt_inst ; 0.03 mW (0.03 mW) ; 0.02 mW (0.02 mW) ; -- ; 0.01 mW (0.01 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst ; -; |auk_dspip_downsample:vrc_en_0.first_dsample ; 0.09 mW (0.00 mW) ; 0.07 mW (0.00 mW) ; -- ; 0.02 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample ; -; |counter_module:counter_fs_inst ; 0.09 mW (0.09 mW) ; 0.07 mW (0.07 mW) ; -- ; 0.02 mW (0.02 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst ; -; |auk_dspip_avalon_streaming_sink:input_sink ; 1.12 mW (0.00 mW) ; 0.96 mW (0.00 mW) ; -- ; 0.17 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink ; -; |scfifo:sink_FIFO ; 1.12 mW (0.00 mW) ; 0.96 mW (0.00 mW) ; -- ; 0.17 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO ; -; |scfifo_ef71:auto_generated ; 1.12 mW (0.01 mW) ; 0.96 mW (0.01 mW) ; -- ; 0.17 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated ; -; |a_dpfifo_vkv:dpfifo ; 1.12 mW (0.16 mW) ; 0.95 mW (0.11 mW) ; -- ; 0.16 mW (0.05 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo ; -; |altsyncram_h7h1:FIFOram ; 0.85 mW (0.85 mW) ; 0.77 mW (0.77 mW) ; -- ; 0.09 mW (0.09 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram ; -; |cntr_r9b:rd_ptr_msb ; 0.04 mW (0.04 mW) ; 0.03 mW (0.03 mW) ; -- ; 0.01 mW (0.01 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_r9b:rd_ptr_msb ; -; |cntr_8a7:usedw_counter ; 0.03 mW (0.03 mW) ; 0.02 mW (0.02 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_8a7:usedw_counter ; -; |cntr_s9b:wr_ptr ; 0.04 mW (0.04 mW) ; 0.02 mW (0.02 mW) ; -- ; 0.01 mW (0.01 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_s9b:wr_ptr ; -; |auk_dspip_avalon_streaming_source:output_source_0 ; 2.94 mW (0.01 mW) ; 2.58 mW (0.01 mW) ; -- ; 0.36 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0 ; -; |scfifo:source_FIFO ; 2.93 mW (0.00 mW) ; 2.57 mW (0.00 mW) ; -- ; 0.36 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO ; -; |scfifo_ji71:auto_generated ; 2.93 mW (0.00 mW) ; 2.57 mW (0.00 mW) ; -- ; 0.36 mW (0.00 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated ; -; |a_dpfifo_gqv:dpfifo ; 2.93 mW (0.16 mW) ; 2.57 mW (0.11 mW) ; -- ; 0.36 mW (0.05 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo ; -; |altsyncram_vah1:FIFOram ; 2.63 mW (2.63 mW) ; 2.37 mW (2.37 mW) ; -- ; 0.26 mW (0.26 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram ; -; |cntr_t9b:rd_ptr_msb ; 0.04 mW (0.04 mW) ; 0.03 mW (0.03 mW) ; -- ; 0.01 mW (0.01 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb ; -; |cntr_aa7:usedw_counter ; 0.04 mW (0.04 mW) ; 0.04 mW (0.04 mW) ; -- ; 0.01 mW (0.01 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter ; -; |cntr_u9b:wr_ptr ; 0.06 mW (0.06 mW) ; 0.03 mW (0.03 mW) ; -- ; 0.03 mW (0.03 mW) ; |WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr ; -; |rx_ciccomp:RX_CICCOMP_I ; 2.75 mW (0.00 mW) ; 2.18 mW (0.00 mW) ; -- ; 0.58 mW (0.00 mW) ; |WOLF-LITE|rx_ciccomp:RX_CICCOMP_I ; -; |rx_ciccomp_0002:rx_ciccomp_inst ; 2.75 mW (0.00 mW) ; 2.18 mW (0.00 mW) ; -- ; 0.58 mW (0.00 mW) ; |WOLF-LITE|rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst ; -; |rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst ; 2.75 mW (0.00 mW) ; 2.18 mW (0.00 mW) ; -- ; 0.58 mW (0.00 mW) ; |WOLF-LITE|rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst ; -; |rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core ; 2.48 mW (0.86 mW) ; 1.96 mW (0.59 mW) ; -- ; 0.52 mW (0.27 mW) ; |WOLF-LITE|rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core ; -; |dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13 ; 0.02 mW (0.02 mW) ; 0.01 mW (0.01 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13 ; -; |dspba_delay:d_u0_m0_wo0_compute_q_14 ; 0.02 mW (0.02 mW) ; 0.02 mW (0.02 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14 ; -; |dspba_delay:d_u0_m0_wo0_compute_q_15 ; 0.02 mW (0.02 mW) ; 0.02 mW (0.02 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_15 ; -; |dspba_delay:d_xIn_0_13 ; 0.36 mW (0.36 mW) ; 0.28 mW (0.28 mW) ; -- ; 0.09 mW (0.09 mW) ; |WOLF-LITE|rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13 ; -; |dspba_delay:u0_m0_wo0_compute ; 0.02 mW (0.02 mW) ; 0.01 mW (0.01 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute ; -; |dspba_delay:u0_m0_wo0_memread ; 0.01 mW (0.01 mW) ; 0.00 mW (0.00 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_memread ; -; |lpm_mult:u0_m0_wo0_mtree_mult1_0_component ; 0.19 mW (0.00 mW) ; 0.08 mW (0.00 mW) ; -- ; 0.12 mW (0.00 mW) ; |WOLF-LITE|rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component ; -; |mult_ncu:auto_generated ; 0.19 mW (0.19 mW) ; 0.08 mW (0.08 mW) ; -- ; 0.12 mW (0.12 mW) ; |WOLF-LITE|rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated ; -; |altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem ; 0.98 mW (0.00 mW) ; 0.94 mW (0.00 mW) ; -- ; 0.04 mW (0.00 mW) ; |WOLF-LITE|rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem ; -; |altsyncram_0mn3:auto_generated ; 0.98 mW (0.98 mW) ; 0.94 mW (0.94 mW) ; -- ; 0.04 mW (0.04 mW) ; |WOLF-LITE|rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated ; -; |auk_dspip_avalon_streaming_sink_hpfir:sink ; 0.00 mW (0.00 mW) ; 0.00 mW (0.00 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_sink_hpfir:sink ; -; |auk_dspip_avalon_streaming_source_hpfir:source ; 0.27 mW (0.27 mW) ; 0.22 mW (0.22 mW) ; -- ; 0.05 mW (0.05 mW) ; |WOLF-LITE|rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source ; -; |data_shifter:RX_CICFIR_GAINER ; 0.31 mW (0.31 mW) ; 0.20 mW (0.20 mW) ; -- ; 0.11 mW (0.11 mW) ; |WOLF-LITE|data_shifter:RX_CICFIR_GAINER ; -; |rx_ciccomp:RX_CICOMP_Q ; 2.86 mW (0.00 mW) ; 2.29 mW (0.00 mW) ; -- ; 0.56 mW (0.00 mW) ; |WOLF-LITE|rx_ciccomp:RX_CICOMP_Q ; -; |rx_ciccomp_0002:rx_ciccomp_inst ; 2.86 mW (0.00 mW) ; 2.29 mW (0.00 mW) ; -- ; 0.56 mW (0.00 mW) ; |WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst ; -; |rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst ; 2.86 mW (0.00 mW) ; 2.29 mW (0.00 mW) ; -- ; 0.56 mW (0.00 mW) ; |WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst ; -; |rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core ; 2.59 mW (0.92 mW) ; 2.08 mW (0.68 mW) ; -- ; 0.50 mW (0.24 mW) ; |WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core ; -; |dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13 ; 0.02 mW (0.02 mW) ; 0.02 mW (0.02 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13 ; -; |dspba_delay:d_u0_m0_wo0_compute_q_14 ; 0.03 mW (0.03 mW) ; 0.03 mW (0.03 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14 ; -; |dspba_delay:d_u0_m0_wo0_compute_q_15 ; 0.03 mW (0.03 mW) ; 0.03 mW (0.03 mW) ; -- ; 0.01 mW (0.01 mW) ; |WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_15 ; -; |dspba_delay:d_xIn_0_13 ; 0.38 mW (0.38 mW) ; 0.30 mW (0.30 mW) ; -- ; 0.08 mW (0.08 mW) ; |WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13 ; -; |dspba_delay:u0_m0_wo0_compute ; 0.02 mW (0.02 mW) ; 0.01 mW (0.01 mW) ; -- ; 0.01 mW (0.01 mW) ; |WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute ; -; |dspba_delay:u0_m0_wo0_memread ; 0.01 mW (0.01 mW) ; 0.01 mW (0.01 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_memread ; -; |lpm_mult:u0_m0_wo0_mtree_mult1_0_component ; 0.19 mW (0.00 mW) ; 0.08 mW (0.00 mW) ; -- ; 0.12 mW (0.00 mW) ; |WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component ; -; |mult_ncu:auto_generated ; 0.19 mW (0.19 mW) ; 0.08 mW (0.08 mW) ; -- ; 0.12 mW (0.12 mW) ; |WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated ; -; |altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem ; 0.98 mW (0.00 mW) ; 0.94 mW (0.00 mW) ; -- ; 0.04 mW (0.00 mW) ; |WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem ; -; |altsyncram_0mn3:auto_generated ; 0.98 mW (0.98 mW) ; 0.94 mW (0.94 mW) ; -- ; 0.04 mW (0.04 mW) ; |WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated ; -; |auk_dspip_avalon_streaming_sink_hpfir:sink ; 0.00 mW (0.00 mW) ; 0.00 mW (0.00 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_sink_hpfir:sink ; -; |auk_dspip_avalon_streaming_source_hpfir:source ; 0.27 mW (0.27 mW) ; 0.21 mW (0.21 mW) ; -- ; 0.06 mW (0.06 mW) ; |WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source ; -; |mixer:RX_MIXER_I ; 0.27 mW (0.00 mW) ; 0.21 mW (0.00 mW) ; -- ; 0.06 mW (0.00 mW) ; |WOLF-LITE|mixer:RX_MIXER_I ; -; |lpm_mult:lpm_mult_component ; 0.27 mW (0.00 mW) ; 0.21 mW (0.00 mW) ; -- ; 0.06 mW (0.00 mW) ; |WOLF-LITE|mixer:RX_MIXER_I|lpm_mult:lpm_mult_component ; -; |mult_jnp:auto_generated ; 0.27 mW (0.27 mW) ; 0.21 mW (0.21 mW) ; -- ; 0.06 mW (0.06 mW) ; |WOLF-LITE|mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated ; -; |mixer:RX_MIXER_Q ; 0.27 mW (0.00 mW) ; 0.21 mW (0.00 mW) ; -- ; 0.06 mW (0.00 mW) ; |WOLF-LITE|mixer:RX_MIXER_Q ; -; |lpm_mult:lpm_mult_component ; 0.27 mW (0.00 mW) ; 0.21 mW (0.00 mW) ; -- ; 0.06 mW (0.00 mW) ; |WOLF-LITE|mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component ; -; |mult_jnp:auto_generated ; 0.27 mW (0.27 mW) ; 0.21 mW (0.21 mW) ; -- ; 0.06 mW (0.06 mW) ; |WOLF-LITE|mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated ; -; |nco:RX_NCO ; 6.04 mW (0.00 mW) ; 5.27 mW (0.00 mW) ; -- ; 0.76 mW (0.00 mW) ; |WOLF-LITE|nco:RX_NCO ; -; |nco_nco_ii_0:nco_ii_0 ; 6.04 mW (0.00 mW) ; 5.27 mW (0.00 mW) ; -- ; 0.76 mW (0.00 mW) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0 ; -; |asj_nco_mob_w:blk0 ; 0.23 mW (0.16 mW) ; 0.16 mW (0.12 mW) ; -- ; 0.07 mW (0.04 mW) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0 ; -; |lpm_add_sub:lpm_add_sub_component ; 0.07 mW (0.00 mW) ; 0.05 mW (0.00 mW) ; -- ; 0.03 mW (0.00 mW) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component ; -; |add_sub_fpk:auto_generated ; 0.07 mW (0.07 mW) ; 0.05 mW (0.05 mW) ; -- ; 0.03 mW (0.03 mW) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated ; -; |asj_nco_mob_w:blk1 ; 0.18 mW (0.13 mW) ; 0.15 mW (0.10 mW) ; -- ; 0.04 mW (0.02 mW) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1 ; -; |lpm_add_sub:lpm_add_sub_component ; 0.06 mW (0.00 mW) ; 0.05 mW (0.00 mW) ; -- ; 0.01 mW (0.00 mW) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component ; -; |add_sub_fpk:auto_generated ; 0.06 mW (0.06 mW) ; 0.05 mW (0.05 mW) ; -- ; 0.01 mW (0.01 mW) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated ; -; |asj_nco_mady_cen:m0 ; 0.65 mW (0.09 mW) ; 0.59 mW (0.06 mW) ; -- ; 0.05 mW (0.03 mW) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0 ; -; |lpm_mult:Mult0 ; 0.28 mW (0.00 mW) ; 0.27 mW (0.00 mW) ; -- ; 0.01 mW (0.00 mW) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0 ; -; |mult_t5t:auto_generated ; 0.28 mW (0.28 mW) ; 0.27 mW (0.27 mW) ; -- ; 0.01 mW (0.01 mW) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated ; -; |lpm_mult:Mult1 ; 0.28 mW (0.00 mW) ; 0.27 mW (0.00 mW) ; -- ; 0.01 mW (0.00 mW) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1 ; -; |mult_t5t:auto_generated ; 0.28 mW (0.28 mW) ; 0.27 mW (0.27 mW) ; -- ; 0.01 mW (0.01 mW) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated ; -; |asj_nco_madx_cen:m1 ; 0.64 mW (0.09 mW) ; 0.59 mW (0.05 mW) ; -- ; 0.05 mW (0.03 mW) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1 ; -; |lpm_mult:Mult0 ; 0.28 mW (0.00 mW) ; 0.27 mW (0.00 mW) ; -- ; 0.01 mW (0.00 mW) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0 ; -; |mult_t5t:auto_generated ; 0.28 mW (0.28 mW) ; 0.27 mW (0.27 mW) ; -- ; 0.01 mW (0.01 mW) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated ; -; |lpm_mult:Mult1 ; 0.28 mW (0.00 mW) ; 0.27 mW (0.00 mW) ; -- ; 0.01 mW (0.00 mW) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1 ; -; |mult_t5t:auto_generated ; 0.28 mW (0.28 mW) ; 0.27 mW (0.27 mW) ; -- ; 0.01 mW (0.01 mW) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated ; -; |asj_altqmcpipe:ux000 ; 0.28 mW (0.14 mW) ; 0.22 mW (0.12 mW) ; -- ; 0.07 mW (0.02 mW) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000 ; -; |lpm_add_sub:acc ; 0.14 mW (0.00 mW) ; 0.10 mW (0.00 mW) ; -- ; 0.04 mW (0.00 mW) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc ; -; |add_sub_u4i:auto_generated ; 0.14 mW (0.14 mW) ; 0.10 mW (0.10 mW) ; -- ; 0.04 mW (0.04 mW) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated ; -; |asj_gam_dp:ux008 ; 0.38 mW (0.38 mW) ; 0.14 mW (0.14 mW) ; -- ; 0.24 mW (0.24 mW) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008 ; -; |asj_nco_as_m_cen:ux0122 ; 0.93 mW (0.00 mW) ; 0.87 mW (0.00 mW) ; -- ; 0.06 mW (0.00 mW) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122 ; -; |altsyncram:altsyncram_component0 ; 0.93 mW (0.00 mW) ; 0.87 mW (0.00 mW) ; -- ; 0.06 mW (0.00 mW) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0 ; -; |altsyncram_fu91:auto_generated ; 0.93 mW (0.93 mW) ; 0.87 mW (0.87 mW) ; -- ; 0.06 mW (0.06 mW) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_fu91:auto_generated ; -; |asj_nco_as_m_cen:ux0123 ; 0.92 mW (0.00 mW) ; 0.87 mW (0.00 mW) ; -- ; 0.05 mW (0.00 mW) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123 ; -; |altsyncram:altsyncram_component0 ; 0.92 mW (0.00 mW) ; 0.87 mW (0.00 mW) ; -- ; 0.05 mW (0.00 mW) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0 ; -; |altsyncram_au91:auto_generated ; 0.92 mW (0.92 mW) ; 0.87 mW (0.87 mW) ; -- ; 0.05 mW (0.05 mW) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_au91:auto_generated ; -; |asj_nco_as_m_dp_cen:ux0220 ; 1.78 mW (0.00 mW) ; 1.66 mW (0.00 mW) ; -- ; 0.12 mW (0.00 mW) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220 ; -; |altsyncram:altsyncram_component ; 1.78 mW (0.00 mW) ; 1.66 mW (0.00 mW) ; -- ; 0.12 mW (0.00 mW) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component ; -; |altsyncram_h982:auto_generated ; 1.78 mW (1.78 mW) ; 1.66 mW (1.66 mW) ; -- ; 0.12 mW (0.12 mW) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_h982:auto_generated ; -; |asj_nco_isdr:ux710isdr ; 0.04 mW (0.02 mW) ; 0.03 mW (0.01 mW) ; -- ; 0.01 mW (0.01 mW) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr ; -; |lpm_counter:lpm_counter_component ; 0.03 mW (0.00 mW) ; 0.02 mW (0.00 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr|lpm_counter:lpm_counter_component ; -; |cntr_asi:auto_generated ; 0.03 mW (0.03 mW) ; 0.02 mW (0.02 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr|lpm_counter:lpm_counter_component|cntr_asi:auto_generated ; -; |stm32_interface:STM32_INTERFACE ; 2.27 mW (2.27 mW) ; 0.74 mW (0.74 mW) ; -- ; 1.53 mW (1.53 mW) ; |WOLF-LITE|stm32_interface:STM32_INTERFACE ; -; |clock_buffer:SYSCLK_BUFFER ; 6.71 mW (0.00 mW) ; 0.00 mW (0.00 mW) ; -- ; 6.71 mW (0.00 mW) ; |WOLF-LITE|clock_buffer:SYSCLK_BUFFER ; -; |clock_buffer_altclkctrl_0:altclkctrl_0 ; 6.71 mW (0.00 mW) ; 0.00 mW (0.00 mW) ; -- ; 6.71 mW (0.00 mW) ; |WOLF-LITE|clock_buffer:SYSCLK_BUFFER|clock_buffer_altclkctrl_0:altclkctrl_0 ; -; |clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component ; 6.71 mW (6.71 mW) ; 0.00 mW (0.00 mW) ; -- ; 6.71 mW (6.71 mW) ; |WOLF-LITE|clock_buffer:SYSCLK_BUFFER|clock_buffer_altclkctrl_0:altclkctrl_0|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component ; -; |tx_cic:TX_CIC_I ; 15.10 mW (0.00 mW) ; 11.90 mW (0.00 mW) ; -- ; 3.20 mW (0.00 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I ; -; |tx_cic_cic_ii_0:cic_ii_0 ; 15.10 mW (0.00 mW) ; 11.90 mW (0.00 mW) ; -- ; 3.20 mW (0.00 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0 ; -; |alt_cic_core:core ; 15.10 mW (0.00 mW) ; 11.90 mW (0.00 mW) ; -- ; 3.20 mW (0.00 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core ; -; |auk_dspip_avalon_streaming_controller:avalon_controller ; 0.48 mW (0.14 mW) ; 0.33 mW (0.03 mW) ; -- ; 0.16 mW (0.11 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller ; -; |auk_dspip_avalon_streaming_small_fifo:ready_FIFO ; 0.34 mW (0.34 mW) ; 0.29 mW (0.29 mW) ; -- ; 0.05 mW (0.05 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO ; -; |auk_dspip_avalon_streaming_sink:input_sink ; 2.36 mW (0.02 mW) ; 2.16 mW (0.01 mW) ; -- ; 0.20 mW (0.01 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink ; -; |scfifo:sink_FIFO ; 2.34 mW (0.00 mW) ; 2.15 mW (0.00 mW) ; -- ; 0.19 mW (0.00 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO ; -; |scfifo_gf71:auto_generated ; 2.34 mW (0.02 mW) ; 2.15 mW (0.02 mW) ; -- ; 0.19 mW (0.01 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated ; -; |a_dpfifo_1lv:dpfifo ; 2.32 mW (0.18 mW) ; 2.13 mW (0.14 mW) ; -- ; 0.19 mW (0.04 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo ; -; |altsyncram_l7h1:FIFOram ; 2.01 mW (2.01 mW) ; 1.89 mW (1.89 mW) ; -- ; 0.11 mW (0.11 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram ; -; |cntr_r9b:rd_ptr_msb ; 0.03 mW (0.03 mW) ; 0.03 mW (0.03 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_r9b:rd_ptr_msb ; -; |cntr_8a7:usedw_counter ; 0.05 mW (0.05 mW) ; 0.04 mW (0.04 mW) ; -- ; 0.01 mW (0.01 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_8a7:usedw_counter ; -; |cntr_s9b:wr_ptr ; 0.05 mW (0.05 mW) ; 0.04 mW (0.04 mW) ; -- ; 0.02 mW (0.02 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_s9b:wr_ptr ; -; |alt_cic_int_siso:int_one ; 9.54 mW (0.03 mW) ; 7.02 mW (0.02 mW) ; -- ; 2.52 mW (0.01 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one ; -; |auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff ; 0.40 mW (0.27 mW) ; 0.29 mW (0.18 mW) ; -- ; 0.11 mW (0.09 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff ; -; |auk_dspip_delay:\glogic:u0 ; 0.13 mW (0.13 mW) ; 0.10 mW (0.10 mW) ; -- ; 0.02 mW (0.02 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; -; |auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff ; 0.45 mW (0.30 mW) ; 0.31 mW (0.19 mW) ; -- ; 0.14 mW (0.11 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff ; -; |auk_dspip_delay:\glogic:u0 ; 0.15 mW (0.15 mW) ; 0.12 mW (0.12 mW) ; -- ; 0.03 mW (0.03 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; -; |auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff ; 0.49 mW (0.32 mW) ; 0.33 mW (0.20 mW) ; -- ; 0.16 mW (0.12 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff ; -; |auk_dspip_delay:\glogic:u0 ; 0.17 mW (0.17 mW) ; 0.13 mW (0.13 mW) ; -- ; 0.04 mW (0.04 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; -; |auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff ; 0.50 mW (0.34 mW) ; 0.34 mW (0.20 mW) ; -- ; 0.16 mW (0.13 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff ; -; |auk_dspip_delay:\glogic:u0 ; 0.16 mW (0.16 mW) ; 0.14 mW (0.14 mW) ; -- ; 0.03 mW (0.03 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; -; |auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff ; 0.65 mW (0.39 mW) ; 0.42 mW (0.21 mW) ; -- ; 0.23 mW (0.18 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff ; -; |auk_dspip_delay:\glogic:u0 ; 0.26 mW (0.26 mW) ; 0.21 mW (0.21 mW) ; -- ; 0.05 mW (0.05 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; -; |auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff ; 0.52 mW (0.33 mW) ; 0.35 mW (0.22 mW) ; -- ; 0.17 mW (0.11 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff ; -; |auk_dspip_delay:\glogic:u0 ; 0.20 mW (0.20 mW) ; 0.13 mW (0.13 mW) ; -- ; 0.06 mW (0.06 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; -; |counter_module:counter_fs_inst ; 0.20 mW (0.20 mW) ; 0.16 mW (0.16 mW) ; -- ; 0.04 mW (0.04 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst ; -; |auk_dspip_upsample:first_upsample ; 0.10 mW (0.10 mW) ; 0.04 mW (0.04 mW) ; -- ; 0.06 mW (0.06 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample ; -; |auk_dspip_integrator:integrator_loop[0].auK_integrator ; 1.03 mW (0.00 mW) ; 0.78 mW (0.00 mW) ; -- ; 0.25 mW (0.00 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; 1.03 mW (1.03 mW) ; 0.78 mW (0.78 mW) ; -- ; 0.25 mW (0.25 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; -; |auk_dspip_integrator:integrator_loop[1].auK_integrator ; 1.03 mW (0.00 mW) ; 0.79 mW (0.00 mW) ; -- ; 0.25 mW (0.00 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; 1.03 mW (1.03 mW) ; 0.79 mW (0.79 mW) ; -- ; 0.25 mW (0.25 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; -; |auk_dspip_integrator:integrator_loop[2].auK_integrator ; 1.05 mW (0.00 mW) ; 0.80 mW (0.00 mW) ; -- ; 0.26 mW (0.00 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; 1.05 mW (1.05 mW) ; 0.80 mW (0.80 mW) ; -- ; 0.26 mW (0.26 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; -; |auk_dspip_integrator:integrator_loop[3].auK_integrator ; 1.04 mW (0.00 mW) ; 0.79 mW (0.00 mW) ; -- ; 0.25 mW (0.00 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; 1.04 mW (1.04 mW) ; 0.79 mW (0.79 mW) ; -- ; 0.25 mW (0.25 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; -; |auk_dspip_integrator:integrator_loop[4].auK_integrator ; 1.06 mW (0.00 mW) ; 0.79 mW (0.00 mW) ; -- ; 0.26 mW (0.00 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; 1.06 mW (1.06 mW) ; 0.79 mW (0.79 mW) ; -- ; 0.26 mW (0.26 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; -; |auk_dspip_integrator:integrator_loop[5].auK_integrator ; 1.00 mW (0.00 mW) ; 0.81 mW (0.00 mW) ; -- ; 0.19 mW (0.00 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; 1.00 mW (1.00 mW) ; 0.81 mW (0.81 mW) ; -- ; 0.19 mW (0.19 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; -; |auk_dspip_avalon_streaming_source:output_source_0 ; 2.72 mW (0.03 mW) ; 2.40 mW (0.03 mW) ; -- ; 0.32 mW (0.00 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0 ; -; |scfifo:source_FIFO ; 2.68 mW (0.00 mW) ; 2.36 mW (0.00 mW) ; -- ; 0.32 mW (0.00 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO ; -; |scfifo_ci71:auto_generated ; 2.68 mW (0.04 mW) ; 2.36 mW (0.04 mW) ; -- ; 0.32 mW (0.01 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated ; -; |a_dpfifo_9qv:dpfifo ; 2.64 mW (0.22 mW) ; 2.33 mW (0.18 mW) ; -- ; 0.31 mW (0.04 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo ; -; |altsyncram_hah1:FIFOram ; 2.18 mW (2.18 mW) ; 1.97 mW (1.97 mW) ; -- ; 0.21 mW (0.21 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram ; -; |cntr_t9b:rd_ptr_msb ; 0.06 mW (0.06 mW) ; 0.04 mW (0.04 mW) ; -- ; 0.02 mW (0.02 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb ; -; |cntr_aa7:usedw_counter ; 0.09 mW (0.09 mW) ; 0.07 mW (0.07 mW) ; -- ; 0.02 mW (0.02 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter ; -; |cntr_u9b:wr_ptr ; 0.09 mW (0.09 mW) ; 0.06 mW (0.06 mW) ; -- ; 0.03 mW (0.03 mW) ; |WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr ; -; |tx_cic:TX_CIC_Q ; 15.55 mW (0.00 mW) ; 11.93 mW (0.00 mW) ; -- ; 3.62 mW (0.00 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q ; -; |tx_cic_cic_ii_0:cic_ii_0 ; 15.55 mW (0.00 mW) ; 11.93 mW (0.00 mW) ; -- ; 3.62 mW (0.00 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0 ; -; |alt_cic_core:core ; 15.55 mW (0.00 mW) ; 11.93 mW (0.00 mW) ; -- ; 3.62 mW (0.00 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core ; -; |auk_dspip_avalon_streaming_controller:avalon_controller ; 0.52 mW (0.14 mW) ; 0.30 mW (0.03 mW) ; -- ; 0.22 mW (0.11 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller ; -; |auk_dspip_avalon_streaming_small_fifo:ready_FIFO ; 0.38 mW (0.38 mW) ; 0.28 mW (0.28 mW) ; -- ; 0.10 mW (0.10 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO ; -; |auk_dspip_avalon_streaming_sink:input_sink ; 2.33 mW (0.02 mW) ; 2.15 mW (0.01 mW) ; -- ; 0.18 mW (0.01 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink ; -; |scfifo:sink_FIFO ; 2.31 mW (0.00 mW) ; 2.13 mW (0.00 mW) ; -- ; 0.17 mW (0.00 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO ; -; |scfifo_gf71:auto_generated ; 2.31 mW (0.03 mW) ; 2.13 mW (0.02 mW) ; -- ; 0.17 mW (0.02 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated ; -; |a_dpfifo_1lv:dpfifo ; 2.27 mW (0.17 mW) ; 2.12 mW (0.14 mW) ; -- ; 0.16 mW (0.04 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo ; -; |altsyncram_l7h1:FIFOram ; 1.96 mW (1.96 mW) ; 1.88 mW (1.88 mW) ; -- ; 0.08 mW (0.08 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram ; -; |cntr_r9b:rd_ptr_msb ; 0.03 mW (0.03 mW) ; 0.02 mW (0.02 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_r9b:rd_ptr_msb ; -; |cntr_8a7:usedw_counter ; 0.05 mW (0.05 mW) ; 0.03 mW (0.03 mW) ; -- ; 0.02 mW (0.02 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_8a7:usedw_counter ; -; |cntr_s9b:wr_ptr ; 0.06 mW (0.06 mW) ; 0.04 mW (0.04 mW) ; -- ; 0.02 mW (0.02 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_s9b:wr_ptr ; -; |alt_cic_int_siso:int_one ; 9.93 mW (0.02 mW) ; 7.01 mW (0.02 mW) ; -- ; 2.92 mW (0.00 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one ; -; |auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff ; 0.38 mW (0.27 mW) ; 0.29 mW (0.20 mW) ; -- ; 0.09 mW (0.07 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff ; -; |auk_dspip_delay:\glogic:u0 ; 0.11 mW (0.11 mW) ; 0.09 mW (0.09 mW) ; -- ; 0.02 mW (0.02 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; -; |auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff ; 0.47 mW (0.31 mW) ; 0.32 mW (0.19 mW) ; -- ; 0.14 mW (0.12 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff ; -; |auk_dspip_delay:\glogic:u0 ; 0.15 mW (0.15 mW) ; 0.13 mW (0.13 mW) ; -- ; 0.02 mW (0.02 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; -; |auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff ; 0.54 mW (0.34 mW) ; 0.36 mW (0.20 mW) ; -- ; 0.18 mW (0.15 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff ; -; |auk_dspip_delay:\glogic:u0 ; 0.19 mW (0.19 mW) ; 0.16 mW (0.16 mW) ; -- ; 0.03 mW (0.03 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; -; |auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff ; 0.77 mW (0.62 mW) ; 0.32 mW (0.20 mW) ; -- ; 0.45 mW (0.42 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff ; -; |auk_dspip_delay:\glogic:u0 ; 0.15 mW (0.15 mW) ; 0.12 mW (0.12 mW) ; -- ; 0.04 mW (0.04 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; -; |auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff ; 0.63 mW (0.36 mW) ; 0.38 mW (0.21 mW) ; -- ; 0.25 mW (0.15 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff ; -; |auk_dspip_delay:\glogic:u0 ; 0.26 mW (0.26 mW) ; 0.17 mW (0.17 mW) ; -- ; 0.10 mW (0.10 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; -; |auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff ; 0.51 mW (0.33 mW) ; 0.37 mW (0.24 mW) ; -- ; 0.14 mW (0.09 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff ; -; |auk_dspip_delay:\glogic:u0 ; 0.18 mW (0.18 mW) ; 0.13 mW (0.13 mW) ; -- ; 0.04 mW (0.04 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0 ; -; |counter_module:counter_fs_inst ; 0.22 mW (0.22 mW) ; 0.15 mW (0.15 mW) ; -- ; 0.07 mW (0.07 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst ; -; |auk_dspip_upsample:first_upsample ; 0.12 mW (0.12 mW) ; 0.04 mW (0.04 mW) ; -- ; 0.09 mW (0.09 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample ; -; |auk_dspip_integrator:integrator_loop[0].auK_integrator ; 1.05 mW (0.00 mW) ; 0.78 mW (0.00 mW) ; -- ; 0.27 mW (0.00 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; 1.05 mW (1.05 mW) ; 0.78 mW (0.78 mW) ; -- ; 0.27 mW (0.27 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; -; |auk_dspip_integrator:integrator_loop[1].auK_integrator ; 1.04 mW (0.00 mW) ; 0.79 mW (0.00 mW) ; -- ; 0.26 mW (0.00 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; 1.04 mW (1.04 mW) ; 0.79 mW (0.79 mW) ; -- ; 0.26 mW (0.26 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; -; |auk_dspip_integrator:integrator_loop[2].auK_integrator ; 1.04 mW (0.00 mW) ; 0.79 mW (0.00 mW) ; -- ; 0.25 mW (0.00 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; 1.04 mW (1.04 mW) ; 0.79 mW (0.79 mW) ; -- ; 0.25 mW (0.25 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; -; |auk_dspip_integrator:integrator_loop[3].auK_integrator ; 1.04 mW (0.00 mW) ; 0.79 mW (0.00 mW) ; -- ; 0.25 mW (0.00 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; 1.04 mW (1.04 mW) ; 0.79 mW (0.79 mW) ; -- ; 0.25 mW (0.25 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; -; |auk_dspip_integrator:integrator_loop[4].auK_integrator ; 1.04 mW (0.00 mW) ; 0.79 mW (0.00 mW) ; -- ; 0.25 mW (0.00 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; 1.04 mW (1.04 mW) ; 0.79 mW (0.79 mW) ; -- ; 0.25 mW (0.25 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; -; |auk_dspip_integrator:integrator_loop[5].auK_integrator ; 1.05 mW (0.00 mW) ; 0.81 mW (0.00 mW) ; -- ; 0.23 mW (0.00 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator ; -; |auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; 1.05 mW (1.05 mW) ; 0.81 mW (0.81 mW) ; -- ; 0.23 mW (0.23 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1 ; -; |auk_dspip_avalon_streaming_source:output_source_0 ; 2.78 mW (0.05 mW) ; 2.47 mW (0.03 mW) ; -- ; 0.31 mW (0.02 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0 ; -; |scfifo:source_FIFO ; 2.73 mW (0.00 mW) ; 2.44 mW (0.00 mW) ; -- ; 0.29 mW (0.00 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO ; -; |scfifo_ci71:auto_generated ; 2.73 mW (0.03 mW) ; 2.44 mW (0.02 mW) ; -- ; 0.29 mW (0.01 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated ; -; |a_dpfifo_9qv:dpfifo ; 2.70 mW (0.33 mW) ; 2.41 mW (0.28 mW) ; -- ; 0.28 mW (0.05 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo ; -; |altsyncram_hah1:FIFOram ; 2.15 mW (2.15 mW) ; 1.97 mW (1.97 mW) ; -- ; 0.19 mW (0.19 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram ; -; |cntr_t9b:rd_ptr_msb ; 0.05 mW (0.05 mW) ; 0.04 mW (0.04 mW) ; -- ; 0.01 mW (0.01 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb ; -; |cntr_aa7:usedw_counter ; 0.09 mW (0.09 mW) ; 0.07 mW (0.07 mW) ; -- ; 0.01 mW (0.01 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter ; -; |cntr_u9b:wr_ptr ; 0.08 mW (0.08 mW) ; 0.05 mW (0.05 mW) ; -- ; 0.03 mW (0.03 mW) ; |WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr ; -; |data_shifter:TX_CICCOMP_GAINER ; 0.57 mW (0.57 mW) ; 0.38 mW (0.38 mW) ; -- ; 0.20 mW (0.20 mW) ; |WOLF-LITE|data_shifter:TX_CICCOMP_GAINER ; -; |tx_ciccomp:TX_CICCOMP_I ; 7.11 mW (0.00 mW) ; 5.70 mW (0.00 mW) ; -- ; 1.41 mW (0.00 mW) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I ; -; |tx_ciccomp_0002:tx_ciccomp_inst ; 7.11 mW (0.00 mW) ; 5.70 mW (0.00 mW) ; -- ; 1.41 mW (0.00 mW) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst ; -; |tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst ; 7.11 mW (0.00 mW) ; 5.70 mW (0.00 mW) ; -- ; 1.41 mW (0.00 mW) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst ; -; |tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core ; 6.54 mW (2.34 mW) ; 5.31 mW (1.71 mW) ; -- ; 1.22 mW (0.63 mW) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core ; -; |dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13 ; 0.06 mW (0.06 mW) ; 0.04 mW (0.04 mW) ; -- ; 0.02 mW (0.02 mW) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13 ; -; |dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17 ; 0.41 mW (0.41 mW) ; 0.30 mW (0.30 mW) ; -- ; 0.11 mW (0.11 mW) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17 ; -; |dspba_delay:d_u0_m0_wo0_aseq_q_16 ; 0.02 mW (0.02 mW) ; 0.02 mW (0.02 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_aseq_q_16 ; -; |dspba_delay:d_u0_m0_wo0_compute_q_14 ; 0.04 mW (0.04 mW) ; 0.04 mW (0.04 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14 ; -; |dspba_delay:d_u0_m0_wo0_compute_q_15 ; 0.04 mW (0.04 mW) ; 0.02 mW (0.02 mW) ; -- ; 0.02 mW (0.02 mW) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_15 ; -; |dspba_delay:d_u0_m0_wo0_compute_q_16 ; 0.04 mW (0.04 mW) ; 0.02 mW (0.02 mW) ; -- ; 0.01 mW (0.01 mW) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_16 ; -; |dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16 ; 0.10 mW (0.10 mW) ; 0.06 mW (0.06 mW) ; -- ; 0.04 mW (0.04 mW) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16 ; -; |dspba_delay:d_xIn_0_13 ; 0.61 mW (0.61 mW) ; 0.49 mW (0.49 mW) ; -- ; 0.12 mW (0.12 mW) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13 ; -; |dspba_delay:u0_m0_wo0_compute ; 0.05 mW (0.05 mW) ; 0.04 mW (0.04 mW) ; -- ; 0.01 mW (0.01 mW) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute ; -; |dspba_delay:u0_m0_wo0_memread ; 0.05 mW (0.05 mW) ; 0.02 mW (0.02 mW) ; -- ; 0.03 mW (0.03 mW) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_memread ; -; |lpm_mult:u0_m0_wo0_mtree_mult1_0_component ; 0.32 mW (0.00 mW) ; 0.19 mW (0.00 mW) ; -- ; 0.13 mW (0.00 mW) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component ; -; |mult_ncu:auto_generated ; 0.32 mW (0.32 mW) ; 0.19 mW (0.19 mW) ; -- ; 0.13 mW (0.13 mW) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated ; -; |altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem ; 2.45 mW (0.00 mW) ; 2.36 mW (0.00 mW) ; -- ; 0.09 mW (0.00 mW) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem ; -; |altsyncram_0mn3:auto_generated ; 2.45 mW (2.45 mW) ; 2.36 mW (2.36 mW) ; -- ; 0.09 mW (0.09 mW) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated ; -; |auk_dspip_avalon_streaming_sink_hpfir:sink ; 0.00 mW (0.00 mW) ; 0.00 mW (0.00 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_sink_hpfir:sink ; -; |auk_dspip_avalon_streaming_source_hpfir:source ; 0.58 mW (0.58 mW) ; 0.39 mW (0.39 mW) ; -- ; 0.19 mW (0.19 mW) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source ; -; |tx_ciccomp:TX_CICCOMP_Q ; 6.46 mW (0.00 mW) ; 5.27 mW (0.00 mW) ; -- ; 1.19 mW (0.00 mW) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_Q ; -; |tx_ciccomp_0002:tx_ciccomp_inst ; 6.46 mW (0.00 mW) ; 5.27 mW (0.00 mW) ; -- ; 1.19 mW (0.00 mW) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst ; -; |tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst ; 6.46 mW (0.00 mW) ; 5.27 mW (0.00 mW) ; -- ; 1.19 mW (0.00 mW) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst ; -; |tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core ; 5.91 mW (1.70 mW) ; 4.87 mW (1.16 mW) ; -- ; 1.04 mW (0.54 mW) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core ; -; |dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17 ; 0.52 mW (0.52 mW) ; 0.41 mW (0.41 mW) ; -- ; 0.11 mW (0.11 mW) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17 ; -; |dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16 ; 0.10 mW (0.10 mW) ; 0.08 mW (0.08 mW) ; -- ; 0.02 mW (0.02 mW) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16 ; -; |dspba_delay:d_xIn_0_13 ; 0.84 mW (0.84 mW) ; 0.68 mW (0.68 mW) ; -- ; 0.17 mW (0.17 mW) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13 ; -; |lpm_mult:u0_m0_wo0_mtree_mult1_0_component ; 0.30 mW (0.00 mW) ; 0.19 mW (0.00 mW) ; -- ; 0.11 mW (0.00 mW) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component ; -; |mult_ncu:auto_generated ; 0.30 mW (0.30 mW) ; 0.19 mW (0.19 mW) ; -- ; 0.11 mW (0.11 mW) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated ; -; |altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem ; 2.45 mW (0.00 mW) ; 2.36 mW (0.00 mW) ; -- ; 0.10 mW (0.00 mW) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem ; -; |altsyncram_0mn3:auto_generated ; 2.45 mW (2.45 mW) ; 2.36 mW (2.36 mW) ; -- ; 0.10 mW (0.10 mW) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated ; -; |auk_dspip_avalon_streaming_sink_hpfir:sink ; 0.00 mW (0.00 mW) ; 0.00 mW (0.00 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_sink_hpfir:sink ; -; |auk_dspip_avalon_streaming_source_hpfir:source ; 0.55 mW (0.55 mW) ; 0.39 mW (0.39 mW) ; -- ; 0.15 mW (0.15 mW) ; |WOLF-LITE|tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source ; -; |tx_mixer:TX_MIXER_I ; 0.79 mW (0.00 mW) ; 0.60 mW (0.00 mW) ; -- ; 0.19 mW (0.00 mW) ; |WOLF-LITE|tx_mixer:TX_MIXER_I ; -; |lpm_mult:lpm_mult_component ; 0.79 mW (0.00 mW) ; 0.60 mW (0.00 mW) ; -- ; 0.19 mW (0.00 mW) ; |WOLF-LITE|tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component ; -; |mult_abt:auto_generated ; 0.79 mW (0.79 mW) ; 0.60 mW (0.60 mW) ; -- ; 0.19 mW (0.19 mW) ; |WOLF-LITE|tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated ; -; |tx_mixer:TX_MIXER_Q ; 0.77 mW (0.00 mW) ; 0.61 mW (0.00 mW) ; -- ; 0.17 mW (0.00 mW) ; |WOLF-LITE|tx_mixer:TX_MIXER_Q ; -; |lpm_mult:lpm_mult_component ; 0.77 mW (0.00 mW) ; 0.61 mW (0.00 mW) ; -- ; 0.17 mW (0.00 mW) ; |WOLF-LITE|tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component ; -; |mult_abt:auto_generated ; 0.77 mW (0.77 mW) ; 0.61 mW (0.61 mW) ; -- ; 0.17 mW (0.17 mW) ; |WOLF-LITE|tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated ; -; |tx_nco:TX_NCO ; 18.82 mW (0.00 mW) ; 16.06 mW (0.00 mW) ; -- ; 2.76 mW (0.00 mW) ; |WOLF-LITE|tx_nco:TX_NCO ; -; |tx_nco_nco_ii_0:nco_ii_0 ; 18.82 mW (0.00 mW) ; 16.06 mW (0.00 mW) ; -- ; 2.76 mW (0.00 mW) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0 ; -; |asj_nco_mob_w:blk0 ; 0.27 mW (0.17 mW) ; 0.18 mW (0.14 mW) ; -- ; 0.09 mW (0.03 mW) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0 ; -; |lpm_add_sub:lpm_add_sub_component ; 0.10 mW (0.00 mW) ; 0.04 mW (0.00 mW) ; -- ; 0.06 mW (0.00 mW) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component ; -; |add_sub_jpk:auto_generated ; 0.10 mW (0.10 mW) ; 0.04 mW (0.04 mW) ; -- ; 0.06 mW (0.06 mW) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated ; -; |asj_nco_mob_w:blk1 ; 0.27 mW (0.17 mW) ; 0.18 mW (0.14 mW) ; -- ; 0.09 mW (0.03 mW) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1 ; -; |lpm_add_sub:lpm_add_sub_component ; 0.10 mW (0.00 mW) ; 0.04 mW (0.00 mW) ; -- ; 0.06 mW (0.00 mW) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component ; -; |add_sub_jpk:auto_generated ; 0.10 mW (0.10 mW) ; 0.04 mW (0.04 mW) ; -- ; 0.06 mW (0.06 mW) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated ; -; |asj_nco_mady_cen:m0 ; 2.15 mW (0.38 mW) ; 1.85 mW (0.16 mW) ; -- ; 0.30 mW (0.22 mW) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0 ; -; |lpm_mult:Mult0 ; 0.89 mW (0.00 mW) ; 0.84 mW (0.00 mW) ; -- ; 0.04 mW (0.00 mW) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0 ; -; |mult_36t:auto_generated ; 0.89 mW (0.89 mW) ; 0.84 mW (0.84 mW) ; -- ; 0.04 mW (0.04 mW) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated ; -; |lpm_mult:Mult1 ; 0.88 mW (0.00 mW) ; 0.84 mW (0.00 mW) ; -- ; 0.04 mW (0.00 mW) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1 ; -; |mult_36t:auto_generated ; 0.88 mW (0.88 mW) ; 0.84 mW (0.84 mW) ; -- ; 0.04 mW (0.04 mW) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated ; -; |asj_nco_madx_cen:m1 ; 2.14 mW (0.37 mW) ; 1.85 mW (0.16 mW) ; -- ; 0.29 mW (0.21 mW) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1 ; -; |lpm_mult:Mult0 ; 0.88 mW (0.00 mW) ; 0.84 mW (0.00 mW) ; -- ; 0.04 mW (0.00 mW) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0 ; -; |mult_36t:auto_generated ; 0.88 mW (0.88 mW) ; 0.84 mW (0.84 mW) ; -- ; 0.04 mW (0.04 mW) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated ; -; |lpm_mult:Mult1 ; 0.88 mW (0.00 mW) ; 0.84 mW (0.00 mW) ; -- ; 0.04 mW (0.00 mW) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1 ; -; |mult_36t:auto_generated ; 0.88 mW (0.88 mW) ; 0.84 mW (0.84 mW) ; -- ; 0.04 mW (0.04 mW) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated ; -; |asj_altqmcpipe:ux000 ; 0.61 mW (0.27 mW) ; 0.43 mW (0.20 mW) ; -- ; 0.18 mW (0.07 mW) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000 ; -; |lpm_add_sub:acc ; 0.34 mW (0.00 mW) ; 0.23 mW (0.00 mW) ; -- ; 0.11 mW (0.00 mW) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc ; -; |add_sub_u4i:auto_generated ; 0.34 mW (0.34 mW) ; 0.23 mW (0.23 mW) ; -- ; 0.11 mW (0.11 mW) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated ; -; |asj_gam_dp:ux008 ; 1.20 mW (1.20 mW) ; 0.25 mW (0.25 mW) ; -- ; 0.95 mW (0.95 mW) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008 ; -; |asj_nco_as_m_cen:ux0122 ; 3.09 mW (0.00 mW) ; 2.89 mW (0.00 mW) ; -- ; 0.20 mW (0.00 mW) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122 ; -; |altsyncram:altsyncram_component0 ; 3.09 mW (0.00 mW) ; 2.89 mW (0.00 mW) ; -- ; 0.20 mW (0.00 mW) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0 ; -; |altsyncram_u8a1:auto_generated ; 3.09 mW (3.09 mW) ; 2.89 mW (2.89 mW) ; -- ; 0.20 mW (0.20 mW) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_u8a1:auto_generated ; -; |asj_nco_as_m_cen:ux0123 ; 3.09 mW (0.00 mW) ; 2.89 mW (0.00 mW) ; -- ; 0.20 mW (0.00 mW) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123 ; -; |altsyncram:altsyncram_component0 ; 3.09 mW (0.00 mW) ; 2.89 mW (0.00 mW) ; -- ; 0.20 mW (0.00 mW) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0 ; -; |altsyncram_p8a1:auto_generated ; 3.09 mW (3.09 mW) ; 2.89 mW (2.89 mW) ; -- ; 0.20 mW (0.20 mW) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_p8a1:auto_generated ; -; |asj_nco_as_m_dp_cen:ux0220 ; 5.99 mW (0.00 mW) ; 5.52 mW (0.00 mW) ; -- ; 0.47 mW (0.00 mW) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220 ; -; |altsyncram:altsyncram_component ; 5.99 mW (0.00 mW) ; 5.52 mW (0.00 mW) ; -- ; 0.47 mW (0.00 mW) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component ; -; |altsyncram_4k82:auto_generated ; 5.99 mW (5.99 mW) ; 5.52 mW (5.52 mW) ; -- ; 0.47 mW (0.47 mW) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated ; -; |asj_nco_isdr:ux710isdr ; 0.00 mW (0.00 mW) ; 0.00 mW (0.00 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr ; -; |lpm_counter:lpm_counter_component ; 0.00 mW (0.00 mW) ; 0.00 mW (0.00 mW) ; -- ; 0.00 mW (0.00 mW) ; |WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr|lpm_counter:lpm_counter_component ; -; |tx_pll:TX_PLL ; 26.25 mW (0.00 mW) ; 12.88 mW (0.00 mW) ; -- ; 13.36 mW (0.00 mW) ; |WOLF-LITE|tx_pll:TX_PLL ; -; |altpll:altpll_component ; 26.25 mW (0.00 mW) ; 12.88 mW (0.00 mW) ; -- ; 13.36 mW (0.00 mW) ; |WOLF-LITE|tx_pll:TX_PLL|altpll:altpll_component ; -; |tx_pll_altpll:auto_generated ; 26.25 mW (26.25 mW) ; 12.88 mW (12.88 mW) ; -- ; 13.36 mW (13.36 mW) ; |WOLF-LITE|tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated ; -; |tx_summator:TX_SUMMATOR ; 0.77 mW (0.00 mW) ; 0.37 mW (0.00 mW) ; -- ; 0.40 mW (0.00 mW) ; |WOLF-LITE|tx_summator:TX_SUMMATOR ; -; |lpm_add_sub:LPM_ADD_SUB_component ; 0.77 mW (0.00 mW) ; 0.37 mW (0.00 mW) ; -- ; 0.40 mW (0.00 mW) ; |WOLF-LITE|tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component ; -; |add_sub_1vk:auto_generated ; 0.77 mW (0.77 mW) ; 0.37 mW (0.37 mW) ; -- ; 0.40 mW (0.40 mW) ; |WOLF-LITE|tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated ; -+------------------------------------------------------------------------------------------------------------------------------------------+--------------------------------------+---------------------------------+-----------------------------------+-----------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -(1) Value in parentheses is the power consumed at that level of hierarchy. Value not in parentheses is the power consumed at that level of hierarchy plus the power consumed by all levels of hierarchy below it. - -(2) The "Block Thermal Static Power" for all levels of hierarchy except the top-level hierarchy is part of the "Core Static Thermal Power Dissipation" value found on the PowerPlay Power Analyzer-->Summary report panel. The "Core Static Thermal Power Dissipation" also contains the thermal static power dissipated by the routing. - - -+----------------------------------------------------------------------------------------------------------------------------------------------+ -; Core Dynamic Thermal Power Dissipation by Clock Domain ; -+-------------------------------------------------------------------------------------------+-----------------------+--------------------------+ -; Clock Domain ; Clock Frequency (MHz) ; Total Core Dynamic Power ; -+-------------------------------------------------------------------------------------------+-----------------------+--------------------------+ -; MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|wire_pll1_clk[0] ; 12.29 ; 9.33 ; -; MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|wire_pll1_clk[1] ; 0.05 ; 0.00 ; -; clk_sys ; 64.32 ; 53.87 ; -; tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated|wire_pll1_clk[0] ; 160.82 ; 88.17 ; -; STM32_CLK ; 25.00 ; 2.54 ; -; altera_reserved_tck ; 10.00 ; 0.42 ; -; No clock domain ; 0.00 ; 6.60 ; -+-------------------------------------------------------------------------------------------+-----------------------+--------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------+ -; Current Drawn from Voltage Supplies Summary ; -+----------------+-------------------------+---------------------------+--------------------------+----------------------------------+ -; Voltage Supply ; Total Current Drawn (1) ; Dynamic Current Drawn (1) ; Static Current Drawn (1) ; Minimum Power Supply Current (2) ; -+----------------+-------------------------+---------------------------+--------------------------+----------------------------------+ -; VCCINT ; 139.76 mA ; 116.76 mA ; 23.00 mA ; 139.76 mA ; -; VCCIO ; 12.11 mA ; 2.68 mA ; 9.43 mA ; 12.11 mA ; -; VCCA ; 23.56 mA ; 3.85 mA ; 19.70 mA ; 23.56 mA ; -; VCCD ; 28.08 mA ; 10.35 mA ; 17.73 mA ; 28.08 mA ; -+----------------+-------------------------+---------------------------+--------------------------+----------------------------------+ -(1) Currents reported in columns "Total Current Drawn", "Dynamic Current Drawn", and "Static Current Drawn" are sufficient for user operation of the device. -(2) Currents reported in column "Minimum Power Supply Current" are sufficient for power-up, configuration, and user operation of the device. - - -+-----------------------------------------------------------------------------------------------+ -; VCCIO Supply Current Drawn by I/O Bank ; -+----------+---------------+---------------------+-----------------------+----------------------+ -; I/O Bank ; VCCIO Voltage ; Total Current Drawn ; Dynamic Current Drawn ; Static Current Drawn ; -+----------+---------------+---------------------+-----------------------+----------------------+ -; 1 ; 2.5V ; 1.21 mA ; 0.11 mA ; 1.09 mA ; -; 2 ; 3.3V ; 1.15 mA ; 0.05 mA ; 1.09 mA ; -; 3 ; 3.3V ; 1.25 mA ; 0.07 mA ; 1.18 mA ; -; 4 ; 3.3V ; 1.29 mA ; 0.03 mA ; 1.27 mA ; -; 5 ; 3.3V ; 1.47 mA ; 0.22 mA ; 1.25 mA ; -; 6 ; 3.3V ; 1.46 mA ; 0.26 mA ; 1.20 mA ; -; 7 ; 3.3V ; 3.08 mA ; 1.83 mA ; 1.25 mA ; -; 8 ; 3.3V ; 1.20 mA ; 0.11 mA ; 1.09 mA ; -+----------+---------------+---------------------+-----------------------+----------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------+ -; VCCIO Supply Current Drawn by Voltage ; -+---------------+-------------------------+---------------------------+--------------------------+----------------------------------+ -; VCCIO Voltage ; Total Current Drawn (1) ; Dynamic Current Drawn (1) ; Static Current Drawn (1) ; Minimum Power Supply Current (2) ; -+---------------+-------------------------+---------------------------+--------------------------+----------------------------------+ -; 2.5V ; 1.21 mA ; 0.11 mA ; 1.09 mA ; 1.21 mA ; -; 3.3V ; 10.90 mA ; 2.57 mA ; 8.34 mA ; 10.90 mA ; -+---------------+-------------------------+---------------------------+--------------------------+----------------------------------+ -(1) Currents reported in columns "Total Current Drawn", "Dynamic Current Drawn", and "Static Current Drawn" are sufficient for user operation of the device. -(2) Currents reported in column "Minimum Power Supply Current" are sufficient for power-up, configuration, and user operation of the device. - - -+------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Confidence Metric Details ; -+----------------------------------------------------------------------------------------+---------------+------------+---------------+----------------+ -; Data Source ; Total ; Pin ; Registered ; Combinational ; -+----------------------------------------------------------------------------------------+---------------+------------+---------------+----------------+ -; Simulation (from file) ; ; ; ; ; -; -- Number of signals with Toggle Rate from Simulation ; 0 (0.0%) ; 0 (0.0%) ; 0 (0.0%) ; 0 (0.0%) ; -; -- Number of signals with Static Probability from Simulation ; 0 (0.0%) ; 0 (0.0%) ; 0 (0.0%) ; 0 (0.0%) ; -; ; ; ; ; ; -; Node, entity or clock assignment ; ; ; ; ; -; -- Number of signals with Toggle Rate from Node, entity or clock assignment ; 6 (0.0%) ; 3 (4.1%) ; 0 (0.0%) ; 3 (0.0%) ; -; -- Number of signals with Static Probability from Node, entity or clock assignment ; 6 (0.0%) ; 3 (4.1%) ; 0 (0.0%) ; 3 (0.0%) ; -; ; ; ; ; ; -; Vectorless estimation ; ; ; ; ; -; -- Number of signals with Toggle Rate from Vectorless estimation ; 22075 (99.9%) ; 46 (63.0%) ; 8151 (100.0%) ; 13878 (100.0%) ; -; -- Number of signals with Zero toggle rate, from Vectorless estimation ; 160 (0.7%) ; 0 (0.0%) ; 0 (0.0%) ; 160 (1.2%) ; -; -- Number of signals with Static Probability from Vectorless estimation ; 22075 (99.9%) ; 46 (63.0%) ; 8151 (100.0%) ; 13878 (100.0%) ; -; ; ; ; ; ; -; Default assignment ; ; ; ; ; -; -- Number of signals with Toggle Rate from Default assignment ; 12 (0.1%) ; 12 (16.4%) ; 0 (0.0%) ; 0 (0.0%) ; -; -- Number of signals with Static Probability from Default assignment ; 24 (0.1%) ; 24 (32.9%) ; 0 (0.0%) ; 0 (0.0%) ; -; ; ; ; ; ; -; Assumed 0 ; ; ; ; ; -; -- Number of signals with Toggle Rate assumed 0 ; 12 (0.1%) ; 12 (16.4%) ; 0 (0.0%) ; 0 (0.0%) ; -+----------------------------------------------------------------------------------------+---------------+------------+---------------+----------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Signal Activities ; -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------------+---------------------------------------------+-------------------------+--------------------+--------------------------------+ -; Signal ; Type ; Toggle Rate (millions of transitions / sec) ; Toggle Rate Data Source ; Static Probability ; Static Probability Data Source ; -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------------+---------------------------------------------+-------------------------+--------------------+--------------------------------+ -; ADC_INPUT[0] ; Input Pin ; 0.000 ; Assumed 0 ; 0.500 ; Default assignment ; -; ADC_INPUT[1] ; Input Pin ; 0.000 ; Assumed 0 ; 0.500 ; Default assignment ; -; ADC_INPUT[2] ; Input Pin ; 0.000 ; Assumed 0 ; 0.500 ; Default assignment ; -; ADC_INPUT[3] ; Input Pin ; 0.000 ; Assumed 0 ; 0.500 ; Default assignment ; -; ADC_INPUT[4] ; Input Pin ; 0.000 ; Assumed 0 ; 0.500 ; Default assignment ; -; ADC_INPUT[5] ; Input Pin ; 0.000 ; Assumed 0 ; 0.500 ; Default assignment ; -; ADC_INPUT[6] ; Input Pin ; 0.000 ; Assumed 0 ; 0.500 ; Default assignment ; -; ADC_INPUT[7] ; Input Pin ; 0.000 ; Assumed 0 ; 0.500 ; Default assignment ; -; ADC_INPUT[8] ; Input Pin ; 0.000 ; Assumed 0 ; 0.500 ; Default assignment ; -; ADC_INPUT[9] ; Input Pin ; 0.000 ; Assumed 0 ; 0.500 ; Default assignment ; -; ADC_INPUT[10] ; Input Pin ; 0.000 ; Assumed 0 ; 0.500 ; Default assignment ; -; ADC_INPUT[11] ; Input Pin ; 0.000 ; Assumed 0 ; 0.500 ; Default assignment ; -; ADC_OTR ; Input Pin ; 20.000 ; Default assignment ; 0.500 ; Default assignment ; -; altera_internal_jtag~TCKUTAP ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; altera_internal_jtag~TCKUTAPclkctrl ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; altera_internal_jtag~TDIUTAP ; Combinational ; 8.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; altera_internal_jtag~TDO ; Combinational ; 5.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; altera_internal_jtag~TMSUTAP ; Combinational ; 8.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; altera_reserved_tck ; Input Pin ; 20.000 ; Node assignment ; 0.500 ; Node assignment ; -; altera_reserved_tdi ; Input Pin ; 8.000 ; Default assignment ; 0.500 ; Default assignment ; -; altera_reserved_tdo ; Output Pin ; 5.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; altera_reserved_tms ; Input Pin ; 8.000 ; Default assignment ; 0.500 ; Default assignment ; -; ATT_1 ; Output Pin ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; ATT_2 ; Output Pin ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; ATT_4 ; Output Pin ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; ATT_05 ; Output Pin ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; ATT_8 ; Output Pin ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; ATT_16 ; Output Pin ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; AUDIO_48K_CLOCK ; Output Pin ; 0.096 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; AUDIO_I2S_CLOCK ; Output Pin ; 24.577 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; BPF_A ; Output Pin ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; BPF_B ; Output Pin ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; BPF_OE1 ; Output Pin ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; BPF_OE2 ; Output Pin ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; clk_sys ; Input Pin ; 128.642 ; Node assignment ; 0.500 ; Node assignment ; -; DAC_CLK ; Output Pin ; 321.647 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_OUTPUT[0] ; Output Pin ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; DAC_OUTPUT[1] ; Output Pin ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; DAC_OUTPUT[2] ; Output Pin ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; DAC_OUTPUT[3] ; Output Pin ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; DAC_OUTPUT[4] ; Output Pin ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; DAC_OUTPUT[5] ; Output Pin ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; DAC_OUTPUT[6] ; Output Pin ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; DAC_OUTPUT[7] ; Output Pin ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; DAC_OUTPUT[8] ; Output Pin ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; DAC_OUTPUT[9] ; Output Pin ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; DAC_OUTPUT[10] ; Output Pin ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; DAC_OUTPUT[11] ; Output Pin ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; DAC_OUTPUT[12] ; Output Pin ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; DAC_OUTPUT[13] ; Output Pin ; 11.308 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; DAC_PD ; Output Pin ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; FLASH_C ; Output Pin ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; FLASH_MISO ; Input Pin ; 51.457 ; Default assignment ; 0.500 ; Default assignment ; -; FLASH_MOSI ; Output Pin ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; FLASH_S ; Output Pin ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; LPF_1 ; Output Pin ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; LPF_2 ; Output Pin ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; LPF_3 ; Output Pin ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; PREAMP ; Output Pin ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; STM32_CLK ; Input Pin ; 50.000 ; Node assignment ; 0.500 ; Node assignment ; -; STM32_DATA_BUS[0]~result ; Bidir Pin Input ; 0.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; STM32_DATA_BUS[0]~output ; Bidir Pin Output ; 1.563 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; STM32_DATA_BUS[1]~result ; Bidir Pin Input ; 20.000 ; Default assignment ; 0.500 ; Default assignment ; -; STM32_DATA_BUS[1]~output ; Bidir Pin Output ; 1.563 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; STM32_DATA_BUS[2]~result ; Bidir Pin Input ; 20.000 ; Default assignment ; 0.500 ; Default assignment ; -; STM32_DATA_BUS[2]~output ; Bidir Pin Output ; 1.563 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; STM32_DATA_BUS[3]~result ; Bidir Pin Input ; 20.000 ; Default assignment ; 0.500 ; Default assignment ; -; STM32_DATA_BUS[3]~output ; Bidir Pin Output ; 1.563 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; STM32_DATA_BUS[4]~result ; Bidir Pin Input ; 20.000 ; Default assignment ; 0.500 ; Default assignment ; -; STM32_DATA_BUS[4]~output ; Bidir Pin Output ; 1.563 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; STM32_DATA_BUS[5]~result ; Bidir Pin Input ; 20.000 ; Default assignment ; 0.500 ; Default assignment ; -; STM32_DATA_BUS[5]~output ; Bidir Pin Output ; 1.563 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; STM32_DATA_BUS[6]~result ; Bidir Pin Input ; 20.000 ; Default assignment ; 0.500 ; Default assignment ; -; STM32_DATA_BUS[6]~output ; Bidir Pin Output ; 1.563 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; STM32_DATA_BUS[7]~result ; Bidir Pin Input ; 20.000 ; Default assignment ; 0.500 ; Default assignment ; -; STM32_DATA_BUS[7]~output ; Bidir Pin Output ; 1.563 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; STM32_SYNC ; Input Pin ; 20.000 ; Default assignment ; 0.500 ; Default assignment ; -; TXRX_OUT ; Output Pin ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; ~GND ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; ~QIC_CREATED_GND~I ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux0~0 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux0~1 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux0~2 ; Combinational ; 1.713 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux0~3 ; Combinational ; 1.031 ; Vectorless estimation ; 0.359 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux0~4 ; Combinational ; 1.507 ; Vectorless estimation ; 0.496 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux0~5 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux0~6 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux0~7 ; Combinational ; 0.762 ; Vectorless estimation ; 0.498 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux0~8 ; Combinational ; 0.951 ; Vectorless estimation ; 0.339 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux1~0 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux1~1 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux1~2 ; Combinational ; 1.627 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux1~3 ; Combinational ; 1.075 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux1~4 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux1~5 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux1~6 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux1~7 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux1~8 ; Combinational ; 1.864 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux1~9 ; Combinational ; 0.928 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux1~10 ; Combinational ; 1.501 ; Vectorless estimation ; 0.492 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux1~11 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux1~12 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux1~13 ; Combinational ; 0.762 ; Vectorless estimation ; 0.496 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux1~14 ; Combinational ; 0.950 ; Vectorless estimation ; 0.342 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux2~0 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux2~1 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux2~2 ; Combinational ; 1.315 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux2~3 ; Combinational ; 1.171 ; Vectorless estimation ; 0.156 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux2~4 ; Combinational ; 1.622 ; Vectorless estimation ; 0.381 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux2~5 ; Combinational ; 1.501 ; Vectorless estimation ; 0.488 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux2~6 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux2~7 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux2~8 ; Combinational ; 0.762 ; Vectorless estimation ; 0.494 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux2~9 ; Combinational ; 0.980 ; Vectorless estimation ; 0.342 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux3~0 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux3~1 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux3~2 ; Combinational ; 1.315 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux3~3 ; Combinational ; 1.033 ; Vectorless estimation ; 0.188 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux3~4 ; Combinational ; 1.520 ; Vectorless estimation ; 0.395 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux3~5 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux3~6 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux3~7 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux3~8 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux3~9 ; Combinational ; 1.627 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux3~10 ; Combinational ; 1.175 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux3~11 ; Combinational ; 1.533 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux3~12 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux3~13 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux3~14 ; Combinational ; 0.768 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux3~15 ; Combinational ; 0.960 ; Vectorless estimation ; 0.341 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux4~0 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux4~1 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux4~2 ; Combinational ; 1.315 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux4~3 ; Combinational ; 1.008 ; Vectorless estimation ; 0.219 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux4~4 ; Combinational ; 1.440 ; Vectorless estimation ; 0.408 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux4~5 ; Combinational ; 1.672 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux4~6 ; Combinational ; 0.896 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux4~7 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux4~8 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux4~9 ; Combinational ; 1.627 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux4~10 ; Combinational ; 1.175 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux4~11 ; Combinational ; 1.533 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux4~12 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux4~13 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux4~14 ; Combinational ; 0.751 ; Vectorless estimation ; 0.480 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux4~15 ; Combinational ; 0.951 ; Vectorless estimation ; 0.342 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux5~0 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux5~1 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux5~2 ; Combinational ; 1.315 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux5~3 ; Combinational ; 1.111 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux5~4 ; Combinational ; 1.385 ; Vectorless estimation ; 0.422 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux5~5 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux5~6 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux5~7 ; Combinational ; 1.864 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux5~8 ; Combinational ; 0.928 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux5~9 ; Combinational ; 1.627 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux5~10 ; Combinational ; 1.175 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux5~11 ; Combinational ; 1.551 ; Vectorless estimation ; 0.461 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux5~12 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux5~13 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux5~14 ; Combinational ; 0.771 ; Vectorless estimation ; 0.480 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux5~15 ; Combinational ; 0.957 ; Vectorless estimation ; 0.346 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux6~0 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux6~1 ; Combinational ; 1.715 ; Vectorless estimation ; 0.406 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux6~2 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux6~3 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux6~4 ; Combinational ; 1.466 ; Vectorless estimation ; 0.453 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux6~5 ; Combinational ; 2.233 ; Vectorless estimation ; 0.406 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux6~6 ; Combinational ; 0.986 ; Vectorless estimation ; 0.453 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux6~7 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux6~8 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux6~9 ; Combinational ; 1.627 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux6~10 ; Combinational ; 1.175 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux6~11 ; Combinational ; 1.533 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux6~12 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux6~13 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux6~14 ; Combinational ; 0.727 ; Vectorless estimation ; 0.472 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux6~15 ; Combinational ; 0.966 ; Vectorless estimation ; 0.349 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux7~0 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux7~1 ; Combinational ; 1.348 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux7~2 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux7~3 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux7~4 ; Combinational ; 1.384 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux7~5 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux7~6 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux7~7 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux7~8 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux7~9 ; Combinational ; 1.111 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux7~10 ; Combinational ; 1.702 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux7~11 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux7~12 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux7~13 ; Combinational ; 0.794 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux7~14 ; Combinational ; 0.978 ; Vectorless estimation ; 0.352 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux8~0 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux8~1 ; Combinational ; 1.071 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux8~2 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux8~3 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux8~4 ; Combinational ; 1.325 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux8~5 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux8~6 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux8~7 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux8~8 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux8~9 ; Combinational ; 1.008 ; Vectorless estimation ; 0.219 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux8~10 ; Combinational ; 1.766 ; Vectorless estimation ; 0.430 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux8~11 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux8~12 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux8~13 ; Combinational ; 0.804 ; Vectorless estimation ; 0.465 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux8~14 ; Combinational ; 0.987 ; Vectorless estimation ; 0.354 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux9~0 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux9~1 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux9~2 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux9~3 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux9~4 ; Combinational ; 1.291 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux9~5 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux9~6 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux9~7 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux9~8 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux9~9 ; Combinational ; 1.033 ; Vectorless estimation ; 0.188 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux9~10 ; Combinational ; 1.852 ; Vectorless estimation ; 0.422 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux9~11 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux9~12 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux9~13 ; Combinational ; 0.817 ; Vectorless estimation ; 0.461 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux9~14 ; Combinational ; 1.000 ; Vectorless estimation ; 0.355 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux10~0 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux10~1 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux10~2 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux10~3 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux10~4 ; Combinational ; 1.523 ; Vectorless estimation ; 0.262 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux10~5 ; Combinational ; 1.002 ; Vectorless estimation ; 0.256 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux10~6 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux10~7 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux10~8 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux10~9 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux10~10 ; Combinational ; 1.171 ; Vectorless estimation ; 0.156 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux10~11 ; Combinational ; 1.957 ; Vectorless estimation ; 0.414 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux10~12 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux10~13 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux10~14 ; Combinational ; 0.832 ; Vectorless estimation ; 0.457 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux10~15 ; Combinational ; 1.411 ; Vectorless estimation ; 0.356 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux11~0 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux11~1 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux11~2 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux11~3 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux11~4 ; Combinational ; 1.253 ; Vectorless estimation ; 0.273 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux11~5 ; Combinational ; 0.967 ; Vectorless estimation ; 0.262 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux11~6 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux11~7 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux11~8 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux11~9 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux11~10 ; Combinational ; 1.315 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux11~11 ; Combinational ; 2.074 ; Vectorless estimation ; 0.406 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux11~12 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux11~13 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux11~14 ; Combinational ; 0.849 ; Vectorless estimation ; 0.453 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux11~15 ; Combinational ; 1.387 ; Vectorless estimation ; 0.357 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~0 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~1 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~2 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~3 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~4 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~5 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~6 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~7 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~8 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~9 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~10 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~11 ; Combinational ; 1.921 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~12 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~13 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~14 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~15 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~16 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~17 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~18 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~19 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~20 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~21 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~22 ; Combinational ; 1.104 ; Vectorless estimation ; 0.285 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~23 ; Combinational ; 0.947 ; Vectorless estimation ; 0.268 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~24 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~25 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~26 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~27 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~28 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~29 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~30 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~31 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~32 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~33 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~34 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~35 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~36 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~37 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~38 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~39 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~40 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~41 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~42 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~43 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~44 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~45 ; Combinational ; 1.921 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~46 ; Combinational ; 0.824 ; Vectorless estimation ; 0.094 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~47 ; Combinational ; 2.153 ; Vectorless estimation ; 0.398 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~48 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~49 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~50 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~51 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~52 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~53 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~54 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~55 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~56 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~57 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~58 ; Combinational ; 0.861 ; Vectorless estimation ; 0.449 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux12~59 ; Combinational ; 1.365 ; Vectorless estimation ; 0.358 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~0 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~1 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~2 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~3 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~4 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~5 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~6 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~7 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~8 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~9 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~10 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~11 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~12 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~13 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~14 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~15 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~16 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~17 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~18 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~19 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~20 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~21 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~22 ; Combinational ; 1.084 ; Vectorless estimation ; 0.297 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~23 ; Combinational ; 0.942 ; Vectorless estimation ; 0.273 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~24 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~25 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~26 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~27 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~28 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~29 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~30 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~31 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~32 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~33 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~34 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~35 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~36 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~37 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~38 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~39 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~40 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~41 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~42 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~43 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~44 ; Combinational ; 2.002 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~45 ; Combinational ; 0.478 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~46 ; Combinational ; 2.255 ; Vectorless estimation ; 0.391 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~47 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~48 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~49 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~50 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~51 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~52 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~53 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~54 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~55 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~56 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~57 ; Combinational ; 0.877 ; Vectorless estimation ; 0.445 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux13~58 ; Combinational ; 1.348 ; Vectorless estimation ; 0.359 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~0 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~1 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~2 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~3 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~4 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~5 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~6 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~7 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~8 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~9 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~10 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~11 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~12 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~13 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~14 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~15 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~16 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~17 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~18 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~19 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~20 ; Combinational ; 1.916 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~21 ; Combinational ; 0.258 ; Vectorless estimation ; 0.031 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~22 ; Combinational ; 2.378 ; Vectorless estimation ; 0.383 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~23 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~24 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~25 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~26 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~27 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~28 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~29 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~30 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~31 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~32 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~33 ; Combinational ; 0.895 ; Vectorless estimation ; 0.441 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~34 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~35 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~36 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~37 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~38 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~39 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~40 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~41 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~42 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~43 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~44 ; Combinational ; 1.218 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~45 ; Combinational ; 1.916 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~46 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~47 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~48 ; Combinational ; 0.474 ; Vectorless estimation ; 0.078 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~49 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~50 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~51 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~52 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~53 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~54 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~55 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~56 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~57 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~58 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~59 ; Combinational ; 1.091 ; Vectorless estimation ; 0.154 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux14~60 ; Combinational ; 1.382 ; Vectorless estimation ; 0.351 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~0 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~1 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~2 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~3 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~4 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~5 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~6 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~7 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~8 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~9 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~10 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~11 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~12 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~13 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~14 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~15 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~16 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~17 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~18 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~19 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~20 ; Combinational ; 0.578 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~21 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~22 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~23 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~24 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~25 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~26 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~27 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~28 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~29 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~30 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~31 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~32 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~33 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~34 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~35 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~36 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~37 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~38 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~39 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~40 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~41 ; Combinational ; 0.454 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~42 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~43 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~44 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~45 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~46 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~47 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~48 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~49 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~50 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~51 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~52 ; Combinational ; 2.002 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~53 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~54 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~55 ; Combinational ; 1.971 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~56 ; Combinational ; 1.028 ; Vectorless estimation ; 0.344 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux15~57 ; Combinational ; 0.674 ; Vectorless estimation ; 0.300 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux16~0 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux16~1 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux16~2 ; Combinational ; 1.713 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux16~3 ; Combinational ; 1.031 ; Vectorless estimation ; 0.359 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux16~4 ; Combinational ; 1.507 ; Vectorless estimation ; 0.496 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux16~5 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux16~6 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux16~7 ; Combinational ; 0.762 ; Vectorless estimation ; 0.498 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux16~8 ; Combinational ; 0.951 ; Vectorless estimation ; 0.339 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux17~0 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux17~1 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux17~2 ; Combinational ; 1.627 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux17~3 ; Combinational ; 1.075 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux17~4 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux17~5 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux17~6 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux17~7 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux17~8 ; Combinational ; 1.864 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux17~9 ; Combinational ; 0.928 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux17~10 ; Combinational ; 1.501 ; Vectorless estimation ; 0.492 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux17~11 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux17~12 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux17~13 ; Combinational ; 0.762 ; Vectorless estimation ; 0.496 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux17~14 ; Combinational ; 0.950 ; Vectorless estimation ; 0.342 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux18~0 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux18~1 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux18~2 ; Combinational ; 1.315 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux18~3 ; Combinational ; 1.171 ; Vectorless estimation ; 0.156 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux18~4 ; Combinational ; 1.622 ; Vectorless estimation ; 0.381 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux18~5 ; Combinational ; 1.501 ; Vectorless estimation ; 0.488 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux18~6 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux18~7 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux18~8 ; Combinational ; 0.762 ; Vectorless estimation ; 0.494 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux18~9 ; Combinational ; 0.980 ; Vectorless estimation ; 0.342 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux19~0 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux19~1 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux19~2 ; Combinational ; 1.315 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux19~3 ; Combinational ; 1.033 ; Vectorless estimation ; 0.188 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux19~4 ; Combinational ; 1.520 ; Vectorless estimation ; 0.395 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux19~5 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux19~6 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux19~7 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux19~8 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux19~9 ; Combinational ; 1.627 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux19~10 ; Combinational ; 1.175 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux19~11 ; Combinational ; 1.533 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux19~12 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux19~13 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux19~14 ; Combinational ; 0.768 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux19~15 ; Combinational ; 0.960 ; Vectorless estimation ; 0.341 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux20~0 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux20~1 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux20~2 ; Combinational ; 1.315 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux20~3 ; Combinational ; 1.008 ; Vectorless estimation ; 0.219 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux20~4 ; Combinational ; 1.440 ; Vectorless estimation ; 0.408 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux20~5 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux20~6 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux20~7 ; Combinational ; 1.672 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux20~8 ; Combinational ; 0.896 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux20~9 ; Combinational ; 1.627 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux20~10 ; Combinational ; 1.175 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux20~11 ; Combinational ; 1.539 ; Vectorless estimation ; 0.465 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux20~12 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux20~13 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux20~14 ; Combinational ; 0.769 ; Vectorless estimation ; 0.482 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux20~15 ; Combinational ; 0.957 ; Vectorless estimation ; 0.343 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux21~0 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux21~1 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux21~2 ; Combinational ; 1.315 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux21~3 ; Combinational ; 1.111 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux21~4 ; Combinational ; 1.385 ; Vectorless estimation ; 0.422 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux21~5 ; Combinational ; 1.864 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux21~6 ; Combinational ; 0.928 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux21~7 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux21~8 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux21~9 ; Combinational ; 1.627 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux21~10 ; Combinational ; 1.175 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux21~11 ; Combinational ; 1.533 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux21~12 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux21~13 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux21~14 ; Combinational ; 0.737 ; Vectorless estimation ; 0.476 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux21~15 ; Combinational ; 0.946 ; Vectorless estimation ; 0.344 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux22~0 ; Combinational ; 1.758 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux22~1 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux22~2 ; Combinational ; 1.715 ; Vectorless estimation ; 0.406 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux22~3 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux22~4 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux22~5 ; Combinational ; 1.466 ; Vectorless estimation ; 0.453 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux22~6 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux22~7 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux22~8 ; Combinational ; 2.233 ; Vectorless estimation ; 0.406 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux22~9 ; Combinational ; 0.986 ; Vectorless estimation ; 0.453 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux22~10 ; Combinational ; 1.627 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux22~11 ; Combinational ; 1.175 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux22~12 ; Combinational ; 1.568 ; Vectorless estimation ; 0.457 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux22~13 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux22~14 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux22~15 ; Combinational ; 0.774 ; Vectorless estimation ; 0.479 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux22~16 ; Combinational ; 0.980 ; Vectorless estimation ; 0.353 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux23~0 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux23~1 ; Combinational ; 1.348 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux23~2 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux23~3 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux23~4 ; Combinational ; 1.384 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux23~5 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux23~6 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux23~7 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux23~8 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux23~9 ; Combinational ; 1.111 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux23~10 ; Combinational ; 1.702 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux23~11 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux23~12 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux23~13 ; Combinational ; 0.794 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux23~14 ; Combinational ; 0.978 ; Vectorless estimation ; 0.352 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux24~0 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux24~1 ; Combinational ; 1.071 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux24~2 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux24~3 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux24~4 ; Combinational ; 1.325 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux24~5 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux24~6 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux24~7 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux24~8 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux24~9 ; Combinational ; 1.008 ; Vectorless estimation ; 0.219 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux24~10 ; Combinational ; 1.766 ; Vectorless estimation ; 0.430 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux24~11 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux24~12 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux24~13 ; Combinational ; 0.804 ; Vectorless estimation ; 0.465 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux24~14 ; Combinational ; 0.987 ; Vectorless estimation ; 0.354 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux25~0 ; Combinational ; 1.758 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux25~1 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux25~2 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux25~3 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux25~4 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux25~5 ; Combinational ; 1.291 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux25~6 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux25~7 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux25~8 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux25~9 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux25~10 ; Combinational ; 1.033 ; Vectorless estimation ; 0.188 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux25~11 ; Combinational ; 1.852 ; Vectorless estimation ; 0.422 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux25~12 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux25~13 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux25~14 ; Combinational ; 0.817 ; Vectorless estimation ; 0.461 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux25~15 ; Combinational ; 1.000 ; Vectorless estimation ; 0.355 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux26~0 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux26~1 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux26~2 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux26~3 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux26~4 ; Combinational ; 1.171 ; Vectorless estimation ; 0.156 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux26~5 ; Combinational ; 1.957 ; Vectorless estimation ; 0.414 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux26~6 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux26~7 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux26~8 ; Combinational ; 0.832 ; Vectorless estimation ; 0.457 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux26~9 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux26~10 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux26~11 ; Combinational ; 1.218 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux26~12 ; Combinational ; 0.088 ; Vectorless estimation ; 0.016 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux26~13 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux26~14 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux26~15 ; Combinational ; 1.188 ; Vectorless estimation ; 0.131 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux26~16 ; Combinational ; 1.493 ; Vectorless estimation ; 0.348 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux27~0 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux27~1 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux27~2 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux27~3 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux27~4 ; Combinational ; 1.253 ; Vectorless estimation ; 0.273 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux27~5 ; Combinational ; 0.967 ; Vectorless estimation ; 0.262 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux27~6 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux27~7 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux27~8 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux27~9 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux27~10 ; Combinational ; 1.315 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux27~11 ; Combinational ; 2.074 ; Vectorless estimation ; 0.406 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux27~12 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux27~13 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux27~14 ; Combinational ; 0.849 ; Vectorless estimation ; 0.453 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux27~15 ; Combinational ; 1.387 ; Vectorless estimation ; 0.357 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~0 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~1 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~2 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~3 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~4 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~5 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~6 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~7 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~8 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~9 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~10 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~11 ; Combinational ; 1.921 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~12 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~13 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~14 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~15 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~16 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~17 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~18 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~19 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~20 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~21 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~22 ; Combinational ; 1.104 ; Vectorless estimation ; 0.285 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~23 ; Combinational ; 0.947 ; Vectorless estimation ; 0.268 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~24 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~25 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~26 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~27 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~28 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~29 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~30 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~31 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~32 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~33 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~34 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~35 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~36 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~37 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~38 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~39 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~40 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~41 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~42 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~43 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~44 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~45 ; Combinational ; 1.921 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~46 ; Combinational ; 0.824 ; Vectorless estimation ; 0.094 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~47 ; Combinational ; 2.153 ; Vectorless estimation ; 0.398 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~48 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~49 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~50 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~51 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~52 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~53 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~54 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~55 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~56 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~57 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~58 ; Combinational ; 0.861 ; Vectorless estimation ; 0.449 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux28~59 ; Combinational ; 1.365 ; Vectorless estimation ; 0.358 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~0 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~1 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~2 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~3 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~4 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~5 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~6 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~7 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~8 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~9 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~10 ; Combinational ; 0.745 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~11 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~12 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~13 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~14 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~15 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~16 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~17 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~18 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~19 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~20 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~21 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~22 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~23 ; Combinational ; 1.084 ; Vectorless estimation ; 0.297 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~24 ; Combinational ; 0.942 ; Vectorless estimation ; 0.273 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~25 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~26 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~27 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~28 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~29 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~30 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~31 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~32 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~33 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~34 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~35 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~36 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~37 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~38 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~39 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~40 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~41 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~42 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~43 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~44 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~45 ; Combinational ; 2.002 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~46 ; Combinational ; 0.478 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~47 ; Combinational ; 2.255 ; Vectorless estimation ; 0.391 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~48 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~49 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~50 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~51 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~52 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~53 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~54 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~55 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~56 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~57 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~58 ; Combinational ; 0.877 ; Vectorless estimation ; 0.445 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux29~59 ; Combinational ; 1.348 ; Vectorless estimation ; 0.359 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~0 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~1 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~2 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~3 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~4 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~5 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~6 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~7 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~8 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~9 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~10 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~11 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~12 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~13 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~14 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~15 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~16 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~17 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~18 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~19 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~20 ; Combinational ; 1.916 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~21 ; Combinational ; 0.258 ; Vectorless estimation ; 0.031 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~22 ; Combinational ; 2.378 ; Vectorless estimation ; 0.383 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~23 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~24 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~25 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~26 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~27 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~28 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~29 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~30 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~31 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~32 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~33 ; Combinational ; 0.895 ; Vectorless estimation ; 0.441 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~34 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~35 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~36 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~37 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~38 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~39 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~40 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~41 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~42 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~43 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~44 ; Combinational ; 1.218 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~45 ; Combinational ; 1.758 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~46 ; Combinational ; 1.916 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~47 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~48 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~49 ; Combinational ; 0.474 ; Vectorless estimation ; 0.078 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~50 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~51 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~52 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~53 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~54 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~55 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~56 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~57 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~58 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~59 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~60 ; Combinational ; 1.091 ; Vectorless estimation ; 0.154 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux30~61 ; Combinational ; 1.382 ; Vectorless estimation ; 0.351 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~0 ; Combinational ; 2.344 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~1 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~2 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~3 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~4 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~5 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~6 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~7 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~8 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~9 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~10 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~11 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~12 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~13 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~14 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~15 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~16 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~17 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~18 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~19 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~20 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~21 ; Combinational ; 0.578 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~22 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~23 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~24 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~25 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~26 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~27 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~28 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~29 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~30 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~31 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~32 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~33 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~34 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~35 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~36 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~37 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~38 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~39 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~40 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~41 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~42 ; Combinational ; 0.454 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~43 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~44 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~45 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~46 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~47 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~48 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~49 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~50 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~51 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~52 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~53 ; Combinational ; 2.002 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~54 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~55 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~56 ; Combinational ; 1.971 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~57 ; Combinational ; 1.028 ; Vectorless estimation ; 0.344 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|Mux31~58 ; Combinational ; 0.674 ; Vectorless estimation ; 0.300 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|data_valid_out_I~0 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; data_shifter:CIC_GAINER|data_valid_out_Q~0 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[0]~13 ; Combinational ; 5.006 ; Vectorless estimation ; 0.295 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[1]~12 ; Combinational ; 4.858 ; Vectorless estimation ; 0.310 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[2]~11 ; Combinational ; 4.786 ; Vectorless estimation ; 0.324 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[3]~10 ; Combinational ; 4.451 ; Vectorless estimation ; 0.344 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[4]~9 ; Combinational ; 4.205 ; Vectorless estimation ; 0.359 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[5]~8 ; Combinational ; 3.999 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[6]~7 ; Combinational ; 3.835 ; Vectorless estimation ; 0.391 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[7]~6 ; Combinational ; 3.530 ; Vectorless estimation ; 0.421 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[8]~5 ; Combinational ; 3.379 ; Vectorless estimation ; 0.439 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[9]~4 ; Combinational ; 3.297 ; Vectorless estimation ; 0.458 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[10]~3 ; Combinational ; 3.292 ; Vectorless estimation ; 0.477 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[11]~2 ; Combinational ; 3.255 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[12]~1 ; Combinational ; 3.234 ; Vectorless estimation ; 0.490 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|DATA_OUT[13]~0 ; Combinational ; 3.229 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|LessThan0~0 ; Combinational ; 0.100 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|LessThan0~1 ; Combinational ; 0.878 ; Vectorless estimation ; 0.871 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux0~0 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux0~1 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux0~2 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux0~3 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux0~4 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux0~5 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux0~6 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux0~7 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux0~8 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux0~9 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux0~10 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux0~11 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux0~12 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux0~13 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux0~14 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux0~15 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux0~16 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux0~17 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux0~18 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux0~19 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux1~0 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux1~1 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux1~2 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux1~3 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux1~4 ; Combinational ; 5.006 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux1~5 ; Combinational ; 3.764 ; Vectorless estimation ; 0.344 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux1~6 ; Combinational ; 4.168 ; Vectorless estimation ; 0.461 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux1~7 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux1~8 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux1~9 ; Combinational ; 2.243 ; Vectorless estimation ; 0.480 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux1~10 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux1~11 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux1~12 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux1~13 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux1~14 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux1~15 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux1~16 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux1~17 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux1~18 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux1~19 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux2~0 ; Combinational ; 1.758 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux2~1 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux2~2 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux2~3 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux2~4 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux2~5 ; Combinational ; 5.742 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux2~6 ; Combinational ; 4.720 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux2~7 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux2~8 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux2~9 ; Combinational ; 2.327 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux2~10 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux2~11 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux2~12 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux2~13 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux2~14 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux2~15 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux2~16 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux2~17 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux2~18 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux2~19 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux3~0 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux3~1 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux3~2 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux3~3 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux3~4 ; Combinational ; 4.790 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux3~5 ; Combinational ; 5.584 ; Vectorless estimation ; 0.406 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux3~6 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux3~7 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux3~8 ; Combinational ; 2.466 ; Vectorless estimation ; 0.453 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux3~9 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux3~10 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux3~11 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux3~12 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux3~13 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux3~14 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux3~15 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux3~16 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux3~17 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux3~18 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux4~0 ; Combinational ; 10.209 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux4~1 ; Combinational ; 4.802 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux4~2 ; Combinational ; 4.790 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux4~3 ; Combinational ; 5.584 ; Vectorless estimation ; 0.406 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux4~4 ; Combinational ; 2.159 ; Vectorless estimation ; 0.416 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux4~5 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux4~6 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux5~0 ; Combinational ; 5.006 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux5~1 ; Combinational ; 4.790 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux5~2 ; Combinational ; 5.584 ; Vectorless estimation ; 0.406 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux5~3 ; Combinational ; 2.125 ; Vectorless estimation ; 0.379 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux5~4 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux5~5 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux6~0 ; Combinational ; 4.790 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux6~1 ; Combinational ; 4.790 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux6~2 ; Combinational ; 5.584 ; Vectorless estimation ; 0.406 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux6~3 ; Combinational ; 2.326 ; Vectorless estimation ; 0.342 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux6~4 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux6~5 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux7~0 ; Combinational ; 3.460 ; Vectorless estimation ; 0.313 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux7~1 ; Combinational ; 2.593 ; Vectorless estimation ; 0.281 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux7~2 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux7~3 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux8~0 ; Combinational ; 2.706 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux8~1 ; Combinational ; 2.570 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux8~2 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux8~3 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux9~0 ; Combinational ; 2.318 ; Vectorless estimation ; 0.188 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux9~1 ; Combinational ; 2.667 ; Vectorless estimation ; 0.219 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux9~2 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux9~3 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux10~0 ; Combinational ; 2.218 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux10~1 ; Combinational ; 2.873 ; Vectorless estimation ; 0.188 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux10~2 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux10~3 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux11~0 ; Combinational ; 1.811 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux11~1 ; Combinational ; 3.102 ; Vectorless estimation ; 0.148 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux11~2 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux11~3 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux12~0 ; Combinational ; 1.811 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux12~1 ; Combinational ; 2.042 ; Vectorless estimation ; 0.119 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux12~2 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux12~3 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux13~0 ; Combinational ; 1.811 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux13~1 ; Combinational ; 1.329 ; Vectorless estimation ; 0.090 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux13~2 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DAC_corrector:DAC_CORRECTOR|Mux13~3 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|Add0~0 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|Add0~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|Add0~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|Add0~3 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; spi_interface:FLASH|Add0~4 ; Combinational ; 7.286 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|Add0~5 ; Combinational ; 2.136 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; spi_interface:FLASH|Add0~6 ; Combinational ; 7.349 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|Add0~7 ; Combinational ; 6.815 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; spi_interface:FLASH|Add0~8 ; Combinational ; 10.506 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|Add0~9 ; Combinational ; 1.767 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; spi_interface:FLASH|Add0~10 ; Combinational ; 8.437 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|Add0~11 ; Combinational ; 7.995 ; Vectorless estimation ; 0.016 ; Vectorless estimation ; -; spi_interface:FLASH|Add0~12 ; Combinational ; 11.790 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|Add0~13 ; Combinational ; 2.003 ; Vectorless estimation ; 0.992 ; Vectorless estimation ; -; spi_interface:FLASH|Add0~14 ; Combinational ; 8.917 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|CS_S ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|CS_S~0 ; Combinational ; 4.127 ; Vectorless estimation ; 0.266 ; Vectorless estimation ; -; spi_interface:FLASH|Decoder0~0 ; Combinational ; 0.304 ; Vectorless estimation ; 0.031 ; Vectorless estimation ; -; spi_interface:FLASH|Equal0~0 ; Combinational ; 2.293 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; spi_interface:FLASH|Equal0~1 ; Combinational ; 0.966 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; spi_interface:FLASH|Equal1~0 ; Combinational ; 1.031 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; spi_interface:FLASH|Equal1~1 ; Combinational ; 2.387 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; spi_interface:FLASH|Equal5~0 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; spi_interface:FLASH|Equal5~1 ; Combinational ; 0.724 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; spi_interface:FLASH|Equal5~2 ; Combinational ; 0.010 ; Vectorless estimation ; 0.004 ; Vectorless estimation ; -; spi_interface:FLASH|Equal5~3 ; Combinational ; 0.476 ; Vectorless estimation ; 0.031 ; Vectorless estimation ; -; spi_interface:FLASH|MOSI_DQ0 ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|MOSI_DQ0~0 ; Combinational ; 4.520 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|MOSI_DQ0~1 ; Combinational ; 2.344 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; spi_interface:FLASH|Mux0~0 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|Mux0~1 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|Mux0~2 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|Mux0~3 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|SCK_C ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|SCK_C~0 ; Combinational ; 1.916 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; spi_interface:FLASH|SCK_C~1 ; Combinational ; 3.100 ; Vectorless estimation ; 0.754 ; Vectorless estimation ; -; spi_interface:FLASH|SCK_C~2 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; spi_interface:FLASH|always0~0 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; spi_interface:FLASH|busy ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|busy~0 ; Combinational ; 4.935 ; Vectorless estimation ; 0.672 ; Vectorless estimation ; -; spi_interface:FLASH|continue_read_prev ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|continue_read_prev~0 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; spi_interface:FLASH|continue_read_prev~1 ; Combinational ; 2.594 ; Vectorless estimation ; 0.258 ; Vectorless estimation ; -; spi_interface:FLASH|data_out[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|data_out[0]~21 ; Combinational ; 9.793 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|data_out[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|data_out[1]~18 ; Combinational ; 1.916 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; spi_interface:FLASH|data_out[1]~19 ; Combinational ; 0.011 ; Vectorless estimation ; 0.004 ; Vectorless estimation ; -; spi_interface:FLASH|data_out[1]~20 ; Combinational ; 9.821 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|data_out[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|data_out[2]~15 ; Combinational ; 1.916 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; spi_interface:FLASH|data_out[2]~16 ; Combinational ; 0.011 ; Vectorless estimation ; 0.004 ; Vectorless estimation ; -; spi_interface:FLASH|data_out[2]~17 ; Combinational ; 19.966 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|data_out[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|data_out[3]~12 ; Combinational ; 1.916 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; spi_interface:FLASH|data_out[3]~13 ; Combinational ; 0.011 ; Vectorless estimation ; 0.004 ; Vectorless estimation ; -; spi_interface:FLASH|data_out[3]~14 ; Combinational ; 10.217 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|data_out[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|data_out[4]~9 ; Combinational ; 1.916 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; spi_interface:FLASH|data_out[4]~10 ; Combinational ; 0.011 ; Vectorless estimation ; 0.004 ; Vectorless estimation ; -; spi_interface:FLASH|data_out[4]~11 ; Combinational ; 19.966 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|data_out[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|data_out[5]~6 ; Combinational ; 1.916 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; spi_interface:FLASH|data_out[5]~7 ; Combinational ; 0.011 ; Vectorless estimation ; 0.004 ; Vectorless estimation ; -; spi_interface:FLASH|data_out[5]~8 ; Combinational ; 19.966 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|data_out[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|data_out[6]~3 ; Combinational ; 1.916 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; spi_interface:FLASH|data_out[6]~4 ; Combinational ; 0.011 ; Vectorless estimation ; 0.004 ; Vectorless estimation ; -; spi_interface:FLASH|data_out[6]~5 ; Combinational ; 19.966 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|data_out[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|data_out[7]~0 ; Combinational ; 1.916 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; spi_interface:FLASH|data_out[7]~1 ; Combinational ; 0.011 ; Vectorless estimation ; 0.004 ; Vectorless estimation ; -; spi_interface:FLASH|data_out[7]~2 ; Combinational ; 19.966 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|enabled_prev ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|spi_bit_position[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|spi_bit_position[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|spi_bit_position[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|spi_bit_position[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|spi_bit_position[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|spi_bit_position[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|spi_bit_position[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|spi_bit_position[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|spi_bit_position~0 ; Combinational ; 2.680 ; Vectorless estimation ; 0.176 ; Vectorless estimation ; -; spi_interface:FLASH|spi_bit_position~1 ; Combinational ; 3.152 ; Vectorless estimation ; 0.352 ; Vectorless estimation ; -; spi_interface:FLASH|spi_bit_position~2 ; Combinational ; 3.258 ; Vectorless estimation ; 0.670 ; Vectorless estimation ; -; spi_interface:FLASH|spi_bit_position~3 ; Combinational ; 2.680 ; Vectorless estimation ; 0.176 ; Vectorless estimation ; -; spi_interface:FLASH|spi_bit_position~4 ; Combinational ; 2.556 ; Vectorless estimation ; 0.176 ; Vectorless estimation ; -; spi_interface:FLASH|spi_bit_position~5 ; Combinational ; 2.566 ; Vectorless estimation ; 0.176 ; Vectorless estimation ; -; spi_interface:FLASH|spi_bit_position~6 ; Combinational ; 3.089 ; Vectorless estimation ; 0.176 ; Vectorless estimation ; -; spi_interface:FLASH|spi_bit_position~7 ; Combinational ; 2.826 ; Vectorless estimation ; 0.176 ; Vectorless estimation ; -; spi_interface:FLASH|spi_bit_position~8 ; Combinational ; 2.746 ; Vectorless estimation ; 0.176 ; Vectorless estimation ; -; spi_interface:FLASH|spi_bit_position~9 ; Combinational ; 3.301 ; Vectorless estimation ; 0.176 ; Vectorless estimation ; -; spi_interface:FLASH|spi_stage[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|spi_stage[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|spi_stage[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|spi_stage[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|spi_stage~0 ; Combinational ; 4.364 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; spi_interface:FLASH|spi_stage~1 ; Combinational ; 1.865 ; Vectorless estimation ; 0.293 ; Vectorless estimation ; -; spi_interface:FLASH|spi_stage~2 ; Combinational ; 3.906 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; spi_interface:FLASH|spi_stage~3 ; Combinational ; 0.974 ; Vectorless estimation ; 0.094 ; Vectorless estimation ; -; spi_interface:FLASH|spi_stage~4 ; Combinational ; 1.608 ; Vectorless estimation ; 0.188 ; Vectorless estimation ; -; spi_interface:FLASH|spi_stage~5 ; Combinational ; 2.293 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; spi_interface:FLASH|spi_stage~6 ; Combinational ; 1.273 ; Vectorless estimation ; 0.094 ; Vectorless estimation ; -; spi_interface:FLASH|spi_stage~7 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; spi_interface:FLASH|spi_stage~8 ; Combinational ; 1.517 ; Vectorless estimation ; 0.094 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux0~0 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux0~1 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux0~2 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux0~3 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux0~4 ; Combinational ; 1.921 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux0~5 ; Combinational ; 1.071 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux0~6 ; Combinational ; 1.672 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux0~7 ; Combinational ; 0.896 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux0~8 ; Combinational ; 1.318 ; Vectorless estimation ; 0.477 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux1~0 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux1~1 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux1~2 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux1~3 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux1~4 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux1~5 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux1~6 ; Combinational ; 1.864 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux1~7 ; Combinational ; 0.928 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux1~8 ; Combinational ; 1.301 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux2~0 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux2~1 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux2~2 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux2~3 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux2~4 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux2~5 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux2~6 ; Combinational ; 2.297 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux2~7 ; Combinational ; 1.888 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux2~8 ; Combinational ; 0.931 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux2~9 ; Combinational ; 1.302 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux3~0 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux3~1 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux3~2 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux3~3 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux3~4 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux3~5 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux3~6 ; Combinational ; 1.916 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux3~7 ; Combinational ; 2.233 ; Vectorless estimation ; 0.406 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux3~8 ; Combinational ; 0.986 ; Vectorless estimation ; 0.453 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux3~9 ; Combinational ; 1.317 ; Vectorless estimation ; 0.477 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux4~0 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux4~1 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux4~2 ; Combinational ; 1.916 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux4~3 ; Combinational ; 2.327 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux4~4 ; Combinational ; 1.017 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux4~5 ; Combinational ; 1.329 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux5~0 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux5~1 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux5~2 ; Combinational ; 1.916 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux5~3 ; Combinational ; 2.679 ; Vectorless estimation ; 0.344 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux5~4 ; Combinational ; 1.084 ; Vectorless estimation ; 0.422 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux5~5 ; Combinational ; 1.350 ; Vectorless estimation ; 0.461 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux6~0 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux6~1 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux6~2 ; Combinational ; 1.916 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux6~3 ; Combinational ; 3.265 ; Vectorless estimation ; 0.313 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux6~4 ; Combinational ; 1.185 ; Vectorless estimation ; 0.406 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux6~5 ; Combinational ; 1.379 ; Vectorless estimation ; 0.453 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux7~0 ; Combinational ; 1.459 ; Vectorless estimation ; 0.297 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux7~1 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux7~2 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux7~3 ; Combinational ; 1.049 ; Vectorless estimation ; 0.273 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux7~4 ; Combinational ; 1.552 ; Vectorless estimation ; 0.387 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux8~0 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux8~1 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux8~2 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux8~3 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux8~4 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux8~5 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux8~6 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux8~7 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux8~8 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux8~9 ; Combinational ; 1.921 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux8~10 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux8~11 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux8~12 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux8~13 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux8~14 ; Combinational ; 1.070 ; Vectorless estimation ; 0.238 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux8~15 ; Combinational ; 1.035 ; Vectorless estimation ; 0.244 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux8~16 ; Combinational ; 1.614 ; Vectorless estimation ; 0.372 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux9~0 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux9~1 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux9~2 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux9~3 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux9~4 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux9~5 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux9~6 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux9~7 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux9~8 ; Combinational ; 2.002 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux9~9 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux9~10 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux9~11 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux9~12 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux9~13 ; Combinational ; 0.834 ; Vectorless estimation ; 0.180 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux9~14 ; Combinational ; 1.065 ; Vectorless estimation ; 0.215 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux9~15 ; Combinational ; 1.692 ; Vectorless estimation ; 0.357 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux10~0 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux10~1 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux10~2 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux10~3 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux10~4 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux10~5 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux10~6 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux10~7 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux10~8 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux10~9 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux10~10 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux10~11 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux10~12 ; Combinational ; 1.916 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux10~13 ; Combinational ; 0.716 ; Vectorless estimation ; 0.121 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux10~14 ; Combinational ; 1.136 ; Vectorless estimation ; 0.186 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux10~15 ; Combinational ; 1.784 ; Vectorless estimation ; 0.343 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux11~0 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux11~1 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux11~2 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux11~3 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux11~4 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux11~5 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux11~6 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux11~7 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux11~8 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux11~9 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux11~10 ; Combinational ; 1.459 ; Vectorless estimation ; 0.297 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux11~11 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux11~12 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux11~13 ; Combinational ; 1.022 ; Vectorless estimation ; 0.306 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux12~0 ; Combinational ; 1.070 ; Vectorless estimation ; 0.238 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux12~1 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux12~2 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux12~3 ; Combinational ; 1.072 ; Vectorless estimation ; 0.295 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux13~0 ; Combinational ; 0.834 ; Vectorless estimation ; 0.180 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux13~1 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux13~2 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux13~3 ; Combinational ; 1.154 ; Vectorless estimation ; 0.284 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux14~0 ; Combinational ; 0.716 ; Vectorless estimation ; 0.121 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux14~1 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux14~2 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux14~3 ; Combinational ; 1.266 ; Vectorless estimation ; 0.273 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux15~0 ; Combinational ; 0.745 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux15~1 ; Combinational ; 1.916 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux15~2 ; Combinational ; 1.916 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux15~3 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux15~4 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux15~5 ; Combinational ; 1.523 ; Vectorless estimation ; 0.262 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux16~0 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux16~1 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux16~2 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux16~3 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux16~4 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux16~5 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux16~6 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux16~7 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux16~8 ; Combinational ; 1.921 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux16~9 ; Combinational ; 1.071 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux16~10 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux16~11 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux16~12 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux16~13 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux16~14 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux16~15 ; Combinational ; 1.921 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux16~16 ; Combinational ; 1.672 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux16~17 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux16~18 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux16~19 ; Combinational ; 0.896 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux16~20 ; Combinational ; 1.318 ; Vectorless estimation ; 0.477 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux17~0 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux17~1 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux17~2 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux17~3 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux17~4 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux17~5 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux17~6 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux17~7 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux17~8 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux17~9 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux17~10 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux17~11 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux17~12 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux17~13 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux17~14 ; Combinational ; 2.002 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux17~15 ; Combinational ; 1.864 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux17~16 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux17~17 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux17~18 ; Combinational ; 0.928 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux17~19 ; Combinational ; 1.301 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux18~0 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux18~1 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux18~2 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux18~3 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux18~4 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux18~5 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux18~6 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux18~7 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux18~8 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux18~9 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux18~10 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux18~11 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux18~12 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux18~13 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux18~14 ; Combinational ; 2.297 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux18~15 ; Combinational ; 1.888 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux18~16 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux18~17 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux18~18 ; Combinational ; 0.931 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux18~19 ; Combinational ; 1.302 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux19~0 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux19~1 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux19~2 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux19~3 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux19~4 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux19~5 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux19~6 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux19~7 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux19~8 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux19~9 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux19~10 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux19~11 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux19~12 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux19~13 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux19~14 ; Combinational ; 1.916 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux19~15 ; Combinational ; 2.233 ; Vectorless estimation ; 0.406 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux19~16 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux19~17 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux19~18 ; Combinational ; 0.986 ; Vectorless estimation ; 0.453 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux19~19 ; Combinational ; 1.317 ; Vectorless estimation ; 0.477 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux20~0 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux20~1 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux20~2 ; Combinational ; 1.916 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux20~3 ; Combinational ; 2.327 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux20~4 ; Combinational ; 1.017 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux20~5 ; Combinational ; 1.329 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux21~0 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux21~1 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux21~2 ; Combinational ; 1.916 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux21~3 ; Combinational ; 2.679 ; Vectorless estimation ; 0.344 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux21~4 ; Combinational ; 1.084 ; Vectorless estimation ; 0.422 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux21~5 ; Combinational ; 1.350 ; Vectorless estimation ; 0.461 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux22~0 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux22~1 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux22~2 ; Combinational ; 1.916 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux22~3 ; Combinational ; 1.916 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux22~4 ; Combinational ; 3.265 ; Vectorless estimation ; 0.313 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux22~5 ; Combinational ; 1.185 ; Vectorless estimation ; 0.406 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux22~6 ; Combinational ; 1.379 ; Vectorless estimation ; 0.453 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux23~0 ; Combinational ; 1.459 ; Vectorless estimation ; 0.297 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux23~1 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux23~2 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux23~3 ; Combinational ; 1.049 ; Vectorless estimation ; 0.273 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux23~4 ; Combinational ; 1.552 ; Vectorless estimation ; 0.387 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux24~0 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux24~1 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux24~2 ; Combinational ; 1.070 ; Vectorless estimation ; 0.238 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux24~3 ; Combinational ; 1.035 ; Vectorless estimation ; 0.244 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux24~4 ; Combinational ; 1.614 ; Vectorless estimation ; 0.372 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux25~0 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux25~1 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux25~2 ; Combinational ; 0.834 ; Vectorless estimation ; 0.180 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux25~3 ; Combinational ; 1.065 ; Vectorless estimation ; 0.215 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux25~4 ; Combinational ; 1.692 ; Vectorless estimation ; 0.357 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux26~0 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux26~1 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux26~2 ; Combinational ; 0.716 ; Vectorless estimation ; 0.121 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux26~3 ; Combinational ; 1.136 ; Vectorless estimation ; 0.186 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux26~4 ; Combinational ; 1.784 ; Vectorless estimation ; 0.343 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux27~0 ; Combinational ; 1.459 ; Vectorless estimation ; 0.297 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux27~1 ; Combinational ; 1.392 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux27~2 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux27~3 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux27~4 ; Combinational ; 1.022 ; Vectorless estimation ; 0.306 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux28~0 ; Combinational ; 1.070 ; Vectorless estimation ; 0.238 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux28~1 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux28~2 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux28~3 ; Combinational ; 1.072 ; Vectorless estimation ; 0.295 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux29~0 ; Combinational ; 0.834 ; Vectorless estimation ; 0.180 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux29~1 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux29~2 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux29~3 ; Combinational ; 1.154 ; Vectorless estimation ; 0.284 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux30~0 ; Combinational ; 0.716 ; Vectorless estimation ; 0.121 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux30~1 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux30~2 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux30~3 ; Combinational ; 1.266 ; Vectorless estimation ; 0.273 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux31~0 ; Combinational ; 1.674 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux31~1 ; Combinational ; 0.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|Mux31~2 ; Combinational ; 1.523 ; Vectorless estimation ; 0.262 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|data_valid_out_Q ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; data_shifter:RX_CICFIR_GAINER|data_valid_out_Q~clkctrl ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[0]~20 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[0]~21 ; Combinational ; 2.261 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[1]~22 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[1]~23 ; Combinational ; 3.392 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[2]~24 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[2]~25 ; Combinational ; 3.957 ; Vectorless estimation ; 0.656 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[3]~26 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[3]~27 ; Combinational ; 4.240 ; Vectorless estimation ; 0.297 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[4]~28 ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[4]~29 ; Combinational ; 4.381 ; Vectorless estimation ; 0.477 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[5]~30 ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[5]~31 ; Combinational ; 4.452 ; Vectorless estimation ; 0.637 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[6]~32 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[6]~33 ; Combinational ; 4.487 ; Vectorless estimation ; 0.557 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[7]~34 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[7]~35 ; Combinational ; 4.505 ; Vectorless estimation ; 0.347 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[8]~40 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[8]~41 ; Combinational ; 4.514 ; Vectorless estimation ; 0.702 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[9]~42 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[9]~43 ; Combinational ; 4.518 ; Vectorless estimation ; 0.274 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[10]~44 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[10]~45 ; Combinational ; 4.520 ; Vectorless estimation ; 0.738 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[11]~46 ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX[11]~47 ; Combinational ; 4.521 ; Vectorless estimation ; 0.256 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX~12 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX~13 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX~14 ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX~15 ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX~16 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX~17 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX~18 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX~19 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX~36 ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX~37 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX~38 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MAX~39 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MINMAX_RESET ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MINMAX_RESET~0 ; Combinational ; 1.338 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[0]~20 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[0]~21 ; Combinational ; 2.261 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[1]~22 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[1]~23 ; Combinational ; 3.392 ; Vectorless estimation ; 0.813 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[2]~24 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[2]~25 ; Combinational ; 3.957 ; Vectorless estimation ; 0.219 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[3]~26 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[3]~27 ; Combinational ; 4.240 ; Vectorless estimation ; 0.766 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[4]~28 ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[4]~29 ; Combinational ; 4.381 ; Vectorless estimation ; 0.492 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[5]~30 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[5]~31 ; Combinational ; 4.452 ; Vectorless estimation ; 0.629 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[6]~32 ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[6]~33 ; Combinational ; 4.487 ; Vectorless estimation ; 0.561 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[7]~34 ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[7]~35 ; Combinational ; 4.505 ; Vectorless estimation ; 0.345 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[8]~40 ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[8]~41 ; Combinational ; 4.514 ; Vectorless estimation ; 0.703 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[9]~42 ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[9]~43 ; Combinational ; 4.518 ; Vectorless estimation ; 0.274 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[10]~44 ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[10]~45 ; Combinational ; 4.520 ; Vectorless estimation ; 0.738 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[11]~46 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN[11]~47 ; Combinational ; 4.521 ; Vectorless estimation ; 0.256 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN~12 ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN~13 ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN~14 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN~15 ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN~16 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN~17 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN~18 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN~19 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN~36 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN~37 ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN~38 ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ADC_MIN~39 ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ATT_1 ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ATT_1~0 ; Combinational ; 0.040 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ATT_2 ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ATT_2~feeder ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ATT_4 ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ATT_4~feeder ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ATT_05 ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ATT_8 ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ATT_8~feeder ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|ATT_16 ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|BPF_A ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|BPF_A~0 ; Combinational ; 2.393 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|BPF_A~1 ; Combinational ; 0.364 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|BPF_A~feeder ; Combinational ; 0.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|BPF_B ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|BPF_OE1 ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|BPF_OE1~feeder ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|BPF_OE2 ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|CICFIR_GAIN[0] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|CICFIR_GAIN[0]~0 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|CICFIR_GAIN[1] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|CICFIR_GAIN[2] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|CICFIR_GAIN[2]~feeder ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|CICFIR_GAIN[3] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|CICFIR_GAIN[3]~feeder ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|CICFIR_GAIN[4] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|CICFIR_GAIN[4]~feeder ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|CIC_GAIN[0] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|CIC_GAIN[0]~0 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|CIC_GAIN[0]~feeder ; Combinational ; 0.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|CIC_GAIN[1] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|CIC_GAIN[2] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|CIC_GAIN[2]~feeder ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|CIC_GAIN[3] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|CIC_GAIN[4] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|CIC_GAIN[5] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|CIC_GAIN[5]~1 ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|CIC_GAIN[6] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DAC_GAIN[0] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DAC_GAIN[0]~0 ; Combinational ; 0.364 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DAC_GAIN[1] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DAC_GAIN[2] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DAC_GAIN[2]~feeder ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DAC_GAIN[3] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DAC_GAIN[3]~feeder ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DAC_GAIN[4] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DAC_GAIN[5] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DAC_GAIN[5]~1 ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DAC_GAIN[6] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DAC_GAIN[6]~feeder ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DAC_GAIN[7] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OE ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OE~0 ; Combinational ; 8.069 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OE~1 ; Combinational ; 8.545 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OE~2 ; Combinational ; 0.768 ; Vectorless estimation ; 0.008 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[0] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[1] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[2] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[3] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[3]~43 ; Combinational ; 49.858 ; Vectorless estimation ; 0.494 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[4] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[4]~3 ; Combinational ; 0.982 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[4]~5 ; Combinational ; 1.540 ; Vectorless estimation ; 0.998 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[4]~12 ; Combinational ; 38.828 ; Vectorless estimation ; 0.494 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[4]~14 ; Combinational ; 0.009 ; Vectorless estimation ; 0.995 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[4]~15 ; Combinational ; 150.000 ; Vectorless estimation ; 0.491 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[5] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[5]~2 ; Combinational ; 1.765 ; Vectorless estimation ; 0.501 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[6] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[6]~1 ; Combinational ; 0.737 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[7] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT[7]~0 ; Combinational ; 1.211 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~4 ; Combinational ; 0.193 ; Vectorless estimation ; 0.992 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~6 ; Combinational ; 3.141 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~7 ; Combinational ; 4.077 ; Vectorless estimation ; 0.501 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~8 ; Combinational ; 2.002 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~9 ; Combinational ; 1.505 ; Vectorless estimation ; 0.344 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~10 ; Combinational ; 1.074 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~11 ; Combinational ; 1.942 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~13 ; Combinational ; 0.008 ; Vectorless estimation ; 0.996 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~16 ; Combinational ; 3.141 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~17 ; Combinational ; 4.077 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~18 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~19 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~20 ; Combinational ; 1.181 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~21 ; Combinational ; 3.141 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~22 ; Combinational ; 4.077 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~23 ; Combinational ; 1.611 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~24 ; Combinational ; 1.904 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~25 ; Combinational ; 0.016 ; Vectorless estimation ; 0.001 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~26 ; Combinational ; 2.830 ; Vectorless estimation ; 0.501 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~27 ; Combinational ; 3.141 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~28 ; Combinational ; 4.077 ; Vectorless estimation ; 0.501 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~29 ; Combinational ; 2.051 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~30 ; Combinational ; 2.205 ; Vectorless estimation ; 0.344 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~31 ; Combinational ; 0.778 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~32 ; Combinational ; 1.574 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~33 ; Combinational ; 0.001 ; Vectorless estimation ; 0.001 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~34 ; Combinational ; 1.916 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~35 ; Combinational ; 0.001 ; Vectorless estimation ; 0.001 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~36 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~37 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~38 ; Combinational ; 0.046 ; Vectorless estimation ; 0.004 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~39 ; Combinational ; 0.030 ; Vectorless estimation ; 0.005 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~40 ; Combinational ; 3.141 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~41 ; Combinational ; 0.194 ; Vectorless estimation ; 0.987 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~42 ; Combinational ; 3.168 ; Vectorless estimation ; 0.497 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~44 ; Combinational ; 0.001 ; Vectorless estimation ; 0.001 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~45 ; Combinational ; 1.916 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~46 ; Combinational ; 0.001 ; Vectorless estimation ; 0.001 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~47 ; Combinational ; 1.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~48 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~49 ; Combinational ; 0.046 ; Vectorless estimation ; 0.004 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~50 ; Combinational ; 0.030 ; Vectorless estimation ; 0.005 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~51 ; Combinational ; 3.141 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~52 ; Combinational ; 3.168 ; Vectorless estimation ; 0.497 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~53 ; Combinational ; 0.001 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~54 ; Combinational ; 0.893 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~55 ; Combinational ; 0.014 ; Vectorless estimation ; 0.001 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~56 ; Combinational ; 0.016 ; Vectorless estimation ; 0.004 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~57 ; Combinational ; 0.001 ; Vectorless estimation ; 0.001 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~58 ; Combinational ; 5.006 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~59 ; Combinational ; 0.003 ; Vectorless estimation ; 0.001 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~60 ; Combinational ; 0.023 ; Vectorless estimation ; 0.003 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~61 ; Combinational ; 3.141 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~62 ; Combinational ; 0.197 ; Vectorless estimation ; 0.986 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~63 ; Combinational ; 7.903 ; Vectorless estimation ; 0.497 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~64 ; Combinational ; 0.893 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~65 ; Combinational ; 0.014 ; Vectorless estimation ; 0.001 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~66 ; Combinational ; 0.000 ; Vectorless estimation ; 0.001 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~67 ; Combinational ; 0.015 ; Vectorless estimation ; 0.004 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~68 ; Combinational ; 0.003 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~69 ; Combinational ; 5.521 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~70 ; Combinational ; 0.001 ; Vectorless estimation ; 0.001 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~71 ; Combinational ; 0.006 ; Vectorless estimation ; 0.002 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~72 ; Combinational ; 3.141 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|DATA_BUS_OUT~73 ; Combinational ; 3.160 ; Vectorless estimation ; 0.497 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Equal0~0 ; Combinational ; 18.000 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Equal0~1 ; Combinational ; 3.612 ; Vectorless estimation ; 0.031 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Equal0~2 ; Combinational ; 5.000 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Equal2~0 ; Combinational ; 5.000 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Equal4~0 ; Combinational ; 0.386 ; Vectorless estimation ; 0.004 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Equal8~0 ; Combinational ; 0.900 ; Vectorless estimation ; 0.016 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Equal8~1 ; Combinational ; 1.758 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Equal8~2 ; Combinational ; 1.758 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Equal9~0 ; Combinational ; 2.344 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Equal10~0 ; Combinational ; 1.758 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Equal12~0 ; Combinational ; 0.004 ; Vectorless estimation ; 0.004 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Equal13~0 ; Combinational ; 1.758 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Equal15~0 ; Combinational ; 1.758 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Equal17~0 ; Combinational ; 0.006 ; Vectorless estimation ; 0.004 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Equal20~0 ; Combinational ; 0.282 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Equal20~1 ; Combinational ; 0.008 ; Vectorless estimation ; 0.004 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Equal22~0 ; Combinational ; 0.001 ; Vectorless estimation ; 0.001 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Equal23~0 ; Combinational ; 0.001 ; Vectorless estimation ; 0.001 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Equal24~0 ; Combinational ; 0.024 ; Vectorless estimation ; 0.008 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Equal24~1 ; Combinational ; 0.001 ; Vectorless estimation ; 0.001 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Equal25~0 ; Combinational ; 0.093 ; Vectorless estimation ; 0.004 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Equal28~0 ; Combinational ; 0.009 ; Vectorless estimation ; 0.001 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Equal29~0 ; Combinational ; 0.101 ; Vectorless estimation ; 0.004 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Equal32~0 ; Combinational ; 0.010 ; Vectorless estimation ; 0.001 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Equal33~0 ; Combinational ; 1.758 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Equal33~1 ; Combinational ; 0.282 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Equal33~2 ; Combinational ; 0.034 ; Vectorless estimation ; 0.016 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Equal33~3 ; Combinational ; 0.000 ; Vectorless estimation ; 0.001 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Equal34~0 ; Combinational ; 0.549 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Equal34~1 ; Combinational ; 0.549 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Equal34~2 ; Combinational ; 0.005 ; Vectorless estimation ; 0.002 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Equal35~0 ; Combinational ; 0.022 ; Vectorless estimation ; 0.001 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|FLASH_continue_read ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|FLASH_continue_read~0 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|FLASH_continue_read~1 ; Combinational ; 3.721 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|FLASH_data_out[0] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|FLASH_data_out[1] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|FLASH_data_out[1]~feeder ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|FLASH_data_out[2] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|FLASH_data_out[2]~feeder ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|FLASH_data_out[3] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|FLASH_data_out[4] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|FLASH_data_out[5] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|FLASH_data_out[6] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|FLASH_data_out[7] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|FLASH_data_out[7]~0 ; Combinational ; 0.001 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|FLASH_enable ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|FLASH_enable~0 ; Combinational ; 0.188 ; Vectorless estimation ; 0.998 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|FLASH_enable~1 ; Combinational ; 7.031 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|FLASH_enable~2 ; Combinational ; 2.864 ; Vectorless estimation ; 0.499 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|I_HOLD[0] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|I_HOLD[0]~4 ; Combinational ; 0.019 ; Vectorless estimation ; 0.002 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|I_HOLD[0]~5 ; Combinational ; 0.005 ; Vectorless estimation ; 0.001 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|I_HOLD[0]~19 ; Combinational ; 2.786 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|I_HOLD[1] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|I_HOLD[1]~17 ; Combinational ; 7.244 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|I_HOLD[2] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|I_HOLD[2]~15 ; Combinational ; 7.244 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|I_HOLD[3] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|I_HOLD[3]~12 ; Combinational ; 7.244 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|I_HOLD[4] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|I_HOLD[4]~11 ; Combinational ; 7.244 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|I_HOLD[5] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|I_HOLD[5]~8 ; Combinational ; 7.244 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|I_HOLD[6] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|I_HOLD[6]~7 ; Combinational ; 7.244 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|I_HOLD[7] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|I_HOLD[7]~3 ; Combinational ; 7.244 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|I_HOLD[8] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|I_HOLD[8]~1 ; Combinational ; 0.019 ; Vectorless estimation ; 0.002 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|I_HOLD[8]~2 ; Combinational ; 0.005 ; Vectorless estimation ; 0.001 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|I_HOLD[8]~18 ; Combinational ; 2.786 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|I_HOLD[8]~feeder ; Combinational ; 2.786 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|I_HOLD[9] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|I_HOLD[9]~16 ; Combinational ; 7.244 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|I_HOLD[9]~feeder ; Combinational ; 7.244 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|I_HOLD[10] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|I_HOLD[10]~14 ; Combinational ; 7.244 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|I_HOLD[11] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|I_HOLD[11]~13 ; Combinational ; 7.244 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|I_HOLD[12] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|I_HOLD[12]~10 ; Combinational ; 7.244 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|I_HOLD[13] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|I_HOLD[13]~9 ; Combinational ; 7.244 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|I_HOLD[14] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|I_HOLD[14]~6 ; Combinational ; 7.244 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|I_HOLD[15] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|I_HOLD[15]~0 ; Combinational ; 7.244 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|LPF_1 ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|LPF_1~feeder ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|LPF_2 ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|LPF_2~feeder ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|LPF_3 ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|LPF_3~feeder ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|LessThan0~0 ; Combinational ; 4.521 ; Vectorless estimation ; 0.744 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|LessThan1~0 ; Combinational ; 4.521 ; Vectorless estimation ; 0.744 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[0] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[0]~8 ; Combinational ; 0.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[1] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[1]~7 ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[2] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[2]~feeder ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[3] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[3]~6 ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[4] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[4]~feeder ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[5] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[5]~5 ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[6] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[6]~feeder ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[7] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[7]~1 ; Combinational ; 0.825 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[7]~4 ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[8] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[8]~feeder ; Combinational ; 0.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[9] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[9]~0 ; Combinational ; 0.006 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[9]~3 ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[10] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[10]~feeder ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[11] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[11]~feeder ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[12] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[12]~9 ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[13] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[13]~10 ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[14] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[14]~feeder ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[15] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[15]~11 ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[16] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[16]~2 ; Combinational ; 0.536 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[16]~12 ; Combinational ; 0.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[17] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[17]~13 ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[18] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[18]~feeder ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[19] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[19]~feeder ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[20] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[20]~feeder ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[21] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|NCO_freq[21]~feeder ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[0] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[0]~1 ; Combinational ; 0.007 ; Vectorless estimation ; 0.002 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[0]~2 ; Combinational ; 0.012 ; Vectorless estimation ; 0.003 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[0]~3 ; Combinational ; 0.005 ; Vectorless estimation ; 0.001 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[0]~10 ; Combinational ; 0.004 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[1] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[1]~9 ; Combinational ; 22.275 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[2] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[2]~8 ; Combinational ; 22.275 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[2]~feeder ; Combinational ; 22.275 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[3] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[3]~7 ; Combinational ; 22.275 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[3]~feeder ; Combinational ; 22.275 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[4] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[4]~6 ; Combinational ; 22.275 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[4]~feeder ; Combinational ; 22.275 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[5] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[5]~5 ; Combinational ; 22.275 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[5]~feeder ; Combinational ; 22.275 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[6] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[6]~4 ; Combinational ; 22.275 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[6]~feeder ; Combinational ; 22.275 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[7] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[7]~0 ; Combinational ; 22.275 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[8] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[8]~11 ; Combinational ; 2.786 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[8]~12 ; Combinational ; 0.005 ; Vectorless estimation ; 0.001 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[8]~feeder ; Combinational ; 2.786 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[9] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[9]~13 ; Combinational ; 7.244 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[9]~feeder ; Combinational ; 7.244 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[10] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[10]~14 ; Combinational ; 7.244 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[10]~feeder ; Combinational ; 7.244 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[11] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[11]~15 ; Combinational ; 7.244 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[11]~feeder ; Combinational ; 7.244 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[12] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[12]~16 ; Combinational ; 7.244 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[12]~feeder ; Combinational ; 7.244 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[13] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[13]~17 ; Combinational ; 7.244 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[13]~feeder ; Combinational ; 7.244 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[14] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[14]~18 ; Combinational ; 7.244 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[15] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[15]~19 ; Combinational ; 7.244 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|Q_HOLD[15]~feeder ; Combinational ; 7.244 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|REG_RX_I[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|REG_RX_Q[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_CICFIR_GAIN[0] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_CICFIR_GAIN[0]~0 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_CICFIR_GAIN[1] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_CICFIR_GAIN[2] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_CICFIR_GAIN[3] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_CICFIR_GAIN[4] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_I[0] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_I[0]~feeder ; Combinational ; 0.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_I[1] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_I[1]~feeder ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_I[2] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_I[2]~feeder ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_I[3] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_I[3]~feeder ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_I[4] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_I[5] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_I[5]~feeder ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_I[6] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_I[6]~feeder ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_I[7] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_I[7]~feeder ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_I[8] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_I[9] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_I[10] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_I[10]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_I[11] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_I[12] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_I[12]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_I[13] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_I[13]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_I[14] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_I[15] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_I[15]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[0] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[0]~8 ; Combinational ; 0.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[1] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[1]~7 ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[2] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[2]~feeder ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[3] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[3]~6 ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[4] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[5] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[5]~5 ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[6] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[7] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[7]~1 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[7]~4 ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[8] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[9] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[9]~3 ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[10] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[10]~feeder ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[11] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[11]~feeder ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[12] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[12]~9 ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[13] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[13]~10 ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[14] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[14]~feeder ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[15] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[15]~0 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[15]~11 ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[16] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[16]~12 ; Combinational ; 0.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[17] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[17]~13 ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[18] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[19] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[19]~feeder ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[20] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[21] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[21]~2 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_NCO_freq[21]~feeder ; Combinational ; 20.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_Q[0] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_Q[0]~0 ; Combinational ; 0.006 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_Q[0]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_Q[1] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_Q[1]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_Q[2] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_Q[3] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_Q[4] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_Q[4]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_Q[5] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_Q[5]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_Q[6] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_Q[6]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_Q[7] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_Q[7]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_Q[8] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_Q[8]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_Q[9] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_Q[9]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_Q[10] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_Q[10]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_Q[11] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_Q[11]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_Q[12] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_Q[12]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_Q[13] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_Q[13]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_Q[14] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_Q[15] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|TX_Q[15]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k[0] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k[1] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k[2] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k[3] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k[4] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k[5] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k[6] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k[7] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k[8] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k[9] ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~0 ; Combinational ; 13.771 ; Vectorless estimation ; 0.512 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~1 ; Combinational ; 5.000 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~2 ; Combinational ; 16.952 ; Vectorless estimation ; 0.254 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~3 ; Combinational ; 2.344 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~4 ; Combinational ; 49.066 ; Vectorless estimation ; 0.496 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~5 ; Combinational ; 1.080 ; Vectorless estimation ; 0.998 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~6 ; Combinational ; 1.255 ; Vectorless estimation ; 0.990 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~7 ; Combinational ; 0.563 ; Vectorless estimation ; 0.990 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~8 ; Combinational ; 0.002 ; Vectorless estimation ; 0.003 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~9 ; Combinational ; 0.001 ; Vectorless estimation ; 0.002 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~10 ; Combinational ; 5.973 ; Vectorless estimation ; 0.976 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~11 ; Combinational ; 5.943 ; Vectorless estimation ; 0.973 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~12 ; Combinational ; 33.013 ; Vectorless estimation ; 0.444 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~13 ; Combinational ; 5.953 ; Vectorless estimation ; 0.487 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~14 ; Combinational ; 7.779 ; Vectorless estimation ; 0.499 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~15 ; Combinational ; 11.000 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~16 ; Combinational ; 11.685 ; Vectorless estimation ; 0.252 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~17 ; Combinational ; 8.220 ; Vectorless estimation ; 0.557 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~18 ; Combinational ; 21.900 ; Vectorless estimation ; 0.498 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~19 ; Combinational ; 5.000 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~20 ; Combinational ; 11.021 ; Vectorless estimation ; 0.253 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~21 ; Combinational ; 8.899 ; Vectorless estimation ; 0.486 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~22 ; Combinational ; 0.001 ; Vectorless estimation ; 0.997 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~23 ; Combinational ; 0.193 ; Vectorless estimation ; 0.011 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~24 ; Combinational ; 26.999 ; Vectorless estimation ; 0.437 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~25 ; Combinational ; 5.947 ; Vectorless estimation ; 0.496 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~26 ; Combinational ; 5.962 ; Vectorless estimation ; 0.488 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~27 ; Combinational ; 0.025 ; Vectorless estimation ; 0.993 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~28 ; Combinational ; 17.384 ; Vectorless estimation ; 0.494 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~29 ; Combinational ; 10.230 ; Vectorless estimation ; 0.256 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~30 ; Combinational ; 11.489 ; Vectorless estimation ; 0.497 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~31 ; Combinational ; 12.739 ; Vectorless estimation ; 0.446 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~32 ; Combinational ; 8.899 ; Vectorless estimation ; 0.486 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~33 ; Combinational ; 5.000 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~34 ; Combinational ; 9.680 ; Vectorless estimation ; 0.246 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~35 ; Combinational ; 16.824 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~36 ; Combinational ; 9.456 ; Vectorless estimation ; 0.256 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~37 ; Combinational ; 0.061 ; Vectorless estimation ; 0.996 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~38 ; Combinational ; 9.252 ; Vectorless estimation ; 0.495 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~39 ; Combinational ; 18.198 ; Vectorless estimation ; 0.444 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~40 ; Combinational ; 11.000 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~41 ; Combinational ; 11.735 ; Vectorless estimation ; 0.248 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~42 ; Combinational ; 5.611 ; Vectorless estimation ; 0.497 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~43 ; Combinational ; 5.563 ; Vectorless estimation ; 0.495 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~44 ; Combinational ; 17.824 ; Vectorless estimation ; 0.439 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~45 ; Combinational ; 0.772 ; Vectorless estimation ; 0.992 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~46 ; Combinational ; 7.596 ; Vectorless estimation ; 0.244 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~47 ; Combinational ; 0.539 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~48 ; Combinational ; 16.066 ; Vectorless estimation ; 0.499 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~49 ; Combinational ; 1.501 ; Vectorless estimation ; 0.977 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~50 ; Combinational ; 11.309 ; Vectorless estimation ; 0.495 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~51 ; Combinational ; 7.207 ; Vectorless estimation ; 0.564 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~52 ; Combinational ; 29.106 ; Vectorless estimation ; 0.244 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~53 ; Combinational ; 12.221 ; Vectorless estimation ; 0.495 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~54 ; Combinational ; 24.336 ; Vectorless estimation ; 0.436 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~55 ; Combinational ; 0.003 ; Vectorless estimation ; 0.996 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|k~56 ; Combinational ; 0.019 ; Vectorless estimation ; 0.998 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|preamp_enable ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|reset_n ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|reset_n~clkctrl ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|reset_n~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|rx ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|rx~0 ; Combinational ; 0.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|sync_reset_n ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|sync_reset_n~0 ; Combinational ; 0.921 ; Vectorless estimation ; 0.008 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|sync_reset_n~1 ; Combinational ; 6.152 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|sync_reset_n~feeder ; Combinational ; 6.152 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|tx ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|tx_iq_valid ; Registered ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|tx_iq_valid~0 ; Combinational ; 2.059 ; Vectorless estimation ; 0.002 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|tx_iq_valid~1 ; Combinational ; 3.282 ; Vectorless estimation ; 0.499 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|tx_iq_valid~feeder ; Combinational ; 3.282 ; Vectorless estimation ; 0.499 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|tx~0 ; Combinational ; 0.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; stm32_interface:STM32_INTERFACE|tx~clkctrl ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux0~0 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux0~1 ; Combinational ; 10.209 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux0~2 ; Combinational ; 4.802 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux0~3 ; Combinational ; 2.677 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux0~4 ; Combinational ; 4.180 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux0~5 ; Combinational ; 2.240 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux1~0 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux1~1 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux1~2 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux1~3 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux1~4 ; Combinational ; 4.661 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux1~5 ; Combinational ; 2.319 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux2~0 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux2~1 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux2~2 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux2~3 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux2~4 ; Combinational ; 5.742 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux2~5 ; Combinational ; 4.720 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux2~6 ; Combinational ; 2.327 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux3~0 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux3~1 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux3~2 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux3~3 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux3~4 ; Combinational ; 4.790 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux3~5 ; Combinational ; 5.584 ; Vectorless estimation ; 0.406 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux3~6 ; Combinational ; 2.466 ; Vectorless estimation ; 0.453 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux4~0 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux4~1 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux4~2 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux4~3 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux4~4 ; Combinational ; 4.790 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux4~5 ; Combinational ; 5.819 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux4~6 ; Combinational ; 2.542 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux5~0 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux5~1 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux5~2 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux5~3 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux5~4 ; Combinational ; 4.790 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux5~5 ; Combinational ; 6.699 ; Vectorless estimation ; 0.344 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux5~6 ; Combinational ; 2.711 ; Vectorless estimation ; 0.422 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux6~0 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux6~1 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux6~2 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux6~3 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux6~4 ; Combinational ; 4.790 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux6~5 ; Combinational ; 8.163 ; Vectorless estimation ; 0.313 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux6~6 ; Combinational ; 2.964 ; Vectorless estimation ; 0.406 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux7~0 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux7~1 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux7~2 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux7~3 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux8~0 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux8~1 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux8~2 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux8~3 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux9~0 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux9~1 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux9~2 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux9~3 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux10~0 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux10~1 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux10~2 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux10~3 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux11~0 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux11~1 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux11~2 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux11~3 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux12~0 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux12~1 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux12~2 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux12~3 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux12~4 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux12~5 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux12~6 ; Combinational ; 10.209 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux12~7 ; Combinational ; 4.802 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux12~8 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux12~9 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux12~10 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux12~11 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux13~0 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux13~1 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux13~2 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux13~3 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux13~4 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux13~5 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux13~6 ; Combinational ; 5.006 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux13~7 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux13~8 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux13~9 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux13~10 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux14~0 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux14~1 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux14~2 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux14~3 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux14~4 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux14~5 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux14~6 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux14~7 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux14~8 ; Combinational ; 4.790 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux14~9 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux14~10 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux15~0 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux15~1 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux15~2 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux15~3 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux15~4 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux15~5 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux15~6 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux15~7 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux15~8 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux15~9 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux16~0 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux16~1 ; Combinational ; 10.209 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux16~2 ; Combinational ; 4.802 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux16~3 ; Combinational ; 2.677 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux16~4 ; Combinational ; 4.180 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux16~5 ; Combinational ; 2.240 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux17~0 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux17~1 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux17~2 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux17~3 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux17~4 ; Combinational ; 4.661 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux17~5 ; Combinational ; 2.319 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux18~0 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux18~1 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux18~2 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux18~3 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux18~4 ; Combinational ; 5.742 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux18~5 ; Combinational ; 4.720 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux18~6 ; Combinational ; 2.327 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux19~0 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux19~1 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux19~2 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux19~3 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux19~4 ; Combinational ; 4.790 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux19~5 ; Combinational ; 5.584 ; Vectorless estimation ; 0.406 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux19~6 ; Combinational ; 2.466 ; Vectorless estimation ; 0.453 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux20~0 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux20~1 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux20~2 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux20~3 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux20~4 ; Combinational ; 4.790 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux20~5 ; Combinational ; 5.584 ; Vectorless estimation ; 0.406 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux20~6 ; Combinational ; 2.159 ; Vectorless estimation ; 0.416 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux21~0 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux21~1 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux21~2 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux21~3 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux21~4 ; Combinational ; 4.790 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux21~5 ; Combinational ; 5.584 ; Vectorless estimation ; 0.406 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux21~6 ; Combinational ; 2.125 ; Vectorless estimation ; 0.379 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux22~0 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux22~1 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux22~2 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux22~3 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux22~4 ; Combinational ; 4.790 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux22~5 ; Combinational ; 5.584 ; Vectorless estimation ; 0.406 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux22~6 ; Combinational ; 2.326 ; Vectorless estimation ; 0.342 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux23~0 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux23~1 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux23~2 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux23~3 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux24~0 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux24~1 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux24~2 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux24~3 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux25~0 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux25~1 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux25~2 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux25~3 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux26~0 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux26~1 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux26~2 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux26~3 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux27~0 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux27~1 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux27~2 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux27~3 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux28~0 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux28~1 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux28~2 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux28~3 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux28~4 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux28~5 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux28~6 ; Combinational ; 10.209 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux28~7 ; Combinational ; 4.802 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux28~8 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux28~9 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux28~10 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux28~11 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux29~0 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux29~1 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux29~2 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux29~3 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux29~4 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux29~5 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux29~6 ; Combinational ; 5.006 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux29~7 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux29~8 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux29~9 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux29~10 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux30~0 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux30~1 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux30~2 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux30~3 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux30~4 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux30~5 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux30~6 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux30~7 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux30~8 ; Combinational ; 4.790 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux30~9 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux30~10 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux31~0 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux31~1 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux31~2 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux31~3 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux31~4 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux31~5 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux31~6 ; Combinational ; 6.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux31~7 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux31~8 ; Combinational ; 4.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|Mux31~9 ; Combinational ; 2.234 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[0]~31 ; Combinational ; 0.221 ; Vectorless estimation ; 0.016 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[0]~32 ; Combinational ; 2.971 ; Vectorless estimation ; 0.131 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[1]~28 ; Combinational ; 1.811 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[1]~29 ; Combinational ; 0.275 ; Vectorless estimation ; 0.030 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[1]~30 ; Combinational ; 2.893 ; Vectorless estimation ; 0.136 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[2]~25 ; Combinational ; 1.811 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[2]~26 ; Combinational ; 0.427 ; Vectorless estimation ; 0.045 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[2]~27 ; Combinational ; 2.827 ; Vectorless estimation ; 0.142 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[3]~22 ; Combinational ; 1.811 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[3]~23 ; Combinational ; 0.687 ; Vectorless estimation ; 0.060 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[3]~24 ; Combinational ; 2.775 ; Vectorless estimation ; 0.147 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[4]~19 ; Combinational ; 1.811 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[4]~20 ; Combinational ; 1.068 ; Vectorless estimation ; 0.074 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[4]~21 ; Combinational ; 2.737 ; Vectorless estimation ; 0.153 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[5]~16 ; Combinational ; 1.791 ; Vectorless estimation ; 0.121 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[5]~17 ; Combinational ; 2.840 ; Vectorless estimation ; 0.186 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[5]~18 ; Combinational ; 2.409 ; Vectorless estimation ; 0.171 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[6]~13 ; Combinational ; 2.086 ; Vectorless estimation ; 0.180 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[6]~14 ; Combinational ; 2.663 ; Vectorless estimation ; 0.215 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[6]~15 ; Combinational ; 2.330 ; Vectorless estimation ; 0.179 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[7]~10 ; Combinational ; 2.675 ; Vectorless estimation ; 0.238 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[7]~11 ; Combinational ; 2.587 ; Vectorless estimation ; 0.244 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[7]~12 ; Combinational ; 2.272 ; Vectorless estimation ; 0.186 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[8]~7 ; Combinational ; 3.647 ; Vectorless estimation ; 0.297 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[8]~8 ; Combinational ; 2.623 ; Vectorless estimation ; 0.273 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[8]~9 ; Combinational ; 2.237 ; Vectorless estimation ; 0.193 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[9]~6 ; Combinational ; 2.290 ; Vectorless estimation ; 0.227 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[10]~5 ; Combinational ; 2.300 ; Vectorless estimation ; 0.230 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[11]~4 ; Combinational ; 2.320 ; Vectorless estimation ; 0.234 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[12]~3 ; Combinational ; 2.349 ; Vectorless estimation ; 0.238 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[13]~2 ; Combinational ; 2.380 ; Vectorless estimation ; 0.242 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[14]~1 ; Combinational ; 2.380 ; Vectorless estimation ; 0.242 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_I[15]~0 ; Combinational ; 2.348 ; Vectorless estimation ; 0.238 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[0]~7 ; Combinational ; 0.745 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[0]~31 ; Combinational ; 0.221 ; Vectorless estimation ; 0.016 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[0]~32 ; Combinational ; 2.971 ; Vectorless estimation ; 0.131 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[1]~28 ; Combinational ; 1.811 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[1]~29 ; Combinational ; 0.275 ; Vectorless estimation ; 0.030 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[1]~30 ; Combinational ; 2.893 ; Vectorless estimation ; 0.136 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[2]~25 ; Combinational ; 1.811 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[2]~26 ; Combinational ; 0.427 ; Vectorless estimation ; 0.045 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[2]~27 ; Combinational ; 2.827 ; Vectorless estimation ; 0.142 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[3]~22 ; Combinational ; 1.811 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[3]~23 ; Combinational ; 0.687 ; Vectorless estimation ; 0.060 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[3]~24 ; Combinational ; 2.775 ; Vectorless estimation ; 0.147 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[4]~18 ; Combinational ; 1.392 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[4]~19 ; Combinational ; 1.811 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[4]~20 ; Combinational ; 1.068 ; Vectorless estimation ; 0.074 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[4]~21 ; Combinational ; 2.737 ; Vectorless estimation ; 0.153 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[5]~15 ; Combinational ; 1.791 ; Vectorless estimation ; 0.121 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[5]~16 ; Combinational ; 2.840 ; Vectorless estimation ; 0.186 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[5]~17 ; Combinational ; 2.409 ; Vectorless estimation ; 0.171 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[6]~12 ; Combinational ; 2.086 ; Vectorless estimation ; 0.180 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[6]~13 ; Combinational ; 2.663 ; Vectorless estimation ; 0.215 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[6]~14 ; Combinational ; 2.330 ; Vectorless estimation ; 0.179 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[7]~9 ; Combinational ; 2.675 ; Vectorless estimation ; 0.238 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[7]~10 ; Combinational ; 2.587 ; Vectorless estimation ; 0.244 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[7]~11 ; Combinational ; 2.272 ; Vectorless estimation ; 0.186 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[8]~8 ; Combinational ; 3.647 ; Vectorless estimation ; 0.297 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[8]~33 ; Combinational ; 2.623 ; Vectorless estimation ; 0.273 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[8]~34 ; Combinational ; 2.237 ; Vectorless estimation ; 0.193 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[9]~6 ; Combinational ; 2.194 ; Vectorless estimation ; 0.210 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[10]~5 ; Combinational ; 2.205 ; Vectorless estimation ; 0.220 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[11]~4 ; Combinational ; 2.256 ; Vectorless estimation ; 0.229 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[12]~3 ; Combinational ; 2.349 ; Vectorless estimation ; 0.238 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[13]~2 ; Combinational ; 2.380 ; Vectorless estimation ; 0.242 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[14]~1 ; Combinational ; 2.380 ; Vectorless estimation ; 0.242 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_out_Q[15]~0 ; Combinational ; 2.348 ; Vectorless estimation ; 0.238 ; Vectorless estimation ; -; data_shifter:TX_CICCOMP_GAINER|data_valid_out_Q~0 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; mux14:DAC_MUX|lpm_mux:LPM_MUX_component|mux_rsc:auto_generated|result_node[0]~12 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; mux14:DAC_MUX|lpm_mux:LPM_MUX_component|mux_rsc:auto_generated|result_node[1]~11 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; mux14:DAC_MUX|lpm_mux:LPM_MUX_component|mux_rsc:auto_generated|result_node[2]~10 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; mux14:DAC_MUX|lpm_mux:LPM_MUX_component|mux_rsc:auto_generated|result_node[3]~9 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; mux14:DAC_MUX|lpm_mux:LPM_MUX_component|mux_rsc:auto_generated|result_node[4]~8 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; mux14:DAC_MUX|lpm_mux:LPM_MUX_component|mux_rsc:auto_generated|result_node[5]~7 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; mux14:DAC_MUX|lpm_mux:LPM_MUX_component|mux_rsc:auto_generated|result_node[6]~6 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; mux14:DAC_MUX|lpm_mux:LPM_MUX_component|mux_rsc:auto_generated|result_node[7]~5 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; mux14:DAC_MUX|lpm_mux:LPM_MUX_component|mux_rsc:auto_generated|result_node[8]~4 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; mux14:DAC_MUX|lpm_mux:LPM_MUX_component|mux_rsc:auto_generated|result_node[9]~3 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; mux14:DAC_MUX|lpm_mux:LPM_MUX_component|mux_rsc:auto_generated|result_node[10]~2 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; mux14:DAC_MUX|lpm_mux:LPM_MUX_component|mux_rsc:auto_generated|result_node[11]~1 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; mux14:DAC_MUX|lpm_mux:LPM_MUX_component|mux_rsc:auto_generated|result_node[12]~0 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; mux14:DAC_MUX|lpm_mux:LPM_MUX_component|mux_rsc:auto_generated|result_node[13] ; Combinational ; 11.308 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|wire_pll1_clk[0] ; Combinational ; 24.577 ; Node assignment ; 0.500 ; Node assignment ; -; MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|wire_pll1_clk[0]~clkctrl ; Combinational ; 24.577 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|wire_pll1_clk[1] ; Combinational ; 0.096 ; Node assignment ; 0.500 ; Node assignment ; -; MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|wire_pll1_clk[1]~clkctrl ; Combinational ; 0.096 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|wire_pll1_fbout ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~0 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~1 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~2 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~3 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~4 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~5 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~6 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~7 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~8 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~9 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~10 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~11 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT1 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT2 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT3 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT4 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT5 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT6 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT7 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT8 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT9 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT10 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT11 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT12 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT13 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT14 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT15 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT16 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT17 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT18 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT19 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT20 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT21 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT22 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT23 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~0 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~1 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~2 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~3 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~4 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~5 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~6 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~7 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~8 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~9 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~10 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~11 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT1 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT2 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT3 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT4 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT5 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT6 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT7 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT8 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT9 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT10 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT11 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT12 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT13 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT14 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT15 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT16 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT17 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT18 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT19 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT20 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT21 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT22 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_mult1~DATAOUT23 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|result[22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|Equal0~0 ; Combinational ; 0.724 ; Vectorless estimation ; 0.938 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|Equal0~1 ; Combinational ; 0.724 ; Vectorless estimation ; 0.938 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|Equal0~2 ; Combinational ; 0.724 ; Vectorless estimation ; 0.938 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|Equal0~3 ; Combinational ; 0.000 ; Vectorless estimation ; 1.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|add_one ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp~0 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp~1 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp~2 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp~3 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp~4 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp~5 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp~6 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp~7 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp~8 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp~9 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp~10 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp~11 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|is_zero ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|is_zero~0 ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|Equal0~0 ; Combinational ; 0.724 ; Vectorless estimation ; 0.938 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|Equal0~1 ; Combinational ; 0.724 ; Vectorless estimation ; 0.938 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|Equal0~2 ; Combinational ; 0.724 ; Vectorless estimation ; 0.938 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|Equal0~3 ; Combinational ; 0.000 ; Vectorless estimation ; 1.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|add_one ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp~0 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp~1 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp~2 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp~3 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp~4 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp~5 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp~6 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp~7 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp~8 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp~9 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp~10 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp~11 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|is_zero ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[11]~14 ; Combinational ; 1.036 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[11]~16 ; Combinational ; 0.795 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[11]~18 ; Combinational ; 1.476 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[11]~20 ; Combinational ; 1.124 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[11]~22 ; Combinational ; 1.261 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[11]~24 ; Combinational ; 1.178 ; Vectorless estimation ; 0.508 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[11]~26 ; Combinational ; 1.216 ; Vectorless estimation ; 0.496 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[11]~28 ; Combinational ; 1.196 ; Vectorless estimation ; 0.502 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[11]~30 ; Combinational ; 1.206 ; Vectorless estimation ; 0.499 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[11]~32 ; Combinational ; 1.201 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[11]~34 ; Combinational ; 1.203 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[11]~35 ; Combinational ; 1.337 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[11]~36 ; Combinational ; 1.202 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[12]~37 ; Combinational ; 1.337 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[12]~38 ; Combinational ; 1.203 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[13]~39 ; Combinational ; 1.337 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[13]~40 ; Combinational ; 1.202 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[14]~41 ; Combinational ; 1.337 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[14]~42 ; Combinational ; 1.202 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15]~43 ; Combinational ; 1.337 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15]~44 ; Combinational ; 1.202 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[16]~45 ; Combinational ; 1.337 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[16]~46 ; Combinational ; 1.202 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[17]~47 ; Combinational ; 1.337 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[17]~48 ; Combinational ; 1.202 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[18]~49 ; Combinational ; 1.337 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[18]~50 ; Combinational ; 1.202 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[19]~51 ; Combinational ; 1.337 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[19]~52 ; Combinational ; 1.202 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[20]~53 ; Combinational ; 1.337 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[20]~54 ; Combinational ; 1.202 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[21]~55 ; Combinational ; 1.337 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[21]~56 ; Combinational ; 1.202 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[22]~57 ; Combinational ; 1.337 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[22]~58 ; Combinational ; 1.202 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[23]~59 ; Combinational ; 1.808 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[11]~14 ; Combinational ; 1.036 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[11]~16 ; Combinational ; 0.795 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[11]~18 ; Combinational ; 0.958 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[11]~20 ; Combinational ; 1.318 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[11]~22 ; Combinational ; 1.156 ; Vectorless estimation ; 0.516 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[11]~24 ; Combinational ; 1.230 ; Vectorless estimation ; 0.492 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[11]~26 ; Combinational ; 1.190 ; Vectorless estimation ; 0.504 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[11]~28 ; Combinational ; 1.209 ; Vectorless estimation ; 0.498 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[11]~30 ; Combinational ; 1.199 ; Vectorless estimation ; 0.501 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[11]~32 ; Combinational ; 1.204 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[11]~34 ; Combinational ; 1.202 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[11]~35 ; Combinational ; 1.337 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[11]~36 ; Combinational ; 1.203 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[12]~37 ; Combinational ; 1.337 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[12]~38 ; Combinational ; 1.202 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[13]~39 ; Combinational ; 1.337 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[13]~40 ; Combinational ; 1.203 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[14]~41 ; Combinational ; 1.337 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[14]~42 ; Combinational ; 1.202 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15]~43 ; Combinational ; 1.337 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15]~44 ; Combinational ; 1.202 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[16]~45 ; Combinational ; 1.337 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[16]~46 ; Combinational ; 1.202 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[17]~47 ; Combinational ; 1.337 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[17]~48 ; Combinational ; 1.202 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[18]~49 ; Combinational ; 1.337 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[18]~50 ; Combinational ; 1.202 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[19]~51 ; Combinational ; 1.337 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[19]~52 ; Combinational ; 1.202 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[20]~53 ; Combinational ; 1.337 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[20]~54 ; Combinational ; 1.202 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[21]~55 ; Combinational ; 1.337 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[21]~56 ; Combinational ; 1.202 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[22]~57 ; Combinational ; 1.337 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[22]~58 ; Combinational ; 1.202 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[23]~59 ; Combinational ; 1.808 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~0 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~1 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~2 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~3 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~4 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~5 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~6 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~7 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~8 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~9 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~10 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~11 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~12 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~13 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~14 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~15 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~16 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~17 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~18 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~19 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~20 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg~21 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[9]~11 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[9]~12 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[10]~13 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp~2 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp~3 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp~4 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp~5 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp~6 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp~7 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp~8 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp~9 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp~10 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cs[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cs[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cs~0 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cs~1 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f~0 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f~1 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f~2 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f~3 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f~4 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f~5 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f~6 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f~7 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f~8 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f~9 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f~10 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr|always0~0 ; Combinational ; 0.724 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr|data_ready ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr|data_ready~0 ; Combinational ; 7.316 ; Vectorless estimation ; 0.516 ; Vectorless estimation ; -; clock_buffer:SYSCLK_BUFFER|clock_buffer_altclkctrl_0:altclkctrl_0|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component|wire_clkctrl1_outclk ; Combinational ; 128.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~0 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~1 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~2 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~3 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT1 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT2 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT3 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT4 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT5 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT6 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT7 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT8 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT9 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT10 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT11 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT12 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT13 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT14 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT15 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT16 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT17 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT18 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT19 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT20 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT21 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT22 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT23 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT24 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT25 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT26 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT27 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT28 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT29 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT30 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT31 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[18] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[19] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[20] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[21] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[22] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[23] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[24] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[25] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[26] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[27] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[28] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[29] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[30] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[31] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~0 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~1 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~2 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~3 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT1 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT2 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT3 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT4 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT5 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT6 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT7 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT8 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT9 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT10 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT11 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT12 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT13 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT14 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT15 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT16 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT17 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT18 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT19 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT20 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT21 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT22 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT23 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT24 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT25 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT26 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT27 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT28 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT29 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT30 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_mult1~DATAOUT31 ; Combinational ; 40.206 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[18] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[19] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[20] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[21] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[22] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[23] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[24] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[25] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[26] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[27] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[28] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[29] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[30] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|result[31] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|Equal0~0 ; Combinational ; 2.474 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|Equal0~1 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|Equal0~2 ; Combinational ; 0.051 ; Vectorless estimation ; 0.004 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|Equal0~3 ; Combinational ; 2.474 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|Equal0~4 ; Combinational ; 2.474 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|Equal0~5 ; Combinational ; 0.000 ; Vectorless estimation ; 1.000 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|add_one ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|data_tmp[15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|is_zero ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|Equal0~0 ; Combinational ; 2.474 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|Equal0~1 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|Equal0~2 ; Combinational ; 0.085 ; Vectorless estimation ; 0.004 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|Equal0~3 ; Combinational ; 2.474 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|Equal0~4 ; Combinational ; 2.474 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|Equal0~5 ; Combinational ; 0.000 ; Vectorless estimation ; 1.000 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|add_one ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|data_tmp[15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|is_zero ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15]~18 ; Combinational ; 2.591 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15]~20 ; Combinational ; 1.987 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15]~22 ; Combinational ; 3.691 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15]~24 ; Combinational ; 2.809 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15]~26 ; Combinational ; 3.154 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15]~28 ; Combinational ; 2.947 ; Vectorless estimation ; 0.508 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15]~30 ; Combinational ; 3.040 ; Vectorless estimation ; 0.496 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15]~32 ; Combinational ; 2.991 ; Vectorless estimation ; 0.502 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15]~34 ; Combinational ; 3.015 ; Vectorless estimation ; 0.499 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15]~36 ; Combinational ; 3.002 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15]~38 ; Combinational ; 3.008 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15]~40 ; Combinational ; 3.005 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15]~42 ; Combinational ; 3.007 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15]~44 ; Combinational ; 3.006 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15]~46 ; Combinational ; 3.007 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15]~47 ; Combinational ; 3.343 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[15]~48 ; Combinational ; 3.006 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[16]~49 ; Combinational ; 3.343 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[16]~50 ; Combinational ; 3.006 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[17]~51 ; Combinational ; 3.343 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[17]~52 ; Combinational ; 3.006 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[18] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[18]~53 ; Combinational ; 3.343 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[18]~54 ; Combinational ; 3.006 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[19] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[19]~55 ; Combinational ; 3.343 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[19]~56 ; Combinational ; 3.006 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[20] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[20]~57 ; Combinational ; 3.343 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[20]~58 ; Combinational ; 3.006 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[21] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[21]~59 ; Combinational ; 3.343 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[21]~60 ; Combinational ; 3.006 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[22] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[22]~61 ; Combinational ; 3.343 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[22]~62 ; Combinational ; 3.006 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[23] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[23]~63 ; Combinational ; 3.343 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[23]~64 ; Combinational ; 3.006 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[24] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[24]~65 ; Combinational ; 3.343 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[24]~66 ; Combinational ; 3.006 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[25] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[25]~67 ; Combinational ; 3.343 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[25]~68 ; Combinational ; 3.006 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[26] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[26]~69 ; Combinational ; 3.343 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[26]~70 ; Combinational ; 3.006 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[27] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[27]~71 ; Combinational ; 3.343 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[27]~72 ; Combinational ; 3.006 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[28] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[28]~73 ; Combinational ; 3.343 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[28]~74 ; Combinational ; 3.006 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[29] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[29]~75 ; Combinational ; 3.343 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[29]~76 ; Combinational ; 3.006 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[30] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[30]~77 ; Combinational ; 3.343 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[30]~78 ; Combinational ; 3.006 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[31] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|out[31]~79 ; Combinational ; 4.521 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15]~18 ; Combinational ; 2.591 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15]~20 ; Combinational ; 1.987 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15]~22 ; Combinational ; 2.396 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15]~24 ; Combinational ; 3.295 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15]~26 ; Combinational ; 2.891 ; Vectorless estimation ; 0.516 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15]~28 ; Combinational ; 3.076 ; Vectorless estimation ; 0.492 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15]~30 ; Combinational ; 2.975 ; Vectorless estimation ; 0.504 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15]~32 ; Combinational ; 3.023 ; Vectorless estimation ; 0.498 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15]~34 ; Combinational ; 2.998 ; Vectorless estimation ; 0.501 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15]~36 ; Combinational ; 3.011 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15]~38 ; Combinational ; 3.004 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15]~40 ; Combinational ; 3.007 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15]~42 ; Combinational ; 3.006 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15]~44 ; Combinational ; 3.007 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15]~46 ; Combinational ; 3.006 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15]~47 ; Combinational ; 3.343 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[15]~48 ; Combinational ; 3.007 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[16]~49 ; Combinational ; 3.343 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[16]~50 ; Combinational ; 3.006 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[17]~51 ; Combinational ; 3.343 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[17]~52 ; Combinational ; 3.006 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[18] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[18]~53 ; Combinational ; 3.343 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[18]~54 ; Combinational ; 3.006 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[19] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[19]~55 ; Combinational ; 3.343 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[19]~56 ; Combinational ; 3.006 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[20] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[20]~57 ; Combinational ; 3.343 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[20]~58 ; Combinational ; 3.006 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[21] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[21]~59 ; Combinational ; 3.343 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[21]~60 ; Combinational ; 3.006 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[22] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[22]~61 ; Combinational ; 3.343 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[22]~62 ; Combinational ; 3.006 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[23] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[23]~63 ; Combinational ; 3.343 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[23]~64 ; Combinational ; 3.006 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[24] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[24]~65 ; Combinational ; 3.343 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[24]~66 ; Combinational ; 3.006 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[25] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[25]~67 ; Combinational ; 3.343 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[25]~68 ; Combinational ; 3.006 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[26] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[26]~69 ; Combinational ; 3.343 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[26]~70 ; Combinational ; 3.006 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[27] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[27]~71 ; Combinational ; 3.343 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[27]~72 ; Combinational ; 3.006 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[28] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[28]~73 ; Combinational ; 3.343 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[28]~74 ; Combinational ; 3.006 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[29] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[29]~75 ; Combinational ; 3.343 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[29]~76 ; Combinational ; 3.006 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[30] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[30]~77 ; Combinational ; 3.343 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[30]~78 ; Combinational ; 3.006 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[31] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|out[31]~79 ; Combinational ; 4.521 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[0]~5 ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[1]~4 ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[2]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[3]~3 ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[5]~2 ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[6]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[7]~1 ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[8]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[9]~0 ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[10]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[12]~6 ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[13]~7 ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[14]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[15]~8 ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[16]~9 ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[17]~10 ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[18] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[19] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[20] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|phi_int_arr_reg[21] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|Add0~0 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[1]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[2]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[3]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[4]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[6]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[9]~0 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cc_temp[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cs[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cs[9]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cs[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_cs[10]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[0]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[1]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[3]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[4]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[6]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[7]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_gam_dp:ux008|rom_add_f[10]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated|wire_pll1_clk[0] ; Combinational ; 321.647 ; Node assignment ; 0.500 ; Node assignment ; -; tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated|wire_pll1_clk[0]~clkctrl ; Combinational ; 321.647 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated|wire_pll1_fbout ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|overflow_dffe[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|overflow_dffe[0]~0 ; Combinational ; 12.738 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[18] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[19] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[20] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[21] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[22] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[23] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[24] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[25] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[26] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[27] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[28] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[29] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[30] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[31] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|pipeline_dffe[31]~_wirecell ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[0]~0 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[0]~1 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[1]~2 ; Combinational ; 16.962 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[1]~3 ; Combinational ; 9.364 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[2]~4 ; Combinational ; 14.356 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[2]~5 ; Combinational ; 16.680 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[3]~6 ; Combinational ; 15.654 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[3]~7 ; Combinational ; 12.794 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[4]~8 ; Combinational ; 14.551 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[4]~9 ; Combinational ; 14.289 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[5]~10 ; Combinational ; 14.891 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[5]~11 ; Combinational ; 13.380 ; Vectorless estimation ; 0.508 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[6]~12 ; Combinational ; 14.656 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[6]~13 ; Combinational ; 13.788 ; Vectorless estimation ; 0.496 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[7]~14 ; Combinational ; 14.756 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[7]~15 ; Combinational ; 13.572 ; Vectorless estimation ; 0.502 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[8]~16 ; Combinational ; 14.701 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[8]~17 ; Combinational ; 13.677 ; Vectorless estimation ; 0.499 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[9]~18 ; Combinational ; 14.727 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[9]~19 ; Combinational ; 13.624 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[10]~20 ; Combinational ; 14.714 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[10]~21 ; Combinational ; 13.650 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[11]~22 ; Combinational ; 14.720 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[11]~23 ; Combinational ; 13.637 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[12]~24 ; Combinational ; 14.717 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[12]~25 ; Combinational ; 13.643 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[13]~26 ; Combinational ; 14.719 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[13]~27 ; Combinational ; 13.640 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[14]~28 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[14]~29 ; Combinational ; 13.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[15]~30 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[15]~31 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[16]~32 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[16]~33 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[17]~34 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[17]~35 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[18]~36 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[18]~37 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[19]~38 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[19]~39 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[20]~40 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[20]~41 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[21]~42 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[21]~43 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[22]~44 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[22]~45 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[23]~46 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[23]~47 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[24]~48 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[24]~49 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[25]~50 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[25]~51 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[26]~52 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[26]~53 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[27]~54 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[27]~55 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[28]~56 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[28]~57 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[29]~58 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[29]~59 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[30]~60 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[30]~61 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_summator:TX_SUMMATOR|lpm_add_sub:LPM_ADD_SUB_component|add_sub_1vk:auto_generated|result_int[31]~62 ; Combinational ; 18.488 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|sldfabric_ident_writedata[0] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|sldfabric_ident_writedata[0]~0 ; Combinational ; 0.007 ; Vectorless estimation ; 0.008 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|sldfabric_ident_writedata[1] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|sldfabric_ident_writedata[2] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|sldfabric_ident_writedata[3] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|splitter_nodes_receive_0[3] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|vjtag_sdr_i~0 ; Combinational ; 0.547 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|vjtag_sdr_i~1 ; Combinational ; 0.938 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|vjtag_uir_i~0 ; Combinational ; 0.430 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|ready_fifo_wrreq~0 ; Combinational ; 2.319 ; Vectorless estimation ; 0.234 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|sink_ready_ctrl_process~0 ; Combinational ; 6.303 ; Vectorless estimation ; 0.781 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|sink_ready_ctrl~0 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|sink_ready_ctrl~1 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|sink_ready_ctrl~2 ; Combinational ; 6.009 ; Vectorless estimation ; 0.234 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|sink_ready_ctrl~3 ; Combinational ; 1.510 ; Vectorless estimation ; 0.106 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|stall_reg ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|stall_reg~0 ; Combinational ; 1.916 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|Equal2~0 ; Combinational ; 0.872 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|Equal2~1 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|comb~0 ; Combinational ; 9.147 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|ena_diff_s[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|ena_diff_s[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|ena_diff_s[1]~feeder ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|ena_diff_s~0 ; Combinational ; 11.555 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|fifo_rdreq ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|fifo_rdreq~0 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|sample_state[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|sample_state~0 ; Combinational ; 3.486 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|sample_state~1 ; Combinational ; 5.631 ; Vectorless estimation ; 0.672 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|state[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|state~0 ; Combinational ; 8.976 ; Vectorless estimation ; 0.516 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|source_valid_s ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|source_valid_s~0 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|ready_fifo_wrreq~0 ; Combinational ; 2.319 ; Vectorless estimation ; 0.234 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|sink_ready_ctrl_process~0 ; Combinational ; 1.348 ; Vectorless estimation ; 0.781 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|sink_ready_ctrl~0 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|sink_ready_ctrl~1 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|sink_ready_ctrl~2 ; Combinational ; 3.406 ; Vectorless estimation ; 0.234 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|sink_ready_ctrl~3 ; Combinational ; 0.894 ; Vectorless estimation ; 0.106 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|stall_reg ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|stall_reg~0 ; Combinational ; 1.916 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|Equal2~0 ; Combinational ; 0.724 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|Equal2~1 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|comb~0 ; Combinational ; 8.975 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|ena_diff_s[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|ena_diff_s[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|ena_diff_s[1]~feeder ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|ena_diff_s~0 ; Combinational ; 14.067 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|fifo_rdreq ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|fifo_rdreq~0 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|sample_state[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|sample_state~0 ; Combinational ; 4.146 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|sample_state~1 ; Combinational ; 5.058 ; Vectorless estimation ; 0.672 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|state[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|state~0 ; Combinational ; 8.959 ; Vectorless estimation ; 0.516 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|source_valid_s ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|source_valid_s~0 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1]~2 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2]~1 ; Combinational ; 7.286 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2]~2 ; Combinational ; 2.136 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3]~1 ; Combinational ; 7.349 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3]~2 ; Combinational ; 6.815 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4]~1 ; Combinational ; 10.506 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4]~2 ; Combinational ; 1.767 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5]~1 ; Combinational ; 8.437 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5]~2 ; Combinational ; 7.995 ; Vectorless estimation ; 0.016 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6]~1 ; Combinational ; 11.790 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6]~2 ; Combinational ; 2.003 ; Vectorless estimation ; 0.992 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7]~1 ; Combinational ; 8.917 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7]~2 ; Combinational ; 8.416 ; Vectorless estimation ; 0.004 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[8]~1 ; Combinational ; 12.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~0 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~3 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~4 ; Combinational ; 7.286 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~5 ; Combinational ; 2.136 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~6 ; Combinational ; 7.349 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~7 ; Combinational ; 6.815 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~8 ; Combinational ; 10.506 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~9 ; Combinational ; 1.767 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~10 ; Combinational ; 8.437 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~11 ; Combinational ; 7.995 ; Vectorless estimation ; 0.016 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~12 ; Combinational ; 11.790 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~0 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~3 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~4 ; Combinational ; 7.286 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~5 ; Combinational ; 2.136 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~6 ; Combinational ; 7.349 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~7 ; Combinational ; 6.815 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~8 ; Combinational ; 10.506 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~9 ; Combinational ; 1.767 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~10 ; Combinational ; 8.437 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~11 ; Combinational ; 7.995 ; Vectorless estimation ; 0.016 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~12 ; Combinational ; 11.790 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~14 ; Combinational ; 7.416 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~15 ; Combinational ; 6.683 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~16 ; Combinational ; 7.545 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~17 ; Combinational ; 7.522 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~18 ; Combinational ; 7.475 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~19 ; Combinational ; 5.779 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~20 ; Combinational ; 5.779 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add2~0 ; Combinational ; 4.687 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~0 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~3 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~4 ; Combinational ; 7.286 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~5 ; Combinational ; 2.136 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~6 ; Combinational ; 7.349 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~7 ; Combinational ; 6.815 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~8 ; Combinational ; 10.506 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~9 ; Combinational ; 1.767 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~10 ; Combinational ; 8.437 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~11 ; Combinational ; 7.995 ; Vectorless estimation ; 0.016 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~12 ; Combinational ; 11.790 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~13 ; Combinational ; 9.792 ; Vectorless estimation ; 0.492 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~14 ; Combinational ; 8.917 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~15 ; Combinational ; 6.343 ; Vectorless estimation ; 0.754 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~16 ; Combinational ; 8.228 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~0 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~2_wirecell ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~3 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~4 ; Combinational ; 7.286 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~4_wirecell ; Combinational ; 7.286 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~5 ; Combinational ; 2.136 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~6 ; Combinational ; 7.349 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~6_wirecell ; Combinational ; 7.349 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~7 ; Combinational ; 6.815 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~8 ; Combinational ; 10.506 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~8_wirecell ; Combinational ; 10.506 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~9 ; Combinational ; 1.767 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~10 ; Combinational ; 8.437 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~10_wirecell ; Combinational ; 8.437 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~11 ; Combinational ; 7.995 ; Vectorless estimation ; 0.016 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~12 ; Combinational ; 11.790 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux2~0 ; Combinational ; 6.517 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux2~1 ; Combinational ; 8.064 ; Vectorless estimation ; 0.281 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux3~0 ; Combinational ; 3.021 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux3~1 ; Combinational ; 3.021 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux3~2 ; Combinational ; 5.363 ; Vectorless estimation ; 0.406 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux3~3 ; Combinational ; 3.744 ; Vectorless estimation ; 0.383 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux4~0 ; Combinational ; 3.035 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux4~1 ; Combinational ; 3.035 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux4~2 ; Combinational ; 5.701 ; Vectorless estimation ; 0.391 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux4~3 ; Combinational ; 11.377 ; Vectorless estimation ; 0.360 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux5~0 ; Combinational ; 2.956 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux5~1 ; Combinational ; 2.956 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux5~2 ; Combinational ; 5.730 ; Vectorless estimation ; 0.359 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux5~3 ; Combinational ; 3.790 ; Vectorless estimation ; 0.311 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux6~0 ; Combinational ; 2.829 ; Vectorless estimation ; 0.313 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux6~1 ; Combinational ; 4.083 ; Vectorless estimation ; 0.688 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux6~2 ; Combinational ; 6.116 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux6~3 ; Combinational ; 6.181 ; Vectorless estimation ; 0.426 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux7~0 ; Combinational ; 3.152 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux7~1 ; Combinational ; 3.152 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux7~2 ; Combinational ; 5.361 ; Vectorless estimation ; 0.391 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux7~3 ; Combinational ; 3.686 ; Vectorless estimation ; 0.360 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux8~0 ; Combinational ; 4.507 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux8~1 ; Combinational ; 3.918 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux8~2 ; Combinational ; 4.184 ; Vectorless estimation ; 0.406 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux8~3 ; Combinational ; 5.027 ; Vectorless estimation ; 0.377 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~0 ; Combinational ; 3.612 ; Vectorless estimation ; 0.313 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~1 ; Combinational ; 2.711 ; Vectorless estimation ; 0.688 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~2 ; Combinational ; 0.724 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~3 ; Combinational ; 15.102 ; Vectorless estimation ; 0.344 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~4 ; Combinational ; 0.724 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~5 ; Combinational ; 11.088 ; Vectorless estimation ; 0.285 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~6 ; Combinational ; 1.916 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~7 ; Combinational ; 2.764 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[0]~30 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[0]~31 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[1]~32 ; Combinational ; 6.784 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[1]~33 ; Combinational ; 3.745 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[2]~34 ; Combinational ; 5.742 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[2]~35 ; Combinational ; 6.671 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[3]~36 ; Combinational ; 6.261 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[3]~37 ; Combinational ; 5.117 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[4]~38 ; Combinational ; 5.819 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[4]~39 ; Combinational ; 5.715 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[5]~40 ; Combinational ; 5.956 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[5]~41 ; Combinational ; 5.352 ; Vectorless estimation ; 0.508 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[6]~42 ; Combinational ; 5.862 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[6]~43 ; Combinational ; 5.515 ; Vectorless estimation ; 0.496 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[7]~44 ; Combinational ; 5.902 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[7]~45 ; Combinational ; 5.428 ; Vectorless estimation ; 0.502 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[8]~46 ; Combinational ; 5.880 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[8]~47 ; Combinational ; 5.470 ; Vectorless estimation ; 0.499 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[9]~48 ; Combinational ; 5.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[9]~49 ; Combinational ; 5.449 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[10]~50 ; Combinational ; 5.885 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[10]~51 ; Combinational ; 5.459 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[11]~52 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[11]~53 ; Combinational ; 5.454 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[12]~54 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[12]~55 ; Combinational ; 5.457 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[13]~56 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[13]~57 ; Combinational ; 5.455 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[14]~58 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[14]~59 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[15]~60 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[15]~61 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[16]~62 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[16]~63 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[17]~64 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[17]~65 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[18]~66 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[18]~67 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[19]~68 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[19]~69 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[20]~70 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[20]~71 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[21]~72 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[21]~73 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[22]~74 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[22]~75 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[23]~76 ; Combinational ; 7.394 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[23]~77 ; Combinational ; 7.717 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[24]~78 ; Combinational ; 7.959 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[24]~79 ; Combinational ; 8.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[25]~80 ; Combinational ; 8.030 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[25]~81 ; Combinational ; 8.035 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[26]~82 ; Combinational ; 8.039 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[26]~83 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[27]~84 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[27]~85 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[28]~86 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[28]~87 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[29]~88 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_aseq_eq ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_aseq_eq~0 ; Combinational ; 1.508 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_aseq_eq~1 ; Combinational ; 0.989 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_aseq_eq~2 ; Combinational ; 0.178 ; Vectorless estimation ; 0.016 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_aseq_eq~3 ; Combinational ; 3.985 ; Vectorless estimation ; 0.251 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[0]~5 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[1]~6 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[1]~7 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[2]~8 ; Combinational ; 7.286 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[2]~9 ; Combinational ; 1.916 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[3]~10 ; Combinational ; 7.239 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[3]~11 ; Combinational ; 6.760 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[4]~12 ; Combinational ; 10.478 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[4]~13 ; Combinational ; 1.753 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[5]~14 ; Combinational ; 8.430 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_count[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_count[0]~0 ; Combinational ; 4.484 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_count[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_enableQ[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_enableQ~0 ; Combinational ; 3.731 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_q[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0]~6 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0]~7 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1]~8 ; Combinational ; 6.784 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1]~9 ; Combinational ; 3.745 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2]~10 ; Combinational ; 5.742 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2]~11 ; Combinational ; 6.671 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3]~12 ; Combinational ; 6.261 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3]~13 ; Combinational ; 5.117 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4]~14 ; Combinational ; 5.819 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4]~15 ; Combinational ; 5.715 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5]~16 ; Combinational ; 7.465 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_clkproc~0 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[0]~5 ; Combinational ; 4.837 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[1]~6 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[1]~7 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[2]~8 ; Combinational ; 7.286 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[2]~9 ; Combinational ; 1.916 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[3]~10 ; Combinational ; 7.239 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[3]~11 ; Combinational ; 6.760 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[4]~12 ; Combinational ; 10.478 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[4]~13 ; Combinational ; 1.753 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[5]~14 ; Combinational ; 8.430 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0]~7 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0]~8 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1]~9 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1]~10 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2]~11 ; Combinational ; 7.286 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2]~12 ; Combinational ; 2.136 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3]~13 ; Combinational ; 7.349 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3]~14 ; Combinational ; 6.815 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4]~15 ; Combinational ; 10.506 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4]~16 ; Combinational ; 1.767 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5]~17 ; Combinational ; 8.437 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5]~18 ; Combinational ; 7.995 ; Vectorless estimation ; 0.016 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6]~19 ; Combinational ; 11.790 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[0]~5 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[1]~6 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[1]~7 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[2]~8 ; Combinational ; 7.286 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[2]~9 ; Combinational ; 1.916 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[3]~10 ; Combinational ; 7.239 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[3]~11 ; Combinational ; 6.760 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[4]~12 ; Combinational ; 10.478 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[4]~13 ; Combinational ; 1.753 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[5]~14 ; Combinational ; 8.430 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[0]~5 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[0]~_wirecell ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[1]~6 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[1]~7 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[1]~_wirecell ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[2]~8 ; Combinational ; 7.286 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[2]~9 ; Combinational ; 1.916 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[2]~_wirecell ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[3]~10 ; Combinational ; 7.239 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[3]~11 ; Combinational ; 6.760 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[3]~_wirecell ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[4]~12 ; Combinational ; 10.478 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[4]~13 ; Combinational ; 1.753 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[4]~_wirecell ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[5]~14 ; Combinational ; 8.430 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[5]~_wirecell ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[9]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[10]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[11]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[24]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[28]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1]~2 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2]~1 ; Combinational ; 7.286 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2]~2 ; Combinational ; 2.136 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3]~1 ; Combinational ; 7.349 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3]~2 ; Combinational ; 6.815 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4]~1 ; Combinational ; 10.506 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4]~2 ; Combinational ; 1.767 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5]~1 ; Combinational ; 8.437 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5]~2 ; Combinational ; 7.995 ; Vectorless estimation ; 0.016 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6]~1 ; Combinational ; 11.790 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6]~2 ; Combinational ; 2.003 ; Vectorless estimation ; 0.992 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7]~1 ; Combinational ; 8.917 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7]~2 ; Combinational ; 8.416 ; Vectorless estimation ; 0.004 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[8]~1 ; Combinational ; 12.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0]~_wirecell ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[1]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[1]~2 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[2]~1 ; Combinational ; 7.286 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[2]~2 ; Combinational ; 2.136 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[3]~1 ; Combinational ; 7.349 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[3]~2 ; Combinational ; 6.815 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[4]~1 ; Combinational ; 10.506 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[4]~2 ; Combinational ; 1.767 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[5]~1 ; Combinational ; 8.437 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[5]~2 ; Combinational ; 7.995 ; Vectorless estimation ; 0.016 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[6]~1 ; Combinational ; 11.790 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[6]~2 ; Combinational ; 2.003 ; Vectorless estimation ; 0.992 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[7]~1 ; Combinational ; 8.917 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[7]~2 ; Combinational ; 8.416 ; Vectorless estimation ; 0.004 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[8]~1 ; Combinational ; 12.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~0 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~3 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~4 ; Combinational ; 7.286 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~5 ; Combinational ; 2.136 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~6 ; Combinational ; 7.349 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~7 ; Combinational ; 6.815 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~8 ; Combinational ; 10.506 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~9 ; Combinational ; 1.767 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~10 ; Combinational ; 8.437 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~11 ; Combinational ; 7.995 ; Vectorless estimation ; 0.016 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~12 ; Combinational ; 11.790 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~0 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~3 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~4 ; Combinational ; 7.286 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~5 ; Combinational ; 2.136 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~6 ; Combinational ; 7.349 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~7 ; Combinational ; 6.815 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~8 ; Combinational ; 10.506 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~9 ; Combinational ; 1.767 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~10 ; Combinational ; 8.437 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~11 ; Combinational ; 7.995 ; Vectorless estimation ; 0.016 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~12 ; Combinational ; 11.790 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~14 ; Combinational ; 10.853 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~15 ; Combinational ; 6.683 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~16 ; Combinational ; 7.504 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~17 ; Combinational ; 6.277 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~18 ; Combinational ; 7.475 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~19 ; Combinational ; 6.533 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~20 ; Combinational ; 5.025 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add2~0 ; Combinational ; 4.258 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~0 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~3 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~4 ; Combinational ; 7.286 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~5 ; Combinational ; 2.136 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~6 ; Combinational ; 7.349 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~7 ; Combinational ; 6.815 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~8 ; Combinational ; 10.506 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~9 ; Combinational ; 1.767 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~10 ; Combinational ; 8.437 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~11 ; Combinational ; 7.995 ; Vectorless estimation ; 0.016 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~12 ; Combinational ; 11.790 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~13 ; Combinational ; 9.792 ; Vectorless estimation ; 0.492 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~14 ; Combinational ; 8.917 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~15 ; Combinational ; 6.343 ; Vectorless estimation ; 0.754 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~16 ; Combinational ; 8.228 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~0 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~2_wirecell ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~3 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~4 ; Combinational ; 7.286 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~4_wirecell ; Combinational ; 7.286 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~5 ; Combinational ; 2.136 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~6 ; Combinational ; 7.349 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~6_wirecell ; Combinational ; 7.349 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~7 ; Combinational ; 6.815 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~8 ; Combinational ; 10.506 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~8_wirecell ; Combinational ; 10.506 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~9 ; Combinational ; 1.767 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~10 ; Combinational ; 8.437 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~10_wirecell ; Combinational ; 8.437 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~11 ; Combinational ; 7.995 ; Vectorless estimation ; 0.016 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~12 ; Combinational ; 11.790 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add13~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add13~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add13~2_wirecell ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add13~3 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add13~4 ; Combinational ; 7.286 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add13~4_wirecell ; Combinational ; 7.286 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add13~5 ; Combinational ; 2.136 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add13~6 ; Combinational ; 7.349 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add13~6_wirecell ; Combinational ; 7.349 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add13~7 ; Combinational ; 6.815 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add13~8 ; Combinational ; 10.506 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add13~8_wirecell ; Combinational ; 10.506 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add13~9 ; Combinational ; 1.767 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add13~10 ; Combinational ; 8.437 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add13~10_wirecell ; Combinational ; 8.437 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add13~11 ; Combinational ; 7.995 ; Vectorless estimation ; 0.016 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add13~12 ; Combinational ; 11.790 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add13~13 ; Combinational ; 9.792 ; Vectorless estimation ; 0.492 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add13~14 ; Combinational ; 8.917 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add13~15 ; Combinational ; 6.343 ; Vectorless estimation ; 0.754 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add13~16 ; Combinational ; 8.228 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Equal0~0 ; Combinational ; 1.602 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Equal0~1 ; Combinational ; 0.989 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Equal0~2 ; Combinational ; 0.011 ; Vectorless estimation ; 0.002 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Equal1~0 ; Combinational ; 0.724 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Equal1~1 ; Combinational ; 0.724 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Equal1~2 ; Combinational ; 0.070 ; Vectorless estimation ; 0.002 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux2~0 ; Combinational ; 1.801 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux2~1 ; Combinational ; 9.215 ; Vectorless estimation ; 0.281 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux3~0 ; Combinational ; 3.021 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux3~1 ; Combinational ; 3.021 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux3~2 ; Combinational ; 12.314 ; Vectorless estimation ; 0.406 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux3~3 ; Combinational ; 6.584 ; Vectorless estimation ; 0.383 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux4~0 ; Combinational ; 3.035 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux4~1 ; Combinational ; 3.847 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux4~2 ; Combinational ; 11.240 ; Vectorless estimation ; 0.391 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux4~3 ; Combinational ; 8.696 ; Vectorless estimation ; 0.360 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux5~0 ; Combinational ; 2.956 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux5~1 ; Combinational ; 2.956 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux5~2 ; Combinational ; 13.856 ; Vectorless estimation ; 0.359 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux5~3 ; Combinational ; 5.793 ; Vectorless estimation ; 0.311 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux6~0 ; Combinational ; 2.993 ; Vectorless estimation ; 0.313 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux6~1 ; Combinational ; 2.829 ; Vectorless estimation ; 0.688 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux6~2 ; Combinational ; 3.960 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux6~3 ; Combinational ; 5.474 ; Vectorless estimation ; 0.426 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux7~0 ; Combinational ; 3.706 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux7~1 ; Combinational ; 3.152 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux7~2 ; Combinational ; 8.707 ; Vectorless estimation ; 0.391 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux7~3 ; Combinational ; 13.547 ; Vectorless estimation ; 0.360 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux8~0 ; Combinational ; 4.177 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux8~1 ; Combinational ; 4.648 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux8~2 ; Combinational ; 5.422 ; Vectorless estimation ; 0.406 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux8~3 ; Combinational ; 6.266 ; Vectorless estimation ; 0.377 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~0 ; Combinational ; 3.612 ; Vectorless estimation ; 0.313 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~1 ; Combinational ; 2.711 ; Vectorless estimation ; 0.688 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~2 ; Combinational ; 0.724 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~3 ; Combinational ; 15.102 ; Vectorless estimation ; 0.344 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~4 ; Combinational ; 0.989 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~5 ; Combinational ; 11.104 ; Vectorless estimation ; 0.285 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~6 ; Combinational ; 1.916 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~7 ; Combinational ; 2.764 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[0]~30 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[0]~31 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[1]~32 ; Combinational ; 6.784 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[1]~33 ; Combinational ; 3.745 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[2]~34 ; Combinational ; 5.742 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[2]~35 ; Combinational ; 6.671 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[3]~36 ; Combinational ; 6.261 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[3]~37 ; Combinational ; 5.117 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[4]~38 ; Combinational ; 5.819 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[4]~39 ; Combinational ; 5.715 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[5]~40 ; Combinational ; 5.956 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[5]~41 ; Combinational ; 5.352 ; Vectorless estimation ; 0.508 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[6]~42 ; Combinational ; 5.862 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[6]~43 ; Combinational ; 5.515 ; Vectorless estimation ; 0.496 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[7]~44 ; Combinational ; 5.902 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[7]~45 ; Combinational ; 5.428 ; Vectorless estimation ; 0.502 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[8]~46 ; Combinational ; 5.880 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[8]~47 ; Combinational ; 5.470 ; Vectorless estimation ; 0.499 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[9]~48 ; Combinational ; 5.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[9]~49 ; Combinational ; 5.449 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[10]~50 ; Combinational ; 5.885 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[10]~51 ; Combinational ; 5.459 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[11]~52 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[11]~53 ; Combinational ; 5.454 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[12]~54 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[12]~55 ; Combinational ; 5.457 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[13]~56 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[13]~57 ; Combinational ; 5.455 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[14]~58 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[14]~59 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[15]~60 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[15]~61 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[16]~62 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[16]~63 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[17]~64 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[17]~65 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[18]~66 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[18]~67 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[19]~68 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[19]~69 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[20]~70 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[20]~71 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[21]~72 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[21]~73 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[22]~74 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[22]~75 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[23]~76 ; Combinational ; 7.394 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[23]~77 ; Combinational ; 7.717 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[24]~78 ; Combinational ; 7.959 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[24]~79 ; Combinational ; 8.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[25]~80 ; Combinational ; 8.030 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[25]~81 ; Combinational ; 8.035 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[26]~82 ; Combinational ; 8.039 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[26]~83 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[27]~84 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[27]~85 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[28]~86 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[28]~87 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[29]~88 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_aseq_eq ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[0]~5 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[1]~6 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[1]~7 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[2]~8 ; Combinational ; 7.286 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[2]~9 ; Combinational ; 1.916 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[3]~10 ; Combinational ; 7.239 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[3]~11 ; Combinational ; 6.760 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[4]~12 ; Combinational ; 10.478 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[4]~13 ; Combinational ; 1.753 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[5]~14 ; Combinational ; 8.430 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_oseq_eq ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_oseq_gated_q[0] ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_oseq_gated_reg_q[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_count[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_count[0]~0 ; Combinational ; 5.178 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_count[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_enableQ[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_enableQ~0 ; Combinational ; 5.217 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_q[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_q[0]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0]~6 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0]~7 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1]~8 ; Combinational ; 6.784 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1]~9 ; Combinational ; 3.745 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2]~10 ; Combinational ; 5.742 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2]~11 ; Combinational ; 6.671 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3]~12 ; Combinational ; 6.261 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3]~13 ; Combinational ; 5.117 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4]~14 ; Combinational ; 5.819 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4]~15 ; Combinational ; 5.715 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5]~16 ; Combinational ; 7.465 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_clkproc~0 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[0]~5 ; Combinational ; 4.837 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[1]~6 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[1]~7 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[2]~8 ; Combinational ; 7.286 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[2]~9 ; Combinational ; 1.916 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[3]~10 ; Combinational ; 7.239 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[3]~11 ; Combinational ; 6.760 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[4]~12 ; Combinational ; 10.478 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[4]~13 ; Combinational ; 1.753 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[5]~14 ; Combinational ; 8.430 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0]~7 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0]~8 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1]~9 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1]~10 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2]~11 ; Combinational ; 7.286 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2]~12 ; Combinational ; 2.136 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3]~13 ; Combinational ; 7.349 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3]~14 ; Combinational ; 6.815 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4]~15 ; Combinational ; 10.506 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4]~16 ; Combinational ; 1.767 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5]~17 ; Combinational ; 8.437 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5]~18 ; Combinational ; 7.995 ; Vectorless estimation ; 0.016 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6]~19 ; Combinational ; 11.790 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[0]~5 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[1]~6 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[1]~7 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[2]~8 ; Combinational ; 7.286 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[2]~9 ; Combinational ; 1.916 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[3]~10 ; Combinational ; 7.239 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[3]~11 ; Combinational ; 6.760 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[4]~12 ; Combinational ; 10.478 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[4]~13 ; Combinational ; 1.753 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[5]~14 ; Combinational ; 8.430 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[0]~5 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[0]~_wirecell ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[1]~6 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[1]~7 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[1]~_wirecell ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[2]~8 ; Combinational ; 7.286 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[2]~9 ; Combinational ; 1.916 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[2]~_wirecell ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[3]~10 ; Combinational ; 7.239 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[3]~11 ; Combinational ; 6.760 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[3]~_wirecell ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[4]~12 ; Combinational ; 10.478 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[4]~13 ; Combinational ; 1.753 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[4]~_wirecell ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[5]~14 ; Combinational ; 8.430 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[5]~_wirecell ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[5]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[14]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[28]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_valid ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|sink_ready_ctrl~0 ; Combinational ; 7.148 ; Vectorless estimation ; 0.234 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|sink_ready_ctrl~1 ; Combinational ; 5.890 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|sink_ready_ctrl~2 ; Combinational ; 16.567 ; Vectorless estimation ; 0.191 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|sink_ready_ctrl~3 ; Combinational ; 12.710 ; Vectorless estimation ; 0.201 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|stall_reg ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|stall_reg~feeder ; Combinational ; 11.308 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|stall_w~0 ; Combinational ; 11.308 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|data_valid ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|Equal0~0 ; Combinational ; 2.474 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|Equal0~1 ; Combinational ; 0.445 ; Vectorless estimation ; 0.016 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|Equal0~2 ; Combinational ; 2.474 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|Equal0~3 ; Combinational ; 0.010 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|sample_valid ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|source_valid_s ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|source_valid_s_process~0 ; Combinational ; 8.717 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|sink_ready_ctrl~0 ; Combinational ; 7.351 ; Vectorless estimation ; 0.234 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|sink_ready_ctrl~1 ; Combinational ; 10.209 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|sink_ready_ctrl~2 ; Combinational ; 8.150 ; Vectorless estimation ; 0.234 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|sink_ready_ctrl~3 ; Combinational ; 1.730 ; Vectorless estimation ; 0.100 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|stall_reg ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|stall_reg~feeder ; Combinational ; 11.308 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|stall_w~0 ; Combinational ; 11.308 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|data_valid ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|Equal0~0 ; Combinational ; 2.474 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|Equal0~1 ; Combinational ; 0.445 ; Vectorless estimation ; 0.016 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|Equal0~2 ; Combinational ; 2.474 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|Equal0~3 ; Combinational ; 0.001 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|sample_valid ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|source_valid_s ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|source_valid_s_process~0 ; Combinational ; 8.717 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|source_valid_s_process~1 ; Combinational ; 8.717 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0]~1 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0]~2 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1]~1 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1]~2 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2]~1 ; Combinational ; 18.218 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2]~2 ; Combinational ; 5.340 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3]~1 ; Combinational ; 18.375 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3]~2 ; Combinational ; 17.040 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4]~1 ; Combinational ; 26.267 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4]~2 ; Combinational ; 4.417 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5]~1 ; Combinational ; 21.094 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5]~2 ; Combinational ; 19.990 ; Vectorless estimation ; 0.016 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6]~1 ; Combinational ; 29.480 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6]~2 ; Combinational ; 5.007 ; Vectorless estimation ; 0.992 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7]~1 ; Combinational ; 22.295 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7]~2 ; Combinational ; 21.043 ; Vectorless estimation ; 0.004 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[8]~1 ; Combinational ; 30.468 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0]~1 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0]~2 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0]~_wirecell ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[1]~1 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[1]~2 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[2]~1 ; Combinational ; 18.218 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[2]~2 ; Combinational ; 5.340 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[3]~1 ; Combinational ; 18.375 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[3]~2 ; Combinational ; 17.040 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[4]~1 ; Combinational ; 26.267 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[4]~2 ; Combinational ; 4.417 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[5]~1 ; Combinational ; 21.094 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[5]~2 ; Combinational ; 19.990 ; Vectorless estimation ; 0.016 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[6]~1 ; Combinational ; 29.480 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[6]~2 ; Combinational ; 5.007 ; Vectorless estimation ; 0.992 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[7]~1 ; Combinational ; 22.295 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[7]~2 ; Combinational ; 21.043 ; Vectorless estimation ; 0.004 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[8]~1 ; Combinational ; 30.468 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~0 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~1 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~2 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~3 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~4 ; Combinational ; 18.218 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~5 ; Combinational ; 5.340 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~6 ; Combinational ; 18.375 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~7 ; Combinational ; 17.040 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~8 ; Combinational ; 26.267 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~9 ; Combinational ; 4.417 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~10 ; Combinational ; 21.094 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~11 ; Combinational ; 19.990 ; Vectorless estimation ; 0.016 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add0~12 ; Combinational ; 29.480 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~0 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~1 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~2 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~3 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~4 ; Combinational ; 18.218 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~5 ; Combinational ; 5.340 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~6 ; Combinational ; 18.375 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~7 ; Combinational ; 17.040 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~8 ; Combinational ; 26.267 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~9 ; Combinational ; 4.417 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~10 ; Combinational ; 21.094 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~11 ; Combinational ; 19.990 ; Vectorless estimation ; 0.016 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~12 ; Combinational ; 29.480 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~14 ; Combinational ; 25.544 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~15 ; Combinational ; 15.135 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~16 ; Combinational ; 18.864 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~17 ; Combinational ; 15.695 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~18 ; Combinational ; 15.638 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~19 ; Combinational ; 14.449 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add1~20 ; Combinational ; 14.449 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add2~0 ; Combinational ; 7.411 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~0 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~1 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~2 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~3 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~4 ; Combinational ; 18.218 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~5 ; Combinational ; 5.340 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~6 ; Combinational ; 18.375 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~7 ; Combinational ; 17.040 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~8 ; Combinational ; 26.267 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~9 ; Combinational ; 4.417 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~10 ; Combinational ; 21.094 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~11 ; Combinational ; 19.990 ; Vectorless estimation ; 0.016 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~12 ; Combinational ; 29.480 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~13 ; Combinational ; 24.482 ; Vectorless estimation ; 0.492 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~14 ; Combinational ; 22.295 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~15 ; Combinational ; 15.860 ; Vectorless estimation ; 0.754 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add3~16 ; Combinational ; 20.574 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~0 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~1 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~2 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~2_wirecell ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~3 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~4 ; Combinational ; 18.218 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~4_wirecell ; Combinational ; 18.218 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~5 ; Combinational ; 5.340 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~6 ; Combinational ; 18.375 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~6_wirecell ; Combinational ; 18.375 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~7 ; Combinational ; 17.040 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~8 ; Combinational ; 26.267 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~8_wirecell ; Combinational ; 26.267 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~9 ; Combinational ; 4.417 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~10 ; Combinational ; 21.094 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~10_wirecell ; Combinational ; 21.094 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~11 ; Combinational ; 19.990 ; Vectorless estimation ; 0.016 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~12 ; Combinational ; 29.480 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add14~1 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add14~2 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add14~2_wirecell ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add14~3 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add14~4 ; Combinational ; 18.218 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add14~4_wirecell ; Combinational ; 18.218 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add14~5 ; Combinational ; 5.340 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add14~6 ; Combinational ; 18.375 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add14~6_wirecell ; Combinational ; 18.375 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add14~7 ; Combinational ; 17.040 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add14~8 ; Combinational ; 26.267 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add14~8_wirecell ; Combinational ; 26.267 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add14~9 ; Combinational ; 4.417 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add14~10 ; Combinational ; 21.094 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add14~10_wirecell ; Combinational ; 21.094 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add14~11 ; Combinational ; 19.990 ; Vectorless estimation ; 0.016 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add14~12 ; Combinational ; 29.480 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add14~13 ; Combinational ; 24.482 ; Vectorless estimation ; 0.492 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add14~14 ; Combinational ; 22.295 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add14~15 ; Combinational ; 15.860 ; Vectorless estimation ; 0.754 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add14~16 ; Combinational ; 20.574 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Equal1~0 ; Combinational ; 1.811 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Equal1~1 ; Combinational ; 1.811 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Equal1~2 ; Combinational ; 0.011 ; Vectorless estimation ; 0.002 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux2~0 ; Combinational ; 16.323 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux2~1 ; Combinational ; 18.517 ; Vectorless estimation ; 0.281 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux3~0 ; Combinational ; 11.190 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux3~1 ; Combinational ; 10.719 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux3~2 ; Combinational ; 18.782 ; Vectorless estimation ; 0.406 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux3~3 ; Combinational ; 15.384 ; Vectorless estimation ; 0.383 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux4~0 ; Combinational ; 11.386 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux4~1 ; Combinational ; 10.444 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux4~2 ; Combinational ; 18.583 ; Vectorless estimation ; 0.391 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux4~3 ; Combinational ; 11.303 ; Vectorless estimation ; 0.360 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux5~0 ; Combinational ; 10.248 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux5~1 ; Combinational ; 9.143 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux5~2 ; Combinational ; 30.372 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux5~3 ; Combinational ; 18.520 ; Vectorless estimation ; 0.336 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux6~0 ; Combinational ; 7.509 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux6~1 ; Combinational ; 7.391 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux6~2 ; Combinational ; 17.803 ; Vectorless estimation ; 0.422 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux6~3 ; Combinational ; 18.185 ; Vectorless estimation ; 0.405 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux7~0 ; Combinational ; 11.072 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux7~1 ; Combinational ; 11.543 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux7~2 ; Combinational ; 19.434 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux7~3 ; Combinational ; 13.042 ; Vectorless estimation ; 0.336 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux8~0 ; Combinational ; 7.995 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux8~1 ; Combinational ; 11.897 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux8~2 ; Combinational ; 9.555 ; Vectorless estimation ; 0.391 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux8~3 ; Combinational ; 10.585 ; Vectorless estimation ; 0.353 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~0 ; Combinational ; 6.361 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~1 ; Combinational ; 5.477 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~2 ; Combinational ; 1.811 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~3 ; Combinational ; 20.400 ; Vectorless estimation ; 0.328 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~4 ; Combinational ; 2.474 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~5 ; Combinational ; 13.943 ; Vectorless estimation ; 0.258 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~6 ; Combinational ; 4.790 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~7 ; Combinational ; 4.790 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[0]~20 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[0]~21 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[1]~22 ; Combinational ; 16.962 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[1]~23 ; Combinational ; 9.364 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[2]~24 ; Combinational ; 14.356 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[2]~25 ; Combinational ; 16.680 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[3]~26 ; Combinational ; 15.654 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[3]~27 ; Combinational ; 12.794 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[4]~28 ; Combinational ; 14.551 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[4]~29 ; Combinational ; 14.289 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[5]~30 ; Combinational ; 14.891 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[5]~31 ; Combinational ; 13.380 ; Vectorless estimation ; 0.508 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[6]~32 ; Combinational ; 14.656 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[6]~33 ; Combinational ; 13.788 ; Vectorless estimation ; 0.496 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[7]~34 ; Combinational ; 14.756 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[7]~35 ; Combinational ; 13.572 ; Vectorless estimation ; 0.502 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[8]~36 ; Combinational ; 14.701 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[8]~37 ; Combinational ; 13.677 ; Vectorless estimation ; 0.499 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[9]~38 ; Combinational ; 14.727 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[9]~39 ; Combinational ; 13.624 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[10]~40 ; Combinational ; 14.714 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[10]~41 ; Combinational ; 13.650 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[11]~42 ; Combinational ; 14.720 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[11]~43 ; Combinational ; 13.637 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[12]~44 ; Combinational ; 14.717 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[12]~45 ; Combinational ; 13.643 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[13]~46 ; Combinational ; 14.719 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[13]~47 ; Combinational ; 13.640 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[14]~48 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[14]~49 ; Combinational ; 13.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[15]~50 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[15]~51 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[16]~52 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[16]~53 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[17]~54 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[17]~55 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[18] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[18]~56 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[18]~57 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[19] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[19]~58 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[1]~12 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[1]~13 ; Combinational ; 16.334 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[1]~14 ; Combinational ; 9.737 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[2]~15 ; Combinational ; 13.742 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[2]~16 ; Combinational ; 13.153 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[3]~17 ; Combinational ; 14.596 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[3]~18 ; Combinational ; 13.580 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[4]~19 ; Combinational ; 14.703 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[4]~20 ; Combinational ; 13.634 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[5]~21 ; Combinational ; 18.486 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[5]~22 ; Combinational ; 19.294 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[6]~23 ; Combinational ; 19.901 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[6]~24 ; Combinational ; 20.002 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[7]~25 ; Combinational ; 20.078 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[7]~26 ; Combinational ; 20.090 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[8]~27 ; Combinational ; 20.100 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[8]~28 ; Combinational ; 20.101 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[9]~29 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[9]~30 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[10]~31 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[10]~32 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[11]~33 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_aseq_eq ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_aseq_eq~0 ; Combinational ; 2.945 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_aseq_eq~1 ; Combinational ; 2.474 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_aseq_eq~2 ; Combinational ; 0.445 ; Vectorless estimation ; 0.016 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_aseq_eq~3 ; Combinational ; 9.906 ; Vectorless estimation ; 0.251 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[0]~15 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[1]~5 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[1]~6 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[2]~7 ; Combinational ; 18.218 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[2]~8 ; Combinational ; 4.790 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[3]~9 ; Combinational ; 18.100 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[3]~10 ; Combinational ; 16.903 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[4]~11 ; Combinational ; 26.199 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[4]~12 ; Combinational ; 4.383 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[5]~13 ; Combinational ; 21.077 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_oseq_eq ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_oseq_gated_q[0] ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_oseq_gated_reg_q[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_count[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_count[0]~0 ; Combinational ; 9.443 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_count[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_enableQ[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_enableQ~0 ; Combinational ; 15.902 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_q[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0]~6 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0]~7 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1]~8 ; Combinational ; 16.962 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1]~9 ; Combinational ; 9.364 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2]~10 ; Combinational ; 14.356 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2]~11 ; Combinational ; 16.680 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3]~12 ; Combinational ; 15.654 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3]~13 ; Combinational ; 12.794 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4]~14 ; Combinational ; 14.551 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4]~15 ; Combinational ; 14.289 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5]~16 ; Combinational ; 18.664 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_clkproc~0 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[0]~5 ; Combinational ; 16.334 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[1]~6 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[1]~7 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[2]~8 ; Combinational ; 18.218 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[2]~9 ; Combinational ; 4.790 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[3]~10 ; Combinational ; 18.100 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[3]~11 ; Combinational ; 16.903 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[4]~12 ; Combinational ; 26.199 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[4]~13 ; Combinational ; 4.383 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[5]~14 ; Combinational ; 21.077 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0]~7 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0]~8 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1]~9 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1]~10 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2]~11 ; Combinational ; 18.218 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2]~12 ; Combinational ; 5.340 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3]~13 ; Combinational ; 18.375 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3]~14 ; Combinational ; 17.040 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4]~15 ; Combinational ; 26.267 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4]~16 ; Combinational ; 4.417 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5]~17 ; Combinational ; 21.094 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5]~18 ; Combinational ; 19.990 ; Vectorless estimation ; 0.016 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6]~19 ; Combinational ; 29.480 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[0]~15 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[1]~5 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[1]~6 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[2]~7 ; Combinational ; 18.218 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[2]~8 ; Combinational ; 4.790 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[3]~9 ; Combinational ; 18.100 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[3]~10 ; Combinational ; 16.903 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[4]~11 ; Combinational ; 26.199 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[4]~12 ; Combinational ; 4.383 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[5]~13 ; Combinational ; 21.077 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[0]~15 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[0]~_wirecell ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[1]~5 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[1]~6 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[1]~_wirecell ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[2]~7 ; Combinational ; 18.218 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[2]~8 ; Combinational ; 4.790 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[2]~_wirecell ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[3]~9 ; Combinational ; 18.100 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[3]~10 ; Combinational ; 16.903 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[3]~_wirecell ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[4]~11 ; Combinational ; 26.199 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[4]~12 ; Combinational ; 4.383 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[4]~_wirecell ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[5]~13 ; Combinational ; 21.077 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[5]~_wirecell ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[18] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[19] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[20] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[21] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[22] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[23] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[24] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[25] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[26] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[26]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[27] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[27]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[28] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[29] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[29]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_valid ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_valid~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~0 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~1 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~2 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~2_wirecell ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~3 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~4 ; Combinational ; 18.218 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~4_wirecell ; Combinational ; 18.218 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~5 ; Combinational ; 5.340 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~6 ; Combinational ; 18.375 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~6_wirecell ; Combinational ; 18.375 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~7 ; Combinational ; 17.040 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~8 ; Combinational ; 26.267 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~8_wirecell ; Combinational ; 26.267 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~9 ; Combinational ; 4.417 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~10 ; Combinational ; 21.094 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~10_wirecell ; Combinational ; 21.094 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~11 ; Combinational ; 19.990 ; Vectorless estimation ; 0.016 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Add6~12 ; Combinational ; 29.480 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux2~0 ; Combinational ; 4.817 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux2~1 ; Combinational ; 13.138 ; Vectorless estimation ; 0.281 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux3~0 ; Combinational ; 9.247 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux3~1 ; Combinational ; 10.248 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux3~2 ; Combinational ; 18.571 ; Vectorless estimation ; 0.406 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux3~3 ; Combinational ; 24.233 ; Vectorless estimation ; 0.383 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux4~0 ; Combinational ; 9.502 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux4~1 ; Combinational ; 7.588 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux4~2 ; Combinational ; 10.168 ; Vectorless estimation ; 0.391 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux4~3 ; Combinational ; 16.133 ; Vectorless estimation ; 0.360 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux5~0 ; Combinational ; 10.601 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux5~1 ; Combinational ; 7.553 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux5~2 ; Combinational ; 17.647 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux5~3 ; Combinational ; 21.135 ; Vectorless estimation ; 0.336 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux6~0 ; Combinational ; 8.923 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux6~1 ; Combinational ; 8.923 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux6~2 ; Combinational ; 27.637 ; Vectorless estimation ; 0.422 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux6~3 ; Combinational ; 23.228 ; Vectorless estimation ; 0.405 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux7~0 ; Combinational ; 9.482 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux7~1 ; Combinational ; 9.600 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux7~2 ; Combinational ; 32.651 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux7~3 ; Combinational ; 23.592 ; Vectorless estimation ; 0.336 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux8~0 ; Combinational ; 9.659 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux8~1 ; Combinational ; 8.996 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux8~2 ; Combinational ; 14.157 ; Vectorless estimation ; 0.391 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux8~3 ; Combinational ; 27.162 ; Vectorless estimation ; 0.353 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~0 ; Combinational ; 5.477 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~1 ; Combinational ; 5.477 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~2 ; Combinational ; 1.811 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~3 ; Combinational ; 41.593 ; Vectorless estimation ; 0.328 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~4 ; Combinational ; 1.811 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~5 ; Combinational ; 17.147 ; Vectorless estimation ; 0.258 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~6 ; Combinational ; 4.790 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|Mux9~7 ; Combinational ; 5.968 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[0]~20 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[0]~21 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[1]~22 ; Combinational ; 16.962 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[1]~23 ; Combinational ; 9.364 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[2]~24 ; Combinational ; 14.356 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[2]~25 ; Combinational ; 16.680 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[3]~26 ; Combinational ; 15.654 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[3]~27 ; Combinational ; 12.794 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[4]~28 ; Combinational ; 14.551 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[4]~29 ; Combinational ; 14.289 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[5]~30 ; Combinational ; 14.891 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[5]~31 ; Combinational ; 13.380 ; Vectorless estimation ; 0.508 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[6]~32 ; Combinational ; 14.656 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[6]~33 ; Combinational ; 13.788 ; Vectorless estimation ; 0.496 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[7]~34 ; Combinational ; 14.756 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[7]~35 ; Combinational ; 13.572 ; Vectorless estimation ; 0.502 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[8]~36 ; Combinational ; 14.701 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[8]~37 ; Combinational ; 13.677 ; Vectorless estimation ; 0.499 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[9]~38 ; Combinational ; 14.727 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[9]~39 ; Combinational ; 13.624 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[10]~40 ; Combinational ; 14.714 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[10]~41 ; Combinational ; 13.650 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[11]~42 ; Combinational ; 14.720 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[11]~43 ; Combinational ; 13.637 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[12]~44 ; Combinational ; 14.717 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[12]~45 ; Combinational ; 13.643 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[13]~46 ; Combinational ; 14.719 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[13]~47 ; Combinational ; 13.640 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[14]~48 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[14]~49 ; Combinational ; 13.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[15]~50 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[15]~51 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[16]~52 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[16]~53 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[17]~54 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[17]~55 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[18] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[18]~56 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[18]~57 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[19] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[19]~58 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[1]~12 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[1]~13 ; Combinational ; 16.334 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[1]~14 ; Combinational ; 9.737 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[2]~15 ; Combinational ; 13.742 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[2]~16 ; Combinational ; 13.153 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[3]~17 ; Combinational ; 14.596 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[3]~18 ; Combinational ; 13.580 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[4]~19 ; Combinational ; 14.703 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[4]~20 ; Combinational ; 13.634 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[5]~21 ; Combinational ; 18.486 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[5]~22 ; Combinational ; 19.294 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[6]~23 ; Combinational ; 19.901 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[6]~24 ; Combinational ; 20.002 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[7]~25 ; Combinational ; 20.078 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[7]~26 ; Combinational ; 20.090 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[8]~27 ; Combinational ; 20.100 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[8]~28 ; Combinational ; 20.101 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[9]~29 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[9]~30 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[10]~31 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[10]~32 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[11]~33 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[0]~15 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[1]~5 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[1]~6 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[2]~7 ; Combinational ; 18.218 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[2]~8 ; Combinational ; 4.790 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[3]~9 ; Combinational ; 18.100 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[3]~10 ; Combinational ; 16.903 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[4]~11 ; Combinational ; 26.199 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[4]~12 ; Combinational ; 4.383 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[5]~13 ; Combinational ; 21.077 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0]~6 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0]~7 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1]~8 ; Combinational ; 16.962 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1]~9 ; Combinational ; 9.364 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2]~10 ; Combinational ; 14.356 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2]~11 ; Combinational ; 16.680 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3]~12 ; Combinational ; 15.654 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3]~13 ; Combinational ; 12.794 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4]~14 ; Combinational ; 14.551 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4]~15 ; Combinational ; 14.289 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5]~16 ; Combinational ; 18.664 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_clkproc~0 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[0]~5 ; Combinational ; 18.218 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[1]~6 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[1]~7 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[2]~8 ; Combinational ; 18.218 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[2]~9 ; Combinational ; 4.790 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[3]~10 ; Combinational ; 18.100 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[3]~11 ; Combinational ; 16.903 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[4]~12 ; Combinational ; 26.199 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[4]~13 ; Combinational ; 4.383 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[5]~14 ; Combinational ; 21.077 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0]~7 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0]~8 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1]~9 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1]~10 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2]~11 ; Combinational ; 18.218 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2]~12 ; Combinational ; 5.340 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3]~13 ; Combinational ; 18.375 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3]~14 ; Combinational ; 17.040 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4]~15 ; Combinational ; 26.267 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4]~16 ; Combinational ; 4.417 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5]~17 ; Combinational ; 21.094 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5]~18 ; Combinational ; 19.990 ; Vectorless estimation ; 0.016 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6]~19 ; Combinational ; 29.480 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[0]~15 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[1]~5 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[1]~6 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[2]~7 ; Combinational ; 18.218 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[2]~8 ; Combinational ; 4.790 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[3]~9 ; Combinational ; 18.100 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[3]~10 ; Combinational ; 16.903 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[4]~11 ; Combinational ; 26.199 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[4]~12 ; Combinational ; 4.383 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[5]~13 ; Combinational ; 21.077 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[0]~15 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[0]~_wirecell ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[1]~5 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[1]~6 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[1]~_wirecell ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[2]~7 ; Combinational ; 18.218 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[2]~8 ; Combinational ; 4.790 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[2]~_wirecell ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[3]~9 ; Combinational ; 18.100 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[3]~10 ; Combinational ; 16.903 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[3]~_wirecell ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[4]~11 ; Combinational ; 26.199 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[4]~12 ; Combinational ; 4.383 ; Vectorless estimation ; 0.969 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[4]~_wirecell ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[5]~13 ; Combinational ; 21.077 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[5]~_wirecell ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[8]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[10]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[18] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[18]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[19] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[20] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[21] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[22] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[23] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[24] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[25] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[26] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[27] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[28] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[29] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_ident:ident|Mux0~0 ; Combinational ; 0.442 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_ident:ident|Mux0~1 ; Combinational ; 0.649 ; Vectorless estimation ; 0.313 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_ident:ident|Mux0~2 ; Combinational ; 0.249 ; Vectorless estimation ; 0.156 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_ident:ident|Mux1~0 ; Combinational ; 0.495 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_ident:ident|Mux1~1 ; Combinational ; 0.532 ; Vectorless estimation ; 0.313 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_ident:ident|Mux1~2 ; Combinational ; 0.226 ; Vectorless estimation ; 0.156 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_ident:ident|Mux2~0 ; Combinational ; 0.591 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_ident:ident|Mux2~1 ; Combinational ; 0.355 ; Vectorless estimation ; 0.188 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_ident:ident|Mux2~2 ; Combinational ; 0.155 ; Vectorless estimation ; 0.094 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_ident:ident|Mux3~0 ; Combinational ; 0.538 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_ident:ident|Mux3~1 ; Combinational ; 0.605 ; Vectorless estimation ; 0.313 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_ident:ident|Mux3~2 ; Combinational ; 0.297 ; Vectorless estimation ; 0.156 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|bypass_reg ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|hold_reg[0] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|hold_reg[0]~0 ; Combinational ; 0.205 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|hold_reg[0]~1 ; Combinational ; 0.634 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg[0] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg[1] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg[2] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg[3] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg[4] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg[5] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg[6] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg[7] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg[8] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg[9] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg[10] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg[11] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~0 ; Combinational ; 0.938 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~1 ; Combinational ; 1.563 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~2 ; Combinational ; 1.021 ; Vectorless estimation ; 0.459 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~3 ; Combinational ; 0.462 ; Vectorless estimation ; 0.172 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~4 ; Combinational ; 0.640 ; Vectorless estimation ; 0.219 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~5 ; Combinational ; 0.683 ; Vectorless estimation ; 0.165 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~6 ; Combinational ; 0.430 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~7 ; Combinational ; 0.683 ; Vectorless estimation ; 0.165 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~8 ; Combinational ; 0.683 ; Vectorless estimation ; 0.165 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~9 ; Combinational ; 0.683 ; Vectorless estimation ; 0.165 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~10 ; Combinational ; 0.683 ; Vectorless estimation ; 0.165 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~11 ; Combinational ; 0.683 ; Vectorless estimation ; 0.165 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~12 ; Combinational ; 0.683 ; Vectorless estimation ; 0.165 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~13 ; Combinational ; 0.683 ; Vectorless estimation ; 0.165 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~14 ; Combinational ; 0.683 ; Vectorless estimation ; 0.165 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~15 ; Combinational ; 0.683 ; Vectorless estimation ; 0.165 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|shift_reg~16 ; Combinational ; 1.434 ; Vectorless estimation ; 0.165 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|tdo~0 ; Combinational ; 0.781 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|tdo~1 ; Combinational ; 0.391 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Decoder0~0 ; Combinational ; 0.385 ; Vectorless estimation ; 0.051 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Decoder0~1 ; Combinational ; 0.385 ; Vectorless estimation ; 0.051 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Decoder0~2 ; Combinational ; 0.385 ; Vectorless estimation ; 0.051 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Equal2~0 ; Combinational ; 2.387 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Equal3~0 ; Combinational ; 2.387 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[0][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[1][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[2][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[3][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[4][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[5][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array~0 ; Combinational ; 4.727 ; Vectorless estimation ; 0.513 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array~1 ; Combinational ; 4.727 ; Vectorless estimation ; 0.513 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array~2 ; Combinational ; 4.588 ; Vectorless estimation ; 0.513 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array~3 ; Combinational ; 4.727 ; Vectorless estimation ; 0.513 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array~4 ; Combinational ; 4.588 ; Vectorless estimation ; 0.513 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array~5 ; Combinational ; 4.727 ; Vectorless estimation ; 0.513 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw~0 ; Combinational ; 4.464 ; Vectorless estimation ; 0.281 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw~1 ; Combinational ; 2.984 ; Vectorless estimation ; 0.437 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw~2 ; Combinational ; 6.275 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw~3 ; Combinational ; 1.647 ; Vectorless estimation ; 0.123 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw~4 ; Combinational ; 6.767 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Mux0~0 ; Combinational ; 2.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Mux0~1 ; Combinational ; 1.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr~0 ; Combinational ; 5.280 ; Vectorless estimation ; 0.473 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr~1 ; Combinational ; 3.956 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr~2 ; Combinational ; 2.783 ; Vectorless estimation ; 0.473 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|usedw_process~0 ; Combinational ; 1.540 ; Vectorless estimation ; 0.109 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|usedw_process~1 ; Combinational ; 0.686 ; Vectorless estimation ; 0.795 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr~0 ; Combinational ; 6.526 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr~1 ; Combinational ; 3.010 ; Vectorless estimation ; 0.474 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr~2 ; Combinational ; 2.242 ; Vectorless estimation ; 0.474 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[0]~86 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[0]~87 ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[1]~88 ; Combinational ; 6.784 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[1]~89 ; Combinational ; 3.745 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[2]~90 ; Combinational ; 5.742 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[2]~91 ; Combinational ; 4.410 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[3]~92 ; Combinational ; 5.696 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[3]~93 ; Combinational ; 5.965 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[4]~94 ; Combinational ; 6.031 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[4]~95 ; Combinational ; 5.255 ; Vectorless estimation ; 0.516 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[5]~96 ; Combinational ; 5.841 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[5]~97 ; Combinational ; 5.577 ; Vectorless estimation ; 0.492 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[6]~99 ; Combinational ; 5.918 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[6]~100 ; Combinational ; 5.401 ; Vectorless estimation ; 0.504 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[7]~101 ; Combinational ; 5.873 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[7]~102 ; Combinational ; 5.485 ; Vectorless estimation ; 0.498 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[8]~103 ; Combinational ; 5.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[8]~104 ; Combinational ; 5.442 ; Vectorless estimation ; 0.501 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[9]~105 ; Combinational ; 5.883 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[9]~106 ; Combinational ; 5.463 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[10]~107 ; Combinational ; 5.888 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[10]~108 ; Combinational ; 5.452 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[11]~109 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[11]~110 ; Combinational ; 5.458 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[12]~111 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[12]~112 ; Combinational ; 5.455 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[13]~113 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[13]~114 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[14]~115 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[14]~116 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[15]~117 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[15]~118 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[16]~119 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[16]~120 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[17]~121 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[17]~122 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[18]~123 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[18]~124 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[19]~125 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[19]~126 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[20]~127 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[20]~128 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[21]~129 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[21]~130 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[22]~131 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[22]~132 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[23]~133 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[23]~134 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[24]~135 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[24]~136 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[25]~137 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[25]~138 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[26]~139 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[26]~140 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[27]~141 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[27]~142 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[28]~143 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[28]~144 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[29]~145 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[29]~146 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[30]~147 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[30]~148 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[31]~149 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[31]~150 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[32]~151 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[32]~152 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[33]~153 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[33]~154 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[34]~155 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[34]~156 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[35]~157 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[35]~158 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[36]~159 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[36]~160 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[37]~161 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[37]~162 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[38]~163 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[38]~164 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[39]~165 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[39]~166 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[40]~167 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[40]~168 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[41]~169 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[41]~170 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[42]~171 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[42]~172 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[43]~173 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[43]~174 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[44]~175 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[44]~176 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[45]~177 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[45]~178 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[46]~179 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[46]~180 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[47]~181 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[47]~182 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[48]~183 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[48]~184 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[49]~185 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[49]~186 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[50]~187 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[50]~188 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[51]~189 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[51]~190 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[52]~191 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[52]~192 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[53]~193 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[53]~194 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[54]~195 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[54]~196 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[55]~197 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[55]~198 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[56]~199 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[56]~200 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[57]~201 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[57]~202 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[58]~203 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[58]~204 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[59]~205 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[59]~206 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[60]~207 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[60]~208 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[61]~209 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[61]~210 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[62]~211 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[62]~212 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[63]~213 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[63]~214 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[64]~215 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[64]~216 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[65]~217 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[65]~218 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[66]~219 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[66]~220 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[67]~221 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[67]~222 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[68]~223 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[68]~224 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[69]~225 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[69]~226 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[70]~227 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[70]~228 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[71]~229 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[71]~230 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[72]~231 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[72]~232 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[73]~233 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[73]~234 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[74]~235 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[74]~236 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[75]~237 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[75]~238 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[76]~239 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[76]~240 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[77]~241 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[77]~242 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[78]~243 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[78]~244 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[79]~245 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[79]~246 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[80]~247 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[80]~248 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[81]~249 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[81]~250 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[82]~251 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[82]~252 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[83]~253 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[83]~254 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[84]~255 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[84]~256 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[85]~257 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout_valid ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout_valid~0 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout~98 ; Combinational ; 5.276 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout~259 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[0]~86 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[0]~87 ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[1]~88 ; Combinational ; 6.784 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[1]~89 ; Combinational ; 3.745 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[2]~90 ; Combinational ; 5.742 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[2]~91 ; Combinational ; 4.410 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[3]~92 ; Combinational ; 5.696 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[3]~93 ; Combinational ; 5.965 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[4]~94 ; Combinational ; 6.031 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[4]~95 ; Combinational ; 5.255 ; Vectorless estimation ; 0.516 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[5]~96 ; Combinational ; 5.841 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[5]~97 ; Combinational ; 5.577 ; Vectorless estimation ; 0.492 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[6]~99 ; Combinational ; 5.918 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[6]~100 ; Combinational ; 5.401 ; Vectorless estimation ; 0.504 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[7]~101 ; Combinational ; 5.873 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[7]~102 ; Combinational ; 5.485 ; Vectorless estimation ; 0.498 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[8]~103 ; Combinational ; 5.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[8]~104 ; Combinational ; 5.442 ; Vectorless estimation ; 0.501 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[9]~105 ; Combinational ; 5.883 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[9]~106 ; Combinational ; 5.463 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[10]~107 ; Combinational ; 5.888 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[10]~108 ; Combinational ; 5.452 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[11]~109 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[11]~110 ; Combinational ; 5.458 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[12]~111 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[12]~112 ; Combinational ; 5.455 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[13]~113 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[13]~114 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[14]~115 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[14]~116 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[15]~117 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[15]~118 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[16]~119 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[16]~120 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[17]~121 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[17]~122 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[18]~123 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[18]~124 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[19]~125 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[19]~126 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[20]~127 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[20]~128 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[21]~129 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[21]~130 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[22]~131 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[22]~132 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[23]~133 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[23]~134 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[24]~135 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[24]~136 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[25]~137 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[25]~138 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[26]~139 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[26]~140 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[27]~141 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[27]~142 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[28]~143 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[28]~144 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[29]~145 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[29]~146 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[30]~147 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[30]~148 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[31]~149 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[31]~150 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[32]~151 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[32]~152 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[33]~153 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[33]~154 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[34]~155 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[34]~156 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[35]~157 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[35]~158 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[36]~159 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[36]~160 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[37]~161 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[37]~162 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[38]~163 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[38]~164 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[39]~165 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[39]~166 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[40]~167 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[40]~168 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[41]~169 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[41]~170 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[42]~171 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[42]~172 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[43]~173 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[43]~174 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[44]~175 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[44]~176 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[45]~177 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[45]~178 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[46]~179 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[46]~180 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[47]~181 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[47]~182 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[48]~183 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[48]~184 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[49]~185 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[49]~186 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[50]~187 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[50]~188 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[51]~189 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[51]~190 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[52]~191 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[52]~192 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[53]~193 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[53]~194 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[54]~195 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[54]~196 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[55]~197 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[55]~198 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[56]~199 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[56]~200 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[57]~201 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[57]~202 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[58]~203 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[58]~204 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[59]~205 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[59]~206 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[60]~207 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[60]~208 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[61]~209 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[61]~210 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[62]~211 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[62]~212 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[63]~213 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[63]~214 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[64]~215 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[64]~216 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[65]~217 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[65]~218 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[66]~219 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[66]~220 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[67]~221 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[67]~222 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[68]~223 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[68]~224 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[69]~225 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[69]~226 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[70]~227 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[70]~228 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[71]~229 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[71]~230 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[72]~231 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[72]~232 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[73]~233 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[73]~234 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[74]~235 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[74]~236 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[75]~237 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[75]~238 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[76]~239 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[76]~240 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[77]~241 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[77]~242 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[78]~243 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[78]~244 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[79]~245 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[79]~246 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[80]~247 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[80]~248 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[81]~249 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[81]~250 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[82]~251 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[82]~252 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[83]~253 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[83]~254 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[84]~255 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[84]~256 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[85]~257 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout_valid ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout_valid~0 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout~98 ; Combinational ; 5.276 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout~259 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[0]~86 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[0]~87 ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[1]~88 ; Combinational ; 6.784 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[1]~89 ; Combinational ; 3.745 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[2]~90 ; Combinational ; 5.742 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[2]~91 ; Combinational ; 4.410 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[3]~92 ; Combinational ; 5.696 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[3]~93 ; Combinational ; 5.965 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[4]~94 ; Combinational ; 6.031 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[4]~95 ; Combinational ; 5.255 ; Vectorless estimation ; 0.516 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[5]~96 ; Combinational ; 5.841 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[5]~97 ; Combinational ; 5.577 ; Vectorless estimation ; 0.492 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[6]~99 ; Combinational ; 5.918 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[6]~100 ; Combinational ; 5.401 ; Vectorless estimation ; 0.504 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[7]~101 ; Combinational ; 5.873 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[7]~102 ; Combinational ; 5.485 ; Vectorless estimation ; 0.498 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[8]~103 ; Combinational ; 5.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[8]~104 ; Combinational ; 5.442 ; Vectorless estimation ; 0.501 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[9]~105 ; Combinational ; 5.883 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[9]~106 ; Combinational ; 5.463 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[10]~107 ; Combinational ; 5.888 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[10]~108 ; Combinational ; 5.452 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[11]~109 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[11]~110 ; Combinational ; 5.458 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[12]~111 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[12]~112 ; Combinational ; 5.455 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[13]~113 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[13]~114 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[14]~115 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[14]~116 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[15]~117 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[15]~118 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[16]~119 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[16]~120 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[17]~121 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[17]~122 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[18]~123 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[18]~124 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[19]~125 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[19]~126 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[20]~127 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[20]~128 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[21]~129 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[21]~130 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[22]~131 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[22]~132 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[23]~133 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[23]~134 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[24]~135 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[24]~136 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[25]~137 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[25]~138 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[26]~139 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[26]~140 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[27]~141 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[27]~142 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[28]~143 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[28]~144 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[29]~145 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[29]~146 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[30]~147 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[30]~148 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[31]~149 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[31]~150 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[32]~151 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[32]~152 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[33]~153 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[33]~154 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[34]~155 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[34]~156 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[35]~157 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[35]~158 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[36]~159 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[36]~160 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[37]~161 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[37]~162 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[38]~163 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[38]~164 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[39]~165 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[39]~166 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[40]~167 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[40]~168 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[41]~169 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[41]~170 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[42]~171 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[42]~172 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[43]~173 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[43]~174 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[44]~175 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[44]~176 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[45]~177 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[45]~178 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[46]~179 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[46]~180 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[47]~181 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[47]~182 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[48]~183 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[48]~184 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[49]~185 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[49]~186 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[50]~187 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[50]~188 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[51]~189 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[51]~190 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[52]~191 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[52]~192 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[53]~193 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[53]~194 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[54]~195 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[54]~196 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[55]~197 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[55]~198 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[56]~199 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[56]~200 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[57]~201 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[57]~202 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[58]~203 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[58]~204 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[59]~205 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[59]~206 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[60]~207 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[60]~208 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[61]~209 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[61]~210 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[62]~211 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[62]~212 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[63]~213 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[63]~214 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[64]~215 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[64]~216 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[65]~217 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[65]~218 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[66]~219 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[66]~220 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[67]~221 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[67]~222 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[68]~223 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[68]~224 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[69]~225 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[69]~226 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[70]~227 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[70]~228 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[71]~229 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[71]~230 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[72]~231 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[72]~232 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[73]~233 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[73]~234 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[74]~235 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[74]~236 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[75]~237 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[75]~238 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[76]~239 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[76]~240 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[77]~241 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[77]~242 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[78]~243 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[78]~244 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[79]~245 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[79]~246 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[80]~247 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[80]~248 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[81]~249 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[81]~250 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[82]~251 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[82]~252 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[83]~253 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[83]~254 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[84]~255 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[84]~256 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[85]~257 ; Combinational ; 7.394 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout_valid ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout_valid~0 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout~98 ; Combinational ; 5.276 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout~259 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[0]~86 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[0]~87 ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[1]~88 ; Combinational ; 6.784 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[1]~89 ; Combinational ; 3.745 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[2]~90 ; Combinational ; 5.742 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[2]~91 ; Combinational ; 4.410 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[3]~92 ; Combinational ; 5.696 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[3]~93 ; Combinational ; 5.965 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[4]~94 ; Combinational ; 6.031 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[4]~95 ; Combinational ; 5.255 ; Vectorless estimation ; 0.516 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[5]~96 ; Combinational ; 5.841 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[5]~97 ; Combinational ; 5.577 ; Vectorless estimation ; 0.492 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[6]~99 ; Combinational ; 5.918 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[6]~100 ; Combinational ; 5.401 ; Vectorless estimation ; 0.504 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[7]~101 ; Combinational ; 5.873 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[7]~102 ; Combinational ; 5.485 ; Vectorless estimation ; 0.498 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[8]~103 ; Combinational ; 5.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[8]~104 ; Combinational ; 5.442 ; Vectorless estimation ; 0.501 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[9]~105 ; Combinational ; 5.883 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[9]~106 ; Combinational ; 5.463 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[10]~107 ; Combinational ; 5.888 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[10]~108 ; Combinational ; 5.452 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[11]~109 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[11]~110 ; Combinational ; 5.458 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[12]~111 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[12]~112 ; Combinational ; 5.455 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[13]~113 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[13]~114 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[14]~115 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[14]~116 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[15]~117 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[15]~118 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[16]~119 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[16]~120 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[17]~121 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[17]~122 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[18]~123 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[18]~124 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[19]~125 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[19]~126 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[20]~127 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[20]~128 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[21]~129 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[21]~130 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[22]~131 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[22]~132 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[23]~133 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[23]~134 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[24]~135 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[24]~136 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[25]~137 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[25]~138 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[26]~139 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[26]~140 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[27]~141 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[27]~142 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[28]~143 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[28]~144 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[29]~145 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[29]~146 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[30]~147 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[30]~148 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[31]~149 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[31]~150 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[32]~151 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[32]~152 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[33]~153 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[33]~154 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[34]~155 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[34]~156 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[35]~157 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[35]~158 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[36]~159 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[36]~160 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[37]~161 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[37]~162 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[38]~163 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[38]~164 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[39]~165 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[39]~166 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[40]~167 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[40]~168 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[41]~169 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[41]~170 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[42]~171 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[42]~172 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[43]~173 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[43]~174 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[44]~175 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[44]~176 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[45]~177 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[45]~178 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[46]~179 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[46]~180 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[47]~181 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[47]~182 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[48]~183 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[48]~184 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[49]~185 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[49]~186 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[50]~187 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[50]~188 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[51]~189 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[51]~190 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[52]~191 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[52]~192 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[53]~193 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[53]~194 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[54]~195 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[54]~196 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[55]~197 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[55]~198 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[56]~199 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[56]~200 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[57]~201 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[57]~202 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[58]~203 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[58]~204 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[59]~205 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[59]~206 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[60]~207 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[60]~208 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[61]~209 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[61]~210 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[62]~211 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[62]~212 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[63]~213 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[63]~214 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[64]~215 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[64]~216 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[65]~217 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[65]~218 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[66]~219 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[66]~220 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[67]~221 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[67]~222 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[68]~223 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[68]~224 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[69]~225 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[69]~226 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[70]~227 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[70]~228 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[71]~229 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[71]~230 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[72]~231 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[72]~232 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[73]~233 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[73]~234 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[74]~235 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[74]~236 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[75]~237 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[75]~238 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[76]~239 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[76]~240 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[77]~241 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[77]~242 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[78]~243 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[78]~244 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[79]~245 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[79]~246 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[80]~247 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[80]~248 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[81]~249 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[81]~250 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[82]~251 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[82]~252 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[83]~253 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[83]~254 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[84]~255 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[84]~256 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[85]~257 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout_valid ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout_valid~0 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout~98 ; Combinational ; 7.538 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout~259 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[0]~86 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[0]~87 ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[1]~88 ; Combinational ; 6.784 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[1]~89 ; Combinational ; 3.745 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[2]~90 ; Combinational ; 5.742 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[2]~91 ; Combinational ; 4.410 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[3]~92 ; Combinational ; 5.696 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[3]~93 ; Combinational ; 5.965 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[4]~94 ; Combinational ; 6.031 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[4]~95 ; Combinational ; 5.255 ; Vectorless estimation ; 0.516 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[5]~96 ; Combinational ; 5.841 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[5]~97 ; Combinational ; 5.577 ; Vectorless estimation ; 0.492 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[6]~99 ; Combinational ; 5.918 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[6]~100 ; Combinational ; 5.401 ; Vectorless estimation ; 0.504 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[7]~101 ; Combinational ; 5.873 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[7]~102 ; Combinational ; 5.485 ; Vectorless estimation ; 0.498 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[8]~103 ; Combinational ; 5.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[8]~104 ; Combinational ; 5.442 ; Vectorless estimation ; 0.501 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[9]~105 ; Combinational ; 5.883 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[9]~106 ; Combinational ; 5.463 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[10]~107 ; Combinational ; 5.888 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[10]~108 ; Combinational ; 5.452 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[11]~109 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[11]~110 ; Combinational ; 5.458 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[12]~111 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[12]~112 ; Combinational ; 5.455 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[13]~113 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[13]~114 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[14]~115 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[14]~116 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[15]~117 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[15]~118 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[16]~119 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[16]~120 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[17]~121 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[17]~122 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[18]~123 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[18]~124 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[19]~125 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[19]~126 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[20]~127 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[20]~128 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[21]~129 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[21]~130 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[22]~131 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[22]~132 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[23]~133 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[23]~134 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[24]~135 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[24]~136 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[25]~137 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[25]~138 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[26]~139 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[26]~140 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[27]~141 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[27]~142 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[28]~143 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[28]~144 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[29]~145 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[29]~146 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[30]~147 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[30]~148 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[31]~149 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[31]~150 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[32]~151 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[32]~152 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[33]~153 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[33]~154 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[34]~155 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[34]~156 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[35]~157 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[35]~158 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[36]~159 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[36]~160 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[37]~161 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[37]~162 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[38]~163 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[38]~164 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[39]~165 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[39]~166 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[40]~167 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[40]~168 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[41]~169 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[41]~170 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[42]~171 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[42]~172 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[43]~173 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[43]~174 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[44]~175 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[44]~176 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[45]~177 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[45]~178 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[46]~179 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[46]~180 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[47]~181 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[47]~182 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[48]~183 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[48]~184 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[49]~185 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[49]~186 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[50]~187 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[50]~188 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[51]~189 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[51]~190 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[52]~191 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[52]~192 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[53]~193 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[53]~194 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[54]~195 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[54]~196 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[55]~197 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[55]~198 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[56]~199 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[56]~200 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[57]~201 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[57]~202 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[58]~203 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[58]~204 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[59]~205 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[59]~206 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[60]~207 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[60]~208 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[61]~209 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[61]~210 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[62]~211 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[62]~212 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[63]~213 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[63]~214 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[64]~215 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[64]~216 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[65]~217 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[65]~218 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[66]~219 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[66]~220 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[67]~221 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[67]~222 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[68]~223 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[68]~224 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[69]~225 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[69]~226 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[70]~227 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[70]~228 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[71]~229 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[71]~230 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[72]~231 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[72]~232 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[73]~233 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[73]~234 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[74]~235 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[74]~236 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[75]~237 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[75]~238 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[76]~239 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[76]~240 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[77]~241 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[77]~242 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[78]~243 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[78]~244 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[79]~245 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[79]~246 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[80]~247 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[80]~248 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[81]~249 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[81]~250 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[82]~251 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[82]~252 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[83]~253 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[83]~254 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[84]~255 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[84]~256 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[85]~257 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout_valid ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout_valid~0 ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout_valid~1 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout~98 ; Combinational ; 5.276 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout~259 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[0]~86 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[0]~87 ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[1]~88 ; Combinational ; 6.784 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[1]~89 ; Combinational ; 3.745 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[2]~90 ; Combinational ; 5.742 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[2]~91 ; Combinational ; 4.410 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[3]~92 ; Combinational ; 5.696 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[3]~93 ; Combinational ; 5.965 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[4]~94 ; Combinational ; 6.031 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[4]~95 ; Combinational ; 5.255 ; Vectorless estimation ; 0.516 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[5]~96 ; Combinational ; 5.841 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[5]~97 ; Combinational ; 5.577 ; Vectorless estimation ; 0.492 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[6]~99 ; Combinational ; 5.918 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[6]~100 ; Combinational ; 5.401 ; Vectorless estimation ; 0.504 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[7]~101 ; Combinational ; 5.873 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[7]~102 ; Combinational ; 5.485 ; Vectorless estimation ; 0.498 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[8]~103 ; Combinational ; 5.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[8]~104 ; Combinational ; 5.442 ; Vectorless estimation ; 0.501 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[9]~105 ; Combinational ; 5.883 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[9]~106 ; Combinational ; 5.463 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[10]~107 ; Combinational ; 5.888 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[10]~108 ; Combinational ; 5.452 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[11]~109 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[11]~110 ; Combinational ; 5.458 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[12]~111 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[12]~112 ; Combinational ; 5.455 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[13]~113 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[13]~114 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[14]~115 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[14]~116 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[15]~117 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[15]~118 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[16]~119 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[16]~120 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[17]~121 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[17]~122 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[18]~123 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[18]~124 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[19]~125 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[19]~126 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[20]~127 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[20]~128 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[21]~129 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[21]~130 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[22]~131 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[22]~132 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[23]~133 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[23]~134 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[24]~135 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[24]~136 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[25]~137 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[25]~138 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[26]~139 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[26]~140 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[27]~141 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[27]~142 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[28]~143 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[28]~144 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[29]~145 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[29]~146 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[30]~147 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[30]~148 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[31]~149 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[31]~150 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[32]~151 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[32]~152 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[33]~153 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[33]~154 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[34]~155 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[34]~156 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[35]~157 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[35]~158 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[36]~159 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[36]~160 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[37]~161 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[37]~162 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[38]~163 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[38]~164 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[39]~165 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[39]~166 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[40]~167 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[40]~168 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[41]~169 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[41]~170 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[42]~171 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[42]~172 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[43]~173 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[43]~174 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[44]~175 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[44]~176 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[45]~177 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[45]~178 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[46]~179 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[46]~180 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[47]~181 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[47]~182 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[48]~183 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[48]~184 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[49]~185 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[49]~186 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[50]~187 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[50]~188 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[51]~189 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[51]~190 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[52]~191 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[52]~192 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[53]~193 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[53]~194 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[54]~195 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[54]~196 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[55]~197 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[55]~198 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[56]~199 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[56]~200 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[57]~201 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[57]~202 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[58]~203 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[58]~204 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[59]~205 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[59]~206 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[60]~207 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[60]~208 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[61]~209 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[61]~210 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[62]~211 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[62]~212 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[63]~213 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[63]~214 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[64]~215 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[64]~216 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[65]~217 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[65]~218 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[66]~219 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[66]~220 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[67]~221 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[67]~222 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[68]~223 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[68]~224 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[69]~225 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[69]~226 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[70]~227 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[70]~228 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[71]~229 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[71]~230 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[72]~231 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[72]~232 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[73]~233 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[73]~234 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[74]~235 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[74]~236 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[75]~237 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[75]~238 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[76]~239 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[76]~240 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[77]~241 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[77]~242 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[78]~243 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[78]~244 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[79]~245 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[79]~246 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[80]~247 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[80]~248 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[81]~249 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[81]~250 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[82]~251 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[82]~252 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[83]~253 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[83]~254 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[84]~255 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[84]~256 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[85]~257 ; Combinational ; 7.394 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout_valid ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout_valid~0 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout~98 ; Combinational ; 5.276 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout~259 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|Add0~0 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|Add0~1 ; Combinational ; 1.916 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|count[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|count[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|count[1]~4 ; Combinational ; 9.139 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|count[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|count[2]~1 ; Combinational ; 5.300 ; Vectorless estimation ; 0.397 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|count[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|count[3]~0 ; Combinational ; 5.653 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|count[3]~2 ; Combinational ; 5.562 ; Vectorless estimation ; 0.383 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|count~3 ; Combinational ; 8.221 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Decoder0~0 ; Combinational ; 0.380 ; Vectorless estimation ; 0.051 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Decoder0~1 ; Combinational ; 0.380 ; Vectorless estimation ; 0.051 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Decoder0~2 ; Combinational ; 0.380 ; Vectorless estimation ; 0.051 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Equal2~0 ; Combinational ; 2.387 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Equal3~0 ; Combinational ; 2.387 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[0][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[1][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[2][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[3][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[4][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[5][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array~0 ; Combinational ; 4.725 ; Vectorless estimation ; 0.513 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array~1 ; Combinational ; 10.898 ; Vectorless estimation ; 0.513 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array~2 ; Combinational ; 4.725 ; Vectorless estimation ; 0.513 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array~3 ; Combinational ; 4.725 ; Vectorless estimation ; 0.513 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array~4 ; Combinational ; 10.898 ; Vectorless estimation ; 0.513 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array~5 ; Combinational ; 4.725 ; Vectorless estimation ; 0.513 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw~0 ; Combinational ; 3.489 ; Vectorless estimation ; 0.281 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw~1 ; Combinational ; 6.560 ; Vectorless estimation ; 0.437 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw~2 ; Combinational ; 5.002 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw~3 ; Combinational ; 2.004 ; Vectorless estimation ; 0.123 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw~4 ; Combinational ; 9.278 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Mux0~0 ; Combinational ; 3.376 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Mux0~1 ; Combinational ; 1.990 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr~0 ; Combinational ; 2.803 ; Vectorless estimation ; 0.473 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr~1 ; Combinational ; 3.956 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr~2 ; Combinational ; 3.741 ; Vectorless estimation ; 0.473 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|usedw_process~0 ; Combinational ; 1.540 ; Vectorless estimation ; 0.109 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|usedw_process~1 ; Combinational ; 3.723 ; Vectorless estimation ; 0.795 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr~0 ; Combinational ; 5.187 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr~1 ; Combinational ; 2.557 ; Vectorless estimation ; 0.474 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr~2 ; Combinational ; 2.557 ; Vectorless estimation ; 0.474 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[0]~86 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[0]~87 ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[1]~88 ; Combinational ; 6.784 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[1]~89 ; Combinational ; 3.745 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[2]~90 ; Combinational ; 5.742 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[2]~91 ; Combinational ; 4.410 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[3]~92 ; Combinational ; 5.696 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[3]~93 ; Combinational ; 5.965 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[4]~94 ; Combinational ; 6.031 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[4]~95 ; Combinational ; 5.255 ; Vectorless estimation ; 0.516 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[5]~96 ; Combinational ; 5.841 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[5]~97 ; Combinational ; 5.577 ; Vectorless estimation ; 0.492 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[6]~98 ; Combinational ; 5.918 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[6]~99 ; Combinational ; 5.401 ; Vectorless estimation ; 0.504 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[7]~100 ; Combinational ; 5.873 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[7]~101 ; Combinational ; 5.485 ; Vectorless estimation ; 0.498 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[8]~102 ; Combinational ; 5.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[8]~103 ; Combinational ; 5.442 ; Vectorless estimation ; 0.501 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[9]~104 ; Combinational ; 5.883 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[9]~105 ; Combinational ; 5.463 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[10]~106 ; Combinational ; 5.888 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[10]~107 ; Combinational ; 5.452 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[11]~109 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[11]~110 ; Combinational ; 5.458 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[12]~111 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[12]~112 ; Combinational ; 5.455 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[13]~113 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[13]~114 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[14]~115 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[14]~116 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[15]~117 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[15]~118 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[16]~119 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[16]~120 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[17]~121 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[17]~122 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[18]~123 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[18]~124 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[19]~125 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[19]~126 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[20]~127 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[20]~128 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[21]~129 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[21]~130 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[22]~131 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[22]~132 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[23]~133 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[23]~134 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[24]~135 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[24]~136 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[25]~137 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[25]~138 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[26]~139 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[26]~140 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[27]~141 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[27]~142 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[28]~143 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[28]~144 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[29]~145 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[29]~146 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[30]~147 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[30]~148 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[31]~149 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[31]~150 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[32]~151 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[32]~152 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[33]~153 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[33]~154 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[34]~155 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[34]~156 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[35]~157 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[35]~158 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[36]~159 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[36]~160 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[37]~161 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[37]~162 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[38]~163 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[38]~164 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[39]~165 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[39]~166 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[40]~167 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[40]~168 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[41]~169 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[41]~170 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[42]~171 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[42]~172 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[43]~173 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[43]~174 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[44]~175 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[44]~176 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[45]~177 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[45]~178 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[46]~179 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[46]~180 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[47]~181 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[47]~182 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[48]~183 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[48]~184 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[49]~185 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[49]~186 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[50]~187 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[50]~188 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[51]~189 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[51]~190 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[52]~191 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[52]~192 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[53]~193 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[53]~194 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[54]~195 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[54]~196 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[55]~197 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[55]~198 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[56]~199 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[56]~200 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[57]~201 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[57]~202 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[58]~203 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[58]~204 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[59]~205 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[59]~206 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[60]~207 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[60]~208 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[61]~209 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[61]~210 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[62]~211 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[62]~212 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[63]~213 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[63]~214 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[64]~215 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[64]~216 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[65]~217 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[65]~218 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[66]~219 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[66]~220 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[67]~221 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[67]~222 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[68]~223 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[68]~224 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[69]~225 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[69]~226 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[70]~227 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[70]~228 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[71]~229 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[71]~230 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[72]~231 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[72]~232 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[73]~233 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[73]~234 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[74]~235 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[74]~236 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[75]~237 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[75]~238 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[76]~239 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[76]~240 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[77]~241 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[77]~242 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[78]~243 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[78]~244 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[79]~245 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[79]~246 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[80]~247 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[80]~248 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[81]~249 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[81]~250 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[82]~251 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[82]~252 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[83]~253 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[83]~254 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[84]~255 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[84]~256 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout[85]~257 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout_valid ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout_valid~0 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout~108 ; Combinational ; 7.538 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|dout~259 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[0]~86 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[0]~87 ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[1]~88 ; Combinational ; 6.784 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[1]~89 ; Combinational ; 3.745 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[2]~90 ; Combinational ; 5.742 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[2]~91 ; Combinational ; 4.410 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[3]~92 ; Combinational ; 5.696 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[3]~93 ; Combinational ; 5.965 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[4]~94 ; Combinational ; 6.031 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[4]~95 ; Combinational ; 5.255 ; Vectorless estimation ; 0.516 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[5]~96 ; Combinational ; 5.841 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[5]~97 ; Combinational ; 5.577 ; Vectorless estimation ; 0.492 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[6]~98 ; Combinational ; 5.918 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[6]~99 ; Combinational ; 5.401 ; Vectorless estimation ; 0.504 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[7]~100 ; Combinational ; 5.873 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[7]~101 ; Combinational ; 5.485 ; Vectorless estimation ; 0.498 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[8]~102 ; Combinational ; 5.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[8]~103 ; Combinational ; 5.442 ; Vectorless estimation ; 0.501 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[9]~104 ; Combinational ; 5.883 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[9]~105 ; Combinational ; 5.463 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[10]~106 ; Combinational ; 5.888 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[10]~107 ; Combinational ; 5.452 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[11]~109 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[11]~110 ; Combinational ; 5.458 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[12]~111 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[12]~112 ; Combinational ; 5.455 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[13]~113 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[13]~114 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[14]~115 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[14]~116 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[15]~117 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[15]~118 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[16]~119 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[16]~120 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[17]~121 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[17]~122 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[18]~123 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[18]~124 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[19]~125 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[19]~126 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[20]~127 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[20]~128 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[21]~129 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[21]~130 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[22]~131 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[22]~132 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[23]~133 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[23]~134 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[24]~135 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[24]~136 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[25]~137 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[25]~138 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[26]~139 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[26]~140 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[27]~141 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[27]~142 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[28]~143 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[28]~144 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[29]~145 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[29]~146 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[30]~147 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[30]~148 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[31]~149 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[31]~150 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[32]~151 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[32]~152 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[33]~153 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[33]~154 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[34]~155 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[34]~156 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[35]~157 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[35]~158 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[36]~159 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[36]~160 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[37]~161 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[37]~162 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[38]~163 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[38]~164 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[39]~165 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[39]~166 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[40]~167 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[40]~168 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[41]~169 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[41]~170 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[42]~171 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[42]~172 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[43]~173 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[43]~174 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[44]~175 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[44]~176 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[45]~177 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[45]~178 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[46]~179 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[46]~180 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[47]~181 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[47]~182 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[48]~183 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[48]~184 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[49]~185 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[49]~186 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[50]~187 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[50]~188 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[51]~189 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[51]~190 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[52]~191 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[52]~192 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[53]~193 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[53]~194 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[54]~195 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[54]~196 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[55]~197 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[55]~198 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[56]~199 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[56]~200 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[57]~201 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[57]~202 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[58]~203 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[58]~204 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[59]~205 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[59]~206 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[60]~207 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[60]~208 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[61]~209 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[61]~210 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[62]~211 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[62]~212 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[63]~213 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[63]~214 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[64]~215 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[64]~216 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[65]~217 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[65]~218 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[66]~219 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[66]~220 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[67]~221 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[67]~222 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[68]~223 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[68]~224 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[69]~225 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[69]~226 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[70]~227 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[70]~228 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[71]~229 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[71]~230 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[72]~231 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[72]~232 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[73]~233 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[73]~234 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[74]~235 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[74]~236 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[75]~237 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[75]~238 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[76]~239 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[76]~240 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[77]~241 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[77]~242 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[78]~243 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[78]~244 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[79]~245 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[79]~246 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[80]~247 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[80]~248 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[81]~249 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[81]~250 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[82]~251 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[82]~252 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[83]~253 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[83]~254 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[84]~255 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[84]~256 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout[85]~257 ; Combinational ; 7.394 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout_valid ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout_valid~0 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout~108 ; Combinational ; 7.538 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|dout~259 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[0]~86 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[0]~87 ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[1]~88 ; Combinational ; 6.784 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[1]~89 ; Combinational ; 3.745 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[2]~90 ; Combinational ; 5.742 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[2]~91 ; Combinational ; 4.410 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[3]~92 ; Combinational ; 5.696 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[3]~93 ; Combinational ; 5.965 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[4]~94 ; Combinational ; 6.031 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[4]~95 ; Combinational ; 5.255 ; Vectorless estimation ; 0.516 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[5]~96 ; Combinational ; 5.841 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[5]~97 ; Combinational ; 5.577 ; Vectorless estimation ; 0.492 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[6]~98 ; Combinational ; 5.918 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[6]~99 ; Combinational ; 5.401 ; Vectorless estimation ; 0.504 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[7]~100 ; Combinational ; 5.873 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[7]~101 ; Combinational ; 5.485 ; Vectorless estimation ; 0.498 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[8]~102 ; Combinational ; 5.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[8]~103 ; Combinational ; 5.442 ; Vectorless estimation ; 0.501 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[9]~104 ; Combinational ; 5.883 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[9]~105 ; Combinational ; 5.463 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[10]~106 ; Combinational ; 5.888 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[10]~107 ; Combinational ; 5.452 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[11]~109 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[11]~110 ; Combinational ; 5.458 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[12]~111 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[12]~112 ; Combinational ; 5.455 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[13]~113 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[13]~114 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[14]~115 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[14]~116 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[15]~117 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[15]~118 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[16]~119 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[16]~120 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[17]~121 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[17]~122 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[18]~123 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[18]~124 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[19]~125 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[19]~126 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[20]~127 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[20]~128 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[21]~129 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[21]~130 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[22]~131 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[22]~132 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[23]~133 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[23]~134 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[24]~135 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[24]~136 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[25]~137 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[25]~138 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[26]~139 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[26]~140 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[27]~141 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[27]~142 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[28]~143 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[28]~144 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[29]~145 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[29]~146 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[30]~147 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[30]~148 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[31]~149 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[31]~150 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[32]~151 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[32]~152 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[33]~153 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[33]~154 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[34]~155 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[34]~156 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[35]~157 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[35]~158 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[36]~159 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[36]~160 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[37]~161 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[37]~162 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[38]~163 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[38]~164 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[39]~165 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[39]~166 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[40]~167 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[40]~168 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[41]~169 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[41]~170 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[42]~171 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[42]~172 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[43]~173 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[43]~174 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[44]~175 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[44]~176 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[45]~177 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[45]~178 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[46]~179 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[46]~180 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[47]~181 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[47]~182 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[48]~183 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[48]~184 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[49]~185 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[49]~186 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[50]~187 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[50]~188 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[51]~189 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[51]~190 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[52]~191 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[52]~192 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[53]~193 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[53]~194 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[54]~195 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[54]~196 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[55]~197 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[55]~198 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[56]~199 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[56]~200 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[57]~201 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[57]~202 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[58]~203 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[58]~204 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[59]~205 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[59]~206 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[60]~207 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[60]~208 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[61]~209 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[61]~210 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[62]~211 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[62]~212 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[63]~213 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[63]~214 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[64]~215 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[64]~216 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[65]~217 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[65]~218 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[66]~219 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[66]~220 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[67]~221 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[67]~222 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[68]~223 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[68]~224 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[69]~225 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[69]~226 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[70]~227 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[70]~228 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[71]~229 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[71]~230 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[72]~231 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[72]~232 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[73]~233 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[73]~234 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[74]~235 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[74]~236 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[75]~237 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[75]~238 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[76]~239 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[76]~240 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[77]~241 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[77]~242 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[78]~243 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[78]~244 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[79]~245 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[79]~246 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[80]~247 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[80]~248 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[81]~249 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[81]~250 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[82]~251 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[82]~252 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[83]~253 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[83]~254 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[84]~255 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[84]~256 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout[85]~257 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout_valid ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout_valid~0 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout~108 ; Combinational ; 5.276 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|dout~259 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[0]~86 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[0]~87 ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[1]~88 ; Combinational ; 6.784 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[1]~89 ; Combinational ; 3.745 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[2]~90 ; Combinational ; 5.742 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[2]~91 ; Combinational ; 4.410 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[3]~92 ; Combinational ; 5.696 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[3]~93 ; Combinational ; 5.965 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[4]~94 ; Combinational ; 6.031 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[4]~95 ; Combinational ; 5.255 ; Vectorless estimation ; 0.516 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[5]~96 ; Combinational ; 5.841 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[5]~97 ; Combinational ; 5.577 ; Vectorless estimation ; 0.492 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[6]~98 ; Combinational ; 5.918 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[6]~99 ; Combinational ; 5.401 ; Vectorless estimation ; 0.504 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[7]~100 ; Combinational ; 5.873 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[7]~101 ; Combinational ; 5.485 ; Vectorless estimation ; 0.498 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[8]~102 ; Combinational ; 5.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[8]~103 ; Combinational ; 5.442 ; Vectorless estimation ; 0.501 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[9]~104 ; Combinational ; 5.883 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[9]~105 ; Combinational ; 5.463 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[10]~106 ; Combinational ; 5.888 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[10]~107 ; Combinational ; 5.452 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[11]~109 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[11]~110 ; Combinational ; 5.458 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[12]~111 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[12]~112 ; Combinational ; 5.455 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[13]~113 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[13]~114 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[14]~115 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[14]~116 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[15]~117 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[15]~118 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[16]~119 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[16]~120 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[17]~121 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[17]~122 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[18]~123 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[18]~124 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[19]~125 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[19]~126 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[20]~127 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[20]~128 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[21]~129 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[21]~130 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[22]~131 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[22]~132 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[23]~133 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[23]~134 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[24]~135 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[24]~136 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[25]~137 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[25]~138 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[26]~139 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[26]~140 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[27]~141 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[27]~142 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[28]~143 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[28]~144 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[29]~145 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[29]~146 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[30]~147 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[30]~148 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[31]~149 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[31]~150 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[32]~151 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[32]~152 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[33]~153 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[33]~154 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[34]~155 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[34]~156 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[35]~157 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[35]~158 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[36]~159 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[36]~160 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[37]~161 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[37]~162 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[38]~163 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[38]~164 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[39]~165 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[39]~166 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[40]~167 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[40]~168 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[41]~169 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[41]~170 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[42]~171 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[42]~172 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[43]~173 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[43]~174 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[44]~175 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[44]~176 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[45]~177 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[45]~178 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[46]~179 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[46]~180 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[47]~181 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[47]~182 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[48]~183 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[48]~184 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[49]~185 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[49]~186 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[50]~187 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[50]~188 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[51]~189 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[51]~190 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[52]~191 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[52]~192 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[53]~193 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[53]~194 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[54]~195 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[54]~196 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[55]~197 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[55]~198 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[56]~199 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[56]~200 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[57]~201 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[57]~202 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[58]~203 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[58]~204 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[59]~205 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[59]~206 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[60]~207 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[60]~208 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[61]~209 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[61]~210 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[62]~211 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[62]~212 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[63]~213 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[63]~214 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[64]~215 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[64]~216 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[65]~217 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[65]~218 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[66]~219 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[66]~220 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[67]~221 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[67]~222 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[68]~223 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[68]~224 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[69]~225 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[69]~226 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[70]~227 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[70]~228 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[71]~229 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[71]~230 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[72]~231 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[72]~232 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[73]~233 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[73]~234 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[74]~235 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[74]~236 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[75]~237 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[75]~238 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[76]~239 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[76]~240 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[77]~241 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[77]~242 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[78]~243 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[78]~244 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[79]~245 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[79]~246 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[80]~247 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[80]~248 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[81]~249 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[81]~250 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[82]~251 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[82]~252 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[83]~253 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[83]~254 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[84]~255 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[84]~256 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout[85]~257 ; Combinational ; 7.394 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout_valid ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout_valid~0 ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout_valid~1 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout~108 ; Combinational ; 5.276 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|dout~259 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[0]~86 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[0]~87 ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[1]~88 ; Combinational ; 6.784 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[1]~89 ; Combinational ; 3.745 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[2]~90 ; Combinational ; 5.742 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[2]~91 ; Combinational ; 4.410 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[3]~92 ; Combinational ; 5.696 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[3]~93 ; Combinational ; 5.965 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[4]~94 ; Combinational ; 6.031 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[4]~95 ; Combinational ; 5.255 ; Vectorless estimation ; 0.516 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[5]~96 ; Combinational ; 5.841 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[5]~97 ; Combinational ; 5.577 ; Vectorless estimation ; 0.492 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[6]~98 ; Combinational ; 5.918 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[6]~99 ; Combinational ; 5.401 ; Vectorless estimation ; 0.504 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[7]~100 ; Combinational ; 5.873 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[7]~101 ; Combinational ; 5.485 ; Vectorless estimation ; 0.498 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[8]~102 ; Combinational ; 5.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[8]~103 ; Combinational ; 5.442 ; Vectorless estimation ; 0.501 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[9]~104 ; Combinational ; 5.883 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[9]~105 ; Combinational ; 5.463 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[10]~106 ; Combinational ; 5.888 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[10]~107 ; Combinational ; 5.452 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[11]~109 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[11]~110 ; Combinational ; 5.458 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[12]~111 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[12]~112 ; Combinational ; 5.455 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[13]~113 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[13]~114 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[14]~115 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[14]~116 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[15]~117 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[15]~118 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[16]~119 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[16]~120 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[17]~121 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[17]~122 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[18]~123 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[18]~124 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[19]~125 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[19]~126 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[20]~127 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[20]~128 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[21]~129 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[21]~130 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[22]~131 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[22]~132 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[23]~133 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[23]~134 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[24]~135 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[24]~136 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[25]~137 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[25]~138 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[26]~139 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[26]~140 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[27]~141 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[27]~142 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[28]~143 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[28]~144 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[29]~145 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[29]~146 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[30]~147 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[30]~148 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[31]~149 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[31]~150 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[32]~151 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[32]~152 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[33]~153 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[33]~154 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[34]~155 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[34]~156 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[35]~157 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[35]~158 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[36]~159 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[36]~160 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[37]~161 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[37]~162 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[38]~163 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[38]~164 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[39]~165 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[39]~166 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[40]~167 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[40]~168 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[41]~169 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[41]~170 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[42]~171 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[42]~172 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[43]~173 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[43]~174 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[44]~175 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[44]~176 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[45]~177 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[45]~178 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[46]~179 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[46]~180 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[47]~181 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[47]~182 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[48]~183 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[48]~184 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[49]~185 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[49]~186 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[50]~187 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[50]~188 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[51]~189 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[51]~190 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[52]~191 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[52]~192 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[53]~193 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[53]~194 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[54]~195 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[54]~196 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[55]~197 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[55]~198 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[56]~199 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[56]~200 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[57]~201 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[57]~202 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[58]~203 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[58]~204 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[59]~205 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[59]~206 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[60]~207 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[60]~208 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[61]~209 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[61]~210 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[62]~211 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[62]~212 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[63]~213 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[63]~214 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[64]~215 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[64]~216 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[65]~217 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[65]~218 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[66]~219 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[66]~220 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[67]~221 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[67]~222 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[68]~223 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[68]~224 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[69]~225 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[69]~226 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[70]~227 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[70]~228 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[71]~229 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[71]~230 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[72]~231 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[72]~232 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[73]~233 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[73]~234 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[74]~235 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[74]~236 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[75]~237 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[75]~238 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[76]~239 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[76]~240 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[77]~241 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[77]~242 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[78]~243 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[78]~244 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[79]~245 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[79]~246 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[80]~247 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[80]~248 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[81]~249 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[81]~250 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[82]~251 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[82]~252 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[83]~253 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[83]~254 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[84]~255 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[84]~256 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout[85]~257 ; Combinational ; 7.394 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout_valid ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout_valid~0 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout~108 ; Combinational ; 5.276 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|dout~259 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[0]~86 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[0]~87 ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[1]~88 ; Combinational ; 6.784 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[1]~89 ; Combinational ; 3.745 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[2]~90 ; Combinational ; 5.742 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[2]~91 ; Combinational ; 4.410 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[3]~92 ; Combinational ; 5.696 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[3]~93 ; Combinational ; 5.965 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[4]~94 ; Combinational ; 6.031 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[4]~95 ; Combinational ; 5.255 ; Vectorless estimation ; 0.516 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[5]~96 ; Combinational ; 5.841 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[5]~97 ; Combinational ; 5.577 ; Vectorless estimation ; 0.492 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[6]~98 ; Combinational ; 5.918 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[6]~99 ; Combinational ; 5.401 ; Vectorless estimation ; 0.504 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[7]~100 ; Combinational ; 5.873 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[7]~101 ; Combinational ; 5.485 ; Vectorless estimation ; 0.498 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[8]~102 ; Combinational ; 5.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[8]~103 ; Combinational ; 5.442 ; Vectorless estimation ; 0.501 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[9]~104 ; Combinational ; 5.883 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[9]~105 ; Combinational ; 5.463 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[10]~106 ; Combinational ; 5.888 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[10]~107 ; Combinational ; 5.452 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[11]~109 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[11]~110 ; Combinational ; 5.458 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[12]~111 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[12]~112 ; Combinational ; 5.455 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[13]~113 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[13]~114 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[14]~115 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[14]~116 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[15]~117 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[15]~118 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[16]~119 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[16]~120 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[17]~121 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[17]~122 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[18]~123 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[18]~124 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[19]~125 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[19]~126 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[20]~127 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[20]~128 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[21]~129 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[21]~130 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[22]~131 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[22]~132 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[23]~133 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[23]~134 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[24]~135 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[24]~136 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[25]~137 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[25]~138 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[26]~139 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[26]~140 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[27]~141 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[27]~142 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[28]~143 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[28]~144 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[29]~145 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[29]~146 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[30]~147 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[30]~148 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[31]~149 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[31]~150 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[32]~151 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[32]~152 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[33]~153 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[33]~154 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[34]~155 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[34]~156 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[35]~157 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[35]~158 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[36]~159 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[36]~160 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[37]~161 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[37]~162 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[38]~163 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[38]~164 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[39]~165 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[39]~166 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[40]~167 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[40]~168 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[41]~169 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[41]~170 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[42]~171 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[42]~172 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[43]~173 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[43]~174 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[44]~175 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[44]~176 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[45]~177 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[45]~178 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[46]~179 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[46]~180 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[47]~181 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[47]~182 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[48]~183 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[48]~184 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[49]~185 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[49]~186 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[50]~187 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[50]~188 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[51]~189 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[51]~190 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[52]~191 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[52]~192 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[53]~193 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[53]~194 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[54]~195 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[54]~196 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[55]~197 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[55]~198 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[56]~199 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[56]~200 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[57]~201 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[57]~202 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[58]~203 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[58]~204 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[59]~205 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[59]~206 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[60]~207 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[60]~208 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[61]~209 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[61]~210 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[62]~211 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[62]~212 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[63]~213 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[63]~214 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[64]~215 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[64]~216 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[65]~217 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[65]~218 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[66]~219 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[66]~220 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[67]~221 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[67]~222 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[68]~223 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[68]~224 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[69]~225 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[69]~226 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[70]~227 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[70]~228 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[71]~229 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[71]~230 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[72]~231 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[72]~232 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[73]~233 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[73]~234 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[74]~235 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[74]~236 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[75]~237 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[75]~238 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[76]~239 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[76]~240 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[77]~241 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[77]~242 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[78]~243 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[78]~244 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[79]~245 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[79]~246 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[80]~247 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[80]~248 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[81]~249 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[81]~250 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[82]~251 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[82]~252 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[83]~253 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[83]~254 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[84]~255 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[84]~256 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout[85]~257 ; Combinational ; 7.394 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout_valid ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout_valid~0 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout~108 ; Combinational ; 5.276 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|dout~259 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|Add0~0 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|Add0~1 ; Combinational ; 1.916 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|count[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|count[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|count[1]~4 ; Combinational ; 6.089 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|count[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|count[2]~1 ; Combinational ; 6.956 ; Vectorless estimation ; 0.397 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|count[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|count[3]~0 ; Combinational ; 7.632 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|count[3]~2 ; Combinational ; 9.193 ; Vectorless estimation ; 0.383 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst|count~3 ; Combinational ; 6.336 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[0][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[1][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[2][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[2][0]~feeder ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14|delay_signals[0][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14|delay_signals[0][0]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14|delay_signals[1][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14|delay_signals[1][0]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_15|delay_signals[0][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_15|delay_signals[0][0]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][3]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][5]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][7]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][9]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][10]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][11]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][12]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][14]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][15]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][2]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][3]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][5]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][7]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][8]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][9]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][10]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][11]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][12]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][13]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][14]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][15]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute|delay_signals[0][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute|delay_signals[0][0]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute|delay_signals[1][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_memread|delay_signals[0][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[0][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[0][0]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[1][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[1][0]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[2][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[2][0]~feeder ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14|delay_signals[0][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14|delay_signals[0][0]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14|delay_signals[1][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14|delay_signals[1][0]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_15|delay_signals[0][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_15|delay_signals[0][0]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][1]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][2]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][5]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][7]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][10]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][13]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][14]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][0]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][1]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][2]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][3]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][4]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][6]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][7]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][12]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][13]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][14]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][15]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute|delay_signals[0][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute|delay_signals[0][0]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute|delay_signals[1][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute|delay_signals[1][0]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_memread|delay_signals[0][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[0]~12 ; Combinational ; 7.286 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[0]~13 ; Combinational ; 6.156 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[1]~14 ; Combinational ; 9.359 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[1]~15 ; Combinational ; 1.790 ; Vectorless estimation ; 0.938 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[2]~16 ; Combinational ; 7.993 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[2]~17 ; Combinational ; 7.545 ; Vectorless estimation ; 0.031 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[3]~18 ; Combinational ; 11.326 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[3]~19 ; Combinational ; 1.902 ; Vectorless estimation ; 0.984 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[4]~20 ; Combinational ; 8.744 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[4]~21 ; Combinational ; 8.268 ; Vectorless estimation ; 0.008 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[5]~22 ; Combinational ; 12.050 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[5]~23 ; Combinational ; 2.068 ; Vectorless estimation ; 0.996 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[6]~24 ; Combinational ; 9.012 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[6]~25 ; Combinational ; 8.495 ; Vectorless estimation ; 0.002 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[7]~26 ; Combinational ; 12.256 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[7]~27 ; Combinational ; 2.124 ; Vectorless estimation ; 0.999 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[8]~28 ; Combinational ; 9.086 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[8]~29 ; Combinational ; 8.555 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[9]~30 ; Combinational ; 12.310 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[9]~31 ; Combinational ; 2.139 ; Vectorless estimation ; 1.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[10]~32 ; Combinational ; 9.106 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[10]~33 ; Combinational ; 8.571 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[11]~34 ; Combinational ; 12.324 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[0]~12 ; Combinational ; 7.286 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[0]~13 ; Combinational ; 2.764 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[1]~14 ; Combinational ; 7.663 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[1]~15 ; Combinational ; 0.989 ; Vectorless estimation ; 0.938 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[2]~16 ; Combinational ; 7.593 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[2]~17 ; Combinational ; 7.345 ; Vectorless estimation ; 0.031 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[3]~18 ; Combinational ; 11.226 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[3]~19 ; Combinational ; 1.852 ; Vectorless estimation ; 0.984 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[4]~20 ; Combinational ; 8.719 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[4]~21 ; Combinational ; 8.256 ; Vectorless estimation ; 0.008 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[5]~22 ; Combinational ; 12.043 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[5]~23 ; Combinational ; 2.065 ; Vectorless estimation ; 0.996 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[6]~24 ; Combinational ; 9.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[6]~25 ; Combinational ; 8.494 ; Vectorless estimation ; 0.002 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[7]~26 ; Combinational ; 12.256 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[7]~27 ; Combinational ; 2.124 ; Vectorless estimation ; 0.999 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[8]~28 ; Combinational ; 9.086 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[8]~29 ; Combinational ; 8.555 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[9]~30 ; Combinational ; 12.310 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[9]~31 ; Combinational ; 2.139 ; Vectorless estimation ; 1.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[10]~32 ; Combinational ; 9.106 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[10]~33 ; Combinational ; 8.571 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_fpk:auto_generated|pipeline_dffe[11]~34 ; Combinational ; 12.324 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~0 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~1 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~2 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~3 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~4 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~5 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~6 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~7 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~8 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~9 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~10 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~11 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT1 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT2 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT3 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT4 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT5 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT6 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT7 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT8 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT9 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT10 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT11 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT12 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT13 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT14 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT15 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT16 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT17 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT18 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT19 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT20 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT21 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT22 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT23 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT1 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT2 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT3 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT4 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT5 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT6 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT7 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT8 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT9 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT10 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT11 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT12 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT13 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT14 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT15 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT16 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT17 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT18 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT19 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT20 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT21 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT22 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT23 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~0 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~1 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~2 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~3 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~4 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~5 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~6 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~7 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~8 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~9 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~10 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~11 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT1 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT2 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT3 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT4 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT5 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT6 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT7 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT8 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT9 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT10 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT11 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT12 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT13 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT14 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT15 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT16 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT17 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT18 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT19 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT20 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT21 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT22 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT23 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT1 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT2 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT3 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT4 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT5 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT6 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT7 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT8 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT9 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT10 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT11 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT12 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT13 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT14 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT15 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT16 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT17 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT18 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT19 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT20 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT21 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT22 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT23 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~0 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~1 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~2 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~3 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~4 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~5 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~6 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~7 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~8 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~9 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~10 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~11 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT1 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT2 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT3 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT4 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT5 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT6 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT7 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT8 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT9 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT10 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT11 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT12 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT13 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT14 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT15 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT16 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT17 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT18 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT19 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT20 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT21 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT22 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_mult1~DATAOUT23 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT1 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT2 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT3 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT4 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT5 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT6 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT7 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT8 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT9 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT10 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT11 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT12 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT13 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT14 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT15 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT16 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT17 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT18 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT19 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT20 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT21 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT22 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2~DATAOUT23 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~0 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~1 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~2 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~3 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~4 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~5 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~6 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~7 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~8 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~9 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~10 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~11 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT1 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT2 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT3 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT4 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT5 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT6 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT7 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT8 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT9 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT10 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT11 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT12 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT13 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT14 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT15 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT16 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT17 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT18 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT19 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT20 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT21 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT22 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_mult1~DATAOUT23 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT1 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT2 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT3 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT4 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT5 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT6 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT7 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT8 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT9 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT10 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT11 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT12 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT13 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT14 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT15 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT16 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT17 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT18 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT19 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT20 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT21 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT22 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2~DATAOUT23 ; Combinational ; 2.010 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[0]~22 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[0]~23 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[1]~24 ; Combinational ; 6.784 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[1]~25 ; Combinational ; 3.745 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[2]~26 ; Combinational ; 5.742 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[2]~27 ; Combinational ; 6.671 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[3]~28 ; Combinational ; 6.261 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[3]~29 ; Combinational ; 5.117 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[4]~30 ; Combinational ; 5.819 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[4]~31 ; Combinational ; 5.715 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[5]~32 ; Combinational ; 5.956 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[5]~33 ; Combinational ; 5.352 ; Vectorless estimation ; 0.508 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[6]~34 ; Combinational ; 5.862 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[6]~35 ; Combinational ; 5.515 ; Vectorless estimation ; 0.496 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[7]~36 ; Combinational ; 5.902 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[7]~37 ; Combinational ; 5.428 ; Vectorless estimation ; 0.502 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[8]~38 ; Combinational ; 5.880 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[8]~39 ; Combinational ; 5.470 ; Vectorless estimation ; 0.499 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[9]~40 ; Combinational ; 5.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[9]~41 ; Combinational ; 5.449 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[10]~42 ; Combinational ; 5.885 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[10]~43 ; Combinational ; 5.459 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[11]~44 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[11]~45 ; Combinational ; 5.454 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[12]~46 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[12]~47 ; Combinational ; 5.457 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[13]~48 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[13]~49 ; Combinational ; 5.455 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[14]~50 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[14]~51 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[15]~52 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[15]~53 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[16]~54 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[16]~55 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[17]~56 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[17]~57 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[18]~58 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[18]~59 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[19]~60 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[19]~61 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[20]~62 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[20]~63 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[21]~64 ; Combinational ; 7.394 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_fu91:auto_generated|q_a[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_fu91:auto_generated|q_a[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_fu91:auto_generated|q_a[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_fu91:auto_generated|q_a[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_fu91:auto_generated|q_a[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_fu91:auto_generated|q_a[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_fu91:auto_generated|q_a[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_fu91:auto_generated|q_a[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_fu91:auto_generated|q_a[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_fu91:auto_generated|q_a[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_fu91:auto_generated|q_a[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_fu91:auto_generated|q_a[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_au91:auto_generated|q_a[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_au91:auto_generated|q_a[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_au91:auto_generated|q_a[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_au91:auto_generated|q_a[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_au91:auto_generated|q_a[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_au91:auto_generated|q_a[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_au91:auto_generated|q_a[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_au91:auto_generated|q_a[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_au91:auto_generated|q_a[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_au91:auto_generated|q_a[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_au91:auto_generated|q_a[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_au91:auto_generated|q_a[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_h982:auto_generated|q_a[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_h982:auto_generated|q_a[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_h982:auto_generated|q_a[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_h982:auto_generated|q_a[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_h982:auto_generated|q_a[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_h982:auto_generated|q_a[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_h982:auto_generated|q_a[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_h982:auto_generated|q_a[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_h982:auto_generated|q_a[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_h982:auto_generated|q_a[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_h982:auto_generated|q_a[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_h982:auto_generated|q_a[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_h982:auto_generated|q_b[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_h982:auto_generated|q_b[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_h982:auto_generated|q_b[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_h982:auto_generated|q_b[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_h982:auto_generated|q_b[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_h982:auto_generated|q_b[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_h982:auto_generated|q_b[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_h982:auto_generated|q_b[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_h982:auto_generated|q_b[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_h982:auto_generated|q_b[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_h982:auto_generated|q_b[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_h982:auto_generated|q_b[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr|lpm_counter:lpm_counter_component|cntr_asi:auto_generated|counter_comb_bita0 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr|lpm_counter:lpm_counter_component|cntr_asi:auto_generated|counter_comb_bita0~COUT ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr|lpm_counter:lpm_counter_component|cntr_asi:auto_generated|counter_comb_bita1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr|lpm_counter:lpm_counter_component|cntr_asi:auto_generated|counter_comb_bita1~COUT ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr|lpm_counter:lpm_counter_component|cntr_asi:auto_generated|counter_comb_bita2 ; Combinational ; 7.286 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr|lpm_counter:lpm_counter_component|cntr_asi:auto_generated|counter_comb_bita2~COUT ; Combinational ; 6.156 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr|lpm_counter:lpm_counter_component|cntr_asi:auto_generated|counter_comb_bita3 ; Combinational ; 9.359 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr|lpm_counter:lpm_counter_component|cntr_asi:auto_generated|counter_reg_bit[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr|lpm_counter:lpm_counter_component|cntr_asi:auto_generated|counter_reg_bit[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr|lpm_counter:lpm_counter_component|cntr_asi:auto_generated|counter_reg_bit[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_isdr:ux710isdr|lpm_counter:lpm_counter_component|cntr_asi:auto_generated|counter_reg_bit[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Equal2~0 ; Combinational ; 6.910 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[0][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[0][0]~4 ; Combinational ; 4.790 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[0][0]~5 ; Combinational ; 14.598 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[1][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[1][0]~2 ; Combinational ; 5.968 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[1][0]~3 ; Combinational ; 11.804 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[2][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[2][0]~0 ; Combinational ; 5.968 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[2][0]~1 ; Combinational ; 11.804 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[3][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[3][0]~6 ; Combinational ; 11.459 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[4][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[4][0]~8 ; Combinational ; 4.790 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[4][0]~9 ; Combinational ; 11.459 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[5][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[5][0]~7 ; Combinational ; 14.656 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw[0]~3 ; Combinational ; 12.164 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw~0 ; Combinational ; 8.790 ; Vectorless estimation ; 0.328 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw~1 ; Combinational ; 12.368 ; Vectorless estimation ; 0.281 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw~2 ; Combinational ; 9.363 ; Vectorless estimation ; 0.453 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw~4 ; Combinational ; 14.444 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Mux0~0 ; Combinational ; 10.209 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Mux0~1 ; Combinational ; 7.058 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr[0]~2 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr~0 ; Combinational ; 12.015 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr~1 ; Combinational ; 14.135 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|usedw_process~0 ; Combinational ; 14.135 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|usedw_process~1 ; Combinational ; 10.385 ; Vectorless estimation ; 0.219 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|usedw_process~2 ; Combinational ; 11.884 ; Vectorless estimation ; 0.424 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr[0]~4 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr~0 ; Combinational ; 4.790 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr~1 ; Combinational ; 5.732 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr~2 ; Combinational ; 16.962 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr~3 ; Combinational ; 16.962 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[0]~17 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[0]~18 ; Combinational ; 11.308 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[0]~51 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[1]~19 ; Combinational ; 16.962 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[1]~20 ; Combinational ; 9.364 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[2]~21 ; Combinational ; 14.356 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[2]~22 ; Combinational ; 11.026 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[3]~23 ; Combinational ; 14.241 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[3]~24 ; Combinational ; 14.914 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[4]~25 ; Combinational ; 15.081 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[4]~26 ; Combinational ; 13.140 ; Vectorless estimation ; 0.516 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[5]~27 ; Combinational ; 14.604 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[5]~28 ; Combinational ; 13.944 ; Vectorless estimation ; 0.492 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[6]~29 ; Combinational ; 14.797 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[6]~30 ; Combinational ; 13.505 ; Vectorless estimation ; 0.504 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[7]~31 ; Combinational ; 14.685 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[7]~32 ; Combinational ; 13.713 ; Vectorless estimation ; 0.498 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[8]~33 ; Combinational ; 14.736 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[8]~34 ; Combinational ; 13.606 ; Vectorless estimation ; 0.501 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[9]~35 ; Combinational ; 14.710 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[9]~36 ; Combinational ; 13.659 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[10]~37 ; Combinational ; 14.723 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[10]~38 ; Combinational ; 13.632 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[11]~39 ; Combinational ; 14.716 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[11]~40 ; Combinational ; 13.646 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[12]~41 ; Combinational ; 14.719 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[12]~42 ; Combinational ; 13.639 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[13]~43 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[13]~44 ; Combinational ; 13.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[14]~45 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[14]~46 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[15]~47 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[15]~48 ; Combinational ; 13.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[16]~49 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout_valid ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[0]~18 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[0]~19 ; Combinational ; 11.308 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[1]~20 ; Combinational ; 16.962 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[1]~21 ; Combinational ; 9.364 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[2]~22 ; Combinational ; 14.356 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[2]~23 ; Combinational ; 11.026 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[3]~24 ; Combinational ; 14.241 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[3]~25 ; Combinational ; 14.914 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[4]~26 ; Combinational ; 15.081 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[4]~27 ; Combinational ; 13.140 ; Vectorless estimation ; 0.516 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[5]~28 ; Combinational ; 14.604 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[5]~29 ; Combinational ; 13.944 ; Vectorless estimation ; 0.492 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[6]~30 ; Combinational ; 14.797 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[6]~31 ; Combinational ; 13.505 ; Vectorless estimation ; 0.504 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[7]~32 ; Combinational ; 14.685 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[7]~33 ; Combinational ; 13.713 ; Vectorless estimation ; 0.498 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[8]~34 ; Combinational ; 14.736 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[8]~35 ; Combinational ; 13.606 ; Vectorless estimation ; 0.501 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[9]~36 ; Combinational ; 14.710 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[9]~37 ; Combinational ; 13.659 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[10]~38 ; Combinational ; 14.723 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[10]~39 ; Combinational ; 13.632 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[11]~40 ; Combinational ; 14.716 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[11]~41 ; Combinational ; 13.646 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[12]~42 ; Combinational ; 14.719 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[12]~43 ; Combinational ; 13.639 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[13]~44 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[13]~45 ; Combinational ; 13.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[14]~46 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[14]~47 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[15]~48 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[15]~49 ; Combinational ; 13.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[16]~50 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[16]~51 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[74] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[74]~52 ; Combinational ; 18.487 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[74]~54 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout_valid ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[0]~19 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[0]~20 ; Combinational ; 11.308 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[0]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[1]~21 ; Combinational ; 16.962 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[1]~22 ; Combinational ; 9.364 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[2]~23 ; Combinational ; 14.356 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[2]~24 ; Combinational ; 11.026 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[3]~25 ; Combinational ; 14.241 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[3]~26 ; Combinational ; 14.914 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[4]~27 ; Combinational ; 15.081 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[4]~28 ; Combinational ; 13.140 ; Vectorless estimation ; 0.516 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[5]~29 ; Combinational ; 14.604 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[5]~30 ; Combinational ; 13.944 ; Vectorless estimation ; 0.492 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[6]~31 ; Combinational ; 14.797 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[6]~32 ; Combinational ; 13.505 ; Vectorless estimation ; 0.504 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[7]~33 ; Combinational ; 14.685 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[7]~34 ; Combinational ; 13.713 ; Vectorless estimation ; 0.498 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[8]~35 ; Combinational ; 14.736 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[8]~36 ; Combinational ; 13.606 ; Vectorless estimation ; 0.501 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[9]~37 ; Combinational ; 14.710 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[9]~38 ; Combinational ; 13.659 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[10]~39 ; Combinational ; 14.723 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[10]~40 ; Combinational ; 13.632 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[11]~41 ; Combinational ; 14.716 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[11]~42 ; Combinational ; 13.646 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[12]~43 ; Combinational ; 14.719 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[12]~44 ; Combinational ; 13.639 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[13]~45 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[13]~46 ; Combinational ; 13.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[14]~47 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[14]~48 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[15]~49 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[15]~50 ; Combinational ; 13.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[16]~51 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[16]~52 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[17]~53 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[17]~54 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[74] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[74]~55 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[74]~57 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout_valid ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[0]~20 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[0]~21 ; Combinational ; 11.308 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[0]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[1]~22 ; Combinational ; 16.962 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[1]~23 ; Combinational ; 9.364 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[2]~24 ; Combinational ; 14.356 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[2]~25 ; Combinational ; 11.026 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[3]~26 ; Combinational ; 14.241 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[3]~27 ; Combinational ; 14.914 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[4]~28 ; Combinational ; 15.081 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[4]~29 ; Combinational ; 13.140 ; Vectorless estimation ; 0.516 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[5]~30 ; Combinational ; 14.604 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[5]~31 ; Combinational ; 13.944 ; Vectorless estimation ; 0.492 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[6]~32 ; Combinational ; 14.797 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[6]~33 ; Combinational ; 13.505 ; Vectorless estimation ; 0.504 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[7]~34 ; Combinational ; 14.685 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[7]~35 ; Combinational ; 13.713 ; Vectorless estimation ; 0.498 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[8]~36 ; Combinational ; 14.736 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[8]~37 ; Combinational ; 13.606 ; Vectorless estimation ; 0.501 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[9]~38 ; Combinational ; 14.710 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[9]~39 ; Combinational ; 13.659 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[10]~40 ; Combinational ; 14.723 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[10]~41 ; Combinational ; 13.632 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[11]~42 ; Combinational ; 14.716 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[11]~43 ; Combinational ; 13.646 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[12]~44 ; Combinational ; 14.719 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[12]~45 ; Combinational ; 13.639 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[13]~46 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[13]~47 ; Combinational ; 13.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[14]~48 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[14]~49 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[15]~50 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[15]~51 ; Combinational ; 13.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[16]~52 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[16]~53 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[17]~54 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[17]~55 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[18] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[18]~56 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[18]~57 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[19] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[19]~58 ; Combinational ; 18.488 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[74]~60 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout_valid ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[0]~21 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[0]~22 ; Combinational ; 11.308 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[1]~23 ; Combinational ; 16.962 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[1]~24 ; Combinational ; 9.364 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[2]~25 ; Combinational ; 14.356 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[2]~26 ; Combinational ; 11.026 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[3]~27 ; Combinational ; 14.241 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[3]~28 ; Combinational ; 14.914 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[4]~29 ; Combinational ; 15.081 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[4]~30 ; Combinational ; 13.140 ; Vectorless estimation ; 0.516 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[5]~31 ; Combinational ; 14.604 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[5]~32 ; Combinational ; 13.944 ; Vectorless estimation ; 0.492 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[6]~33 ; Combinational ; 14.797 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[6]~34 ; Combinational ; 13.505 ; Vectorless estimation ; 0.504 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[7]~35 ; Combinational ; 14.685 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[7]~36 ; Combinational ; 13.713 ; Vectorless estimation ; 0.498 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[8]~37 ; Combinational ; 14.736 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[8]~38 ; Combinational ; 13.606 ; Vectorless estimation ; 0.501 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[9]~39 ; Combinational ; 14.710 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[9]~40 ; Combinational ; 13.659 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[10]~41 ; Combinational ; 14.723 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[10]~42 ; Combinational ; 13.632 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[11]~43 ; Combinational ; 14.716 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[11]~44 ; Combinational ; 13.646 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[12]~45 ; Combinational ; 14.719 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[12]~46 ; Combinational ; 13.639 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[13]~47 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[13]~48 ; Combinational ; 13.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[14]~49 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[14]~50 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[15]~51 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[15]~52 ; Combinational ; 13.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[16]~53 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[16]~54 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[17]~55 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[17]~56 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[18] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[18]~57 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[18]~58 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[19] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[19]~59 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[19]~60 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[74] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[74]~61 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[74]~63 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout_valid ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[0]~22 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[0]~23 ; Combinational ; 11.308 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[1]~24 ; Combinational ; 16.962 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[1]~25 ; Combinational ; 9.364 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[1]~feeder ; Combinational ; 16.962 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[2]~26 ; Combinational ; 14.356 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[2]~27 ; Combinational ; 11.026 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[3]~28 ; Combinational ; 14.241 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[3]~29 ; Combinational ; 14.914 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[4]~30 ; Combinational ; 15.081 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[4]~31 ; Combinational ; 13.140 ; Vectorless estimation ; 0.516 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[5]~32 ; Combinational ; 14.604 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[5]~33 ; Combinational ; 13.944 ; Vectorless estimation ; 0.492 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[6]~34 ; Combinational ; 14.797 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[6]~35 ; Combinational ; 13.505 ; Vectorless estimation ; 0.504 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[7]~36 ; Combinational ; 14.685 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[7]~37 ; Combinational ; 13.713 ; Vectorless estimation ; 0.498 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[8]~38 ; Combinational ; 14.736 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[8]~39 ; Combinational ; 13.606 ; Vectorless estimation ; 0.501 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[9]~40 ; Combinational ; 14.710 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[9]~41 ; Combinational ; 13.659 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[10]~42 ; Combinational ; 14.723 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[10]~43 ; Combinational ; 13.632 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[11]~44 ; Combinational ; 14.716 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[11]~45 ; Combinational ; 13.646 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[12]~46 ; Combinational ; 14.719 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[12]~47 ; Combinational ; 13.639 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[13]~48 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[13]~49 ; Combinational ; 13.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[14]~50 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[14]~51 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[15]~52 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[15]~53 ; Combinational ; 13.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[16]~54 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[16]~55 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[17]~56 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[17]~57 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[18] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[18]~58 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[18]~59 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[19] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[19]~60 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[19]~61 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[20] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[20]~62 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[20]~63 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[74] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[74]~64 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[74]~66 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout_valid ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~0 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~1 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~2 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~3 ; Combinational ; 11.308 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~4 ; Combinational ; 18.218 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~5 ; Combinational ; 15.391 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~6 ; Combinational ; 23.401 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~7 ; Combinational ; 4.476 ; Vectorless estimation ; 0.938 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~8 ; Combinational ; 19.985 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~9 ; Combinational ; 18.866 ; Vectorless estimation ; 0.031 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~10 ; Combinational ; 28.319 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~11 ; Combinational ; 4.756 ; Vectorless estimation ; 0.984 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~12 ; Combinational ; 21.862 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~13 ; Combinational ; 20.673 ; Vectorless estimation ; 0.008 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~14 ; Combinational ; 30.128 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~15 ; Combinational ; 5.171 ; Vectorless estimation ; 0.996 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~16 ; Combinational ; 22.532 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~17 ; Combinational ; 21.239 ; Vectorless estimation ; 0.002 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~18 ; Combinational ; 30.644 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~19 ; Combinational ; 5.310 ; Vectorless estimation ; 0.999 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~20 ; Combinational ; 22.719 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~21 ; Combinational ; 21.391 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~22 ; Combinational ; 30.779 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Equal0~0 ; Combinational ; 1.811 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Equal0~1 ; Combinational ; 0.010 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count~0 ; Combinational ; 40.189 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count~1 ; Combinational ; 36.421 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count~2 ; Combinational ; 39.953 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count~3 ; Combinational ; 45.044 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count~4 ; Combinational ; 45.418 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count~5 ; Combinational ; 30.767 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[0]~21 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[1]~20 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[2]~19 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[3]~18 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[4]~17 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[5]~16 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[6]~15 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[7]~14 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[8]~13 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[9]~12 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[10]~11 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[11]~10 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[12]~9 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[13]~8 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[14]~7 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[15]~6 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[16]~5 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[17]~4 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[18]~3 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[19]~2 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[20]~1 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[59]~0 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Equal2~0 ; Combinational ; 5.968 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[0][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[0][0]~7 ; Combinational ; 5.968 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[0][0]~8 ; Combinational ; 13.626 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[1][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[1][0]~5 ; Combinational ; 5.968 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[1][0]~6 ; Combinational ; 13.626 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[2][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[2][0]~3 ; Combinational ; 5.968 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[2][0]~4 ; Combinational ; 13.626 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[3][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[3][0]~9 ; Combinational ; 13.261 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[4][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[4][0]~1 ; Combinational ; 4.790 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[4][0]~2 ; Combinational ; 13.261 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[5][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_array[5][0]~0 ; Combinational ; 13.261 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw[0]~3 ; Combinational ; 19.989 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw~0 ; Combinational ; 10.999 ; Vectorless estimation ; 0.328 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw~1 ; Combinational ; 14.094 ; Vectorless estimation ; 0.281 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw~2 ; Combinational ; 11.902 ; Vectorless estimation ; 0.453 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|fifo_usedw~4 ; Combinational ; 13.449 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Mux0~0 ; Combinational ; 8.147 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|Mux0~1 ; Combinational ; 4.926 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr[0]~2 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr~0 ; Combinational ; 11.072 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|rd_addr_ptr~1 ; Combinational ; 11.072 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|usedw_process~0 ; Combinational ; 11.779 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|usedw_process~1 ; Combinational ; 4.297 ; Vectorless estimation ; 0.219 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|usedw_process~2 ; Combinational ; 17.296 ; Vectorless estimation ; 0.424 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr[0]~4 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr~0 ; Combinational ; 4.790 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr~1 ; Combinational ; 4.790 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr~2 ; Combinational ; 11.072 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_controller:avalon_controller|auk_dspip_avalon_streaming_small_fifo:ready_FIFO|wr_addr_ptr~3 ; Combinational ; 11.072 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[0]~17 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[0]~18 ; Combinational ; 11.308 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[0]~51 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[0]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[1]~19 ; Combinational ; 16.962 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[1]~20 ; Combinational ; 9.364 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[2]~21 ; Combinational ; 14.356 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[2]~22 ; Combinational ; 11.026 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[3]~23 ; Combinational ; 14.241 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[3]~24 ; Combinational ; 14.914 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[4]~25 ; Combinational ; 15.081 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[4]~26 ; Combinational ; 13.140 ; Vectorless estimation ; 0.516 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[5]~27 ; Combinational ; 14.604 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[5]~28 ; Combinational ; 13.944 ; Vectorless estimation ; 0.492 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[6]~29 ; Combinational ; 14.797 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[6]~30 ; Combinational ; 13.505 ; Vectorless estimation ; 0.504 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[7]~31 ; Combinational ; 14.685 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[7]~32 ; Combinational ; 13.713 ; Vectorless estimation ; 0.498 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[8]~33 ; Combinational ; 14.736 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[8]~34 ; Combinational ; 13.606 ; Vectorless estimation ; 0.501 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[9]~35 ; Combinational ; 14.710 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[9]~36 ; Combinational ; 13.659 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[10]~37 ; Combinational ; 14.723 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[10]~38 ; Combinational ; 13.632 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[11]~39 ; Combinational ; 14.716 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[11]~40 ; Combinational ; 13.646 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[12]~41 ; Combinational ; 14.719 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[12]~42 ; Combinational ; 13.639 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[13]~43 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[13]~44 ; Combinational ; 13.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[14]~45 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[14]~46 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[15]~47 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[15]~48 ; Combinational ; 13.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout[16]~49 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|dout_valid ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[0]~18 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[0]~19 ; Combinational ; 11.308 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[0]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[1]~20 ; Combinational ; 16.962 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[1]~21 ; Combinational ; 9.364 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[2]~22 ; Combinational ; 14.356 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[2]~23 ; Combinational ; 11.026 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[3]~24 ; Combinational ; 14.241 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[3]~25 ; Combinational ; 14.914 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[4]~26 ; Combinational ; 15.081 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[4]~27 ; Combinational ; 13.140 ; Vectorless estimation ; 0.516 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[5]~28 ; Combinational ; 14.604 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[5]~29 ; Combinational ; 13.944 ; Vectorless estimation ; 0.492 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[6]~30 ; Combinational ; 14.797 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[6]~31 ; Combinational ; 13.505 ; Vectorless estimation ; 0.504 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[7]~32 ; Combinational ; 14.685 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[7]~33 ; Combinational ; 13.713 ; Vectorless estimation ; 0.498 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[8]~34 ; Combinational ; 14.736 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[8]~35 ; Combinational ; 13.606 ; Vectorless estimation ; 0.501 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[9]~36 ; Combinational ; 14.710 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[9]~37 ; Combinational ; 13.659 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[10]~38 ; Combinational ; 14.723 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[10]~39 ; Combinational ; 13.632 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[11]~40 ; Combinational ; 14.716 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[11]~41 ; Combinational ; 13.646 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[12]~42 ; Combinational ; 14.719 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[12]~43 ; Combinational ; 13.639 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[13]~44 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[13]~45 ; Combinational ; 13.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[14]~46 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[14]~47 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[15]~48 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[15]~49 ; Combinational ; 13.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[16]~50 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[16]~51 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[74] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[74]~52 ; Combinational ; 18.487 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout[74]~54 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|dout_valid ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[0]~19 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[0]~20 ; Combinational ; 11.308 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[1]~21 ; Combinational ; 16.962 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[1]~22 ; Combinational ; 9.364 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[2]~23 ; Combinational ; 14.356 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[2]~24 ; Combinational ; 11.026 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[3]~25 ; Combinational ; 14.241 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[3]~26 ; Combinational ; 14.914 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[4]~27 ; Combinational ; 15.081 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[4]~28 ; Combinational ; 13.140 ; Vectorless estimation ; 0.516 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[5]~29 ; Combinational ; 14.604 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[5]~30 ; Combinational ; 13.944 ; Vectorless estimation ; 0.492 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[6]~31 ; Combinational ; 14.797 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[6]~32 ; Combinational ; 13.505 ; Vectorless estimation ; 0.504 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[7]~33 ; Combinational ; 14.685 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[7]~34 ; Combinational ; 13.713 ; Vectorless estimation ; 0.498 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[8]~35 ; Combinational ; 14.736 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[8]~36 ; Combinational ; 13.606 ; Vectorless estimation ; 0.501 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[9]~37 ; Combinational ; 14.710 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[9]~38 ; Combinational ; 13.659 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[10]~39 ; Combinational ; 14.723 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[10]~40 ; Combinational ; 13.632 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[11]~41 ; Combinational ; 14.716 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[11]~42 ; Combinational ; 13.646 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[12]~43 ; Combinational ; 14.719 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[12]~44 ; Combinational ; 13.639 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[13]~45 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[13]~46 ; Combinational ; 13.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[14]~47 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[14]~48 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[15]~49 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[15]~50 ; Combinational ; 13.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[16]~51 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[16]~52 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[17]~53 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[17]~54 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[74] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[74]~55 ; Combinational ; 18.488 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout[74]~57 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|dout_valid ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[0]~20 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[0]~21 ; Combinational ; 11.308 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[0]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[1]~22 ; Combinational ; 16.962 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[1]~23 ; Combinational ; 9.364 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[2]~24 ; Combinational ; 14.356 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[2]~25 ; Combinational ; 11.026 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[3]~26 ; Combinational ; 14.241 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[3]~27 ; Combinational ; 14.914 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[4]~28 ; Combinational ; 15.081 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[4]~29 ; Combinational ; 13.140 ; Vectorless estimation ; 0.516 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[5]~30 ; Combinational ; 14.604 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[5]~31 ; Combinational ; 13.944 ; Vectorless estimation ; 0.492 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[6]~32 ; Combinational ; 14.797 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[6]~33 ; Combinational ; 13.505 ; Vectorless estimation ; 0.504 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[7]~34 ; Combinational ; 14.685 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[7]~35 ; Combinational ; 13.713 ; Vectorless estimation ; 0.498 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[8]~36 ; Combinational ; 14.736 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[8]~37 ; Combinational ; 13.606 ; Vectorless estimation ; 0.501 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[9]~38 ; Combinational ; 14.710 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[9]~39 ; Combinational ; 13.659 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[10]~40 ; Combinational ; 14.723 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[10]~41 ; Combinational ; 13.632 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[11]~42 ; Combinational ; 14.716 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[11]~43 ; Combinational ; 13.646 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[12]~44 ; Combinational ; 14.719 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[12]~45 ; Combinational ; 13.639 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[13]~46 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[13]~47 ; Combinational ; 13.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[14]~48 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[14]~49 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[15]~50 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[15]~51 ; Combinational ; 13.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[16]~52 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[16]~53 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[17]~54 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[17]~55 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[18] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[18]~56 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[18]~57 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[19] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[19]~58 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout[74]~60 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|dout_valid ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[0]~21 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[0]~22 ; Combinational ; 11.308 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[0]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[1]~23 ; Combinational ; 16.962 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[1]~24 ; Combinational ; 9.364 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[2]~25 ; Combinational ; 14.356 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[2]~26 ; Combinational ; 11.026 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[3]~27 ; Combinational ; 14.241 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[3]~28 ; Combinational ; 14.914 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[4]~29 ; Combinational ; 15.081 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[4]~30 ; Combinational ; 13.140 ; Vectorless estimation ; 0.516 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[5]~31 ; Combinational ; 14.604 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[5]~32 ; Combinational ; 13.944 ; Vectorless estimation ; 0.492 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[6]~33 ; Combinational ; 14.797 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[6]~34 ; Combinational ; 13.505 ; Vectorless estimation ; 0.504 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[7]~35 ; Combinational ; 14.685 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[7]~36 ; Combinational ; 13.713 ; Vectorless estimation ; 0.498 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[8]~37 ; Combinational ; 14.736 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[8]~38 ; Combinational ; 13.606 ; Vectorless estimation ; 0.501 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[9]~39 ; Combinational ; 14.710 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[9]~40 ; Combinational ; 13.659 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[10]~41 ; Combinational ; 14.723 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[10]~42 ; Combinational ; 13.632 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[11]~43 ; Combinational ; 14.716 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[11]~44 ; Combinational ; 13.646 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[12]~45 ; Combinational ; 14.719 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[12]~46 ; Combinational ; 13.639 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[13]~47 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[13]~48 ; Combinational ; 13.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[14]~49 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[14]~50 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[15]~51 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[15]~52 ; Combinational ; 13.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[16]~53 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[16]~54 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[17]~55 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[17]~56 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[18] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[18]~57 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[18]~58 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[19] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[19]~59 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[19]~60 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[74] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[74]~61 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout[74]~63 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|dout_valid ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[0]~22 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[0]~23 ; Combinational ; 11.308 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[1]~24 ; Combinational ; 16.962 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[1]~25 ; Combinational ; 9.364 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[2]~26 ; Combinational ; 14.356 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[2]~27 ; Combinational ; 11.026 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[3]~28 ; Combinational ; 14.241 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[3]~29 ; Combinational ; 14.914 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[4]~30 ; Combinational ; 15.081 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[4]~31 ; Combinational ; 13.140 ; Vectorless estimation ; 0.516 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[5]~32 ; Combinational ; 14.604 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[5]~33 ; Combinational ; 13.944 ; Vectorless estimation ; 0.492 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[6]~34 ; Combinational ; 14.797 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[6]~35 ; Combinational ; 13.505 ; Vectorless estimation ; 0.504 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[7]~36 ; Combinational ; 14.685 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[7]~37 ; Combinational ; 13.713 ; Vectorless estimation ; 0.498 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[8]~38 ; Combinational ; 14.736 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[8]~39 ; Combinational ; 13.606 ; Vectorless estimation ; 0.501 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[9]~40 ; Combinational ; 14.710 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[9]~41 ; Combinational ; 13.659 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[10]~42 ; Combinational ; 14.723 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[10]~43 ; Combinational ; 13.632 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[11]~44 ; Combinational ; 14.716 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[11]~45 ; Combinational ; 13.646 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[12]~46 ; Combinational ; 14.719 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[12]~47 ; Combinational ; 13.639 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[13]~48 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[13]~49 ; Combinational ; 13.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[14]~50 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[14]~51 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[15]~52 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[15]~53 ; Combinational ; 13.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[16]~54 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[16]~55 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[17]~56 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[17]~57 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[18] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[18]~58 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[18]~59 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[19] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[19]~60 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[19]~61 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[20] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[20]~62 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[20]~63 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[74] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[74]~64 ; Combinational ; 18.488 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout[74]~66 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|dout_valid ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~0 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~1 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~2 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~3 ; Combinational ; 11.308 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~4 ; Combinational ; 18.218 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~5 ; Combinational ; 15.391 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~6 ; Combinational ; 23.401 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~7 ; Combinational ; 4.476 ; Vectorless estimation ; 0.938 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~8 ; Combinational ; 19.985 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~9 ; Combinational ; 18.866 ; Vectorless estimation ; 0.031 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~10 ; Combinational ; 28.319 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~11 ; Combinational ; 4.756 ; Vectorless estimation ; 0.984 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~12 ; Combinational ; 21.862 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~13 ; Combinational ; 20.673 ; Vectorless estimation ; 0.008 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~14 ; Combinational ; 30.128 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~15 ; Combinational ; 5.171 ; Vectorless estimation ; 0.996 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~16 ; Combinational ; 22.532 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~17 ; Combinational ; 21.239 ; Vectorless estimation ; 0.002 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~18 ; Combinational ; 30.644 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~19 ; Combinational ; 5.310 ; Vectorless estimation ; 0.999 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~20 ; Combinational ; 22.719 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~21 ; Combinational ; 21.391 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Add0~22 ; Combinational ; 30.779 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Equal0~0 ; Combinational ; 1.811 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|Equal0~1 ; Combinational ; 0.001 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count~0 ; Combinational ; 40.186 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count~1 ; Combinational ; 18.210 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count~2 ; Combinational ; 19.976 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count~3 ; Combinational ; 22.521 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count~4 ; Combinational ; 22.708 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst|count~5 ; Combinational ; 30.764 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[0]~21 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[1]~20 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[2]~19 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[3]~18 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[4]~17 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[5]~16 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[6]~15 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[7]~14 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[8]~13 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[9]~12 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[10]~11 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[11]~10 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[12]~9 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[13]~8 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[14]~7 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[15]~6 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[16]~5 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[17]~4 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[18]~3 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[19]~2 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[20]~1 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_upsample:first_upsample|dout[59]~0 ; Combinational ; 15.077 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[0][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[0][0]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[1][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[1][0]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[2][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[2][0]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][0]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][3]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][5]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][7]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][8]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][10]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][11]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][12]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][13]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][14]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][15]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][16]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][17]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][18] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][18]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_aseq_q_16|delay_signals[0][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_aseq_q_16|delay_signals[0][0]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14|delay_signals[0][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14|delay_signals[0][0]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14|delay_signals[1][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14|delay_signals[1][0]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_15|delay_signals[0][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_15|delay_signals[0][0]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_16|delay_signals[0][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_16|delay_signals[0][0]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][19] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][20] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][20]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][21] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][21]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][22] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][22]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][23] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][2]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][5]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][6]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][7]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][8]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][9]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][12]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][13]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][15]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][0]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][1]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][3]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][4]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][5]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][8]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][10]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][12]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][13]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][14]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][15]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][0]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][2]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][4]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][5]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][6]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][7]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][9]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][10]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][11]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][13]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][15]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute|delay_signals[0][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute|delay_signals[0][0]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute|delay_signals[1][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute|delay_signals[1][0]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_memread|delay_signals[0][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][0]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][2]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][3]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][4]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][5]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][6]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][7]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][8]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][9]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][11]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][12]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][15]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][17]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][18] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][18]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][19] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][20] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][20]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][21] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][21]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][22] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][23] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][23]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][0]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][2]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][3]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][5]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][6]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][8]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][9]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][11]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][12]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][13]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][14]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][0]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][1]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][2]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][3]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][4]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][6]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][11]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][12]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][13]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][14]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][1]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][3]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][4]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][5]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][6]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][7]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][9]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][10]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][12]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][13]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][14]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][15]~feeder ; Combinational ; 3.125 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[0]~16 ; Combinational ; 18.218 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[0]~17 ; Combinational ; 6.910 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[1]~18 ; Combinational ; 19.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[1]~19 ; Combinational ; 2.474 ; Vectorless estimation ; 0.938 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[2]~20 ; Combinational ; 18.984 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[2]~21 ; Combinational ; 18.366 ; Vectorless estimation ; 0.031 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[3]~22 ; Combinational ; 28.069 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[3]~23 ; Combinational ; 4.631 ; Vectorless estimation ; 0.984 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[4]~24 ; Combinational ; 21.800 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[4]~25 ; Combinational ; 20.642 ; Vectorless estimation ; 0.008 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[5]~26 ; Combinational ; 30.112 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[5]~27 ; Combinational ; 5.163 ; Vectorless estimation ; 0.996 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[6]~28 ; Combinational ; 22.528 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[6]~29 ; Combinational ; 21.237 ; Vectorless estimation ; 0.002 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[7]~30 ; Combinational ; 30.643 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[7]~31 ; Combinational ; 5.309 ; Vectorless estimation ; 0.999 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[8]~32 ; Combinational ; 22.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[8]~33 ; Combinational ; 21.391 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[9]~34 ; Combinational ; 30.779 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[9]~35 ; Combinational ; 5.348 ; Vectorless estimation ; 1.000 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[10]~36 ; Combinational ; 22.767 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[10]~37 ; Combinational ; 21.430 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[11]~38 ; Combinational ; 30.813 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[11]~39 ; Combinational ; 5.358 ; Vectorless estimation ; 1.000 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[12]~40 ; Combinational ; 22.779 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[12]~41 ; Combinational ; 21.440 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[13]~42 ; Combinational ; 30.822 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[13]~43 ; Combinational ; 5.360 ; Vectorless estimation ; 1.000 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[14]~44 ; Combinational ; 22.782 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[14]~45 ; Combinational ; 21.442 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk0|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[15]~46 ; Combinational ; 30.824 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[0]~16 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[0]~17 ; Combinational ; 8.795 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[1]~18 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[1]~19 ; Combinational ; 3.063 ; Vectorless estimation ; 0.938 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[2]~20 ; Combinational ; 19.278 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[2]~21 ; Combinational ; 18.513 ; Vectorless estimation ; 0.031 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[3]~22 ; Combinational ; 28.142 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[3]~23 ; Combinational ; 4.667 ; Vectorless estimation ; 0.984 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[4]~24 ; Combinational ; 21.818 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[4]~25 ; Combinational ; 20.651 ; Vectorless estimation ; 0.008 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[5]~26 ; Combinational ; 30.117 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[5]~27 ; Combinational ; 5.165 ; Vectorless estimation ; 0.996 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[6]~28 ; Combinational ; 22.529 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[6]~29 ; Combinational ; 21.238 ; Vectorless estimation ; 0.002 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[7]~30 ; Combinational ; 30.643 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[7]~31 ; Combinational ; 5.310 ; Vectorless estimation ; 0.999 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[8]~32 ; Combinational ; 22.719 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[8]~33 ; Combinational ; 21.391 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[9]~34 ; Combinational ; 30.779 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[9]~35 ; Combinational ; 5.348 ; Vectorless estimation ; 1.000 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[10]~36 ; Combinational ; 22.767 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[10]~37 ; Combinational ; 21.430 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[11]~38 ; Combinational ; 30.813 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[11]~39 ; Combinational ; 5.358 ; Vectorless estimation ; 1.000 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[12]~40 ; Combinational ; 22.779 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[12]~41 ; Combinational ; 21.440 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[13]~42 ; Combinational ; 30.822 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[13]~43 ; Combinational ; 5.360 ; Vectorless estimation ; 1.000 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[14]~44 ; Combinational ; 22.782 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[14]~45 ; Combinational ; 21.442 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mob_w:blk1|lpm_add_sub:lpm_add_sub_component|add_sub_jpk:auto_generated|pipeline_dffe[15]~46 ; Combinational ; 30.824 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~0 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~1 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~2 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~3 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT1 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT2 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT3 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT4 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT5 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT6 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT7 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT8 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT9 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT10 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT11 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT12 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT13 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT14 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT15 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT16 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT17 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT18 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT19 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT20 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT21 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT22 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT23 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT24 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT25 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT26 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT27 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT28 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT29 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT30 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT31 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT1 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT2 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT3 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT4 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT5 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT6 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT7 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT8 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT9 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT10 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT11 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT12 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT13 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT14 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT15 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT16 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT17 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT18 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT19 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT20 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT21 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT22 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT23 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT24 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT25 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT26 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT27 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT28 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT29 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT30 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT31 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~0 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~1 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~2 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~3 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT1 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT2 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT3 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT4 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT5 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT6 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT7 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT8 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT9 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT10 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT11 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT12 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT13 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT14 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT15 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT16 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT17 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT18 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT19 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT20 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT21 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT22 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT23 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT24 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT25 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT26 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT27 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT28 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT29 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT30 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT31 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT1 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT2 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT3 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT4 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT5 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT6 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT7 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT8 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT9 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT10 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT11 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT12 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT13 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT14 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT15 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT16 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT17 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT18 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT19 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT20 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT21 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT22 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT23 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT24 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT25 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT26 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT27 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT28 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT29 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT30 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT31 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~0 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~1 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~2 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~3 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT1 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT2 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT3 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT4 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT5 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT6 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT7 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT8 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT9 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT10 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT11 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT12 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT13 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT14 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT15 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT16 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT17 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT18 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT19 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT20 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT21 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT22 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT23 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT24 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT25 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT26 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT27 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT28 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT29 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT30 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_mult1~DATAOUT31 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT1 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT2 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT3 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT4 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT5 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT6 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT7 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT8 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT9 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT10 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT11 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT12 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT13 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT14 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT15 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT16 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT17 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT18 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT19 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT20 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT21 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT22 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT23 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT24 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT25 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT26 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT27 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT28 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT29 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT30 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2~DATAOUT31 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~0 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~1 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~2 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~3 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT1 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT2 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT3 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT4 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT5 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT6 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT7 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT8 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT9 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT10 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT11 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT12 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT13 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT14 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT15 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT16 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT17 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT18 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT19 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT20 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT21 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT22 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT23 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT24 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT25 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT26 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT27 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT28 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT29 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT30 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_mult1~DATAOUT31 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT1 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT2 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT3 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT4 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT5 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT6 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT7 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT8 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT9 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT10 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT11 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT12 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT13 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT14 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT15 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT16 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT17 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT18 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT19 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT20 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT21 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT22 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT23 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT24 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT25 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT26 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT27 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT28 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT29 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT30 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2~DATAOUT31 ; Combinational ; 5.026 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[0]~22 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[0]~23 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[0]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[1]~24 ; Combinational ; 16.962 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[1]~25 ; Combinational ; 9.364 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[2]~26 ; Combinational ; 14.356 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[2]~27 ; Combinational ; 16.680 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[3]~28 ; Combinational ; 15.654 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[3]~29 ; Combinational ; 12.794 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[4]~30 ; Combinational ; 14.551 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[4]~31 ; Combinational ; 14.289 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[5]~32 ; Combinational ; 14.891 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[5]~33 ; Combinational ; 13.380 ; Vectorless estimation ; 0.508 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[6]~34 ; Combinational ; 14.656 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[6]~35 ; Combinational ; 13.788 ; Vectorless estimation ; 0.496 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[7]~36 ; Combinational ; 14.756 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[7]~37 ; Combinational ; 13.572 ; Vectorless estimation ; 0.502 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[8]~38 ; Combinational ; 14.701 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[8]~39 ; Combinational ; 13.677 ; Vectorless estimation ; 0.499 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[9]~40 ; Combinational ; 14.727 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[9]~41 ; Combinational ; 13.624 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[10]~42 ; Combinational ; 14.714 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[10]~43 ; Combinational ; 13.650 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[11]~44 ; Combinational ; 14.720 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[11]~45 ; Combinational ; 13.637 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[12]~46 ; Combinational ; 14.717 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[12]~47 ; Combinational ; 13.643 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[13]~48 ; Combinational ; 14.719 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[13]~49 ; Combinational ; 13.640 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[14]~50 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[14]~51 ; Combinational ; 13.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[15]~52 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[15]~53 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[16]~54 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[16]~55 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[17]~56 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[17]~57 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[18] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[18]~58 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[18]~59 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[19] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[19]~60 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[19]~61 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[20] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[20]~62 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[20]~63 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[21] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[21]~64 ; Combinational ; 18.488 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_u8a1:auto_generated|q_a[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_u8a1:auto_generated|q_a[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_u8a1:auto_generated|q_a[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_u8a1:auto_generated|q_a[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_u8a1:auto_generated|q_a[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_u8a1:auto_generated|q_a[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_u8a1:auto_generated|q_a[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_u8a1:auto_generated|q_a[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_u8a1:auto_generated|q_a[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_u8a1:auto_generated|q_a[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_u8a1:auto_generated|q_a[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_u8a1:auto_generated|q_a[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_u8a1:auto_generated|q_a[12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_u8a1:auto_generated|q_a[13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_u8a1:auto_generated|q_a[14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_u8a1:auto_generated|q_a[15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_p8a1:auto_generated|q_a[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_p8a1:auto_generated|q_a[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_p8a1:auto_generated|q_a[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_p8a1:auto_generated|q_a[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_p8a1:auto_generated|q_a[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_p8a1:auto_generated|q_a[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_p8a1:auto_generated|q_a[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_p8a1:auto_generated|q_a[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_p8a1:auto_generated|q_a[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_p8a1:auto_generated|q_a[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_p8a1:auto_generated|q_a[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_p8a1:auto_generated|q_a[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_p8a1:auto_generated|q_a[12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_p8a1:auto_generated|q_a[13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_p8a1:auto_generated|q_a[14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_p8a1:auto_generated|q_a[15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|q_a[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|q_a[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|q_a[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|q_a[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|q_a[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|q_a[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|q_a[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|q_a[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|q_a[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|q_a[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|q_a[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|q_a[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|q_a[12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|q_a[13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|q_a[14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|q_a[15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|q_b[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|q_b[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|q_b[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|q_b[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|q_b[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|q_b[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|q_b[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|q_b[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|q_b[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|q_b[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|q_b[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|q_b[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|q_b[12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|q_b[13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|q_b[14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|q_b[15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|clr_reg ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|clr_reg_proc~0 ; Combinational ; 0.703 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|design_hash_proc~0 ; Combinational ; 0.938 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[0] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[0]~0 ; Combinational ; 0.668 ; Vectorless estimation ; 0.266 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[1] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[1]~1 ; Combinational ; 0.618 ; Vectorless estimation ; 0.328 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[2] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[2]~2 ; Combinational ; 0.559 ; Vectorless estimation ; 0.359 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[3] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[3]~3 ; Combinational ; 0.860 ; Vectorless estimation ; 0.297 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~4 ; Combinational ; 0.767 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~5 ; Combinational ; 1.133 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~6 ; Combinational ; 1.133 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~7 ; Combinational ; 1.648 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|Equal0~0 ; Combinational ; 0.168 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|Equal0~1 ; Combinational ; 0.168 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|Equal0~2 ; Combinational ; 0.001 ; Vectorless estimation ; 0.001 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|Equal1~0 ; Combinational ; 0.001 ; Vectorless estimation ; 0.001 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|Equal7~0 ; Combinational ; 0.298 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_info_reg_ena~0 ; Combinational ; 0.703 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg[0] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg[1] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg[2] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg[3] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg~0 ; Combinational ; 0.938 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg~1 ; Combinational ; 0.938 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg~2 ; Combinational ; 0.938 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg~3 ; Combinational ; 2.625 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[0] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[1] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[1]~0 ; Combinational ; 0.409 ; Vectorless estimation ; 0.313 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[1]~1 ; Combinational ; 1.538 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[2] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[2]~2 ; Combinational ; 0.113 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[2]~3 ; Combinational ; 0.739 ; Vectorless estimation ; 0.266 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg~4 ; Combinational ; 0.113 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0]~0 ; Combinational ; 0.113 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0]~1 ; Combinational ; 0.014 ; Vectorless estimation ; 0.016 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[1] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[2] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[3] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[3]~feeder ; Combinational ; 8.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~0 ; Combinational ; 1.396 ; Vectorless estimation ; 0.094 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~feeder ; Combinational ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][1] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][1]~feeder ; Combinational ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][2] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][2]~feeder ; Combinational ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][3] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][3]~feeder ; Combinational ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irsr_reg[0] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irsr_reg[1] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2]~3 ; Combinational ; 0.629 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2]~feeder ; Combinational ; 1.250 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irsr_reg[3] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irsr_reg[3]~6 ; Combinational ; 1.250 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irsr_reg[3]~7 ; Combinational ; 0.974 ; Vectorless estimation ; 0.469 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irsr_reg[3]~8 ; Combinational ; 0.627 ; Vectorless estimation ; 0.408 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irsr_reg[4] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irsr_reg~0 ; Combinational ; 2.094 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irsr_reg~1 ; Combinational ; 1.250 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irsr_reg~2 ; Combinational ; 0.752 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irsr_reg~4 ; Combinational ; 1.250 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|irsr_reg~5 ; Combinational ; 1.250 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[0] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[0]~1 ; Combinational ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[1] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[1]~feeder ; Combinational ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[2] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[2]~0 ; Combinational ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[3] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[3]~feeder ; Combinational ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[4] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[4]~feeder ; Combinational ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[5] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[6] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[6]~feeder ; Combinational ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[7] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[8] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[9] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[0] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[0]~5 ; Combinational ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[0]~6 ; Combinational ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[1] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[1]~9 ; Combinational ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[1]~10 ; Combinational ; 0.703 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[2] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[2]~11 ; Combinational ; 1.133 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[2]~12 ; Combinational ; 0.332 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[3] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[3]~13 ; Combinational ; 1.143 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[3]~14 ; Combinational ; 1.060 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4]~15 ; Combinational ; 1.633 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal~7 ; Combinational ; 1.330 ; Vectorless estimation ; 0.273 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal~8 ; Combinational ; 0.864 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|node_ena_proc~0 ; Combinational ; 2.813 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|node_ena_proc~1 ; Combinational ; 4.313 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|node_ena~0 ; Combinational ; 2.380 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|node_ena~1 ; Combinational ; 0.864 ; Vectorless estimation ; 0.188 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|node_ena~2 ; Combinational ; 1.982 ; Vectorless estimation ; 0.406 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|node_ena~3 ; Combinational ; 2.149 ; Vectorless estimation ; 0.299 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|reset_ena_reg ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|reset_ena_reg_proc~0 ; Combinational ; 2.109 ; Vectorless estimation ; 0.188 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|tdo ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|tdo_bypass_reg ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|tdo_bypass_reg~0 ; Combinational ; 3.219 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~0 ; Combinational ; 1.025 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~1 ; Combinational ; 0.532 ; Vectorless estimation ; 0.313 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~2 ; Combinational ; 0.744 ; Vectorless estimation ; 0.578 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~3 ; Combinational ; 0.957 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~4 ; Combinational ; 0.608 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~5 ; Combinational ; 0.453 ; Vectorless estimation ; 0.293 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~6 ; Combinational ; 1.016 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~7 ; Combinational ; 0.393 ; Vectorless estimation ; 0.313 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~8 ; Combinational ; 0.455 ; Vectorless estimation ; 0.774 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|virtual_dr_scan_reg ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|virtual_ir_dr_scan_proc~0 ; Combinational ; 3.469 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|virtual_ir_tdo_sel_reg[0] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|Add0~0 ; Combinational ; 0.703 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|Add0~1 ; Combinational ; 0.298 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|Equal0~0 ; Combinational ; 0.154 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|Mux1~0 ; Combinational ; 0.496 ; Vectorless estimation ; 0.688 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|Mux2~0 ; Combinational ; 0.298 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|Mux3~0 ; Combinational ; 0.505 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|word_counter[0] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|word_counter[1] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|word_counter[2] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|word_counter[3] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|word_counter~0 ; Combinational ; 1.383 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|word_counter~1 ; Combinational ; 1.064 ; Vectorless estimation ; 0.410 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|word_counter~2 ; Combinational ; 0.351 ; Vectorless estimation ; 0.180 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|word_counter~3 ; Combinational ; 1.521 ; Vectorless estimation ; 0.410 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|word_counter~4 ; Combinational ; 1.293 ; Vectorless estimation ; 0.410 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|WORD_SR[0] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|WORD_SR[1] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|WORD_SR[2] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|WORD_SR[3] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|WORD_SR~0 ; Combinational ; 0.486 ; Vectorless estimation ; 0.246 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|WORD_SR~1 ; Combinational ; 0.694 ; Vectorless estimation ; 0.289 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|WORD_SR~2 ; Combinational ; 0.629 ; Vectorless estimation ; 0.150 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|WORD_SR~3 ; Combinational ; 0.479 ; Vectorless estimation ; 0.294 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|WORD_SR~4 ; Combinational ; 0.154 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl|altsource_probe_body:altsource_probe_body_inst|altsource_probe_impl:\wider_probe_gen:wider_probe_inst|sld_rom_sr:\instance_id_gen:rom_info_inst|WORD_SR~5 ; Combinational ; 4.555 ; Vectorless estimation ; 0.103 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~2 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational ; 6.784 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~2 ; Combinational ; 3.745 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational ; 5.742 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~2 ; Combinational ; 6.671 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational ; 6.261 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~2 ; Combinational ; 5.117 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational ; 5.819 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~2 ; Combinational ; 5.715 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational ; 5.956 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~2 ; Combinational ; 5.352 ; Vectorless estimation ; 0.508 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational ; 5.862 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~2 ; Combinational ; 5.515 ; Vectorless estimation ; 0.496 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational ; 5.902 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~2 ; Combinational ; 5.428 ; Vectorless estimation ; 0.502 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational ; 5.880 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~2 ; Combinational ; 5.470 ; Vectorless estimation ; 0.499 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational ; 5.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~2 ; Combinational ; 5.449 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational ; 5.885 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~2 ; Combinational ; 5.459 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~2 ; Combinational ; 5.454 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~2 ; Combinational ; 5.457 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~2 ; Combinational ; 5.455 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational ; 7.394 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~2 ; Combinational ; 7.717 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational ; 7.959 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~2 ; Combinational ; 8.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational ; 8.030 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~2 ; Combinational ; 8.035 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational ; 8.039 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~2 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational ; 6.784 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~2 ; Combinational ; 3.745 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational ; 5.742 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~2 ; Combinational ; 6.671 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational ; 6.261 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~2 ; Combinational ; 5.117 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational ; 5.819 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~2 ; Combinational ; 5.715 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational ; 5.956 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~2 ; Combinational ; 5.352 ; Vectorless estimation ; 0.508 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational ; 5.862 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~2 ; Combinational ; 5.515 ; Vectorless estimation ; 0.496 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational ; 5.902 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~2 ; Combinational ; 5.428 ; Vectorless estimation ; 0.502 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational ; 5.880 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~2 ; Combinational ; 5.470 ; Vectorless estimation ; 0.499 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational ; 5.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~2 ; Combinational ; 5.449 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational ; 5.885 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~2 ; Combinational ; 5.459 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~2 ; Combinational ; 5.454 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~2 ; Combinational ; 5.457 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~2 ; Combinational ; 5.455 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85]~1 ; Combinational ; 7.394 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~2 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational ; 6.784 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~2 ; Combinational ; 3.745 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational ; 5.742 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~2 ; Combinational ; 6.671 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational ; 6.261 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~2 ; Combinational ; 5.117 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational ; 5.819 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~2 ; Combinational ; 5.715 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational ; 5.956 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~2 ; Combinational ; 5.352 ; Vectorless estimation ; 0.508 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational ; 5.862 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~2 ; Combinational ; 5.515 ; Vectorless estimation ; 0.496 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational ; 5.902 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~2 ; Combinational ; 5.428 ; Vectorless estimation ; 0.502 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational ; 5.880 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~2 ; Combinational ; 5.470 ; Vectorless estimation ; 0.499 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational ; 5.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~2 ; Combinational ; 5.449 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational ; 5.885 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~2 ; Combinational ; 5.459 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~2 ; Combinational ; 5.454 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~2 ; Combinational ; 5.457 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~2 ; Combinational ; 5.455 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85]~1 ; Combinational ; 7.394 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~2 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational ; 6.784 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~2 ; Combinational ; 3.745 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational ; 5.742 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~2 ; Combinational ; 6.671 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational ; 6.261 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~2 ; Combinational ; 5.117 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational ; 5.819 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~2 ; Combinational ; 5.715 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational ; 5.956 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~2 ; Combinational ; 5.352 ; Vectorless estimation ; 0.508 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational ; 5.862 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~2 ; Combinational ; 5.515 ; Vectorless estimation ; 0.496 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational ; 5.902 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~2 ; Combinational ; 5.428 ; Vectorless estimation ; 0.502 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational ; 5.880 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~2 ; Combinational ; 5.470 ; Vectorless estimation ; 0.499 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational ; 5.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~2 ; Combinational ; 5.449 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational ; 5.885 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~2 ; Combinational ; 5.459 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~2 ; Combinational ; 5.454 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~2 ; Combinational ; 5.457 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~2 ; Combinational ; 5.455 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85]~1 ; Combinational ; 7.394 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~2 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational ; 6.784 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~2 ; Combinational ; 3.745 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational ; 5.742 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~2 ; Combinational ; 6.671 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational ; 6.261 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~2 ; Combinational ; 5.117 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational ; 5.819 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~2 ; Combinational ; 5.715 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational ; 5.956 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~2 ; Combinational ; 5.352 ; Vectorless estimation ; 0.508 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational ; 5.862 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~2 ; Combinational ; 5.515 ; Vectorless estimation ; 0.496 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational ; 5.902 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~2 ; Combinational ; 5.428 ; Vectorless estimation ; 0.502 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational ; 5.880 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~2 ; Combinational ; 5.470 ; Vectorless estimation ; 0.499 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational ; 5.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~2 ; Combinational ; 5.449 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational ; 5.885 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~2 ; Combinational ; 5.459 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~2 ; Combinational ; 5.454 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~2 ; Combinational ; 5.457 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~2 ; Combinational ; 5.455 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~2 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational ; 6.784 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~2 ; Combinational ; 3.745 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational ; 5.742 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~2 ; Combinational ; 6.671 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational ; 6.261 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~2 ; Combinational ; 5.117 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational ; 5.819 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~2 ; Combinational ; 5.715 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational ; 5.956 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~2 ; Combinational ; 5.352 ; Vectorless estimation ; 0.508 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational ; 5.862 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~2 ; Combinational ; 5.515 ; Vectorless estimation ; 0.496 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational ; 5.902 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~2 ; Combinational ; 5.428 ; Vectorless estimation ; 0.502 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational ; 5.880 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~2 ; Combinational ; 5.470 ; Vectorless estimation ; 0.499 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational ; 5.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~2 ; Combinational ; 5.449 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational ; 5.885 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~2 ; Combinational ; 5.459 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~2 ; Combinational ; 5.454 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~2 ; Combinational ; 5.457 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~2 ; Combinational ; 5.455 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85]~1 ; Combinational ; 7.394 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~0 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~3 ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~4 ; Combinational ; 7.286 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~5 ; Combinational ; 6.156 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~6 ; Combinational ; 9.359 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~7 ; Combinational ; 1.790 ; Vectorless estimation ; 0.938 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~8 ; Combinational ; 7.993 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~9 ; Combinational ; 7.545 ; Vectorless estimation ; 0.031 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~10 ; Combinational ; 11.326 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~11 ; Combinational ; 1.902 ; Vectorless estimation ; 0.984 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~12 ; Combinational ; 8.744 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~13 ; Combinational ; 8.268 ; Vectorless estimation ; 0.008 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~14 ; Combinational ; 12.050 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~15 ; Combinational ; 2.068 ; Vectorless estimation ; 0.996 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~16 ; Combinational ; 9.012 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~17 ; Combinational ; 8.495 ; Vectorless estimation ; 0.002 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~18 ; Combinational ; 12.256 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~19 ; Combinational ; 2.124 ; Vectorless estimation ; 0.999 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~20 ; Combinational ; 9.086 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Equal0~0 ; Combinational ; 0.989 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Equal0~1 ; Combinational ; 0.724 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Equal0~2 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Equal0~3 ; Combinational ; 0.001 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count~0 ; Combinational ; 14.559 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count~1 ; Combinational ; 5.276 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count~2 ; Combinational ; 18.700 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count~3 ; Combinational ; 15.971 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count~4 ; Combinational ; 22.630 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count~5 ; Combinational ; 18.006 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count~6 ; Combinational ; 18.155 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|dffe_nae ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|dffe_nae~0 ; Combinational ; 1.680 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|dffe_nae~1 ; Combinational ; 4.541 ; Vectorless estimation ; 0.525 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~2 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational ; 6.784 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~2 ; Combinational ; 3.745 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational ; 5.742 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~2 ; Combinational ; 6.671 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational ; 6.261 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~2 ; Combinational ; 5.117 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational ; 5.819 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~2 ; Combinational ; 5.715 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational ; 5.956 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~2 ; Combinational ; 5.352 ; Vectorless estimation ; 0.508 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational ; 5.862 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~2 ; Combinational ; 5.515 ; Vectorless estimation ; 0.496 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational ; 5.902 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~2 ; Combinational ; 5.428 ; Vectorless estimation ; 0.502 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational ; 5.880 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~2 ; Combinational ; 5.470 ; Vectorless estimation ; 0.499 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational ; 5.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~2 ; Combinational ; 5.449 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational ; 5.885 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~2 ; Combinational ; 5.459 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~2 ; Combinational ; 5.454 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~2 ; Combinational ; 5.457 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~2 ; Combinational ; 5.455 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational ; 7.394 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~2 ; Combinational ; 7.717 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational ; 7.959 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~2 ; Combinational ; 8.000 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational ; 8.030 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~2 ; Combinational ; 8.035 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational ; 8.039 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84]~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~2 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational ; 6.784 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~2 ; Combinational ; 3.745 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational ; 5.742 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~2 ; Combinational ; 6.671 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational ; 6.261 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~2 ; Combinational ; 5.117 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational ; 5.819 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~2 ; Combinational ; 5.715 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational ; 5.956 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~2 ; Combinational ; 5.352 ; Vectorless estimation ; 0.508 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational ; 5.862 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~2 ; Combinational ; 5.515 ; Vectorless estimation ; 0.496 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational ; 5.902 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~2 ; Combinational ; 5.428 ; Vectorless estimation ; 0.502 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational ; 5.880 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~2 ; Combinational ; 5.470 ; Vectorless estimation ; 0.499 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational ; 5.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~2 ; Combinational ; 5.449 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational ; 5.885 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~2 ; Combinational ; 5.459 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~2 ; Combinational ; 5.454 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~2 ; Combinational ; 5.457 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~2 ; Combinational ; 5.455 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85]~1 ; Combinational ; 7.394 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~2 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational ; 6.784 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~2 ; Combinational ; 3.745 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational ; 5.742 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~2 ; Combinational ; 6.671 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational ; 6.261 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~2 ; Combinational ; 5.117 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational ; 5.819 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~2 ; Combinational ; 5.715 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational ; 5.956 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~2 ; Combinational ; 5.352 ; Vectorless estimation ; 0.508 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational ; 5.862 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~2 ; Combinational ; 5.515 ; Vectorless estimation ; 0.496 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational ; 5.902 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~2 ; Combinational ; 5.428 ; Vectorless estimation ; 0.502 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational ; 5.880 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~2 ; Combinational ; 5.470 ; Vectorless estimation ; 0.499 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational ; 5.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~2 ; Combinational ; 5.449 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational ; 5.885 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~2 ; Combinational ; 5.459 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~2 ; Combinational ; 5.454 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~2 ; Combinational ; 5.457 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~2 ; Combinational ; 5.455 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85]~1 ; Combinational ; 7.394 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~2 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational ; 6.784 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~2 ; Combinational ; 3.745 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational ; 5.742 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~2 ; Combinational ; 6.671 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational ; 6.261 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~2 ; Combinational ; 5.117 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational ; 5.819 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~2 ; Combinational ; 5.715 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational ; 5.956 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~2 ; Combinational ; 5.352 ; Vectorless estimation ; 0.508 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational ; 5.862 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~2 ; Combinational ; 5.515 ; Vectorless estimation ; 0.496 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational ; 5.902 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~2 ; Combinational ; 5.428 ; Vectorless estimation ; 0.502 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational ; 5.880 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~2 ; Combinational ; 5.470 ; Vectorless estimation ; 0.499 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational ; 5.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~2 ; Combinational ; 5.449 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational ; 5.885 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~2 ; Combinational ; 5.459 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~2 ; Combinational ; 5.454 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~2 ; Combinational ; 5.457 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~2 ; Combinational ; 5.455 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85]~1 ; Combinational ; 7.394 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~2 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational ; 6.784 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~2 ; Combinational ; 3.745 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational ; 5.742 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~2 ; Combinational ; 6.671 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational ; 6.261 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~2 ; Combinational ; 5.117 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational ; 5.819 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~2 ; Combinational ; 5.715 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational ; 5.956 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~2 ; Combinational ; 5.352 ; Vectorless estimation ; 0.508 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational ; 5.862 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~2 ; Combinational ; 5.515 ; Vectorless estimation ; 0.496 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational ; 5.902 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~2 ; Combinational ; 5.428 ; Vectorless estimation ; 0.502 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational ; 5.880 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~2 ; Combinational ; 5.470 ; Vectorless estimation ; 0.499 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational ; 5.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~2 ; Combinational ; 5.449 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational ; 5.885 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~2 ; Combinational ; 5.459 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~2 ; Combinational ; 5.454 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~2 ; Combinational ; 5.457 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~2 ; Combinational ; 5.455 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~2 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational ; 6.784 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~2 ; Combinational ; 3.745 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational ; 5.742 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~2 ; Combinational ; 6.671 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational ; 6.261 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~2 ; Combinational ; 5.117 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational ; 5.819 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~2 ; Combinational ; 5.715 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational ; 5.956 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~2 ; Combinational ; 5.352 ; Vectorless estimation ; 0.508 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational ; 5.862 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~2 ; Combinational ; 5.515 ; Vectorless estimation ; 0.496 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational ; 5.902 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~2 ; Combinational ; 5.428 ; Vectorless estimation ; 0.502 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational ; 5.880 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~2 ; Combinational ; 5.470 ; Vectorless estimation ; 0.499 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational ; 5.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~2 ; Combinational ; 5.449 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational ; 5.885 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~2 ; Combinational ; 5.459 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~2 ; Combinational ; 5.454 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~2 ; Combinational ; 5.457 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~2 ; Combinational ; 5.455 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational ; 5.886 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84]~2 ; Combinational ; 5.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][85]~1 ; Combinational ; 5.887 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~0 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~2 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~3 ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~4 ; Combinational ; 7.286 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~5 ; Combinational ; 6.156 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~6 ; Combinational ; 9.359 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~7 ; Combinational ; 1.790 ; Vectorless estimation ; 0.938 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~8 ; Combinational ; 7.993 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~9 ; Combinational ; 7.545 ; Vectorless estimation ; 0.031 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~10 ; Combinational ; 11.326 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~11 ; Combinational ; 1.902 ; Vectorless estimation ; 0.984 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~12 ; Combinational ; 8.744 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~13 ; Combinational ; 8.268 ; Vectorless estimation ; 0.008 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~14 ; Combinational ; 12.050 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~15 ; Combinational ; 2.068 ; Vectorless estimation ; 0.996 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~16 ; Combinational ; 9.012 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~17 ; Combinational ; 8.495 ; Vectorless estimation ; 0.002 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~18 ; Combinational ; 12.256 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~19 ; Combinational ; 2.124 ; Vectorless estimation ; 0.999 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Add0~20 ; Combinational ; 9.086 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Equal0~0 ; Combinational ; 0.989 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Equal0~1 ; Combinational ; 0.724 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Equal0~2 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|Equal0~3 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count~0 ; Combinational ; 7.279 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count~1 ; Combinational ; 6.407 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count~2 ; Combinational ; 9.350 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count~3 ; Combinational ; 7.985 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count~4 ; Combinational ; 11.315 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count~5 ; Combinational ; 9.003 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst|count~6 ; Combinational ; 18.155 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|dffe_nae ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|dffe_nae~0 ; Combinational ; 1.445 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|dffe_nae~1 ; Combinational ; 6.893 ; Vectorless estimation ; 0.525 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~12 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~13 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~14 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~15 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~16 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~17 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~18 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~19 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~20 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~21 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~22 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~23 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT1 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT2 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT3 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT4 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT5 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT6 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT7 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT8 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT9 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT10 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT11 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT12 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT13 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT14 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT15 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT16 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT17 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT18 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT19 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT20 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT21 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT22 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT23 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~12 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~13 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~14 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~15 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~16 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~17 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~18 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~19 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~20 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~21 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~22 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~23 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT1 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT2 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT3 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT4 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT5 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT6 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT7 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT8 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT9 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT10 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT11 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT12 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT13 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT14 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT15 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT16 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT17 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT18 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT19 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT20 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT21 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT22 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT23 ; Combinational ; 32.161 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|dffe_nae ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|dffe_nae~0 ; Combinational ; 4.319 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|dffe_nae~1 ; Combinational ; 15.905 ; Vectorless estimation ; 0.503 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~2 ; Combinational ; 16.334 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational ; 30.626 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~2 ; Combinational ; 9.109 ; Vectorless estimation ; 0.813 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational ; 18.135 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~2 ; Combinational ; 42.562 ; Vectorless estimation ; 0.219 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational ; 34.802 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~2 ; Combinational ; 13.656 ; Vectorless estimation ; 0.766 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational ; 18.364 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~2 ; Combinational ; 20.005 ; Vectorless estimation ; 0.242 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational ; 23.747 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~2 ; Combinational ; 13.289 ; Vectorless estimation ; 0.754 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational ; 21.538 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~2 ; Combinational ; 26.298 ; Vectorless estimation ; 0.248 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational ; 25.548 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~2 ; Combinational ; 14.306 ; Vectorless estimation ; 0.751 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational ; 24.919 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~2 ; Combinational ; 38.585 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational ; 29.347 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~2 ; Combinational ; 16.234 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational ; 25.498 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~2 ; Combinational ; 38.834 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational ; 29.415 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~2 ; Combinational ; 16.276 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational ; 25.505 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~2 ; Combinational ; 38.827 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational ; 29.410 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~2 ; Combinational ; 16.275 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational ; 18.829 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~2 ; Combinational ; 19.859 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational ; 23.482 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~2 ; Combinational ; 13.311 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational ; 17.902 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~2 ; Combinational ; 38.360 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational ; 32.405 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~2 ; Combinational ; 13.375 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational ; 17.922 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~2 ; Combinational ; 19.405 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational ; 26.481 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~2 ; Combinational ; 10.414 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational ; 16.996 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~2 ; Combinational ; 18.942 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational ; 23.195 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~2 ; Combinational ; 13.168 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational ; 21.391 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~2 ; Combinational ; 26.087 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational ; 25.428 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~2 ; Combinational ; 14.285 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational ; 24.881 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~2 ; Combinational ; 38.511 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational ; 29.311 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~2 ; Combinational ; 16.226 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational ; 22.347 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~2 ; Combinational ; 26.565 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational ; 25.577 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~2 ; Combinational ; 14.359 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational ; 24.904 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~2 ; Combinational ; 38.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational ; 29.314 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~2 ; Combinational ; 16.228 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational ; 22.347 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~2 ; Combinational ; 26.565 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational ; 25.577 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~2 ; Combinational ; 14.359 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational ; 24.904 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~2 ; Combinational ; 38.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational ; 29.314 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~2 ; Combinational ; 16.228 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational ; 25.488 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~2 ; Combinational ; 38.815 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational ; 32.547 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~2 ; Combinational ; 13.446 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational ; 21.478 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~2 ; Combinational ; 26.130 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational ; 28.583 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~2 ; Combinational ; 11.464 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational ; 20.859 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~2 ; Combinational ; 25.821 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational ; 25.345 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~2 ; Combinational ; 14.243 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational ; 24.868 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~2 ; Combinational ; 38.505 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational ; 29.309 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~2 ; Combinational ; 16.225 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational ; 25.487 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~2 ; Combinational ; 38.815 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational ; 32.547 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~2 ; Combinational ; 13.446 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational ; 24.619 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~2 ; Combinational ; 38.380 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational ; 29.270 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~2 ; Combinational ; 16.205 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational ; 22.340 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~2 ; Combinational ; 26.561 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational ; 25.576 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~2 ; Combinational ; 14.359 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational ; 21.763 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~2 ; Combinational ; 26.273 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational ; 25.486 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~2 ; Combinational ; 14.314 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational ; 21.749 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~2 ; Combinational ; 26.266 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational ; 25.484 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~2 ; Combinational ; 14.313 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational ; 24.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~2 ; Combinational ; 38.516 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational ; 29.312 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~2 ; Combinational ; 16.227 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational ; 25.488 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~2 ; Combinational ; 38.815 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational ; 32.547 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~2 ; Combinational ; 13.446 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational ; 24.619 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~2 ; Combinational ; 38.380 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational ; 32.411 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~2 ; Combinational ; 13.378 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational ; 24.598 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~2 ; Combinational ; 38.370 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational ; 32.408 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~2 ; Combinational ; 13.377 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational ; 24.597 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~2 ; Combinational ; 38.370 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational ; 29.266 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~2 ; Combinational ; 16.204 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational ; 22.340 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~2 ; Combinational ; 26.561 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational ; 25.576 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~2 ; Combinational ; 14.359 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational ; 21.763 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~2 ; Combinational ; 26.273 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational ; 25.486 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~2 ; Combinational ; 14.314 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational ; 24.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~2 ; Combinational ; 38.516 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational ; 29.312 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~2 ; Combinational ; 16.227 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational ; 25.488 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~2 ; Combinational ; 38.815 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational ; 29.406 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~2 ; Combinational ; 16.273 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational ; 25.502 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~2 ; Combinational ; 38.822 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational ; 32.549 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~2 ; Combinational ; 13.448 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational ; 24.619 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~2 ; Combinational ; 38.381 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational ; 32.411 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~2 ; Combinational ; 13.379 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational ; 21.457 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~2 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational ; 16.962 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~2 ; Combinational ; 9.364 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational ; 14.356 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~2 ; Combinational ; 16.680 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational ; 15.654 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~2 ; Combinational ; 12.794 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational ; 14.551 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~2 ; Combinational ; 14.289 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational ; 14.891 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~2 ; Combinational ; 13.380 ; Vectorless estimation ; 0.508 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational ; 14.656 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~2 ; Combinational ; 13.788 ; Vectorless estimation ; 0.496 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational ; 14.756 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~2 ; Combinational ; 13.572 ; Vectorless estimation ; 0.502 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational ; 14.701 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~2 ; Combinational ; 13.677 ; Vectorless estimation ; 0.499 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational ; 14.727 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~2 ; Combinational ; 13.624 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational ; 14.714 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~2 ; Combinational ; 13.650 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational ; 14.720 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~2 ; Combinational ; 13.637 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational ; 14.717 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~2 ; Combinational ; 13.643 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational ; 14.719 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~2 ; Combinational ; 13.640 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~2 ; Combinational ; 13.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational ; 18.488 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~2 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational ; 16.962 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~2 ; Combinational ; 9.364 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational ; 14.356 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~2 ; Combinational ; 16.680 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational ; 15.654 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~2 ; Combinational ; 12.794 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational ; 14.551 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~2 ; Combinational ; 14.289 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational ; 14.891 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~2 ; Combinational ; 13.380 ; Vectorless estimation ; 0.508 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational ; 14.656 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~2 ; Combinational ; 13.788 ; Vectorless estimation ; 0.496 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational ; 14.756 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~2 ; Combinational ; 13.572 ; Vectorless estimation ; 0.502 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational ; 14.701 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~2 ; Combinational ; 13.677 ; Vectorless estimation ; 0.499 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational ; 14.727 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~2 ; Combinational ; 13.624 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational ; 14.714 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~2 ; Combinational ; 13.650 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational ; 14.720 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~2 ; Combinational ; 13.637 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational ; 14.717 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~2 ; Combinational ; 13.643 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational ; 14.719 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~2 ; Combinational ; 13.640 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~2 ; Combinational ; 13.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational ; 18.488 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~2 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational ; 16.962 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~2 ; Combinational ; 9.364 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational ; 14.356 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~2 ; Combinational ; 16.680 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational ; 15.654 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~2 ; Combinational ; 12.794 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational ; 14.551 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~2 ; Combinational ; 14.289 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational ; 14.891 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~2 ; Combinational ; 13.380 ; Vectorless estimation ; 0.508 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational ; 14.656 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~2 ; Combinational ; 13.788 ; Vectorless estimation ; 0.496 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational ; 14.756 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~2 ; Combinational ; 13.572 ; Vectorless estimation ; 0.502 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational ; 14.701 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~2 ; Combinational ; 13.677 ; Vectorless estimation ; 0.499 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational ; 14.727 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~2 ; Combinational ; 13.624 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational ; 14.714 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~2 ; Combinational ; 13.650 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational ; 14.720 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~2 ; Combinational ; 13.637 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational ; 14.717 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~2 ; Combinational ; 13.643 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational ; 14.719 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~2 ; Combinational ; 13.640 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~2 ; Combinational ; 13.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational ; 18.488 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~2 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational ; 16.962 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~2 ; Combinational ; 9.364 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational ; 14.356 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~2 ; Combinational ; 16.680 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational ; 15.654 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~2 ; Combinational ; 12.794 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational ; 14.551 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~2 ; Combinational ; 14.289 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational ; 14.891 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~2 ; Combinational ; 13.380 ; Vectorless estimation ; 0.508 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational ; 14.656 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~2 ; Combinational ; 13.788 ; Vectorless estimation ; 0.496 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational ; 14.756 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~2 ; Combinational ; 13.572 ; Vectorless estimation ; 0.502 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational ; 14.701 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~2 ; Combinational ; 13.677 ; Vectorless estimation ; 0.499 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational ; 14.727 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~2 ; Combinational ; 13.624 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational ; 14.714 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~2 ; Combinational ; 13.650 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational ; 14.720 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~2 ; Combinational ; 13.637 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational ; 14.717 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~2 ; Combinational ; 13.643 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational ; 14.719 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~2 ; Combinational ; 13.640 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~2 ; Combinational ; 13.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational ; 18.488 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~2 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational ; 16.962 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~2 ; Combinational ; 9.364 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational ; 14.356 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~2 ; Combinational ; 16.680 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational ; 15.654 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~2 ; Combinational ; 12.794 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational ; 14.551 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~2 ; Combinational ; 14.289 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational ; 14.891 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~2 ; Combinational ; 13.380 ; Vectorless estimation ; 0.508 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational ; 14.656 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~2 ; Combinational ; 13.788 ; Vectorless estimation ; 0.496 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational ; 14.756 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~2 ; Combinational ; 13.572 ; Vectorless estimation ; 0.502 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational ; 14.701 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~2 ; Combinational ; 13.677 ; Vectorless estimation ; 0.499 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational ; 14.727 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~2 ; Combinational ; 13.624 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational ; 14.714 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~2 ; Combinational ; 13.650 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational ; 14.720 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~2 ; Combinational ; 13.637 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational ; 14.717 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~2 ; Combinational ; 13.643 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational ; 14.719 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~2 ; Combinational ; 13.640 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~2 ; Combinational ; 13.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational ; 18.488 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|dffe_af ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|dffe_af~0 ; Combinational ; 1.811 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|dffe_af~1 ; Combinational ; 5.742 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|dffe_af~2 ; Combinational ; 28.137 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|dffe_nae ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|dffe_nae~0 ; Combinational ; 3.612 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|dffe_nae~1 ; Combinational ; 11.091 ; Vectorless estimation ; 0.509 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74]~feeder ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~2 ; Combinational ; 16.334 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational ; 26.699 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~2 ; Combinational ; 12.957 ; Vectorless estimation ; 0.813 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational ; 23.269 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~2 ; Combinational ; 17.699 ; Vectorless estimation ; 0.219 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational ; 27.033 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~2 ; Combinational ; 9.771 ; Vectorless estimation ; 0.766 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational ; 17.150 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~2 ; Combinational ; 19.398 ; Vectorless estimation ; 0.242 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational ; 23.557 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~2 ; Combinational ; 13.194 ; Vectorless estimation ; 0.754 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational ; 17.952 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~2 ; Combinational ; 38.622 ; Vectorless estimation ; 0.248 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational ; 29.400 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~2 ; Combinational ; 16.231 ; Vectorless estimation ; 0.751 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational ; 25.521 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~2 ; Combinational ; 38.886 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational ; 29.441 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~2 ; Combinational ; 16.281 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational ; 22.371 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~2 ; Combinational ; 26.585 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational ; 22.053 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~2 ; Combinational ; 10.474 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational ; 23.692 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~2 ; Combinational ; 37.920 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational ; 29.127 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~2 ; Combinational ; 16.133 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational ; 22.318 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~2 ; Combinational ; 26.551 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational ; 25.573 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~2 ; Combinational ; 14.357 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational ; 24.904 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~2 ; Combinational ; 38.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational ; 29.314 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~2 ; Combinational ; 16.228 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational ; 25.488 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~2 ; Combinational ; 38.815 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational ; 32.547 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~2 ; Combinational ; 13.446 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational ; 21.478 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~2 ; Combinational ; 26.130 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational ; 25.442 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~2 ; Combinational ; 14.291 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational ; 21.742 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~2 ; Combinational ; 26.262 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational ; 25.483 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~2 ; Combinational ; 14.312 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational ; 21.748 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~2 ; Combinational ; 26.266 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational ; 25.484 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~2 ; Combinational ; 14.313 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational ; 24.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~2 ; Combinational ; 38.516 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational ; 29.312 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~2 ; Combinational ; 16.227 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational ; 25.488 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~2 ; Combinational ; 38.815 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational ; 29.406 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~2 ; Combinational ; 16.273 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational ; 22.361 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~2 ; Combinational ; 26.572 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational ; 25.580 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~2 ; Combinational ; 14.360 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational ; 21.764 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~2 ; Combinational ; 26.273 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational ; 25.486 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~2 ; Combinational ; 14.314 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational ; 21.749 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~2 ; Combinational ; 26.266 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational ; 25.484 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~2 ; Combinational ; 14.313 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational ; 21.749 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~2 ; Combinational ; 26.266 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational ; 28.625 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~2 ; Combinational ; 11.486 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational ; 24.006 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~2 ; Combinational ; 38.074 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational ; 32.315 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~2 ; Combinational ; 13.331 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational ; 24.583 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~2 ; Combinational ; 38.362 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational ; 32.405 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~2 ; Combinational ; 13.376 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational ; 24.597 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~2 ; Combinational ; 38.369 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational ; 32.407 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~2 ; Combinational ; 13.377 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational ; 24.597 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~2 ; Combinational ; 38.370 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational ; 29.266 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~2 ; Combinational ; 16.204 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational ; 22.340 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~2 ; Combinational ; 26.561 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational ; 25.576 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~2 ; Combinational ; 14.359 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational ; 21.763 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~2 ; Combinational ; 26.273 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational ; 25.486 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~2 ; Combinational ; 14.314 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational ; 21.749 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~2 ; Combinational ; 26.266 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational ; 25.484 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~2 ; Combinational ; 14.313 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational ; 21.749 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~2 ; Combinational ; 26.266 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational ; 28.625 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~2 ; Combinational ; 11.486 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational ; 24.006 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~2 ; Combinational ; 38.074 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational ; 32.315 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~2 ; Combinational ; 13.331 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational ; 24.583 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~2 ; Combinational ; 38.362 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational ; 32.405 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~2 ; Combinational ; 13.376 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational ; 24.597 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~2 ; Combinational ; 38.369 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational ; 32.407 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~2 ; Combinational ; 13.377 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational ; 24.597 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~2 ; Combinational ; 38.370 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational ; 32.408 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~2 ; Combinational ; 13.377 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational ; 21.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~2 ; Combinational ; 26.119 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational ; 28.579 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~2 ; Combinational ; 11.463 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational ; 23.999 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~2 ; Combinational ; 38.071 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational ; 32.314 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~2 ; Combinational ; 13.330 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational ; 24.583 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~2 ; Combinational ; 38.362 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational ; 32.405 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~2 ; Combinational ; 13.376 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational ; 21.456 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~2 ; Combinational ; 26.119 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational ; 25.438 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~2 ; Combinational ; 14.290 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational ; 21.741 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~2 ; Combinational ; 26.262 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational ; 25.483 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~2 ; Combinational ; 14.312 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational ; 24.890 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~2 ; Combinational ; 38.516 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational ; 29.312 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~2 ; Combinational ; 16.227 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[0].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational ; 22.347 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~2 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational ; 16.962 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~2 ; Combinational ; 9.364 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational ; 14.356 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~2 ; Combinational ; 16.680 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational ; 15.654 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~2 ; Combinational ; 12.794 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational ; 14.551 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~2 ; Combinational ; 14.289 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational ; 14.891 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~2 ; Combinational ; 13.380 ; Vectorless estimation ; 0.508 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational ; 14.656 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~2 ; Combinational ; 13.788 ; Vectorless estimation ; 0.496 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational ; 14.756 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~2 ; Combinational ; 13.572 ; Vectorless estimation ; 0.502 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational ; 14.701 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~2 ; Combinational ; 13.677 ; Vectorless estimation ; 0.499 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational ; 14.727 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~2 ; Combinational ; 13.624 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational ; 14.714 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~2 ; Combinational ; 13.650 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational ; 14.720 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~2 ; Combinational ; 13.637 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational ; 14.717 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~2 ; Combinational ; 13.643 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational ; 14.719 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~2 ; Combinational ; 13.640 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~2 ; Combinational ; 13.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[1].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational ; 18.488 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~2 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational ; 16.962 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~2 ; Combinational ; 9.364 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational ; 14.356 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~2 ; Combinational ; 16.680 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational ; 15.654 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~2 ; Combinational ; 12.794 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational ; 14.551 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~2 ; Combinational ; 14.289 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational ; 14.891 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~2 ; Combinational ; 13.380 ; Vectorless estimation ; 0.508 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational ; 14.656 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~2 ; Combinational ; 13.788 ; Vectorless estimation ; 0.496 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational ; 14.756 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~2 ; Combinational ; 13.572 ; Vectorless estimation ; 0.502 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational ; 14.701 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~2 ; Combinational ; 13.677 ; Vectorless estimation ; 0.499 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational ; 14.727 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~2 ; Combinational ; 13.624 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational ; 14.714 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~2 ; Combinational ; 13.650 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational ; 14.720 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~2 ; Combinational ; 13.637 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational ; 14.717 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~2 ; Combinational ; 13.643 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational ; 14.719 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~2 ; Combinational ; 13.640 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~2 ; Combinational ; 13.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[2].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational ; 18.488 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~2 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational ; 16.962 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~2 ; Combinational ; 9.364 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational ; 14.356 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~2 ; Combinational ; 16.680 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational ; 15.654 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~2 ; Combinational ; 12.794 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational ; 14.551 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~2 ; Combinational ; 14.289 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational ; 14.891 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~2 ; Combinational ; 13.380 ; Vectorless estimation ; 0.508 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational ; 14.656 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~2 ; Combinational ; 13.788 ; Vectorless estimation ; 0.496 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational ; 14.756 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~2 ; Combinational ; 13.572 ; Vectorless estimation ; 0.502 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational ; 14.701 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~2 ; Combinational ; 13.677 ; Vectorless estimation ; 0.499 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational ; 14.727 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~2 ; Combinational ; 13.624 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational ; 14.714 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~2 ; Combinational ; 13.650 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational ; 14.720 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~2 ; Combinational ; 13.637 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational ; 14.717 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~2 ; Combinational ; 13.643 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational ; 14.719 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~2 ; Combinational ; 13.640 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~2 ; Combinational ; 13.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[3].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational ; 18.488 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~2 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational ; 16.962 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~2 ; Combinational ; 9.364 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational ; 14.356 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~2 ; Combinational ; 16.680 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational ; 15.654 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~2 ; Combinational ; 12.794 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational ; 14.551 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~2 ; Combinational ; 14.289 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational ; 14.891 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~2 ; Combinational ; 13.380 ; Vectorless estimation ; 0.508 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational ; 14.656 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~2 ; Combinational ; 13.788 ; Vectorless estimation ; 0.496 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational ; 14.756 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~2 ; Combinational ; 13.572 ; Vectorless estimation ; 0.502 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational ; 14.701 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~2 ; Combinational ; 13.677 ; Vectorless estimation ; 0.499 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational ; 14.727 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~2 ; Combinational ; 13.624 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational ; 14.714 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~2 ; Combinational ; 13.650 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational ; 14.720 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~2 ; Combinational ; 13.637 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational ; 14.717 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~2 ; Combinational ; 13.643 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational ; 14.719 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~2 ; Combinational ; 13.640 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~2 ; Combinational ; 13.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[4].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational ; 18.488 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~1 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0]~2 ; Combinational ; 11.308 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~1 ; Combinational ; 16.962 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1]~2 ; Combinational ; 9.364 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~1 ; Combinational ; 14.356 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2]~2 ; Combinational ; 16.680 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~1 ; Combinational ; 15.654 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3]~2 ; Combinational ; 12.794 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~1 ; Combinational ; 14.551 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4]~2 ; Combinational ; 14.289 ; Vectorless estimation ; 0.484 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~1 ; Combinational ; 14.891 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5]~2 ; Combinational ; 13.380 ; Vectorless estimation ; 0.508 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~1 ; Combinational ; 14.656 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6]~2 ; Combinational ; 13.788 ; Vectorless estimation ; 0.496 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~1 ; Combinational ; 14.756 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7]~2 ; Combinational ; 13.572 ; Vectorless estimation ; 0.502 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~1 ; Combinational ; 14.701 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8]~2 ; Combinational ; 13.677 ; Vectorless estimation ; 0.499 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~1 ; Combinational ; 14.727 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9]~2 ; Combinational ; 13.624 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~1 ; Combinational ; 14.714 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10]~2 ; Combinational ; 13.650 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~1 ; Combinational ; 14.720 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11]~2 ; Combinational ; 13.637 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~1 ; Combinational ; 14.717 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12]~2 ; Combinational ; 13.643 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~1 ; Combinational ; 14.719 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13]~2 ; Combinational ; 13.640 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14]~2 ; Combinational ; 13.642 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~1 ; Combinational ; 14.718 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73]~2 ; Combinational ; 13.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|auk_dspip_integrator:integrator_loop[5].auK_integrator|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74]~1 ; Combinational ; 18.488 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|dffe_af ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|dffe_af~0 ; Combinational ; 2.106 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|dffe_af~1 ; Combinational ; 5.742 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|dffe_af~2 ; Combinational ; 33.505 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~12 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~13 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~14 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~15 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~16 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~17 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~18 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~19 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~20 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~21 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~22 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~23 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT1 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT2 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT3 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT4 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT5 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT6 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT7 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT8 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT9 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT10 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT11 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT12 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT13 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT14 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT15 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT16 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT17 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT18 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT19 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT20 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT21 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT22 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT23 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[18] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[19] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[20] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[21] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[22] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[23] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~12 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~13 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~14 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~15 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~16 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~17 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~18 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~19 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~20 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~21 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~22 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~23 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT1 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT2 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT3 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT4 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT5 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT6 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT7 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT8 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT9 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT10 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT11 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT12 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT13 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT14 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT15 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT16 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT17 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT18 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT19 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT20 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT21 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT22 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~DATAOUT23 ; Combinational ; 80.412 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[16] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[17] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[18] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[19] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[20] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[21] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[22] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[23] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|q_b[15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|Add0~0 ; Combinational ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|Add0~1 ; Combinational ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|Add0~2 ; Combinational ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|Add0~3 ; Combinational ; 0.703 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|Add0~4 ; Combinational ; 1.133 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|Add0~5 ; Combinational ; 0.957 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|Add0~6 ; Combinational ; 1.455 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|Add0~7 ; Combinational ; 0.278 ; Vectorless estimation ; 0.938 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|Add0~8 ; Combinational ; 1.243 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|clear_signal ; Combinational ; 0.938 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|Equal0~0 ; Combinational ; 0.154 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|Mux0~0 ; Combinational ; 0.569 ; Vectorless estimation ; 0.313 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|Mux1~0 ; Combinational ; 0.615 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|word_counter[0] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|word_counter[1] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|word_counter[2] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|word_counter[3] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|word_counter[4] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|word_counter~0 ; Combinational ; 1.172 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|word_counter~1 ; Combinational ; 0.767 ; Vectorless estimation ; 0.344 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|word_counter~2 ; Combinational ; 1.150 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|word_counter~3 ; Combinational ; 1.475 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|word_counter~4 ; Combinational ; 1.210 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|word_counter~5 ; Combinational ; 1.767 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|WORD_SR[0] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|WORD_SR[1] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|WORD_SR[2] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|WORD_SR[3] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|WORD_SR~0 ; Combinational ; 0.703 ; Vectorless estimation ; 0.188 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|WORD_SR~1 ; Combinational ; 0.903 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|WORD_SR~2 ; Combinational ; 0.857 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|WORD_SR~3 ; Combinational ; 0.350 ; Vectorless estimation ; 0.281 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|WORD_SR~4 ; Combinational ; 0.273 ; Vectorless estimation ; 0.070 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|WORD_SR~5 ; Combinational ; 1.793 ; Vectorless estimation ; 0.245 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_rom_sr:hub_info_reg|WORD_SR~6 ; Combinational ; 1.287 ; Vectorless estimation ; 0.305 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[0] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[0]~_wirecell ; Combinational ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[1] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[2] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[3] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[4] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[5] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[6] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[7] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[8] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[9] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[10] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[11] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[12] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[13] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[14] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[15] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state[15]~feeder ; Combinational ; 3.469 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state~0 ; Combinational ; 4.512 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state~1 ; Combinational ; 0.183 ; Vectorless estimation ; 0.938 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state~2 ; Combinational ; 7.122 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state~3 ; Combinational ; 2.625 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state~4 ; Combinational ; 0.488 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state~5 ; Combinational ; 2.520 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state~6 ; Combinational ; 0.703 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state~7 ; Combinational ; 2.625 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state~8 ; Combinational ; 2.203 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state~9 ; Combinational ; 2.625 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state~10 ; Combinational ; 0.356 ; Vectorless estimation ; 0.875 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state~11 ; Combinational ; 6.176 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state~12 ; Combinational ; 0.703 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|state~13 ; Combinational ; 4.313 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|tms_cnt[0] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|tms_cnt[1] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|tms_cnt[2] ; Registered ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|tms_cnt~0 ; Combinational ; 0.869 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|tms_cnt~1 ; Combinational ; 2.813 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; sld_hub:auto_hub|alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|alt_sld_fab:instrumentation_fabric|alt_sld_fab_alt_sld_fab:alt_sld_fab|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric|sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub|sld_shadow_jsm:shadow_jsm|tms_cnt~2 ; Combinational ; 1.250 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|_~0 ; Combinational ; 0.819 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|_~1 ; Combinational ; 5.276 ; Vectorless estimation ; 0.503 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|_~2 ; Combinational ; 9.510 ; Vectorless estimation ; 0.277 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|_~3 ; Combinational ; 0.286 ; Vectorless estimation ; 0.020 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|empty_dff ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|empty_dff~0 ; Combinational ; 16.038 ; Vectorless estimation ; 0.313 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|empty_dff~1 ; Combinational ; 11.774 ; Vectorless estimation ; 0.296 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|full_dff ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|low_addressa[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|low_addressa[0]~0 ; Combinational ; 4.278 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|low_addressa[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|low_addressa[1]~1 ; Combinational ; 5.867 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|low_addressa[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|low_addressa[2]~2 ; Combinational ; 4.956 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|ram_read_address[0]~0 ; Combinational ; 3.309 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|ram_read_address[1]~1 ; Combinational ; 3.309 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|ram_read_address[2]~2 ; Combinational ; 6.108 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|rd_ptr_lsb ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|rd_ptr_lsb~0 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|rd_ptr_lsb~1 ; Combinational ; 8.327 ; Vectorless estimation ; 0.527 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|usedw_is_0_dff ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|usedw_is_1_dff ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|usedw_will_be_1~0 ; Combinational ; 1.354 ; Vectorless estimation ; 0.094 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|usedw_will_be_1~1 ; Combinational ; 2.764 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|usedw_will_be_1~2 ; Combinational ; 1.502 ; Vectorless estimation ; 0.123 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|usedw_will_be_1~3 ; Combinational ; 9.632 ; Vectorless estimation ; 0.220 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|valid_rreq ; Combinational ; 0.563 ; Vectorless estimation ; 0.053 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|valid_wreq ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|_~0 ; Combinational ; 0.874 ; Vectorless estimation ; 0.031 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|_~1 ; Combinational ; 8.678 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|empty_dff ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|empty_dff~2 ; Combinational ; 12.567 ; Vectorless estimation ; 0.516 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|empty_dff~3 ; Combinational ; 7.417 ; Vectorless estimation ; 0.258 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|empty_dff~4 ; Combinational ; 8.393 ; Vectorless estimation ; 0.256 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|full_dff ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[0]~0 ; Combinational ; 5.653 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[1]~1 ; Combinational ; 5.653 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[2]~2 ; Combinational ; 5.276 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[3]~3 ; Combinational ; 5.276 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[4]~4 ; Combinational ; 5.653 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|ram_read_address[0]~0 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|ram_read_address[1]~1 ; Combinational ; 6.533 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|ram_read_address[2]~2 ; Combinational ; 5.779 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|ram_read_address[3]~3 ; Combinational ; 6.533 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|ram_read_address[4]~4 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|rd_ptr_lsb ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|rd_ptr_lsb~0 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|rd_ptr_lsb~1 ; Combinational ; 6.030 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|usedw_is_0_dff ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|usedw_is_1_dff ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|usedw_will_be_1~0 ; Combinational ; 0.989 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|usedw_will_be_1~1 ; Combinational ; 0.145 ; Vectorless estimation ; 0.015 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|usedw_will_be_1~2 ; Combinational ; 11.679 ; Vectorless estimation ; 0.266 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|usedw_will_be_1~3 ; Combinational ; 6.807 ; Vectorless estimation ; 0.138 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|usedw_will_be_1~4 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|valid_wreq~0 ; Combinational ; 1.084 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|_~0 ; Combinational ; 0.724 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|_~1 ; Combinational ; 11.018 ; Vectorless estimation ; 0.503 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|_~2 ; Combinational ; 5.825 ; Vectorless estimation ; 0.277 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|empty_dff ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|empty_dff~0 ; Combinational ; 4.366 ; Vectorless estimation ; 0.313 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|empty_dff~1 ; Combinational ; 6.000 ; Vectorless estimation ; 0.860 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|empty_dff~2 ; Combinational ; 12.897 ; Vectorless estimation ; 0.593 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|empty_dff~3 ; Combinational ; 6.328 ; Vectorless estimation ; 0.242 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|empty_dff~4 ; Combinational ; 8.603 ; Vectorless estimation ; 0.442 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|empty_dff~5 ; Combinational ; 9.034 ; Vectorless estimation ; 0.407 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|full_dff ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|low_addressa[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|low_addressa[0]~0 ; Combinational ; 9.201 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|low_addressa[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|low_addressa[1]~1 ; Combinational ; 9.201 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|low_addressa[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|low_addressa[2]~2 ; Combinational ; 9.201 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|ram_read_address[0]~0 ; Combinational ; 5.405 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|ram_read_address[1]~1 ; Combinational ; 5.405 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|ram_read_address[2]~2 ; Combinational ; 5.405 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|rd_ptr_lsb ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|rd_ptr_lsb~0 ; Combinational ; 13.032 ; Vectorless estimation ; 0.527 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|rd_ptr_lsb~1 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|usedw_is_0_dff ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|usedw_is_1_dff ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|usedw_will_be_1~0 ; Combinational ; 1.837 ; Vectorless estimation ; 0.094 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|usedw_will_be_1~1 ; Combinational ; 3.141 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|usedw_will_be_1~2 ; Combinational ; 15.199 ; Vectorless estimation ; 0.123 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|usedw_will_be_1~3 ; Combinational ; 9.849 ; Vectorless estimation ; 0.220 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|valid_rreq ; Combinational ; 6.734 ; Vectorless estimation ; 0.053 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|valid_wreq ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|_~0 ; Combinational ; 0.258 ; Vectorless estimation ; 0.031 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|_~1 ; Combinational ; 6.960 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|empty_dff ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|empty_dff~2 ; Combinational ; 10.388 ; Vectorless estimation ; 0.516 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|empty_dff~3 ; Combinational ; 6.872 ; Vectorless estimation ; 0.258 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|empty_dff~4 ; Combinational ; 7.461 ; Vectorless estimation ; 0.256 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|full_dff ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[0]~0 ; Combinational ; 5.276 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[1]~1 ; Combinational ; 5.653 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[2]~2 ; Combinational ; 5.653 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[3]~3 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|low_addressa[4]~4 ; Combinational ; 5.276 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|ram_read_address[0]~0 ; Combinational ; 4.083 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|ram_read_address[1]~1 ; Combinational ; 4.837 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|ram_read_address[2]~2 ; Combinational ; 4.837 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|ram_read_address[3]~3 ; Combinational ; 6.533 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|ram_read_address[4]~4 ; Combinational ; 6.533 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|rd_ptr_lsb ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|rd_ptr_lsb~0 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|rd_ptr_lsb~1 ; Combinational ; 6.030 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|usedw_is_0_dff ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|usedw_is_1_dff ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|usedw_will_be_1~0 ; Combinational ; 0.989 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|usedw_will_be_1~1 ; Combinational ; 0.110 ; Vectorless estimation ; 0.015 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|usedw_will_be_1~2 ; Combinational ; 8.419 ; Vectorless estimation ; 0.266 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|usedw_will_be_1~3 ; Combinational ; 7.252 ; Vectorless estimation ; 0.138 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|usedw_will_be_1~4 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|valid_wreq~0 ; Combinational ; 1.178 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~0 ; Combinational ; 10.666 ; Vectorless estimation ; 0.200 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~1 ; Combinational ; 0.644 ; Vectorless estimation ; 0.031 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~2 ; Combinational ; 13.576 ; Vectorless estimation ; 0.464 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~3 ; Combinational ; 15.946 ; Vectorless estimation ; 0.556 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~4 ; Combinational ; 12.874 ; Vectorless estimation ; 0.400 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~5 ; Combinational ; 4.042 ; Vectorless estimation ; 0.109 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~6 ; Combinational ; 1.811 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~7 ; Combinational ; 9.176 ; Vectorless estimation ; 0.526 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~8 ; Combinational ; 8.617 ; Vectorless estimation ; 0.050 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|empty_dff ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|full_dff ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|low_addressa[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|low_addressa[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|low_addressa[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|ram_read_address[0]~0 ; Combinational ; 12.289 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|ram_read_address[1]~1 ; Combinational ; 11.745 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|ram_read_address[2]~2 ; Combinational ; 11.745 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|rd_ptr_lsb ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|rd_ptr_lsb~0 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|usedw_is_0_dff ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|usedw_is_1_dff ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|usedw_will_be_1~0 ; Combinational ; 22.074 ; Vectorless estimation ; 0.440 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|valid_rreq ; Combinational ; 16.830 ; Vectorless estimation ; 0.100 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|valid_wreq ; Combinational ; 4.790 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|_~0 ; Combinational ; 3.534 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|_~1 ; Combinational ; 4.790 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|_~2 ; Combinational ; 7.771 ; Vectorless estimation ; 0.315 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|_~3 ; Combinational ; 14.520 ; Vectorless estimation ; 0.578 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|_~4 ; Combinational ; 14.621 ; Vectorless estimation ; 0.509 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|_~5 ; Combinational ; 4.020 ; Vectorless estimation ; 0.188 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|_~6 ; Combinational ; 8.312 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|empty_dff ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|full_dff ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|low_addressa[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|low_addressa[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|low_addressa[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|low_addressa[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|low_addressa[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|ram_read_address[0]~0 ; Combinational ; 11.033 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|ram_read_address[1]~1 ; Combinational ; 11.033 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|ram_read_address[2]~2 ; Combinational ; 9.194 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|ram_read_address[3]~3 ; Combinational ; 9.194 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|ram_read_address[4]~4 ; Combinational ; 9.194 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|rd_ptr_lsb ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|rd_ptr_lsb~0 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|usedw_is_0_dff ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|usedw_is_1_dff ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|usedw_will_be_1~0 ; Combinational ; 1.811 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|usedw_will_be_1~1 ; Combinational ; 6.697 ; Vectorless estimation ; 0.324 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|usedw_will_be_1~2 ; Combinational ; 18.416 ; Vectorless estimation ; 0.478 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|valid_rreq~0 ; Combinational ; 8.717 ; Vectorless estimation ; 0.375 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|valid_wreq ; Combinational ; 15.077 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~0 ; Combinational ; 2.766 ; Vectorless estimation ; 0.162 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~1 ; Combinational ; 0.644 ; Vectorless estimation ; 0.031 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~2 ; Combinational ; 9.988 ; Vectorless estimation ; 0.490 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~3 ; Combinational ; 10.754 ; Vectorless estimation ; 0.559 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~4 ; Combinational ; 18.432 ; Vectorless estimation ; 0.419 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~5 ; Combinational ; 5.525 ; Vectorless estimation ; 0.109 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~6 ; Combinational ; 1.811 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~7 ; Combinational ; 3.088 ; Vectorless estimation ; 0.527 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|_~8 ; Combinational ; 8.512 ; Vectorless estimation ; 0.025 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|empty_dff ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|full_dff ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|low_addressa[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|low_addressa[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|low_addressa[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|ram_read_address[0]~0 ; Combinational ; 8.118 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|ram_read_address[1]~1 ; Combinational ; 9.152 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|ram_read_address[2]~2 ; Combinational ; 13.670 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|rd_ptr_lsb ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|rd_ptr_lsb~0 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|usedw_is_0_dff ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|usedw_is_1_dff ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|usedw_will_be_1~0 ; Combinational ; 13.024 ; Vectorless estimation ; 0.456 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|valid_rreq ; Combinational ; 1.065 ; Vectorless estimation ; 0.050 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|valid_wreq ; Combinational ; 4.790 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|_~0 ; Combinational ; 3.534 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|_~1 ; Combinational ; 4.790 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|_~2 ; Combinational ; 7.771 ; Vectorless estimation ; 0.315 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|_~3 ; Combinational ; 17.654 ; Vectorless estimation ; 0.578 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|_~4 ; Combinational ; 14.204 ; Vectorless estimation ; 0.509 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|_~5 ; Combinational ; 4.020 ; Vectorless estimation ; 0.188 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|_~6 ; Combinational ; 8.312 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|empty_dff ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|full_dff ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|low_addressa[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|low_addressa[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|low_addressa[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|low_addressa[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|low_addressa[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|ram_read_address[0]~0 ; Combinational ; 8.377 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|ram_read_address[1]~1 ; Combinational ; 9.399 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|ram_read_address[2]~2 ; Combinational ; 11.033 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|ram_read_address[3]~3 ; Combinational ; 11.033 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|ram_read_address[4]~4 ; Combinational ; 9.399 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|rd_ptr_lsb ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|rd_ptr_lsb~0 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|usedw_is_0_dff ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|usedw_is_1_dff ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|usedw_will_be_1~0 ; Combinational ; 1.811 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|usedw_will_be_1~1 ; Combinational ; 6.721 ; Vectorless estimation ; 0.324 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|usedw_will_be_1~2 ; Combinational ; 11.853 ; Vectorless estimation ; 0.478 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|valid_wreq ; Combinational ; 15.077 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|_~0 ; Combinational ; 6.311 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|_~1 ; Combinational ; 6.767 ; Vectorless estimation ; 0.062 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|_~2 ; Combinational ; 4.523 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|_~3 ; Combinational ; 8.675 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|empty_dff ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|empty_dff~0 ; Combinational ; 15.809 ; Vectorless estimation ; 0.264 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|empty_dff~1 ; Combinational ; 12.658 ; Vectorless estimation ; 0.264 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|full_dff ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|low_addressa[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|low_addressa[0]~1 ; Combinational ; 4.852 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|low_addressa[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|low_addressa[1]~0 ; Combinational ; 7.078 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|ram_read_address[0]~1 ; Combinational ; 5.261 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|ram_read_address[1]~0 ; Combinational ; 4.263 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|rd_ptr_lsb ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|rd_ptr_lsb~0 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|rd_ptr_lsb~1 ; Combinational ; 7.161 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|usedw_is_0_dff ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|usedw_is_1_dff ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|usedw_will_be_1~0 ; Combinational ; 4.796 ; Vectorless estimation ; 0.031 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|usedw_will_be_1~1 ; Combinational ; 9.804 ; Vectorless estimation ; 0.228 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|valid_rreq ; Combinational ; 3.518 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|valid_rreq~0 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|valid_wreq~0 ; Combinational ; 1.602 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|valid_wreq~1 ; Combinational ; 0.989 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|valid_wreq~2 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|valid_wreq~3 ; Combinational ; 8.038 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_r9b:rd_ptr_msb|_~0 ; Combinational ; 6.039 ; Vectorless estimation ; 0.513 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_r9b:rd_ptr_msb|counter_comb_bita0 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_r9b:rd_ptr_msb|counter_comb_bita0~COUT ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_r9b:rd_ptr_msb|counter_comb_bita1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_r9b:rd_ptr_msb|counter_reg_bit[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_r9b:rd_ptr_msb|counter_reg_bit[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_8a7:usedw_counter|_~0 ; Combinational ; 9.456 ; Vectorless estimation ; 0.638 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_8a7:usedw_counter|counter_comb_bita0 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_8a7:usedw_counter|counter_comb_bita0~COUT ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_8a7:usedw_counter|counter_comb_bita1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_8a7:usedw_counter|counter_comb_bita1~COUT ; Combinational ; 5.088 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_8a7:usedw_counter|counter_comb_bita2 ; Combinational ; 7.569 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_8a7:usedw_counter|counter_reg_bit[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_8a7:usedw_counter|counter_reg_bit[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_8a7:usedw_counter|counter_reg_bit[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_s9b:wr_ptr|_~0 ; Combinational ; 7.538 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_s9b:wr_ptr|counter_comb_bita0 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_s9b:wr_ptr|counter_comb_bita0~COUT ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_s9b:wr_ptr|counter_comb_bita1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_s9b:wr_ptr|counter_comb_bita1~COUT ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_s9b:wr_ptr|counter_comb_bita2 ; Combinational ; 7.286 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_s9b:wr_ptr|counter_reg_bit[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_s9b:wr_ptr|counter_reg_bit[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_s9b:wr_ptr|counter_reg_bit[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|_~0 ; Combinational ; 7.538 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita0 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita0~COUT ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita1~COUT ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita2 ; Combinational ; 7.286 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita2~COUT ; Combinational ; 6.156 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita3 ; Combinational ; 9.359 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|counter_reg_bit[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|counter_reg_bit[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|counter_reg_bit[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|counter_reg_bit[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|_~0 ; Combinational ; 5.730 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita0 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita0~COUT ; Combinational ; 7.640 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita1 ; Combinational ; 7.840 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita1~COUT ; Combinational ; 4.288 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita2 ; Combinational ; 7.169 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita2~COUT ; Combinational ; 5.484 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita3 ; Combinational ; 9.023 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita3~COUT ; Combinational ; 1.575 ; Vectorless estimation ; 0.938 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita4 ; Combinational ; 7.885 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|_~0 ; Combinational ; 7.369 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita0 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita0~COUT ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita1~COUT ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita2 ; Combinational ; 7.286 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita2~COUT ; Combinational ; 6.156 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita3 ; Combinational ; 9.359 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita3~COUT ; Combinational ; 1.790 ; Vectorless estimation ; 0.938 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita4 ; Combinational ; 7.993 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|_~0 ; Combinational ; 3.328 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|_~1 ; Combinational ; 6.971 ; Vectorless estimation ; 0.062 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|_~2 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|_~3 ; Combinational ; 11.875 ; Vectorless estimation ; 0.438 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|empty_dff ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|empty_dff~0 ; Combinational ; 8.736 ; Vectorless estimation ; 0.264 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|empty_dff~1 ; Combinational ; 11.327 ; Vectorless estimation ; 0.264 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|full_dff ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|low_addressa[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|low_addressa[0]~1 ; Combinational ; 4.570 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|low_addressa[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|low_addressa[1]~0 ; Combinational ; 4.570 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|ram_read_address[0]~1 ; Combinational ; 4.263 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|ram_read_address[1]~0 ; Combinational ; 4.680 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|rd_ptr_lsb ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|rd_ptr_lsb~0 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|rd_ptr_lsb~1 ; Combinational ; 4.523 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|usedw_is_0_dff ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|usedw_is_1_dff ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|usedw_will_be_1~0 ; Combinational ; 5.609 ; Vectorless estimation ; 0.031 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|usedw_will_be_1~1 ; Combinational ; 12.384 ; Vectorless estimation ; 0.228 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|valid_rreq ; Combinational ; 2.764 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|valid_rreq~0 ; Combinational ; 6.030 ; Vectorless estimation ; 0.250 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|valid_wreq~0 ; Combinational ; 1.484 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|valid_wreq~1 ; Combinational ; 0.989 ; Vectorless estimation ; 0.063 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|valid_wreq~2 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|valid_wreq~3 ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|q_b[22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_r9b:rd_ptr_msb|_~0 ; Combinational ; 12.323 ; Vectorless estimation ; 0.513 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_r9b:rd_ptr_msb|counter_comb_bita0 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_r9b:rd_ptr_msb|counter_comb_bita0~COUT ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_r9b:rd_ptr_msb|counter_comb_bita1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_r9b:rd_ptr_msb|counter_reg_bit[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_r9b:rd_ptr_msb|counter_reg_bit[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_8a7:usedw_counter|_~0 ; Combinational ; 9.139 ; Vectorless estimation ; 0.638 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_8a7:usedw_counter|counter_comb_bita0 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_8a7:usedw_counter|counter_comb_bita0~COUT ; Combinational ; 7.286 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_8a7:usedw_counter|counter_comb_bita1 ; Combinational ; 7.663 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_8a7:usedw_counter|counter_comb_bita1~COUT ; Combinational ; 5.601 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_8a7:usedw_counter|counter_comb_bita2 ; Combinational ; 7.825 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_8a7:usedw_counter|counter_reg_bit[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_8a7:usedw_counter|counter_reg_bit[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_8a7:usedw_counter|counter_reg_bit[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_s9b:wr_ptr|_~0 ; Combinational ; 7.538 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_s9b:wr_ptr|counter_comb_bita0 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_s9b:wr_ptr|counter_comb_bita0~COUT ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_s9b:wr_ptr|counter_comb_bita1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_s9b:wr_ptr|counter_comb_bita1~COUT ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_s9b:wr_ptr|counter_comb_bita2 ; Combinational ; 7.286 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_s9b:wr_ptr|counter_reg_bit[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_s9b:wr_ptr|counter_reg_bit[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|cntr_s9b:wr_ptr|counter_reg_bit[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|q_b[85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|_~0 ; Combinational ; 7.538 ; Vectorless estimation ; 0.625 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita0 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita0~COUT ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita1~COUT ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita2 ; Combinational ; 7.286 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita2~COUT ; Combinational ; 6.156 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita3 ; Combinational ; 9.359 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|counter_reg_bit[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|counter_reg_bit[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|counter_reg_bit[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_t9b:rd_ptr_msb|counter_reg_bit[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|_~0 ; Combinational ; 7.282 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita0 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita0~COUT ; Combinational ; 7.687 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita1 ; Combinational ; 7.863 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita1~COUT ; Combinational ; 5.463 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita2 ; Combinational ; 7.757 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita2~COUT ; Combinational ; 10.003 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita3 ; Combinational ; 11.283 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita3~COUT ; Combinational ; 2.457 ; Vectorless estimation ; 0.938 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita4 ; Combinational ; 8.326 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|_~0 ; Combinational ; 7.392 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita0 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita0~COUT ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita1~COUT ; Combinational ; 4.523 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita2 ; Combinational ; 7.286 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita2~COUT ; Combinational ; 6.156 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita3 ; Combinational ; 9.359 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita3~COUT ; Combinational ; 1.790 ; Vectorless estimation ; 0.938 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita4 ; Combinational ; 7.993 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|q_b[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|q_b[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|q_b[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|q_b[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|q_b[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|q_b[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|q_b[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|q_b[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|q_b[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|q_b[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|q_b[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|q_b[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|q_b[12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|q_b[13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|q_b[14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|q_b[15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_r9b:rd_ptr_msb|counter_comb_bita0 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_r9b:rd_ptr_msb|counter_comb_bita0~COUT ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_r9b:rd_ptr_msb|counter_comb_bita1 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_r9b:rd_ptr_msb|counter_reg_bit[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_r9b:rd_ptr_msb|counter_reg_bit[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_8a7:usedw_counter|counter_comb_bita0 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_8a7:usedw_counter|counter_comb_bita0~COUT ; Combinational ; 18.100 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_8a7:usedw_counter|counter_comb_bita1 ; Combinational ; 19.102 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_8a7:usedw_counter|counter_comb_bita1~COUT ; Combinational ; 9.113 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_8a7:usedw_counter|counter_comb_bita2 ; Combinational ; 17.121 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_8a7:usedw_counter|counter_reg_bit[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_8a7:usedw_counter|counter_reg_bit[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_8a7:usedw_counter|counter_reg_bit[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_s9b:wr_ptr|counter_comb_bita0 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_s9b:wr_ptr|counter_comb_bita0~COUT ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_s9b:wr_ptr|counter_comb_bita1 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_s9b:wr_ptr|counter_comb_bita1~COUT ; Combinational ; 11.308 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_s9b:wr_ptr|counter_comb_bita2 ; Combinational ; 18.218 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_s9b:wr_ptr|counter_reg_bit[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_s9b:wr_ptr|counter_reg_bit[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_s9b:wr_ptr|counter_reg_bit[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|q_b[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|q_b[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|q_b[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|q_b[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|q_b[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|q_b[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|q_b[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|q_b[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|q_b[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|q_b[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|q_b[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|q_b[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|q_b[12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|q_b[13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|q_b[14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|q_b[15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita0 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita0~COUT ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita1 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita1~COUT ; Combinational ; 11.308 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita2 ; Combinational ; 18.218 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita2~COUT ; Combinational ; 15.391 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita3 ; Combinational ; 23.401 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb|counter_reg_bit[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb|counter_reg_bit[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb|counter_reg_bit[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb|counter_reg_bit[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita0 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita0~COUT ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita1 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita1~COUT ; Combinational ; 14.920 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita2 ; Combinational ; 20.024 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita2~COUT ; Combinational ; 21.963 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita3 ; Combinational ; 26.687 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita3~COUT ; Combinational ; 4.256 ; Vectorless estimation ; 0.938 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita4 ; Combinational ; 19.875 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita0 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita0~COUT ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita1 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita1~COUT ; Combinational ; 11.308 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita2 ; Combinational ; 18.218 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita2~COUT ; Combinational ; 15.391 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita3 ; Combinational ; 23.401 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita3~COUT ; Combinational ; 4.476 ; Vectorless estimation ; 0.938 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita4 ; Combinational ; 19.985 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|q_b[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|q_b[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|q_b[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|q_b[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|q_b[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|q_b[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|q_b[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|q_b[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|q_b[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|q_b[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|q_b[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|q_b[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|q_b[12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|q_b[13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|q_b[14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|q_b[15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_r9b:rd_ptr_msb|counter_comb_bita0 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_r9b:rd_ptr_msb|counter_comb_bita0~COUT ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_r9b:rd_ptr_msb|counter_comb_bita1 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_r9b:rd_ptr_msb|counter_reg_bit[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_r9b:rd_ptr_msb|counter_reg_bit[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_8a7:usedw_counter|counter_comb_bita0 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_8a7:usedw_counter|counter_comb_bita0~COUT ; Combinational ; 18.100 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_8a7:usedw_counter|counter_comb_bita1 ; Combinational ; 19.102 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_8a7:usedw_counter|counter_comb_bita1~COUT ; Combinational ; 9.113 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_8a7:usedw_counter|counter_comb_bita2 ; Combinational ; 17.121 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_8a7:usedw_counter|counter_reg_bit[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_8a7:usedw_counter|counter_reg_bit[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_8a7:usedw_counter|counter_reg_bit[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_s9b:wr_ptr|counter_comb_bita0 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_s9b:wr_ptr|counter_comb_bita0~COUT ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_s9b:wr_ptr|counter_comb_bita1 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_s9b:wr_ptr|counter_comb_bita1~COUT ; Combinational ; 11.308 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_s9b:wr_ptr|counter_comb_bita2 ; Combinational ; 18.218 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_s9b:wr_ptr|counter_reg_bit[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_s9b:wr_ptr|counter_reg_bit[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|cntr_s9b:wr_ptr|counter_reg_bit[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|q_b[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|q_b[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|q_b[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|q_b[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|q_b[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|q_b[5] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|q_b[6] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|q_b[7] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|q_b[8] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|q_b[9] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|q_b[10] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|q_b[11] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|q_b[12] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|q_b[13] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|q_b[14] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|q_b[15] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita0 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita0~COUT ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita1 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita1~COUT ; Combinational ; 11.308 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita2 ; Combinational ; 18.218 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita2~COUT ; Combinational ; 15.391 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb|counter_comb_bita3 ; Combinational ; 23.401 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb|counter_reg_bit[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb|counter_reg_bit[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb|counter_reg_bit[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_t9b:rd_ptr_msb|counter_reg_bit[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita0 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita0~COUT ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita1 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita1~COUT ; Combinational ; 12.250 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita2 ; Combinational ; 18.689 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita2~COUT ; Combinational ; 21.546 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita3 ; Combinational ; 26.478 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita3~COUT ; Combinational ; 4.191 ; Vectorless estimation ; 0.938 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_comb_bita4 ; Combinational ; 19.843 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_aa7:usedw_counter|counter_reg_bit[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita0 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita0~COUT ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita1 ; Combinational ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita1~COUT ; Combinational ; 11.308 ; Vectorless estimation ; 0.750 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita2 ; Combinational ; 18.218 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita2~COUT ; Combinational ; 15.391 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita3 ; Combinational ; 23.401 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita3~COUT ; Combinational ; 4.476 ; Vectorless estimation ; 0.938 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_comb_bita4 ; Combinational ; 19.985 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[0] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[1] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[2] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[3] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|cntr_u9b:wr_ptr|counter_reg_bit[4] ; Registered ; 20.103 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_q9b:rd_ptr_msb|_~0 ; Combinational ; 8.072 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_q9b:rd_ptr_msb|counter_comb_bita0 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_q9b:rd_ptr_msb|counter_reg_bit[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_7a7:usedw_counter|_~0 ; Combinational ; 12.371 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_7a7:usedw_counter|counter_comb_bita0 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_7a7:usedw_counter|counter_comb_bita0~COUT ; Combinational ; 12.058 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_7a7:usedw_counter|counter_comb_bita1 ; Combinational ; 10.049 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_7a7:usedw_counter|counter_reg_bit[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_7a7:usedw_counter|counter_reg_bit[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_r9b:wr_ptr|_~0 ; Combinational ; 12.057 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_r9b:wr_ptr|counter_comb_bita0 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_r9b:wr_ptr|counter_comb_bita0~COUT ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_r9b:wr_ptr|counter_comb_bita1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_r9b:wr_ptr|counter_reg_bit[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_r9b:wr_ptr|counter_reg_bit[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_q9b:rd_ptr_msb|_~0 ; Combinational ; 9.406 ; Vectorless estimation ; 0.531 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_q9b:rd_ptr_msb|counter_comb_bita0 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_q9b:rd_ptr_msb|counter_reg_bit[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_7a7:usedw_counter|_~0 ; Combinational ; 15.134 ; Vectorless estimation ; 0.563 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_7a7:usedw_counter|counter_comb_bita0 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_7a7:usedw_counter|counter_comb_bita0~COUT ; Combinational ; 8.039 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_7a7:usedw_counter|counter_comb_bita1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_7a7:usedw_counter|counter_reg_bit[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_7a7:usedw_counter|counter_reg_bit[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_r9b:wr_ptr|_~0 ; Combinational ; 8.038 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_r9b:wr_ptr|counter_comb_bita0 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_r9b:wr_ptr|counter_comb_bita0~COUT ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_r9b:wr_ptr|counter_comb_bita1 ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_r9b:wr_ptr|counter_reg_bit[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|cntr_r9b:wr_ptr|counter_reg_bit[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|address_reg[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|address_reg[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[0]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[1]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[3]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[4]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[5]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[8]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[9]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[10]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[12]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[16]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[17]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[18]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[19]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[20]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[21]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[22]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[25]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[31]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[32]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[34]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[36]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[37]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[39]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[41]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[42]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[43]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[44]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[46]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[48]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[49]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[50]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[51]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[52]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[53]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[54]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[55]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[57]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[58]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[59]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[60]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[61]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[63]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[66]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[68]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[69]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[70]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[71]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[73]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[74]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[76]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[78]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[79]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[81]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[82]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[83]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[84]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[1]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[2]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[3]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[6]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[8]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[9]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[13]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[14]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[15]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[16]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[17]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[18]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[19]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[20]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[21]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[22]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[23]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[25]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[26]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[27]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[28]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[30]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[32]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[33]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[36]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[39]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[40]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[41]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[42]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[43]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[44]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[45]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[47]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[48]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[49]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[51]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[52]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[53]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[54]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[55]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[57]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[59]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[60]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[61]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[62]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[65]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[66]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[67]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[69]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[71]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[72]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[74]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[76]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[77]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[78]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[80]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[81]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[82]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[83]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[84]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[85]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[0]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[1]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[2]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[4]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[5]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[6]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[8]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[9]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[11]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[12]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[13]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[14]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[17]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[19]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[20]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[23]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[24]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[27]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[28]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[29]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[30]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[31]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[32]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[33]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[34]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[35]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[36]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[38]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[39]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[41]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[42]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[43]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[48]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[50]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[52]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[53]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[57]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[58]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[59]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[61]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[62]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[66]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[67]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[69]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[74]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[75]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[77]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[78]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[79]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[82]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[83]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[85]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[86] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[86]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[87] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[87]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[88] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[88]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[89] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[89]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[90] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[90]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[91] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[91]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[92] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[92]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[93] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[94] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[94]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[95] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[95]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[96] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[96]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[97] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[97]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[98] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[98]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[99] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[99]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[100] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[100]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[101] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[101]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[102] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[102]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[103] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[103]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[104] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[104]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[105] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[106] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[106]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[107] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[107]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[108] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[108]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[109] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[109]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[110] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[110]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[111] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[111]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[112] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[112]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[113] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[114] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[114]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[115] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[115]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[116] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[116]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[117] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[117]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[118] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[118]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[119] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[120] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[120]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[121] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[121]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[122] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[122]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[123] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[124] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[125] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[125]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[126] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[126]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[127] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[127]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[128] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[128]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[129] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[129]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[130] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[130]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[131] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[131]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[132] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[132]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[133] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[133]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[134] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[134]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[135] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[135]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[136] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[136]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[137] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[138] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[138]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[139] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[139]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[140] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[140]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[141] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[141]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[142] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[143] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[143]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[144] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[145] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[146] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[147] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[148] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[148]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[149] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[149]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[150] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[150]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[151] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[151]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[152] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[153] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[153]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[154] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[154]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[155] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[155]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[156] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[156]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[157] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[157]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[158] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[159] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[159]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[160] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[161] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[162] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[162]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[163] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[163]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[164] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[164]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[165] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[166] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[166]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[167] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[167]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[168] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[169] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[170] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[170]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[171] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[171]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[172] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[173] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[174] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[175] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[175]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[176] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[177] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[178] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[179] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[179]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[180] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[181] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[182] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[183] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[184] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[185] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[186] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[186]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[187] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[188] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[189] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[190] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[191] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[191]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[192] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[193] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[194] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[194]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[195] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[195]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[196] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[196]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[197] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[198] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[198]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[199] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[199]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[200] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[200]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[201] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[202] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[202]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[203] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[204] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[204]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[205] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[205]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[206] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[206]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[207] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[208] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[208]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[209] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[209]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[210] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[211] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[212] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[212]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[213] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[214] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[214]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[215] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[216] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[217] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[217]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[218] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[218]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[219] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[219]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[220] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[220]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[221] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[221]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[222] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[223] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[223]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[224] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[224]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[225] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[226] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[226]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[227] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[227]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[228] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[229] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[229]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[230] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[230]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[231] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[232] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[232]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[233] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[234] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[235] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[236] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[236]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[237] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[238] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[238]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[239] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[239]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[240] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[240]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[241] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[241]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[242] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[242]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[243] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[243]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[244] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[244]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[245] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[245]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[246] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[246]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[247] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[247]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[248] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[249] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[249]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[250] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[251] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[252] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[252]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[253] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[253]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[254] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[254]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[255] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[255]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[256] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[256]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[257] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[258] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[259] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[260] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[260]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[261] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[261]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[262] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[262]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[263] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[263]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[264] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[264]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[265] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[266] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[266]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[267] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[268] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[268]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[269] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[269]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[270] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[270]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[271] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[271]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[272] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[273] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[273]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[274] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[274]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[275] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[275]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[276] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[276]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[277] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[277]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[278] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[278]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[279] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[280] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[280]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[281] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[282] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[283] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[283]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[284] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[284]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[285] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[286] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[287] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[287]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[288] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[289] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[290] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[291] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[291]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[292] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[293] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[293]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[294] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[295] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[295]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[296] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[297] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[297]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[298] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[298]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[299] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[299]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[300] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[301] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[302] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[302]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[303] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[303]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[304] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[304]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[305] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[305]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[306] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[307] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[307]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[308] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[308]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[309] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[309]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[310] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[311] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[311]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[312] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[312]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[313] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[314] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[315] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[316] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[316]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[317] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[317]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[318] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[319] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[319]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[320] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[320]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[321] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[321]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[322] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[322]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[323] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[324] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[325] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[326] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[326]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[327] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[328] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[328]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[329] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[329]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[330] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[330]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[331] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[331]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[332] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[332]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[333] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[334] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[334]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[335] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[336] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[336]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[337] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[337]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[338] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[338]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[339] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[339]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[340] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[341] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[341]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[342] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[342]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[343] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[343]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|wren_reg ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|wren_reg~feeder ; Combinational ; 8.038 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|address_reg[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|address_reg[0]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|address_reg[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|address_reg[1]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[3]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[4]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[5]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[8]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[9]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[11]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[12]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[13]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[14]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[16]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[17]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[19]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[20]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[21]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[23]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[24]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[25]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[28]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[29]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[30]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[33]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[34]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[36]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[37]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[38]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[39]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[40]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[41]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[44]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[45]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[46]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[49]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[50]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[51]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[52]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[54]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[55]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[57]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[60]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[61]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[62]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[64]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[65]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[67]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[68]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[70]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[71]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[72]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[73]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[74]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[75]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[76]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[79]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[81]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[83]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[84]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|data_reg[85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[3]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[4]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[5]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[6]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[7]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[11]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[12]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[14]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[16]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[17]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[18]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[22]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[24]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[25]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[26]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[28]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[29]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[30]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[31]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[33]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[36]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[37]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[39]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[40]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[42]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[43]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[45]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[49]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[50]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[52]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[55]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[57]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[58]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[61]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[63]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[64]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[65]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[68]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[69]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[70]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[71]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[72]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[74]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[76]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[77]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[79]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[82]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[83]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|outdata_reg[85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[2]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[3]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[5]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[8]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[14]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[15]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[17]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[21]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[22]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[24]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[26]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[27]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[28]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[30]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[31]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[32]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[33]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[37]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[39]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[40]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[41]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[44]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[45]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[46]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[47]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[48]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[49]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[50]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[51]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[52]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[53]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[55]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[56]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[57]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[58]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[59]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[60]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[61]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[64]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[67]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[68]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[69]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[70]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[72]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[74]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[75]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[76]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[77]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[78]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[80]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[81]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[82]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[83]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[84]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[86] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[86]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[87] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[87]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[88] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[89] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[89]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[90] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[90]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[91] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[92] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[92]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[93] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[93]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[94] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[95] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[95]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[96] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[96]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[97] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[97]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[98] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[98]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[99] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[99]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[100] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[100]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[101] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[101]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[102] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[102]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[103] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[103]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[104] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[104]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[105] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[105]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[106] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[106]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[107] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[108] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[108]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[109] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[109]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[110] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[110]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[111] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[111]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[112] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[112]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[113] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[113]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[114] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[115] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[115]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[116] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[116]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[117] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[117]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[118] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[118]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[119] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[119]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[120] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[121] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[121]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[122] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[122]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[123] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[124] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[124]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[125] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[125]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[126] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[127] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[127]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[128] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[128]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[129] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[129]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[130] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[130]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[131] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[131]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[132] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[133] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[134] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[134]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[135] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[135]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[136] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[136]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[137] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[137]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[138] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[138]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[139] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[139]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[140] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[140]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[141] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[141]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[142] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[142]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[143] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[143]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[144] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[144]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[145] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[145]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[146] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[146]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[147] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[148] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[148]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[149] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[149]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[150] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[150]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[151] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[152] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[152]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[153] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[153]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[154] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[154]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[155] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[156] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[156]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[157] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[158] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[159] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[159]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[160] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[160]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[161] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[161]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[162] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[162]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[163] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[164] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[164]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[165] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[165]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[166] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[166]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[167] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[168] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[168]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[169] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[169]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[170] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[171] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[171]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[172] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[172]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[173] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[173]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[174] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[174]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[175] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[176] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[176]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[177] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[177]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[178] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[178]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[179] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[179]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[180] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[180]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[181] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[181]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[182] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[182]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[183] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[183]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[184] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[184]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[185] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[186] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[187] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[187]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[188] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[188]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[189] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[189]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[190] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[190]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[191] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[191]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[192] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[193] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[193]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[194] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[195] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[195]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[196] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[197] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[197]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[198] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[198]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[199] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[199]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[200] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[200]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[201] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[201]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[202] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[202]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[203] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[204] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[205] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[205]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[206] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[207] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[207]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[208] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[208]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[209] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[210] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[210]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[211] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[211]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[212] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[212]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[213] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[214] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[215] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[215]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[216] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[216]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[217] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[218] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[218]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[219] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[219]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[220] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[221] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[222] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[222]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[223] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[223]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[224] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[225] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[225]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[226] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[226]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[227] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[228] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[228]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[229] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[230] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[230]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[231] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[231]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[232] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[233] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[234] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[235] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[235]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[236] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[236]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[237] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[238] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[238]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[239] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[239]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[240] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[240]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[241] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[241]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[242] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[242]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[243] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[243]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[244] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[244]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[245] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[245]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[246] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[247] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[248] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[248]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[249] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[249]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[250] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[250]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[251] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[251]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[252] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[252]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[253] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[253]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[254] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[255] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[255]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[256] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[257] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[257]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[258] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[258]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[259] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[259]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[260] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[260]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[261] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[261]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[262] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[262]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[263] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[263]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[264] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[264]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[265] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[266] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[266]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[267] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[267]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[268] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[268]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[269] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[269]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[270] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[270]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[271] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[271]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[272] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[272]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[273] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[274] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[274]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[275] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[276] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[276]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[277] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[277]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[278] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[278]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[279] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[279]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[280] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[280]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[281] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[281]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[282] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[282]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[283] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[283]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[284] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[285] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[286] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[286]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[287] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[287]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[288] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[289] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[289]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[290] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[290]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[291] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[292] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[292]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[293] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[293]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[294] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[294]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[295] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[295]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[296] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[296]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[297] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[298] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[298]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[299] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[299]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[300] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[300]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[301] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[301]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[302] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[303] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[303]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[304] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[305] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[305]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[306] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[306]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[307] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[307]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[308] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[309] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[310] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[310]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[311] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[312] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[312]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[313] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[313]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[314] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[315] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[315]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[316] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[317] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[318] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[318]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[319] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[320] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[320]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[321] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[321]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[322] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[323] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[323]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[324] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[324]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[325] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[326] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[327] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[327]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[328] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[329] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[330] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[330]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[331] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[331]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[332] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[332]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[333] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[333]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[334] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[335] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[335]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[336] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[337] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[338] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[339] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[339]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[340] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[340]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[341] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[342] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[342]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[343] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|ram_block[343]~feeder ; Combinational ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[0] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[1] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[2] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[3] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[4] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[5] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[6] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[7] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[8] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[9] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[10] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[11] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[12] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[13] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[14] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[15] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[16] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[17] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[18] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[19] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[20] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[21] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[22] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[23] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[24] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[25] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[26] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[27] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[28] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[29] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[30] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[31] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[32] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[33] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[34] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[35] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[36] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[37] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[38] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[39] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[40] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[41] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[42] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[43] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[44] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[45] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[46] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[47] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[48] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[49] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[50] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[51] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[52] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[53] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[54] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[55] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[56] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[57] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[58] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[59] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[60] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[61] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[62] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[63] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[64] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[65] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[66] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[67] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[68] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[69] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[70] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[71] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[72] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[73] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[74] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[75] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[76] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[77] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[78] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[79] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[80] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[81] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[82] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[83] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[84] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|rd_data_out_latch[85] ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|wren_reg ; Registered ; 8.040 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|wren_reg~feeder ; Combinational ; 0.000 ; Vectorless estimation ; 0.000 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|decode_msa:address_decoder|w_anode19w[2]~0 ; Combinational ; 2.764 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|decode_msa:address_decoder|w_anode32w[2]~0 ; Combinational ; 1.916 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|decode_msa:address_decoder|w_anode40w[2]~0 ; Combinational ; 1.916 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|decode_msa:address_decoder|w_anode48w[2]~0 ; Combinational ; 1.916 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[0]~10 ; Combinational ; 4.982 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[0]~11 ; Combinational ; 3.149 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[1]~8 ; Combinational ; 4.982 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[1]~9 ; Combinational ; 3.149 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[2]~6 ; Combinational ; 5.139 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[2]~7 ; Combinational ; 4.666 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[3]~4 ; Combinational ; 5.240 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[3]~5 ; Combinational ; 4.725 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[4]~2 ; Combinational ; 5.139 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[4]~3 ; Combinational ; 5.237 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[5]~0 ; Combinational ; 4.733 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[5]~1 ; Combinational ; 3.151 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[6]~12 ; Combinational ; 4.733 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[6]~13 ; Combinational ; 4.983 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[7]~14 ; Combinational ; 5.295 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[7]~15 ; Combinational ; 5.135 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[8]~20 ; Combinational ; 5.139 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[8]~21 ; Combinational ; 5.600 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[9]~18 ; Combinational ; 5.313 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[9]~19 ; Combinational ; 5.346 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[10]~16 ; Combinational ; 5.295 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[10]~17 ; Combinational ; 5.739 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[11]~22 ; Combinational ; 5.139 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[11]~23 ; Combinational ; 5.600 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[12]~26 ; Combinational ; 4.733 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[12]~27 ; Combinational ; 3.181 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[13]~24 ; Combinational ; 5.139 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[13]~25 ; Combinational ; 4.938 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[14]~28 ; Combinational ; 5.313 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[14]~29 ; Combinational ; 5.110 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[15]~30 ; Combinational ; 5.295 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[15]~31 ; Combinational ; 5.397 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[16]~74 ; Combinational ; 4.752 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[16]~75 ; Combinational ; 5.408 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[17]~72 ; Combinational ; 5.313 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[17]~73 ; Combinational ; 5.256 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[18]~70 ; Combinational ; 5.295 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[18]~71 ; Combinational ; 5.451 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[19]~68 ; Combinational ; 4.982 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[19]~69 ; Combinational ; 3.490 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[20]~66 ; Combinational ; 5.139 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[20]~67 ; Combinational ; 5.600 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[21]~64 ; Combinational ; 4.752 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[21]~65 ; Combinational ; 5.408 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[22]~62 ; Combinational ; 5.295 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[22]~63 ; Combinational ; 5.139 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[23]~60 ; Combinational ; 5.313 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[23]~61 ; Combinational ; 4.699 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[24]~58 ; Combinational ; 4.982 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[24]~59 ; Combinational ; 3.197 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[25]~56 ; Combinational ; 4.733 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[25]~57 ; Combinational ; 5.075 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[26]~54 ; Combinational ; 5.295 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[26]~55 ; Combinational ; 5.392 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[27]~52 ; Combinational ; 4.982 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[27]~53 ; Combinational ; 3.500 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[28]~50 ; Combinational ; 4.982 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[28]~51 ; Combinational ; 3.500 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[29]~48 ; Combinational ; 5.240 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[29]~49 ; Combinational ; 5.300 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[30]~46 ; Combinational ; 4.982 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[30]~47 ; Combinational ; 3.490 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[31]~44 ; Combinational ; 4.982 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[31]~45 ; Combinational ; 4.808 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[32]~42 ; Combinational ; 5.313 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[32]~43 ; Combinational ; 5.682 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[33]~40 ; Combinational ; 4.733 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[33]~41 ; Combinational ; 3.453 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[34]~38 ; Combinational ; 5.313 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[34]~39 ; Combinational ; 5.110 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[35]~36 ; Combinational ; 5.139 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[35]~37 ; Combinational ; 5.655 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[36]~34 ; Combinational ; 5.313 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[36]~35 ; Combinational ; 5.394 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[37]~32 ; Combinational ; 5.295 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[37]~33 ; Combinational ; 5.194 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[38]~76 ; Combinational ; 4.982 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[38]~77 ; Combinational ; 4.808 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[39]~78 ; Combinational ; 4.949 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[39]~79 ; Combinational ; 4.882 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[40]~84 ; Combinational ; 4.752 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[40]~85 ; Combinational ; 4.279 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[41]~82 ; Combinational ; 5.313 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[41]~83 ; Combinational ; 5.394 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[42]~80 ; Combinational ; 4.982 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[42]~81 ; Combinational ; 4.808 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[43]~86 ; Combinational ; 5.313 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[43]~87 ; Combinational ; 5.394 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[44]~90 ; Combinational ; 4.752 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[44]~91 ; Combinational ; 3.971 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[45]~88 ; Combinational ; 4.752 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[45]~89 ; Combinational ; 3.971 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[46]~92 ; Combinational ; 5.295 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[46]~93 ; Combinational ; 5.135 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[47]~94 ; Combinational ; 5.354 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[47]~95 ; Combinational ; 5.745 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[48]~138 ; Combinational ; 5.313 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[48]~139 ; Combinational ; 5.394 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[49]~136 ; Combinational ; 4.608 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[49]~137 ; Combinational ; 3.336 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[50]~134 ; Combinational ; 4.949 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[50]~135 ; Combinational ; 4.616 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[51]~132 ; Combinational ; 5.139 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[51]~133 ; Combinational ; 5.600 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[52]~130 ; Combinational ; 5.313 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[52]~131 ; Combinational ; 5.110 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[53]~128 ; Combinational ; 4.949 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[53]~129 ; Combinational ; 4.787 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[54]~126 ; Combinational ; 4.733 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[54]~127 ; Combinational ; 4.535 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[55]~124 ; Combinational ; 5.354 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[55]~125 ; Combinational ; 5.751 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[56]~122 ; Combinational ; 4.990 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[56]~123 ; Combinational ; 4.522 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[57]~120 ; Combinational ; 4.982 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[57]~121 ; Combinational ; 3.840 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[58]~118 ; Combinational ; 5.139 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[58]~119 ; Combinational ; 5.600 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[59]~116 ; Combinational ; 5.139 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[59]~117 ; Combinational ; 5.655 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[60]~114 ; Combinational ; 5.139 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[60]~115 ; Combinational ; 5.600 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[61]~112 ; Combinational ; 4.982 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[61]~113 ; Combinational ; 3.197 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[62]~110 ; Combinational ; 4.949 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[62]~111 ; Combinational ; 5.142 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[63]~108 ; Combinational ; 4.990 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[63]~109 ; Combinational ; 4.808 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[64]~106 ; Combinational ; 4.857 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[64]~107 ; Combinational ; 3.299 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[65]~104 ; Combinational ; 5.354 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[65]~105 ; Combinational ; 5.157 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[66]~102 ; Combinational ; 5.313 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[66]~103 ; Combinational ; 5.110 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[67]~100 ; Combinational ; 5.240 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[67]~101 ; Combinational ; 5.064 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[68]~98 ; Combinational ; 5.354 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[68]~99 ; Combinational ; 5.745 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[69]~96 ; Combinational ; 4.733 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[69]~97 ; Combinational ; 4.535 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[70]~140 ; Combinational ; 4.608 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[70]~141 ; Combinational ; 4.968 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[71]~142 ; Combinational ; 4.608 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[71]~143 ; Combinational ; 3.336 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[72]~148 ; Combinational ; 4.752 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[72]~149 ; Combinational ; 4.969 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[73]~146 ; Combinational ; 5.313 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[73]~147 ; Combinational ; 4.699 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[74]~144 ; Combinational ; 4.982 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[74]~145 ; Combinational ; 3.197 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[75]~150 ; Combinational ; 5.313 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[75]~151 ; Combinational ; 5.394 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[76]~154 ; Combinational ; 5.240 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[76]~155 ; Combinational ; 5.671 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[77]~152 ; Combinational ; 4.949 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[77]~153 ; Combinational ; 5.625 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[78]~156 ; Combinational ; 4.949 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[78]~157 ; Combinational ; 4.616 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[79]~158 ; Combinational ; 4.982 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[79]~159 ; Combinational ; 4.903 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[80]~170 ; Combinational ; 4.752 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[80]~171 ; Combinational ; 4.913 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[81]~168 ; Combinational ; 4.990 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[81]~169 ; Combinational ; 4.808 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[82]~166 ; Combinational ; 4.733 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[82]~167 ; Combinational ; 4.535 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[83]~164 ; Combinational ; 5.139 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[83]~165 ; Combinational ; 5.600 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[84]~162 ; Combinational ; 4.608 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[84]~163 ; Combinational ; 5.098 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[85]~160 ; Combinational ; 5.313 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[85]~161 ; Combinational ; 5.256 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|decode_msa:address_decoder|w_anode19w[2]~0 ; Combinational ; 2.387 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|decode_msa:address_decoder|w_anode32w[2]~0 ; Combinational ; 1.916 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|decode_msa:address_decoder|w_anode40w[2]~0 ; Combinational ; 1.916 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|decode_msa:address_decoder|w_anode48w[2]~0 ; Combinational ; 1.916 ; Vectorless estimation ; 0.125 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[0]~20 ; Combinational ; 5.150 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[0]~21 ; Combinational ; 4.876 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[1]~18 ; Combinational ; 5.058 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[1]~19 ; Combinational ; 4.855 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[2]~16 ; Combinational ; 5.064 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[2]~17 ; Combinational ; 5.645 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[3]~14 ; Combinational ; 4.567 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[3]~15 ; Combinational ; 3.166 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[4]~12 ; Combinational ; 4.950 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[4]~13 ; Combinational ; 4.659 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[5]~10 ; Combinational ; 5.064 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[5]~11 ; Combinational ; 4.867 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[6]~8 ; Combinational ; 5.064 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[6]~9 ; Combinational ; 4.860 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[7]~6 ; Combinational ; 4.716 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[7]~7 ; Combinational ; 4.736 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[8]~4 ; Combinational ; 4.954 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[8]~5 ; Combinational ; 4.139 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[9]~2 ; Combinational ; 4.619 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[9]~3 ; Combinational ; 3.153 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[10]~0 ; Combinational ; 5.064 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[10]~1 ; Combinational ; 4.860 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[11]~22 ; Combinational ; 4.895 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[11]~23 ; Combinational ; 5.577 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[12]~26 ; Combinational ; 5.058 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[12]~27 ; Combinational ; 5.368 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[13]~24 ; Combinational ; 5.058 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[13]~25 ; Combinational ; 4.940 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[14]~28 ; Combinational ; 4.567 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[14]~29 ; Combinational ; 3.637 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[15]~30 ; Combinational ; 4.567 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[15]~31 ; Combinational ; 4.695 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[16]~84 ; Combinational ; 4.515 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[16]~85 ; Combinational ; 4.611 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[17]~82 ; Combinational ; 4.567 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[17]~83 ; Combinational ; 4.695 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[18]~80 ; Combinational ; 4.515 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[18]~81 ; Combinational ; 3.421 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[19]~78 ; Combinational ; 4.515 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[19]~79 ; Combinational ; 3.421 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[20]~76 ; Combinational ; 4.567 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[20]~77 ; Combinational ; 3.637 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[21]~74 ; Combinational ; 4.567 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[21]~75 ; Combinational ; 5.049 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[22]~72 ; Combinational ; 4.716 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[22]~73 ; Combinational ; 3.796 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[23]~70 ; Combinational ; 4.515 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[23]~71 ; Combinational ; 3.112 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[24]~68 ; Combinational ; 4.567 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[24]~69 ; Combinational ; 4.680 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[25]~66 ; Combinational ; 4.463 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[25]~67 ; Combinational ; 3.935 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[26]~64 ; Combinational ; 4.954 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[26]~65 ; Combinational ; 4.720 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[27]~62 ; Combinational ; 4.567 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[27]~63 ; Combinational ; 4.839 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[28]~60 ; Combinational ; 4.567 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[28]~61 ; Combinational ; 3.637 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[29]~58 ; Combinational ; 4.515 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[29]~59 ; Combinational ; 4.453 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[30]~56 ; Combinational ; 4.567 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[30]~57 ; Combinational ; 4.652 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[31]~54 ; Combinational ; 4.567 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[31]~55 ; Combinational ; 4.905 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[32]~52 ; Combinational ; 4.567 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[32]~53 ; Combinational ; 3.637 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[33]~50 ; Combinational ; 4.567 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[33]~51 ; Combinational ; 3.958 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[34]~48 ; Combinational ; 4.515 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[34]~49 ; Combinational ; 4.770 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[35]~46 ; Combinational ; 4.463 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[35]~47 ; Combinational ; 4.641 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[36]~44 ; Combinational ; 4.515 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[36]~45 ; Combinational ; 3.421 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[37]~42 ; Combinational ; 4.954 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[37]~43 ; Combinational ; 5.147 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[38]~40 ; Combinational ; 4.463 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[38]~41 ; Combinational ; 3.141 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[39]~38 ; Combinational ; 4.567 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[39]~39 ; Combinational ; 5.049 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[40]~36 ; Combinational ; 5.150 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[40]~37 ; Combinational ; 5.017 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[41]~34 ; Combinational ; 4.954 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[41]~35 ; Combinational ; 4.960 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[42]~32 ; Combinational ; 5.058 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[42]~33 ; Combinational ; 4.032 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[43]~86 ; Combinational ; 4.895 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[43]~87 ; Combinational ; 4.889 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[44]~90 ; Combinational ; 4.567 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[44]~91 ; Combinational ; 3.958 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[45]~88 ; Combinational ; 5.064 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[45]~89 ; Combinational ; 5.139 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[46]~92 ; Combinational ; 4.567 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[46]~93 ; Combinational ; 3.637 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[47]~94 ; Combinational ; 4.567 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[47]~95 ; Combinational ; 3.637 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[48]~148 ; Combinational ; 4.954 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[48]~149 ; Combinational ; 3.849 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[49]~146 ; Combinational ; 4.954 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[49]~147 ; Combinational ; 4.826 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[50]~144 ; Combinational ; 5.150 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[50]~145 ; Combinational ; 4.876 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[51]~142 ; Combinational ; 5.150 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[51]~143 ; Combinational ; 5.017 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[52]~140 ; Combinational ; 4.567 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[52]~141 ; Combinational ; 3.166 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[53]~138 ; Combinational ; 5.150 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[53]~139 ; Combinational ; 5.060 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[54]~136 ; Combinational ; 4.567 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[54]~137 ; Combinational ; 4.839 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[55]~134 ; Combinational ; 4.567 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[55]~135 ; Combinational ; 4.905 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[56]~132 ; Combinational ; 5.150 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[56]~133 ; Combinational ; 4.962 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[57]~130 ; Combinational ; 4.567 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[57]~131 ; Combinational ; 3.637 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[58]~128 ; Combinational ; 5.150 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[58]~129 ; Combinational ; 5.021 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[59]~126 ; Combinational ; 4.567 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[59]~127 ; Combinational ; 4.652 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[60]~124 ; Combinational ; 4.716 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[60]~125 ; Combinational ; 4.999 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[61]~122 ; Combinational ; 4.567 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[61]~123 ; Combinational ; 3.637 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[62]~120 ; Combinational ; 4.567 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[62]~121 ; Combinational ; 3.637 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[63]~118 ; Combinational ; 4.515 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[63]~119 ; Combinational ; 4.453 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[64]~116 ; Combinational ; 4.567 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[64]~117 ; Combinational ; 3.637 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[65]~114 ; Combinational ; 4.515 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[65]~115 ; Combinational ; 3.739 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[66]~112 ; Combinational ; 4.515 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[66]~113 ; Combinational ; 4.611 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[67]~110 ; Combinational ; 4.567 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[67]~111 ; Combinational ; 4.695 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[68]~108 ; Combinational ; 4.954 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[68]~109 ; Combinational ; 4.894 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[69]~106 ; Combinational ; 5.150 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[69]~107 ; Combinational ; 4.899 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[70]~104 ; Combinational ; 4.567 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[70]~105 ; Combinational ; 3.637 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[71]~102 ; Combinational ; 4.954 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[71]~103 ; Combinational ; 5.652 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[72]~100 ; Combinational ; 5.064 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[72]~101 ; Combinational ; 4.867 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[73]~98 ; Combinational ; 4.515 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[73]~99 ; Combinational ; 4.453 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[74]~96 ; Combinational ; 4.567 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[74]~97 ; Combinational ; 4.680 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[75]~150 ; Combinational ; 4.567 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[75]~151 ; Combinational ; 3.173 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[76]~154 ; Combinational ; 5.150 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[76]~155 ; Combinational ; 4.876 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[77]~152 ; Combinational ; 4.567 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[77]~153 ; Combinational ; 3.958 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[78]~156 ; Combinational ; 5.150 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[78]~157 ; Combinational ; 5.060 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[79]~158 ; Combinational ; 5.150 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[79]~159 ; Combinational ; 5.270 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[80]~170 ; Combinational ; 4.716 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[80]~171 ; Combinational ; 4.789 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[81]~168 ; Combinational ; 4.567 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[81]~169 ; Combinational ; 3.166 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[82]~166 ; Combinational ; 4.716 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[82]~167 ; Combinational ; 3.796 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[83]~164 ; Combinational ; 5.064 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[83]~165 ; Combinational ; 5.645 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[84]~162 ; Combinational ; 5.064 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[84]~163 ; Combinational ; 5.225 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[85]~160 ; Combinational ; 4.567 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_channel_buffer:fifo_regulator|scfifo:buffer_FIFO|scfifo_qm51:auto_generated|a_dpfifo_5ku:dpfifo|altsyncram_m7h1:FIFOram|altsyncram:ram_block1a0|altsyncram_nci3:auto_generated|mux_sob:output_mux|result_node[85]~161 ; Combinational ; 3.958 ; Vectorless estimation ; 0.500 ; Vectorless estimation ; -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------------+---------------------------------------------+-------------------------+--------------------+--------------------------------+ - - -+-------------------------+ -; Power Analyzer Messages ; -+-------------------------+ -Info: ******************************************************************* -Info: Running Quartus Prime Power Analyzer - Info: Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition - Info: Processing started: Thu Jan 07 18:22:11 2021 -Info: Command: quartus_pow --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE -Info (21077): Low junction temperature is 0 degrees C -Info (21077): High junction temperature is 85 degrees C -Info (332164): Evaluating HDL-embedded SDC commands - Info (332165): Entity sld_hub - Info (332166): create_clock -name altera_reserved_tck [get_ports {altera_reserved_tck}] -period 10MHz - Info (332166): set_clock_groups -asynchronous -group {altera_reserved_tck} -Info (332104): Reading SDC File: 'SDC.sdc' -Warning (332174): Ignored filter at SDC.sdc(5): rx_ciccomp:RX1_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_valid could not be matched with a port or pin or register or keeper or net or combinational node or node File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 5 -Warning (332049): Ignored create_clock at SDC.sdc(5): Argument is not an object ID File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 5 - Info (332050): create_clock -name "iq_valid" -period 48KHz {rx_ciccomp:RX1_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_valid} File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 5 -Warning (332174): Ignored filter at SDC.sdc(7): clock_sys could not be matched with a clock File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 7 -Warning (332174): Ignored filter at SDC.sdc(7): iq_valid could not be matched with a clock File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 7 -Info (332151): Clock uncertainty is not calculated until you update the timing netlist. -Info (332110): Deriving PLL clocks - Info (332110): create_generated_clock -source {MAIN_PLL|altpll_component|auto_generated|pll1|inclk[0]} -divide_by 335 -multiply_by 64 -duty_cycle 50.00 -name {MAIN_PLL|altpll_component|auto_generated|pll1|clk[0]} {MAIN_PLL|altpll_component|auto_generated|pll1|clk[0]} - Info (332110): create_generated_clock -source {MAIN_PLL|altpll_component|auto_generated|pll1|inclk[0]} -divide_by 1340 -duty_cycle 50.00 -name {MAIN_PLL|altpll_component|auto_generated|pll1|clk[1]} {MAIN_PLL|altpll_component|auto_generated|pll1|clk[1]} - Info (332110): create_generated_clock -source {TX_PLL|altpll_component|auto_generated|pll1|inclk[0]} -divide_by 2 -multiply_by 5 -duty_cycle 50.00 -name {TX_PLL|altpll_component|auto_generated|pll1|clk[0]} {TX_PLL|altpll_component|auto_generated|pll1|clk[0]} -Warning (332174): Ignored filter at SDC.sdc(13): clock_crystal could not be matched with a clock File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 13 -Warning (332049): Ignored set_output_delay at SDC.sdc(13): Argument -clock is not an object ID File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 13 - Info (332050): set_output_delay -clock clock_crystal -max 36ps [get_ports {DAC_OUTPUT[*]}] File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 13 -Warning (332049): Ignored set_output_delay at SDC.sdc(14): Argument -clock is not an object ID File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 14 - Info (332050): set_output_delay -clock clock_crystal -min 0ps [get_ports {DAC_OUTPUT[*]}] File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 14 -Warning (332174): Ignored filter at SDC.sdc(18): clock_adc could not be matched with a clock File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 18 -Warning (332049): Ignored set_input_delay at SDC.sdc(18): Argument -clock is not an object ID File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 18 - Info (332050): set_input_delay -clock clock_adc -max 36ps [get_ports ADC_INPUT[*]] File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 18 -Warning (332049): Ignored set_input_delay at SDC.sdc(19): Argument -clock is not an object ID File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 19 - Info (332050): set_input_delay -clock clock_adc -min 0ps [get_ports ADC_INPUT[*]] File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 19 -Warning (332049): Ignored set_input_delay at SDC.sdc(20): Argument -clock is not an object ID File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 20 - Info (332050): set_input_delay -clock clock_adc -max 36ps [get_ports ADC_OTR] File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 20 -Warning (332049): Ignored set_input_delay at SDC.sdc(21): Argument -clock is not an object ID File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 21 - Info (332050): set_input_delay -clock clock_adc -min 0ps [get_ports ADC_OTR] File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 21 -Warning (332174): Ignored filter at SDC.sdc(27): iq_valid could not be matched with a clock File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 27 -Warning (332049): Ignored set_multicycle_path at SDC.sdc(27): Argument is an empty collection File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 27 - Info (332050): set_multicycle_path -from [get_clocks {clock_stm32}] -to [get_clocks {iq_valid}] -setup -end 2 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 27 -Warning (332049): Ignored set_multicycle_path at SDC.sdc(28): Argument is an empty collection File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 28 - Info (332050): set_multicycle_path -from [get_clocks {clock_stm32}] -to [get_clocks {iq_valid}] -hold -end 2 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 28 -Warning (332049): Ignored set_multicycle_path at SDC.sdc(29): Argument is an empty collection File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 29 - Info (332050): set_multicycle_path -from [get_clocks {iq_valid}] -to [get_clocks {clock_stm32}] -setup -end 2 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 29 -Warning (332049): Ignored set_multicycle_path at SDC.sdc(30): Argument is an empty collection File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 30 - Info (332050): set_multicycle_path -from [get_clocks {iq_valid}] -to [get_clocks {clock_stm32}] -hold -end 2 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 30 -Warning (332174): Ignored filter at SDC.sdc(31): clock_sys could not be matched with a clock File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 31 -Warning (332049): Ignored set_multicycle_path at SDC.sdc(31): Argument is an empty collection File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 31 - Info (332050): set_multicycle_path -from [get_clocks {clock_stm32}] -to [get_clocks {clock_sys}] -setup -end 2 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 31 -Warning (332049): Ignored set_multicycle_path at SDC.sdc(32): Argument is an empty collection File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 32 - Info (332050): set_multicycle_path -from [get_clocks {clock_stm32}] -to [get_clocks {clock_sys}] -hold -end 2 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 32 -Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. -Info (223000): Starting Vectorless Power Activity Estimation -Warning (222019): Relative toggle rates could not be calculated because no unique register clock domain could be identified for some nodes -Info (223001): Completed Vectorless Power Activity Estimation -Info (221012): Created Signal Activity File output_files/signal_activity.saf -Info (218000): Using Advanced I/O Power to simulate I/O buffers with the specified board trace model -Info (334003): Started post-fitting delay annotation -Info (334004): Delay annotation completed successfully -Info (215049): Average toggle rate for this design is 9.629 millions of transitions / sec -Info (215031): Total thermal power estimate for the design is 299.22 mW -Info: Quartus Prime Power Analyzer was successful. 0 errors, 21 warnings - Info: Peak virtual memory: 4846 megabytes - Info: Processing ended: Thu Jan 07 18:22:22 2021 - Info: Elapsed time: 00:00:11 - Info: Total CPU time (on all processors): 00:00:13 - - diff --git a/FPGA/output_files/WOLF-LITE.pow.smsg b/FPGA/output_files/WOLF-LITE.pow.smsg deleted file mode 100644 index 052199e..0000000 --- a/FPGA/output_files/WOLF-LITE.pow.smsg +++ /dev/null @@ -1 +0,0 @@ -Warning (332060): Node: rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_valid was determined to be a clock but was found without an associated clock assignment. diff --git a/FPGA/output_files/WOLF-LITE.pow.summary b/FPGA/output_files/WOLF-LITE.pow.summary deleted file mode 100644 index 7cb177d..0000000 --- a/FPGA/output_files/WOLF-LITE.pow.summary +++ /dev/null @@ -1,12 +0,0 @@ -Power Analyzer Status : Successful - Thu Jan 07 18:22:22 2021 -Quartus Prime Version : 18.1.0 Build 625 09/12/2018 SJ Standard Edition -Revision Name : WOLF-LITE -Top-level Entity Name : WOLF-LITE -Family : Cyclone IV E -Device : EP4CE10E22C8 -Power Models : Final -Total Thermal Power Dissipation : 299.22 mW -Core Dynamic Thermal Power Dissipation : 160.93 mW -Core Static Thermal Power Dissipation : 80.72 mW -I/O Thermal Power Dissipation : 57.57 mW -Power Estimation Confidence : Low: user provided insufficient toggle rate data diff --git a/FPGA/output_files/WOLF-LITE.sld b/FPGA/output_files/WOLF-LITE.sld deleted file mode 100644 index b5ff7ec..0000000 --- a/FPGA/output_files/WOLF-LITE.sld +++ /dev/null @@ -1,49 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/FPGA/output_files/WOLF-LITE.sof b/FPGA/output_files/WOLF-LITE.sof deleted file mode 100644 index 56bbd7101559e6f9c5e37928e202f7fd497af3e3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 389125 zcmagF1CV9U*5+Nd%`V%vZChQo-DTUhZKKP!ZFbrARR8b2Gw=Q4o0vRta_3nqGxv$y z5zjBy%G^qFq5yvjL%aMpKla(2X*cQCg$#uu`+wllXfcEDFPc5pPewZUg# zr)8j}#}{-qw=%+KWn{sp=b&R?q+_IKV8>UI#8-0Cw=vRpFv1rxGI#p!4*&!J`#q_M zJhPAp1HA|%qYyg)Fo5Z|iCmRcK$K0Mo}PhOT0==zNbY~%i|aogLBVg2kc80x*CY5n z*SAN>+|)+j3SU{@?%yN6?Lz-;rvN|#ApgGE7w9|q^VdJ+>R*??HTbjGw+{fo_xW%A z*Mbay@VEJ0@V`HQmn6?R9Do?$)b+3JZ~2%1Z*64k`27d;%=8`2XbsG5zAbB$pp>?1 zoZzLxe|x$djp_jaV*hOdXo3I$*g8AeIXmf@d@rXXotm7qD2=p)vIwn(kvV`{=BB2# zZ3QdJC$ITe@7|j={#97KgtcJ1nU2GH;aYX`DB})sR|-bpq&3yW@HCj9ALxk91alRh z=2Wk@E*yABs%+{RKi@U4VTO>P>lXaB4|ospsIVUdd?;?u?1@0gIm5nPsg}~DKy$&< zT50zgET=eLu6|2J)80!Jb&rvl_B&iQv2Q>(61E)6ouR7E{X!0+(N$gX zHW=i0@-$RBJCLW%^y%p1fc?|**{YYv+ z-o35Q2f$@OFPYP3g)V*OTGal6c*%%i!S|7483Go30zzubgMhA2;8gjE3vo!IK3#DX z#ZeO+i>5Hb^Q?<7r|wx_-9fCKh$#h0n@@Qrn9GYljj9z zSF~oAEUum!jb2N1O-XW%+VHa4_;SrYcIhGZ!VT==E$rE|sKtwD)6?%g(4kU2ePucZ zR7+`plizwM3MK%S?1N-MnzE4ULoaCsA5n+f#4xouu*L8`^!#+O>uF=!LHWv9t|LkH z#QusB1kjOGEFuV!BBK)W+@W@}@v?SB9YE?zf@zX@l>&Jy@@33|mXa2wismc1@)@P) zigQ0jRG?t7QO0zF1W8gA^BxyZtrp`Q@Fun+bCu(tZD@y1t|fISq=ENfSoR`C2bvZ` zE!haG3q-ZS|1={*X@Mit9iVzZGW=R>`7#;^2@g53MWribnN37$_H&7^g=O{+ydV!g zj7~WV^Mseybm(SX{XJz%E4SArO~fqJx2y1&KJ*?&932-J%KB;2jJF%A~*s{pfx0HxcVR#rt;w%sWU_{JmQDQx72S<)))JDi4$N zXyKKW$ya%qsA54rBG1r+iG6neQ-neR|F0tCXl3**LW{}vQUUxJLtpT(a3Oj>8%AhW zfl6#7ia2mlK!#78blV({HK1Rw-5puUu+_DNzh1aquXvt3^w@EXZg8sS4mhqU28gAr zW#s@c=u^t9h%CWERYOIE1m?vW!YZRkt~JMhPS<)6d%xJSB@ z;i!SIDo^BVv&6vD$Fks933fE?a}75uf;pOfAxPWHGWx3eHmOCDI&%rW3x_8YWk61~MZnJSd zz_e?gsO(&Tqqh$}p`ts9FSn%jZoMSNB3*ud@RHFN7i=Ub$Ra=9_!&T@VG_!D_YoJE zPfdxSkOihuJnmu_BNC5t`$qVVgs?&459x-_J>|)B)mv=FVd+*?lCZwMBs5;E3gX|w}kbQ&3$bin=j_&&j(Hn5EG3zrM)9G6|>3#p!GtoDob+olJ zG`BIa{eFBGxT`K1;*Xnp3i~B#A!ZRN#9|6~8hy>2%?EJ@POo7Bc~)xJfco}(`=i}* zG`<6r{P+YVCI&4O;lEWDb;7k$F7f!#DcypLgPbnz^ncHCg2*R0gPOzVz}p@jUGd_4 z&T<_?rGuioQf3MYv03LzKtI38D_YMgqTcAXbAiZ-D+$U7)V7Wa-yZdUO?_tLKEm-o z>0NF4Ic{o;H!mYM@-eUN6gt9udR?wWLv~`Lsf*L`FR^F|V#T6>uC}Tu0?u7hfOsxG z!@E6A1+1Gp(<{DTHO_uMLw=og=(Vj6=PIL)9Wdl>2Lx5KQ#Se*Qh1+mn0Z!h+m%`0%v9X4C?=KSlt0e2Gsh3MDm$3{9}sA^etElfLAWDhKum z=mu9ysH1j_clSX~ZSIypq2%pC3)F*W9WNJ5W;9ssS|DD}#H@}mkV{7`uTaG8X@ok&Jf($& zJ7ndj1*~iI>~bMXdA-D$qNSm+v=+>$H_T%J3W5x0V6s&{S3VbD@^Ju^j`146@_lzt z34|-duHOfQA7nSzOnn{@wb}YJ1@upvXo6a?I>i<%i3A3ia^He|1{9Nmh&s2`934f) zr71C6c@zm%&0*Y=gU{#t&IQgSUL4%2NQE{0qDaLtdXmY>9)1x_9JyeB_SB8&ql$Ne z$>{opYNC(j58FMxg57rqnwPA3&N)8hKX}}*sSUs8H6sS z_+~9yzTAD)ysxry<2ly{a!T)hC~t^3fc=8-JNuiXC&Q0o&(I4BvMWiLo}*MGg}%#r zx!Euebb&YAWJ1mdLam5m`*#vsdG5sJKRV!o8rCD^`Wv9@_;B9gsl26Jr7^>U%eDn( zm!jmvk?SCvb_I=Es9mO!w019ZVvR8gH8Cu7hTnfNXUP^@vZz!p^n+C=HIuS8mhbzSeopMT+JTnFe)5h>g7rq%@&l4H`d;su$l*qqcNtb z9!D|v_s*oiRsuU?pFD!TMKqj%7L>O=ksCX;Vfi4iFciV@J1in4EYfPva4JZ@0HL$F) zJ;vhcn^=6&nGL<3j|v@9*+yZGHUu=b-AXmB<9<2z#yeH*5@pe(XS8EHv3P?$qp6MS=-lyi zs&0ik7fuEVmF7EM5RW{2)B;Y0AhqrQVMPJbf@2r6ferTwoS5HIyBiDif|=G#R7NCs z4vT6o=XdkiaxUS@?Oux5-q+b|h znM14tg$yxbRo_G9)?{B$$*aG|kKHKVK2O(^O|qN(My{2`08dBF?|s~#TBoKZ;QKxk z3}-z9_%e%|(d#n^1rm$5cF1F6N8JE>Ot!WNgpK$!i5 z6~%gk#Yy6K7T9lnc%VR9^A`ACn`D1ev*&b|cZ_p#D!|5rc*uNIKn(w*M@#UxUYA;; zP7@xk-fYp@g54(%JpUC)f%9jc7=NCupJKyTt~h(3L4g6>yNyBtgax@yvEVn%Knx%= z7f%G#xG3?_+f2-~e@8x?HTKQ@Gg63XAB2n3n~#xp1mz~^O$%5o_b$8H8~h+d=AHFS zjCSKIHixKQ*t?A?;lpGNpo39GaH=!ypeNno5lA;}jX;k60pmO)x2R+NtSSEKi__{u zxIVr6p~kk_!K7s|F7DHgJbK7c|JN2Cgn^F>!`Ae@VV7R|x!m1{;*Z=rfGqH1ZvJVs z+!E1|q~W7MHW?<+V}&MZT%Ni^DwAJfLVrrwDpGdk-djk^PRC;>w5&tU@c+08?mk$r zJTbi>#uK94VzivA)1-`IEswwDr-VI{mnT`jl%*<0OU1=6K07r!pT@eCQLSCWwwIZQ z^;95wOC!~`%(o7L{9NW&tc_0=LJ0C~A;v~O*YmkvrlG!b?(AI;BAS_^J4o(4~MWkT;i84usETThZc&R;< zjDhkZkXR5Jd%2lkfkAzlB(n&bo5%t}59r?uMv&2bnIvl#;Q>3U!oCq{Fex%h!7h0) z7!)nj=@m))8HT1yvhy%)D5sx!7Po>X6fUL&u(>L8P;@jy8%e#QV%q$%XKZ5mX42w; z?VZ4$w~)@(pLzG&jLZ1jTbPIK&V%)OPc4_47UR016&ZK1a5wZrO!7UQMAM(4Ejrl* z1gJ!bp#oGZU6>W=l|>=S?Y0vTvkaLAk3iIAzo@ZUyoXQjZh{t$HsrD<8hqW*5S~0; zGC&7@$T@q|mPy!q+^+8xa^uewDZ@Pk1vXl?wmNd)4`k0;Clsap(QR0tXpbvegw8Zm zMs*1vT<^xDJ84lqNz?fqufbYr_XE0Wm$#04eL1p-OG5D#h0xDv2_acu7D^v_V9FQ`1kIX-R0$j?sGF@Pp@q45?#^dGb*j~BXmtY6H;w&m0bOv0Q>`H`*Ng2uMS(L zp$_2-w+pv{9}^=-?zXBn`Kg=rBgCiarn`AtTS#`aBvn-H{r#mT{$K)Hg!Ng>elx)&xUyX4sRQXqgJ6=P;-Qh#p z=DRVBTGQW^OJPu6y^Z0ypv<6GTnRfuzO0)&cnN$s3={LHT70ecB-%ub>B zK$tI&SY)r7`Ka%GtrYCrcMT3811eXH)ZaPlA{X7!1s9Wj6iW4$?|$TuTUzkms;2;>4hDa*s1*8 zT=?aMJ)2nYQ!ll&vHWkd(@HNglM-1;AYPH`*;-gB8p&Hff9~{e^HI8r@2%5a2#h$suF ze1{+}=qjK|qZA&y-Jz7F;l+iwK4+}&u|O*Jb!sTbEYMM8=w$eM%ExOl_zyZz$=0gyLJqxmD91eib8~wOB@hEeq^kNU^ zi)y{=C-m@0ZrV{WzB;H2N39|4j-?A(j^px%B3<2BJVb#}TCjbM;&{q^%3`<_`150~!*0ulVtzygY+XPrL#ps3whWb9UA#(f5@?wfj z6Bh##w`qiQ7z$1!veV`ru~FR;7N1kV3lGf1tVn?S3+&#?I$ak=`}4c#qQj}Sk3A;CA1Ue^q%hSWjvUwSqAZIhFU4&@albKO%$!~yI` zl|~SldQ2W&CLIFPttK5<-5ltX_1@QOD*FcHu8XlnskLUu5)ZK2;{egl5y6kU)rY$7 z02@t}cgJ?i^}5pbOebyLNtzPDxhO=K4krVu?>{14_qu95Fnb$J@P^I?m#4C8vXb1h zHirwzc&VT#JyA$%@Lhf~yCXBTdf&FE>vLnWGA1m+yRw~~qT0DOOcnHRPX1yTlqtS~ zQ?+E^fg!<`PNzqzO=&FJC5cZoph?kiM>ND77?L^EHD|V=JmcovP+p3lu%Rq#%5Udw zDRRouv?SG$il3FmD!=nJ+ml%yx5hb!yIdnZVXlBJgqE_gAI*IML5=TY1y1U^ zzZY$@$uNYPTx7=ek0)&hwvANHA+pm} z+9FEEiRtnX5k7<_6Oqmm=BO&nwvm&KmLc^t+ekQMy}n%^LzP_H$jc#dgfXjr_GU>F zVM@VI-;|1AS7A0^8Uv@R*;eJGpc?xs74SkwAwYZ%OIpulzQ3k$7s9WHZ(TImBc5y7 zG#8S(Tk_naRx)U*vgxQ1UNTv_KMDBh!UEzm^@hF^Khvz!R`?^mA}UuEE)Ap%B&I0h z+$^i5fu)SPP4{fmcd=`If#U%QOocupyf2V=^Yctn&Tfw5k~QF>wV)vH3J#|Y8A(ij z)1>eucO8WpnzlAOQ!shx%V(`PIAD%H<~zH9_D^=<@H;DQXk}|?sb}D9Vq)y@U&#aw zEyp!>6z?N7vya9%1jiHBNf#W>O2h?Z`a~&C_ge@p1nWp?V-Bs)j~_2U7{dtZm!zws zFih%VbF(ke(OuK%`}0plF_&c!8a;GP?Yi>_hc-J5U9Ahn2~(>8~h{nrl#b{5Tpnj;!3^q zmS^4fd0v~4N5y9<-@+ROaRB#m0LgJh2G-c$y&Oe)TyGg+l;Pmx=qe6esx253>GfB3xq)m0n4!1m#!(N;NLX>40ytV>+ zqevokZ7GF?H)D<)+Elpk)43t20AMK7-A~_NU<)79Z0mjz8JK z4$8IL^Y5@TmJPF1bUL6f!0mjrZuvn9pjxX$j=27xBKk2Mxo>hy&UCdO9W8a zIOix`=My^e5`Xcv0_eT!VXL-;Kn;ZF#A~2O>WLg+&OsNDyC0FlYd`Iv0-om{uCysU zONuER2{*JHtu`pxARMeT5Z!;Xalr|Unr8%8|70S`g-~q2HZL#bJRSZrLq>u@Y$UX$ zK)Tlw7LwpWQKZmU4dox}6=Fn9JNdp?Ul&JEAv5D7;#q%j(G+bfEn6Apur^jjfho5(ERlV(m$ppOnvxXdi!U+ELO3L zc#n2?>j}?~PtN<)5O)RUb{2Z80X2_OAP==`UFkgjz;c6`t&OGaku`*E?z(WT=nN4@{Dr-#17BS3$Se;|*} ziLzYE1(R~2myowaE%KBE{qpoRV^cjZh1D-AniTESGh(nn%$K~g_ivz@( zZ&z^t1*lqBHC*t^w^bLd73r1outpKcLs#A2lS1sGo(6gtDU~FG!jiNMLilor32q{V z>mZ|GyD>hXi-j2Y(VM4<*OEi-fT)`;QBhoKGyi4I45lYtKeyGeOPYIxjw`^YGmI zSc5m2^S(G-Tlk7tc9(6Rkd&(raD8LY0z4rii$<*PP0mFU2^Yn=EQB^L%8{CnZt5Jb%k# zkv??WERke%qWnS3fpE_@a+!;05nO=0ITII5G}9}h^svnB2qrp0fhLtwl;!K9UgHJ4 z_gAdd{6A9ouMNFTZ~y=YH$6jh!~YUN?f;0NTbkF37eq^=#2UeQ?NDL5f1dW-pV5L9 zphgzPrbDaeC#qGn;M59&fkzY4ggRrLDc7l4*XY`VQ@v>=rC);00W=O7bm)@qkcgX% z^qAX!LIVB_%6!&nR+l4y%izf@2r-QpBl#&gWwhk5;GVgkkdcbIwXCf|@UE$MPx_qk z-QP83Dt}z;30i1Aq9`gTQEsD*&8Zv~+>6Z@RYwf~i>3Fq6>0?vN)wx5q}D5%Nv1}2 z{8-W1l{?uJyw{>oS;;-WYM1}KZ}a?k>3^7;=R6x@j+@GmSQ1ay47MZ`^B>p=K==wn zZcG)(@GBgF>sbm3h;}I{m8{_fz*PZ;g%c~Edx2T}^pmUoDac3*AUKP$x|pvvMa3;J zFVb|Y(x%*AsjZ`<65$Q$V}g&(M^M1(UmQ>_Vn2>Y0F>4hh1I0?y(fBMXiWvBT$pI~RV+1)X7r#0u? zA1LTZ`2>2m%ZmzfCsYB-r)CcHxsR0<*?bqD10APm1OH;~0oWLgAWb zFc0o{aw&<|W*Vga#Qr*=?pQN`&A6$0J=b@`0KigSERjv|Bf)v276OxWy0YOK)fp)! zv9if)ZbY{zO@26=LowVGNvUANl^#Bc{tJ&{TH-zBE97Ei;`Ot1=o~1R@d4z`C&2=2GUt0&%lV3blsYK$4ysw)P;)>AFLZ-kf2;I8Gx zrBAub3hUvV>P#|fmFSE90ncjynCX1DO$zLIPfH_fo>#C<_121)%Hqh4V3Km!+)hdT zjX&{m8y3@ya}*)tDB8nPTyjt0PhBN_FcCebS^!+jiU&wCs8%`EXwLVg$3;9NeMB6q{OczlW;-3)s) zmDZ)aKM-YpMIC}uLK{xDcYvRf#j_vMX{HS7gArxj0;{~iVFl3rCc8F98cfmB)7Y46 z&)N$AB!WivGnn=2v%BXMYCNaH84vQM%=!AB+! zq^VZ=w@2pRW1bWL@t|3DI?-teu>Pd3jJp(}8Uc%R`3ND+EyNAU1jIomEvnLBDt}pj zR(w^u02(^+DdP!wj*FV`=!U>3*IS%&WeK}VTfUNw{@Oa3uuFZy_W*eq6gBP=&^cFp zv|$EM$f0W?e;O<*XXgT7hJv zOFQ;JyyTtuhh@mc#wn{QtFYV&ycV#xRgA5>?tDgf3x@^o{X{h+^Q3zKRp#>uYr1X0 zM>CdP258Gv1FWd0`5<{ECho%n}&0OiPac%*g#bc1XXK%xi)eogXO6Xs9Ehrf&gr6+Bl)E`i|N& zI<`}vYwHWTci!avq3fXzXg!z^10={xRgoYzM<{JH-Cc&25`hzr)bPX$%qlbx_*7NT zhJ=0Z$V(K*ELpP%^|C@FgM;eM$x`DE-Y5n8lC-I$kV!9-d*1NZ*CmSvaC_dun^zH= zIPIltyELTEuS>KPTCZP(CHWH~t_)@gvoul#!eHiz{e#Lv18zr?4TYLynK}gYF(3`V z=UK=~ePMuJIB~-H3HAmv8Ze@Pa_K@W@3P@(5~MLkL--41sGD3opM1xN$gr!dd?8-! zLaIyjMl-8e!P;ESA(zVMI8M!1E3E!Qp8YGhDL*o4JvuMAS^+5{i|)o*4C!7Ya8s#M zOgI=Bs)r2wI& z@TwoS#{6D?&2cS96FJN*p)xh;Vd7dOyvODrBmE4Ig@Vl6xz-GJ{YQqryh&(>K=kSo020!q z!2)Tw|H2;xZK0cc66QLTCL{H}U7bcH96)Ju0WwP$eYoIpRpmyiKt81`%Jd2B$4;9$ z1OF_H9{OrRIbs!rH)45+>FgBg@fP8l^Ud3}jk*;I|3^#%(+q1Brh$Nrd?%$!ugC?? z`=U##;qHz2Av2PzSG`g&$OgZKtn_Bwr0@~_@Pfq(P_!_;`sDY z3YA($2UUMhx*6C6WNKQw;ZJP*@(6)a*TF}viMT-tMynU2jC}>U&Nx)}8Jyni{J!K| z;8QDx;V7S3B1+6qS*ch??m^Yg^W+Gqm=Qa>f?o<%%DfA-v~*|2HNx8Sbs|6Nwo>u? zhaMd4C~5V>TRR`X8gRTx*d4iuS$D|<3U(IoB0yw13>7Tstn?WcgHZD1>BOq-*A7Xp zqC_#MuHV$RjC=_i+|CD{yBW?%{s>2E-O?l)HEo{vO>GiX7IBr$D;c>_bu)ZH1Q1!- zLAbYlDa2Qxwy7pmD++vJY{S3RZ?t1FYk{ZX<94X^kTC+r=$~YK3~hYY zF8VY7?)b>am`MhDbF04AwRLEh`ZMfqRQ}i)4bFXHb!Fs|^nB>ko@#AfD_c+dsf?I2 z($sJ#O}$I!Q?TkPD}^goAuJb>dv5gQ>#e>jzV>icn>oITW=W%vRkBTdG44Qc!JjB@ z?ZW}YVRP+5M2`qMajwbVSvwWxAU<5N&p4Z5o$;dQz-3j zk(=EvnGP2L-W}Lp7uU5uEYCWvT(@jsF>G5AB+;GMY%vg@Y(wy<*`sSR=J1PhMRt;U zi@e_vm?-OwkjMw1Rzc10*%HPR$t+uL+p>=>e1or*&e7tGgari5`yolH-f$ac4667y z1d^c4gDVSL?lC7{+$f)jt}aRc{jtL6kovWx-(pj-%=GR<22bz&a7=QkPqG{=NH+`< z6tl|SLfrj?5ZgPdE=OJnR^6UGooI2~gIa{VCoyaSRq$^P;FPy#TRqv4{_uE|Y><$| ziGLER`jnq~qcm5URCKdT?7RB4Yau)pCYhmAq0#*4EYeH>9eW9{2ScPAeA|8^KJOV8 zYxMl>VxMh}MvqPnq^E6^4mtrE(Sx4ypS~*p?s7vhPyLfto%-sb-fJA{PQdUa%4)l@ z&vWLO)u$XVsq!w?p=aN(pi$XzO4*i6PZV#F);#l5;=-~g#@cM_EyTC(R_5eGe(o9j z;#FrXZ53+AW-Jrmx=mlhN*UgT>Ni=r%yG?;R#GS8z}CSmu&%od+=R?l0qphsMq?e^ zCHf8Q@FlC!qc1cLBvHB{K2<4_jUg={#Zs9YQA`VwDna{Z8azX6$o`agM;BQ$Z_UZ% z`aX^;p7-7`3g%Eg35+a{3HD~otdACM>tuk|(JB}j?~_61Ql?Ds8Za82kOdT7*vvQa zfxIb-(bVET%&TVOkZU8RI|mL}OGh&X_7NMkJwLhV^6n8mMxGPl5kO0_38cRu7J^9J z47V0|ZdVb;kpsXA9YahzYI`cK@n%;8R{s3KbqhjkNm)+vI52z&*@FPO(V_}MYi58h zoZ%U5K_OgcW$6o$OW7c{+u69?%t}Ux>>RGkT?;T)oUb$X3crBhnaoV;P;-=Q=2&i> ztyOT)(Zve=@@bb@inSS?+?D?kIXC{ML(4>%V$({JBqf)9Tt@7;sspqt98*52ESM4@ zo^T4MPg(r>+sQBcLNJl zn~PP-X4(DfmDP3~P2~MxaGeJn7duDmU#3T6NDjOiOs$^`$YgddWhJVyo z*w~4m=pJBXZ3$f$ex70nt}fFU=R}hidufIsu7Yla6)A=yLBBX&L1#sGecTE&@%|^z-@*Rjxs9Rie+Bbe{|V;J{s!}*ZB7|; za777#CH>5PiBc}F2Z+r8){*Cu4p@Ivn*J9nfl}i1Srg2-TFKE~d1<;dp@X*+s z$h4*XyeXENO_2~xxDoZMN4IWH?n~r8=1J+C$VLoxqrRWSQwX1KQR}Dn}St^jvk(lYoz3UWeVegXzq|O;*v5L zLujET$%k7DuP&qj*sg6KpHs(c)hy*HJwFLlc)crIdX}>y>Cy2gS|cm52u-2T;EXqf z@uzB(BcpjD>O-SD0#HE0_v%K9dF@wh&4Z&C*mRpZaY4aZj1@^~e(icxuBJ{l(k2** zx;5;jR6sqgJc?Ji=vJt1FHU1enUIhxY*Y80@YHE4SwYWL3fG(>>tmc-HxWPWNZtQ| zF7)q{S|I-g`Fqa)wq(^&J$)9F!xhv@^=%Vbpx?e_5}r&+h+^x@arN&v6? zCr20F*72{Svz`2g1jukduDo|$QZqfTfNt-%48Vc7n6I%>0UuQ^#&`7-n9EkuKMl#| z+k-61z16`d_ce6womqr}0+q;SpAL_h$3k9C@sAP~-ga;52ifTdNOYy08x;A}GVA&v z&bG4>!-<&sfrb**BQP1`1Ukf#^!7LzKa+cZl(}Qf5H!Q4;`Kr}3?Tp){st=&Wf}9W zgKersL?J`gAF&-D8Fa`t+kYyZn}b6nEkRBSC9K_n836AzJLWvLE&^2EEhIhyvA>-s z5=4TABqV7>f>1PwMW7T;vaGj%7U4Y>I87Zh$-eDFwsEt# zuEO+6+hsfVzQFu-)y#GLRQ<3;ZW>?QkAM6`bm{3%dtrdmxM8BclF7+W!896B6HUZ+6LszsG&w_ zw<)){b#YLJ@RnGaAEu|$L$-C5AIf^5*~)$&y5Td#RFrELgbwBO2};NWSo3?%Kyl=3 zavx5p)HU1W21 zLQ(iVz^1exfcD*49$&!|xkl?dKX647J&_=-E?)C)qL63gy!BpoyrY~(U(Bx7%;6hvt9f)8{TTfy_2=h*y7o$hCitI zc$v*4t`5Op26P9C17AiQ5KJ(3ncv(F4+)ZjypLVDx0)!Ryix56Uc<~gC537%=t$hU z4WR{lwew_ATvlCp^oef#nZtL+eG4!Rle{$^&Bz8FTjji^dBtkFf)^9Qeg08}7IZz0|>DFOsufB@VBH2=Ls zez}%v20y<`oA5wTRCpu||MrPgkUG#%(K&fm)qCC9f;x@k2+ol^Pm76A zaYx!T4^(VE-A)@>TGVRNwUt%=>+6JV)Nf;!E?CHmo|5*Gt(+UN{61v8OBpj3nF~dy zdf-AJEwM^bEJWi+5}5uHwsAJT@z9DV(grH_z|@|BN5LR+-e9b7cAQXrz^nl|bqGoW zZip!o*k*~NGjYxd4}m?rrs@$?Hv=88+AO9PLb8LuSW{TOal{^GuXAJ0`&eL+hl>|$`{@(^5k&<-E4?Vo7d~a zIPYYo!O6MJG3Odh%gpzY$#ooOC!ph^QDs@8BAj}-mMBA4@qJ2b)crxJVs0S~|E^Bx zb-=Mr7-o9Z81+l&WYwQVglV`Z0JDj?{oPrx9w(;T^PXygH?#F!{=s69*f$o% zDmhYRn!d3p>DGXjSOFEYawAdUt;)yawaosz)oiH`>0y#IlNaeXOK&v(q~?1$FJf@- zoQsqLUe|!FA3r5|$3WEHaw#1a8)S}3nL30q1Cu-jaGu7vC-6!W$z_r#2IgiBkyjER zSbTr!=q?}qY0(rH!R7JOX0wg1-lmIfmvunlM{?D#GMp&VrLZSzfQN{CGc#yynucHhEdy3(?w!98am6 z!^xq??J)&5J)9O8j3qI4)#I@l2bT=^k0^*Db(RTI4{L`lF2S7wtzrL=(f4ICjC#sQ zPR_G`48kq+nW$&V1`>2v5yFpal*`N%NJ?=Dt7k^55Qg{oD}WB(I`rGld|0Kn+izbyMQUwgph?d~KHaMJY@}O<;DwjG3Flx3RTOVw5%bX} zkovOevlwbtufQc;7!EsmoxIdX?xgZfzlJfUfh*9Jof>*(mcRL@veuP>GFxXjZpSS+ zlGn;($wpTsgzY6T<|7GP0|MmI17#!-gV=KGK&3d>1lv{6cVq+cbh)^(Vj15Po5{u~ zKfHj6)}$ypjvw|w{CV_Kf^@*y!y$H750Mmcp7&o!hnOegh6);%Sby_Jbn&210fCH? zAy_@c9?U3-2$*~B-wG@~Ezzp@;q_M3E(enBVSZ@63?AnGF}-DZB{`~wtWHtpUJDrt zuSr4H$W}mVtcvBgkEU?`)-W_U$V+zDg+4S^Cgms9g-;*9M!N#OEACt5Y|P3|bX;Q_G(xSDj-)M*C9mn1D~&1jw&A1)eL#=# z(VlcfXXp{eTw>s}v{h9*f+DM#Tbz21N+7gV;P&^4b<7PYT8GuGQo%X(FA!Z!Kt*ZCug9}2s8d2HeR($Db@yP4wI<$iq{Ar0aN@6Liyh)_`kJ!dP z2#iTrfRHxOzJYlA7l=f8gQ3E@u|n~kvj)VKz9@INVx~x>n?;V!q&a6iB=+F{2Z$6I z$jSEpa!qyl#u$ue;^2dXcjYk$BIVq)r}^Q z{)co7Qicy^5=iZH-#lb`p=I6eoi4jlVp**D<{{%Z4^8iX%e6Ppxe9*s(6TLGvLX2+ z&86a&k95)*_g`mV;Q!$vJ}3Zyu!x|F*#8U_H#N>|*I5z1F1!67LiFE$zRXrlLWu;K zQu);_NEmVn$)f<#WaCyd9>yzUeLZn0Dq4wolgjMFK_J}N55H|Di2c60B1LQiEpwxe z9(OKLCB^ScwP)a^g?}YWD!BEiId~Q9HNxm6kv1#~%SC3VZTaX1D>1$ef_C9kH(|*a z8tvb|9o}-TIZq0GD_0~StIL$nx*~ocDv{k{{>1OjCgzNbr6Xs|V?r7dRHB72jc4P$K#FZ*y(DGw zQJ0b(W&^tKssaeCg*y0h9!0pB;!2 zYQ>SE8~zw(9c=>sXJHX6n<;%O1VT%&MDCt&aISw@WXN-kDr?5vs|-5LcA+q2S0#q;^gPp_=!Db05F;I`7H|- zK`i+k#3gPhbD2>IZflJ*T9qky(U1|=V8Sd>c6KL0F@TG^IHajesrQXeRWIT<6W5?l z4BCv^P8nOWQZw2JZNd7D-j$bH@YSg!CT1NRkgpaMa3lZdcd}HosW!^ccJ&Uki9Dvy zY*97i2@i%J%euvqLzv|o-g|(#MvRdUxK;;vq;(;`3WnB+r*6&d2AuCIe4qgtrSf z@W#FkJ>#)O+q@I111WpQWQ+ur zY8|RII0XNY{1tp6^17lqvHd>6ESeqOv&pQwVgX}12-e?>yAqcuk2cv)oX@z;Xsd-Y zM@i2z9U(q!Y~I${OGNvzwNq)kMJQ9z;IFkdj{+O|^u~T*Ca{!FHh7WUw#Q)8fXbF; zpMbl(wUt8C2Dw7=v;XP)M9l=z?*h#@2{`DDbkBO$yvj*(I_UASl%kly`(YJ1!H4 zckc24FUB}0n;dGqBV`Le zMz1XNOJ17bHXY1=u#x)v$mI4%b`VADAF4ttk+ihGE9I-b!q@!t6Zn^W{_ETf;eX_l z@xS#`!*-n=;d|@s=SS^#s?F1C%>-H`$nsl1%MylM&;%498rfZ1lPFRO=bpE(V&e_C zZqt~fe)Ic=4#ON}BnW-$=g@!jlchxSU;4>O`{Y3eE_V2&JNgjhe}nHYl{%n^EHG@R zZS#WnTJpg7G6tFvg_Z_;!hd_+`d;R-N#jAX*Tu<>PgaMc^ev!GL?xnI3wjCLa!6U? zBj_lY^AwPVc@*e@j6*C_bD?$&jW~7(?*ede4qXoxxbTDBEwUFiu1y85A&YH`VE-1- z5F?!b5>P=B|8D`Ujq<+w7ErEFgqF^7gFinSU1kAQZTsZ{tZ zZ7)^WkYq7f!Vq_Z{(&_w?5R2sY5?)i?@B8%_NRIoVLS-m$0r*LS=HbsljxqTOs6cU z>j`fJ=&hozBsd@AxlEEgc3sPP{iFnSY7*Y2*zwU{cw*#(vLElZVEfo9ys7hY>4ZN0 z<9v7CvENejjy}}wy93F&0@~qYzjAM>(yeRz;{x=(WTnev_pNGvT-1^b^&I??W7ky$ zFhJ`^A0+ObS$o31Tt7J)|C-uCipvKgM67Z@W~3SW#qCWd5|}*>AS&p2TPh!zQ?NDg zA8`7)x!?>Y3~i85t$ucSdqN@kUg06&cQu*}8FRoAM8)}^i@LuZRX6HP*3%#t*(~8} z88cqjflwuR=~4d|d+z}j)v_!KuNejyf-nS$0z;G}S;;UUl0||dQKAS+kep%2peRWs z2nd1%5hbc9AW9A*0!osgAQBZ36j0#rHRwKj-+Rv1@1A@A_x|_(g0)tM>guZMP}M!X zX3}!^sMFZ<4smiUg?c0)?rZYTv0`Z(c=7Sf2Dt|f1;T4MWD5%X_UdO|!1xbU9<{hd z&k-k%c8P92NWQ%M0U3`lnqVtTl}vV*a2N9FaMgB+@~W^Xw>X_NYTW(IB1t+zMIu0O z!5kxe^~j8)Z0+T*E5%gNRpsMMgXe@s^XnD*@NFnpI+SM&~nX$<%I*O?}jNK?YZ}|{6X&# zo|oA!SIQJilN_)^uF+1xGG!n02Zz--g5K*+I7?}5o#&t_;60~82eN#+q?uw@~$WxB`TI(39no3cp#C8UC-F9==tZE#n6Xjw{yVl(NE}3Uc}eAZ*A;4`6V1aXUsaA; zNDbcL<`U_ToUCc#QE9D;^NOhV8+qiuncAa$+^pwW$QiCP@AH`a8CJW$9m`bce$S(= zZebrM@yzm>49!R>bLK{zp~z}<(RJo5iz9aXdEIf2!mD3z@E0v2vk9+0QJIKMHngz_|pTuwcBwz?-LS608>nGzW?wAAao1#Y~p$9ogQdGkqT3xp^d#+IvA!ZCK$I zba08<%jfD`*~tY6rS!38swe<+IT`madI5 zfsD1(*sD1Gn8KsXM5uCg5ULL?NDAi8TQ#v)+Z>nBK*GT>EY_tv2{1 zk;duNeUhaP?}gR@A+3mckGR}~W|y7{M%w!16DJsLn65lLD!?_{akfH<#jvEvzW0l_CBXi2e-E-)zq2qu?MNEq7Ee?Z|g(0m&aKg%Fe!8Xl)U0jJ#R&g~ndGV=?Ht`{eZ ztGn=&ZmlU|Va>Wo!>_{bBZjZUBHSuA-7Y5543JA1xE*4#Sjg!=_Mtv(N7oXuoh^GW zpy>m}ou;HJERLR+dQz-M+FTTq4$rTTIxUW?v1DW)#}>V=gC6R96tcxF=2{=#xl5q06{w zw3Dw{aH%hc=*;B!P+;)r`y9pX;rF>q?BNcRJZmO4Z4{%>jgyBq>6iD!Ff2j(@vMOX z$`YT|huV`z`=*aI9kB0YbEGZ{JwN(bqU}q$U7IFfQQXsg)r%FwHGD>meH`~fqa-gB z&2XR_4&xr)zZxtr?gCwj(Vu)^$>S@zB(znm_PcBV5h<%rmQP&@}QqybID81$_zF^3pCK1TyE91#6=<@XS$LsAW z!^Z=%Rqt6StL0;r;=$R`WlZIJXVdNscfKed^Y9Z|^J?r2!-?K}uM!RPZ;jWfT)xof z&>Ah!Ix~Gw`ULf~V4&NJs|8L4Q(^@aGjx1Ya($^}nKUmQ=H(U)>mcT5H@Py*?ly0A z^fr4|#2tEVvZ3e1c`En1JUz1Y()EF^l7_>qHeF8sp}mV2GlOlaKJ%0_%Y4JPxEbzn zSRWY)P`Y)X+1p~$r*}|qdqm56=fLgNFoBt3h0#9D2M<+;bDg*11Re|xtzSWWc5z;L z`O3|#L)}W6hp55eq*C!`14B&~;vr!phP3{@Mg30%C3+N_8x@l8(g)$g=~(MMmW4(yjH0Gu{Hg2pl0xasl1-z zQPo$P8E%T&S~p7yN+if+WXf7TdS>wYj21NX^VNE-ePrfM7AzjD72H;`zMm8?Y!=$g z$F+Urg{5o3<{k`cR9&7jo@tAPrt8E)w$YHt%dsz>)s&5v!= zo)VxGolAXYC4NvRl;|G9;7#nFufQy@6U;(9`lnggJ(e!|<8=C8OaVBao-e}yyV;go z<=-$tTCLd`9t!WQHIFY@Cy35<(A~s4pJSnrj&=V2@g#Cur*Tlm)jGxF)`MA(22Zbs zd?MO4WvilltKk;Z^3#PTNnJdiqNTSF*U#kZpNxwse12#?FJsCuu2JBj zz{9)IanEk7645Ob&OTGTP}q{%dgq*(hF8Ka3KZ=?4gePbcPrpn1jTP>_Re8N#DJwBXaDC0W^IHz81e&8fC>dQC5 zlOa3#D{Bd*3#pHezPjSVopLfID@n>*ctSk~71tuq`G!sOmUx87VXY+Ayn`-n-}4?^ zelI>IbwI}Mz6`cR@4e)JSau1ei_f0U97##j(e|qb1&2&e_$?J1*V7M7p0LVY%5M!e za$Ea&ZDJrmpsvx)w7o^N{cL>hQeJ>o){1#7?p5*2+&j-d#8%}hF539ej?tVkIA$_{ zPGD~BL)z2YQq)`1m05o$ZNLpj+Y5g!>8i#M-SD|fUt?%odg7hjB@-!`mM`BiYMm*4 zA7%ypbZ@$BN=Dw6XEY-7kh|$MUK*S)cvkqW+-B1e6Ggqp@O5c{PW%qoYXJO zC%TH+_^ysQFHK6w%V9+dt&?&4^w`YM2Eo2>;caxtuAFh>zjMXb8aX_^_#wO zo(y!vb~idV9v_RkGRDXJDO#-aV)x!_B(3VE_VYFv@uyuCk-;Q$_BZuZVENdin(bXs zTE2!kQ*Xq@G5%!W_&n)Tbbx1hPL+#f6N9|7q*^8K(CJpi?_^Ol=$D4yCiSF`Z_%vE zZARRpp1)ax-1jAOjkcZgQyW9O#MA2MOb0#>)gMew@7Pu?Q$QX$SUp4JsLXZ;v!|N$ z>~kgs@0Z{_Jty5UPVd)7f}Dp^hjJqL3 z`Oc70dc=n-^;zn}ffpPcCqIr|cwDDl_I+tI`>hp+aG=7PLioKeNg)r+H|O6pZ9BhJ z#*cA_PEC@1`&JGgsDI{5xost^+-u6zpV`TN`?~hq;~y@GTx_CLKlG0D;U~6glCO{0 z)+H{z6x`!$5 z{oBx;6om7eS1wPMyc!h6qJv-h>2rMXxP0IL+oP~73GKQg6{FI*$Fk|7>x>?@8u&S% zi9Z^_`_!np&6=F8khnU5o=8D|(L!`oK&YKGKI&j)c6E4Aqo3op3!2}w zEpHlXi`1H9>4|4~Bhe7Abjz|)W-DdHGnQi%dhqokK5zTz$DqOf^&DA$`Qc9=vwJAd zR2*>fzF1~*b6*w{JD>8nhyhjL5fz+e>$-rPp903)`SPYT;}>)C5k_(;vQA1y0h_ z`c0!|-!}M4J=o*CqSHDhZ%w>HyjY}%TZ#MGoc?#;M7e)F{4jn&F-@)SD!h@Mk(~J_CNE0j;lj)G&tNPqi zx0~ep-XMWD`V$wrv#)Y8jXoCNKhx|TI~92Ap!zsVU2|}DSjRhS-<7mnZRG6Lk;Shs z$FcPfl;NC+|3Z3NBIGW%(JK8wC);I$@4Kc1DafP#!#|*ol*{@`GGevDy-}qM0wnH7z+>E=^ z_0@)>C-EgJI-W(xy1lu9$g9ZqeQ`zbHy@hn8P?4Y>#LJ<9=#(C+2)B)^SwecdcWSN z&nHTm>Q~UG|&Yc8W`PnfX?~^qlWyyK{4Wt?!z#^3=qc z@89A>nJYibwWf8%4YoAJ^r9( z$}XqB#f$|mKbNV0x^VjxKe~3X;dS+u;IAh+!dO1o89BG+ z2wB};`Tl;)yXm?7OPw3%IeSy3tKMWi9zVyAd-Krj)Fkl@XngY1HCZDsh9GcmUP+y( z>;BXC5g(0uIJ(GGmo4iK%ujM@U*z;+s1csP+%!I*QofC;v2bNS&?RvC?Anc38IO3q z*OP&Z2|~OZz5~oOWtL}%gTq5N(I&g+<}F@TYnzr@S~)$F*eMMvt1&xx^X9F00RqN# z`l4l_z;j#YIK2NI@-SgRyX(2w{^7aoo~al8akTzFdM>+X>#Y^x7wa0T-TN+2U5;?S zQ4(Qk)i)DofV)@Il1#zHy7Mg++Av%`=t`dB)yIr_nDsF$|KqH6-2D6Zarp|IgH2Z~ z-szkbzl*gsU`=^(BBa+X$8tt_(Bnk5pX17Gu1Ka~ngB|#_e753HHLdDoz%LErFGJu znKGx-KEZg%@71x*Uj z$ruT1Gc~J)-Mhs6n1YQs#io}?v}A|>%$dpwkBV;2<;N5?tDlVK-u7>_-7NARPo2W* zNEyxQXXuamCKlmrFREy+H(xZ<&FeCdm{xZ@5M!n+l@`E0cyuhC9yybl8$ia(x z$7h!V_19(x-Uf4P97!5EZb?^`Z~RiVS5+!F{BpwC``-C49+ic@D2-Z<_Os_^ROWni zDDra5En-vp1WZ_+(W6$2>&i(tbCnluPQ~W58%oh$J@EA0 z^u4jnC5kg12b%G-+0>b*1n1vX`edjJAC-C1af z{0ie(>M_7;@%18Zxw=7bS=WEbWmP_-jiwg3J24SbnonhYnoE3%E@!VZ``{Us~-t%Ch+cG zs7x7v=I)WIPvQ4kK9(1&4%jI!9m%)pdz`tXqTEmQ#dbq_d7%^~)1y0+TXfBLbByAQ zCQIV?aNBR+@k;p`@qukwETnJTXVK<-$71(u%DmywJbiygC3oW++lLx_R`O%E$o*T} ze7W8@4L8K$&@t2(M-11eFn3QZo~UHQu~bab&)tr}mok;{y;Ii5NgjPo^DDp)$wHAk zUGxARN(zqC<&#oBf1_4z;=T1mCwc-())^LJ88Z4lhE(9H>V9ulhsEBW&w}ox$QYwuPoEU{0gt_8^(jqE!}^Czb5>^J*Q0k?OkJC znSD*sis#~+WnIpNB-+Gm@O`?;WR`WrCnmL#TLZ^eO;7DpV*S(CxLevdFO6< z>7-!tL6!?T*bdPoa!Yrm6NWbWGA@FVIT{DLv!7Enq?dnu4LlYG#`x^1beeOEhN{8G z?rXH0ho$*7NQ%|6WEqAqN@+o3y$kg@<4Z-1Us)|3B1MRN<*$ew4&Z9L(e3X`+RGOt zq3B>MVWnnh`z<{qcF1iYLu=k5m!kc=l~Cz-o)NFc+X-bt}8P-8WD1)9Gw1V2$@?uuw4&6u3As4SZ|AS zqhoZ7Bhs?3n{_WT!QOJa(0Gm4&j}6A{9kzTt?fy0csWzB5xo-jusLJo$tLR>3<-t1 ztoL^sJ_Bb;yHxeyo;ltZ;FzagXZ=cja@6AJV{ekx<$>s#^It4B^)9|wc6DP787MGI zO!W-AQ&&QC=Iv>pqePj#FW*KNV~CeCV<&M+d=4{g&ee&>?7g9#k0d) z-Ban6bEh|a1{5Fh?+gnc+{dRS_N8MFS*2~0{zlrPB+pVH!&KRd%gi5M!-8^UZe(nCNyJMP1!V5SG_U6{Ub1%f4 zW_X$|$bY2a$Z)eJUizE#!lH00cu4?({9ade?(vSnqxF1a%Z(AFLBlbHvF^8YLX$Ep zan+cnhja{~Nm>^%WVn)8&E5c|uMO42X|!JbPx&K{u_V6K|C}hL$Uf8_BVn(@9)P{J zen@M;LEdFd&go@wI>W;`#TD+p??WtJ(H!QXvX`_ia+PhkW)+`2BU|BhI^K73D^3ih z>TVQ=ZYXe5XHTU+l`J}E-bZ)Ty!ko4q7ur8VM+;{Pud&5b0g6HTkO%LJlfnSO3eGs zqoXA!vIFXa4b+4!>GeY!sBe6E@3V7ua`gkb(={7S^fneV-lg3pd9-gX8wtU0Cg)_y5ef#~70!`<2X=naSxnG1yukZW6-q8)dv1 z>^b*xeqnRt$P*@;`Oo4jZm~S`!92$Xs3aG^+T7G|d}yDB(N0h8+}d5G#S;8Gk1@2a(8lyK{E`Jt z$#|1ty7!Ix{l+hHd-0Te$)6fksql30A0!%rjt5_&4|3RF$zm&d9UsOsc5|StFe6}5 z?eznhl=2wOXT~Gx?A~8qbN11B-Tr)9r>GID^r7Y6TijE#c<2_|&`{ErY~>(Qk=9uy z{bp`zHP(}qtOF8AAs45%PcM)ceV*jiY4P;KGtGNowOqB-gW^6dRb9=Gxpf6)h#^sT&Si&+`k=U1fHwMSMTR zv%^_v0e$3(woK^S(tm^A5J@=BRKgW*XHf21RD81 zmNz7)xUj}$MysxO%xm@umG<3iM)zrUd$9q}ufADki67~9OjToCimOlh*4MtLn~PTC zxw9`UX9Z=>b74@*C4#f z@Z|_is&bf`mS}_}uC9urHm8Z%Ti~-X&F!L13T}(KvaOttQdH($ZK_zbJ!4yW^XI2x z@%GlZyWv>8QL*&vU&OIH}=bs-F50Sj|lsSBH{!F^Yn*_A|^`}&C z;-2RZzmD|F+w2io?RFnWMzfxoDXPax4BGhgB)F1>?zywgOiy!s``v|O8b_`;ar|(l0vUI@q?dw*B2v-PpClG*7m!s{L>~?~_-4Ib&YZ=ARNDT(RDFAs{J1 z&Fpk-s+;*zyne6^)rf(C*#vlt!8PlROK5@H;M~&AKBrGgp|AX=RXFbA<`q9Wdbg1t zxOVCOi-3g8kK*4HJDFMUKX~Pm-ZXwzV%0%y;>0RLjKBQtds#&FH&nVj+CP+gm`tN* zD}_EkGzd}ppS2`HJA}#KG(N59(xnK#z1d)Q;mPsyX^WkbkddA`J2K` zubIbvPc!o}p58nydRoklPi88~Yxzq1!Sl6Wuf;!c@znX4>Xb}WJ5?Qh_`Sn%i`SyZ z{ke`i6^`Z|XHKD$XRs7$W`j>^s%rF{2gm!FLh`!l3c7g@9S;9yOEh6>;VfG1$5ox4 z*zVh$IPF-Sj_%@Yac=9#h}5B;v*74B6JV9(2OU`OjJlql7FIfYg*dW|ne)aMlYWUp zM{<|NsC$F)w9mhmj=or1GQ$oQ2XU`OZCMTNL~R|5-P*tTaQ{SO4o#ym#}(c*S0Rlx_XzO)H(w#p|tp?_Oto5<4P`6BPY$Q zx{#Kf?$?hlDcZ>GcbhQ`y6_FNN7#4lI#o!)D*360XFkizTzhGwkW+)U%TYVfrTF0K ztrnRsjvH4uXND^&XUR*`d^)FNX4TRkZ=JtyXteW;1bd^#qnJs2^B|Yv?Kb(mN$p!N zUGEz&uYwVB;tY=0|_C3Wqw^b6rF>V!BB24{k8XCiz`QuK#y zhYkBbwd!&h@EvCBV!<-+IcNH=f)^WN@J<!7FGTyqqN?>b+%=e$L@#6{HVcVp$sH6rVi&KD@b0;|L)Eb7!liJ7orUS< z+2zK=5+!GgGu6vBU#&l)>lDLwklOe;I>=;csbz$T71C-aozp+`igxnZ_cj?>t!d+~ z6TKWE;~A+{UsL8A ztuK>CpQ}!Y9wDBm<3rT%e;}@Y2fJpt0>9>f<9BVxMv8V^yQ)DPmA0<4!thlsh2e#y zweT|)2hP^%965WksnA*W3=vy8Pb^WnDtWB;TH)yvoyIjIa?iN0Qmqurj}jX!n3wtv z-+y?&cJ*1~epDzWX1qfu#`EawS;h)A@b$fSbBDyPzl}L*d}drG%E&;kCs%Lx({hw- z6=LlwCPBuR%vOL&>$xm!(pz*e{3!x!LN(g8-yfRE%XbY@WkmeVw`UFW9qLG%_>SKpwXx$kah zH+XWN!ui|XOgFi&C#H)a&ihA8cFOi!&~l1e3+j%(=^+ww(=XN_q4nRc*uc`;r$0ah zLEs=dtx^?t#-0~~k2U*Tw3j@ROEfOVvh6LR_2KB4Gh`jh@5~uhlR>bR1P$v8h{YxZ zh+pDQ6q{kerQ+$QuP`At*u&Y#4q>~Kd$pMoYa=2}R5~Nox)IEBb3L7&sBE!o<$+}# zt^SEJc@z8Y%s401zM)Z8n2B{hh7&)ZFp^hZW9V|OcWdZ=*|y8q&B~pYdFq9mspRBe zE`04X#)V0a?NO#bMir-2V|EAen5=`kxnR$vOLO_s`3hFSeO!Yxd8>yrG|mfyZG&ps zC-zZd{)WMkmyNt9&$80#vZ1jROHp?U8g#;&54$a*}yI9nT{Us@fSYI*hY zRX?xi=lKpyq2Kbo(aJ@=&pP+Nx=@p^Mu1ln&7S4MuO=4U3N&Y`Pu6+H)^1&F_p#x; zpvoPc*JsGs4&@n^K3wYFmxdnQuTn?PzTbs|Tzz1vs#c9uDqXdl)wyQ;dluVtD~BIz z=B+Ef#)|bsetu(ZTpi+e@5HH9Y|VB?SU-DYDJ@0b~_E3bem#11qo5Uvr@kFtAbeEC`+c63u z67!w3y-b<&MNE74a7j3NWhlj%(yQ$a(HAZfWQb$G@f2Fz8`pQN;*R^tTou*e3^gWn z2F51$!?~?twb;l>suMBHn|;f7Bz0J2MVmx}g(778@sjN16vtvs5NZBTwB%n846dG< z<6}-7HTD!MzZ0|3vZb1;p_&@-U`Z#HMz-Sci+2{U*{^5~D|k&)#vC7se8bqOpxS9+ z-Ouwt=#Yv0=Zi~G3<;48cC;H&Ov(G=8SHGB3(`NxD5aFoDP@;RZXExpL;E%NwD+B5 z($5m-_hySFl<(aiIj~Q_td;&~?j_m#UoIHk_!QzVS@+PCY31muPra8@*G-FOzitCApZ-0~yEn6?J8Dqz~`cz;7bvf8&i~O}ic-aO3RS z?4vi4m3~Jr7++wZ4l@wC^~^(s(;(zs<~p)*#!7s_Oa#1h;SpAU^-kNx^VPL3UTJlw zv;`TfpG1DVkpbShST#@UJarLyg7cIT>)Ex)H~SvXnSUbt5G-6iwL z>Zz$4RsCD$&tfxL-IYTTU1g@Lwj1W%hL*}^3w18qckZ?(e-w)Vw}qh`;)oab&b>_Eo7j4th+gxAgITP1F6m^Pc$!uzOJh(p`y6 z`TB`6x#Tu_-Fynn26GqCI#5Z8?vu^1<;M>V`H62k(d>JYh=^nfV0lp}s!B@Q8^gxS z#o^fBm?to}@A<*f{u7rjSlV12e}gT2)^Ge+QO2eID@$rY6D5t{FdOePaVmP3S=P^_+Ol?Q*uxgZ)N{6sdNLM(=pi z*y{)NzD5KqXq{N89t$K3Wv<=#{oKbJqw?~@Nr<^#IuAU}ND|j#&Lfj4obJ8E=_ZmW z19dt!{k~_-1BG_2F6C7n+{rN+Jr%LCPdwzzvxrWKE$#Ufyuew!5Ju$@`mo~~y^d=V zy%pAZ@vvPQ>-v=G-Kn69t;8uY2BmhKHVdAJ?$A4W;vH&w6%VtCFtNNIesw}~?s|7h z`r53C?=ShC$rfaxXXp!SwOM^_j}Z#Uh(p*zx0TvhqBkTfqNl@SIt18TW8zdOQ)77} zp~L6RxYxm{X)UdloYz84Cp?$BTbjpL)l4Stj5X#A7mT~y3hGej8&>BFrE<&+mgkRC z?Ij{f=W^1TFyxuL&%~ob7S43ENiYh#L`vRa*!-X`{Tr^yVX-)jQ~LTc#+JQbZBG-P zZ<_21Mti_F#_^qQL2SNy3)QezT)B02BE^kTVZ+f259Yf1`Ml_lg`}>sy;Eyfa8Jy# z&P`Jo7uc)HcRGO4RUCv9f#SYB#GwLe{fsf*%=h)dbMc@JPS9 zit#ylYa*u6AxMU4gy=(|MN9QwD??3mf1<79#gFE)vmA%ZRk>OCSd~1j$v$y<*(|$p zGl;B$Vyrky*Vy8mk_=9rpd2)P`y7W+@_zZT~qr!!?W))jS|-+bjkg5_#T9U>&R@Aw@fL4Rfyt!tdP*wQ>6;oGZZYNU~|E^@Nj;#%c~t%iX7YINsw%AT2%I+mijg&!tKtUEnx#P=o~x_h(} zm)KU6qH{G^kbHUIxaze_jMrcLEL5(QoEFFxnP43v%2)BQUL}>gud)5T)`>~c{H*59 zG?o6>#JOmvE{5k4Ia`!pXGF3l+Dc4V-(4R&bkF2*rl)J+OXVgyr^jDwbFMil4IpYP zW@F;S&rH~k@XplPkvN%xX0kVbKbeDVNV|BtOlly2l_0H*-hq?3ht%*UTxyuc|@&tKYA*q z|LrsH_mc(dcTN@8TD-8H?iXSqFQI8eC?2>sHuKE1CbmILPw(Q{TT**2P&DghY13T# zq$%;Sdj0F9tNv|9{iT;r5c6ne>ukLv4t#RAw&OxRs>vlwvFYwFH;!|5#|=le zaSNK#*pl%dOeHgW&N!ZSm0la+#)66wvxo9BbE2z1#5F!qTD8%L>m?c)@O6B8sLdtg zWydYEjyqyH9OWD@HvRJ_*t4X^AJrJXwuwfkE`}vOb ztLe7An(BSVm2^5M;hkP7qFQqCJnHUz3MS<#(#7@kV_9KW8^KQR*Ol^j#+|NUS=a%V zg;eRvW26QPcV0f4eKa;|(dmFO)b8Qo3)GsMQ%e!v)Do_^xQ@N5?_5zd{ibF#<3P`( zl*s-we;AVbIXp21FeK~rpDE+L-tQwA5(;2QGNw*m7JRpIZQi{mOOzsW-8OPd=vJ|- z`g~O9o1AsU@>TS)S3^|qGUo)^qdLXE6nnhYNl-dl^zDgK4zHm9_=o!F$5$*!w#x!v zE?H(Rb>Qu1nPnT%OPVxZqUI)cR=Pfk)6NmE`Satb_~#03&-RN?9U2;*q3Lig?5C&M zZ=(19qGY3IVLc+mxjXzJ`z-cr;b@&!!!(2TC+4TEN>X2H!`xWh?!2aWe@><+LoMF+ zU{qw8C~^7D&4(>AjIwR>Aqc#vvF6)3k())bwT0T!PpCeOz8E)4mF5@DCAt)`e6s^< zxGJ}os2ws1jyp*CnbCI7r@hoEbPDm*b`ea1G+Jn6^yEGD<+~PADQ{O58%(1YW=1l) zO6upxDjFspgeg{OHH;qjPrju4@RBacQa{g*kN&=xTxQsks7x5~%nhPpOTtgEB%esh zKM^cRAg*a16Y!SO?Wwba@dkc?^=?lW*xwiRKS)*=Z4S$qtQ5EN&0{# zIT~_xl?Yf8VPHvKs|9+`154uhri{wL?ogdpn>7Ch-vO&Kf+aaiuq1(_F11a1Q-|;S zo)kK8$y8m~tmOgi zSnpxG-OOXvcR zaq7>p*oI1<$nwX=TXLvFb4}a5?B|u2I*Tg&l2!H6o9PdmhfZ`H4&{h=$MQmKd^w8f zVjOiIMMMOvIQTx2!xy)Yu#4t&pNq);^m=vTtLXOJ`xjQXMO2j&RuqY{OvODvksHpP z(>!*bR(y}ck%mx4=8rbXN$1)R%(#8mcRa@ys}!xOaz{MVL6Y*CFHYMKU*$M+MCt0> z760+KxyI4O{+;Ozg*>Zb_NO(cRN2BIx$~47mme9&qdAHa2!3phxcGt1C8{h2C z=02J2m%RBbeJ_{4wxQ3$MFvAJT(kWmX5Nxr9p^VrbF4h9=+dRBq6a6D{f1}1$jW+D za_r+?3->nKiOY`hr`&RU>i3r4ZsH{^&0wKN!)pUg>iV0jk}*|VONN7MB?1c7L1OQs z-lktrA02G<#%fNdbP#tb?cMY{tDUztQkqcaGxhLOg$=MJ%1p2=39?>geORrry;|bR zq-b?klQ&Ie47Md&UB=H1bGFc58AP(I+DbHmEtxoU&+KuIXW8-6(8&FLA)h@TiS-AS z5}mCbpr+&QX;81$>Gp4F zYMqgELTi);>hSNivdI*oqg@Zpc;qdPQKSU1U5c)sSf6$`erA5d< z!g<6v1o*{b@}Fpb|NMg{IUX)dzl#mi%!PmU_$Ms_br|KJih#t!ziNzv@PuDH2Et+? zaDoeg+a>nPJB)~ikPr_3Y2^Pv>mM2aCQaxkf2cq6_n)eTc18HX^IvcQZ~tKNmqNh^ zf05-s(E5kM{t)}WMibT@LD&CD7D99UQ7z#8{~iE8OY(03?%yc;KU;Kn9{Kqj;{UUC z{l7~cbmIRX{=cCC{Lfwpvx@`QUlQQNe*=EgZv7iI{NG*lzc$WxKP&yeTXVlFJ??iA z|5xB)|5sG{KU^mg(8v7`*YRueVn0!S_|QM2|Hc!GfPK6FO#t`jS^sx=qJ)V43F?1| z)Su|U3yl93$o(%;!TlG@{YJ4ry3udo{&%DNO$+~f4)uQ@quBpGQZOYPJa_yb2)O?P zW%@s~ss49pDf|y6;OB3Sbli_3;DLb};Rsw1Y~T=Jx2Z%|Q1(Ca|1<}~3I$s`g!_NI;p33jv6^5vQU9Ok{{M zJSkAMB!W<0j0lf}PJrhoi8#xs8Aw6-q3`V-h(2O@ck+Mj#95 zV4x%^L2p>78}MTd209E55S|(I7J8@}@JK-2P5;+-n5G!qhkkZiJTut3UIqzRG(QBD zggvE9hh^FQu2vBE3G}37kUlBqZ&$V$KppNqzXf>E`WSr*2o1Qw;qXW(94>}|D+dyi zfgm9S4}SD+;%@wJ?idIU{(b@=3A6$L0XGRT2;e}KcpxPX2h!ExyyI}{&!Gjv{~W?# zRsfM-1O7*+1_i)BsDTOY*IeL&Yl9^w5P}o&;QC!a;88#82Xs)Bgc%V95&@tgJiZbB z@Zqn3$D)uB|KBr>`)iSZ6$3;7CLUH8XqF$GgSxStKS}@uSfX$Ug(skb@UH+AK|=eG zKc%w91G2C(^2}fbz0~a9|zJaYgRXFjV05b`sk9LOe zs?EqhGx|?3K@uKZ2nV3FMjc2Bk^!-0E{GowW-CYm3vOTnpaZu^z{Po3odEThfCm^z zH3$VY3hk-_321^I0H)Pj5RPaPaFq);ogWB*2?<9qU(2AG;A-)5e+Ufse*%LL3IxO` z$$)b8Fc75yi2VxSqQHD1)h0pHQtYFFVDp7<+z%RC39<&6V9E#POB^K10t~b#4y648 z08Km-4wzm*lhEui%|<{G+kH?B;sNF>{D3G8gbqRyEF2E`80eKyttga>lKBU9bdU76=w^|SbmIjC8M1pE95a13s5J*u$ z(nI95xsZM$M2rtd@k8`HI7BWM@jERB%2Pvt6oBw>(9vO^W*J8ksCJiqkOl)D%!X*#e1Y&TKZN9e;|5F( zJTCzA1!~HL8h=uSYuN4Jy8|1T z@q!QzMpH%5gB}9{{{rBwzv$v$;K9jQ{H}Vy1HzyQt}YsgAq?RNT0{LI63CAQ*KdLh zN(|-z3;2L7x!N8G1vsW8j9Hi@4xR+@00EXK91Ctgfd6LzJkcl=gu`M1gMcp#S`&rG z;ecdFQ2y5dFu_p(LQP0`cmrzS23H3^fui-%fIULP;lSg!XksBE<%9l2^dH6v)dJz^`9aTB0n;&b0pRF^=_SneuQdL3 z%mK(HMifi`fpg50t*%-c(^oS%Fh=AA$cOeVh|Dsg1-jJVF=PpCqi-| z&!g~Q^@VGM%S4_$$p);4!0HQ7XWaG8G3&$-o`9l&1CQNyYzIqSTr@KRl86W1 zi;X&jrv$>;Ga+HbUqfL7gdnWG%D}n-m@mkBo|G2-WA!DrMub4pFav3$X|PaX2Gu!44*RY7_*ozObM$o|GJ7 ziiLoE$s!Rr%+-5`_;EEq%#8jl~$OSmT>6-*Aq z`j|iFc^r%gDuqWV4vrMV4FyQx!Ql00%M~0Vd2tIv&gmsvv;Ff&~~%6J+4QuFWn}njisY<5z&k!5DDuJb)}7JbwTR zo^}vu&@eC=6dv5La0m-(0XYzG*FoS9fY*UI9EifzW5DSzJRU?SVZMF|SnLnY08E$@ zmW>ac|9-`@ZZB@#m5U|xujYOpN?ynyw4@@^x*B&|UZLxjcR znIHtB8TK+rfCysHZgso#;l6oS)(pEM z@B)M%&;mviY`$>7d?A7P!oubYZ~HU*@1NLkFxkP3;H^op1XL8*Q{itULa2f?WH;iVzB@pOwM3>0QN)38i$w!s{$bGE`RhOov;)GB0xVy06_u( z^98}?3xdrT4#NzkTp;fEft^%DFXAQ z3)}tQfuUvyX%C8GNSEenp1M~#2^%e_vbC^F>2S7{$$o5l&Mp%TO&|VG{ z5DjKC31QfLA@F!g3W(C)1o;!;Z=b4#>YRj?68=RV7}Bu$@&W~Jz~2ZAmSqQlj~V9( zp`K`h$*2&RM$jRD4V20d0u2P-ejc0=d z`h|x*4_NMrbz^^X=S~y}ijsisM$j16>5T+hXe?}+VDp8cKts7r7%apV4MDTO@BfDg zoctjFj~0Oguo!r7LGUDi1s5J%zYQv&6dDQl0=Tc?;c~#&fq~F&gzf?V1S3rRHvj__ z10}&c5&(2{&=&}^B7p>kKvV^wzyTbL^HT&k4AOTC!?j`I2%G|I1qVld3p8OYm?+FT z9)W{!phx4eKS;nCfRo+Ni1a~_P$ePpZ*Le*0!=KK01f}fC*9fAp8sVJ) zJRW2M6bNe#QvU%zMa9EOYVZvv0B;lg3UIImClm*iaWFP;Ou-+3T{q-sF94nn%o&mp zhqM1R5NHD3K%k!iPZ&?yNGqz^1T2>w0bU}j*m1v(-Ej|6vMzo6W|FZ3g~ zoEVe?#vEpYAKY;WewcX#Yzqkhj0WHYTqryQ9>2j3M4$;sAOHb=2*0Z?a!`1eKalzd ze}roB00jY@9fEqH-C+%ophlPgJQmJ_3lBDZpnahFNf7xph`}hpxgiC6y)r-m-0r>@ z+$@Q^rLknd2T%cFJP$zT34<&9djM#S03Al8G$Ej(kwkzZ(|HiVgC--{2l0quVHEIT z5hZ9)YaGY{C=glYp!=M`B#J`6|kc|Nx;&DI*c#(jG$&*1SFxqes76)>|0SX%AgD^nBVhAXB zc)th@yOanF$Oq*jf&8#e&@{PVu|$J`RAa#ww9BOKrd+do(c5=Mb>B?aPO z@Lvx@3G*I|r^W=|!A1^>1w4UVwBbNgc%VC21QhnC2)mi!PJkai zaW2&IC%2xkEAfv+f20fCGX&rtxMTn@c-ydl?3&?iLDHW^fKgz;!L|L_CnmV{gk32@{x5D~KpPM- z6%x8F0oka`L_m-cF#L(IVgX+UBoOvNU>Jm&0f}Orgxv)~&fngweZl4uD9Ycii-4@J z0BMlQ5R{aTff|9aM%_~Y#vfiH{Ma?a>HJv)g6tp@+oXm@GxMoJ?P4@YTyz`;lF*F= zZaoq-@ozvn3vfdfvuYu_+ z0@XMRfsU68v~iFYK{!sp)XM{@&4N}0J6$q~He8Y6lysbseL5NeivSTsDE||2Hn5tR z1)UbB0TSiFKE-gYdaX$_N{%7tsi40zd=pk-!}t3GbSbdjZwwa)Wdr0t7^8 z#KoXN=nufb5!lny2Nz+8gTW42gX^~d2Syms%YT6Xhqw2SvFy0=`|93zyXSVZN!}SY zxlKwWt9zQGCPi6~6sc&rvRvI9kuB|bw<*#KTGlFWm=Qq>3P1SlA)yGzS0 zT5FHJG4dqQG$lJ5dLskEVi7!YNP!y@&S*gx%ECw*K@c;>{xLBUfLSbpe7>jdef@f- z``t%L@#%iI>Qv@JF~G?o5^(DA}GB8n}vDKa;FxD)*F@R>*u&N#wod7Ba7%v}?J}AW1=~0Br1` z0L11Sa+&7W_oDMp;KiJdE&4R# zYrd~C6$@fb&nJ0|imyUbI+Kep$)wG{?Igb3swPMmW@zn_-e>Ktr4UW0|<=+TH@wK8^sfLBFW~CbN7pX8epQ4d=vk=bDxcuHT zu0ni~Arrs_1n@w3FFjOvTU23IDz4viJmB`mJEe;+du&fW6e5yNWzY@_FEc)Vhw5ef z4DPQo3W_Q6|B?aK9uywEJ(qZ8*6apXoV!3Fz`{&oVKEHJ-?~`P_b(#8%1!scT3K8= zzUJd~PBph31_*OuZFJ{?7FFU+bJyhG>^+nP@^R+;%#80xs`p!D-fP{I1417U{|4{# z83Rn#o#NS!vE{<=^!v6a;Pl;|MV~6qp4qO-{>g2`*J6h2L0M>HP%Kk(8sk+&L=lTIpAm9Dq7FaVi>X@2f*v0 zsLexf3OgAn82DrwfcoQ=B~dRxibzMO1)y3!*vq&_)@SJ+gy?oGDcx?uR%coUrYTH` z)o!miz=?8opAgDsN(?rGoMBT%pBmL0Z&OzO72FTv)Tmb(k(iK@f(5U|d9BRc5K#KW z3zB9vapz7=F21a~6mE*(fHvz10^@jPkk>Lt%-kYd9?CnP(BfLht)YEY`M|{})C66> z44$Xc;yvgomL6|9SL|b9VfO^Gj-Gf;35Y(?ax-KiorXJ2N|a5k@VM$lG1~^@NCYQn zTc@CMNh+sxWKyX4FI+oT8(lHzFxDW+8AZn6qJb+{B>ejkUrD7Z?<)GNl*DXsO;#bk z`VwC-nN%puyr<+m*+ki9GL*2Obm}I)DkjIhdt@4hj};63C+L$9UuCoClh-W7B)$^$ zrtcrFNW2g6rRWh~F}pUD>j-l#{pa7Iz(=$%w=nojaK&C}sBIisUFq#iW${kR`>h8V zQK5b1`R%!{`lY)dPGA1ya#%$B%CzY7M{`sbWf&5;8WCT)CuXCySz+H-(`Aa{hmPR_{KYA^BM2ao~a+V+^SmI0(~UL#-DZG zxbzN_>9AIG@29BQ%su`1U^zUk(0}byRZ05Tvp{n`M;#Rl87#1m;TK4hlCtDJbyf+6_?R*cx**z1w8R9FO>r`{SA7(ueX3lT&3}M#s76-51 z7*9_Vh`40;@EV!V0I|3Oz(~YSO zSic%XGS|x_;8`FyJS=osfP#8i4EhYd>vyk4HxW#M)on04q%26?VTLRciBZ9l8nKs! z80e-Bg6B0h9zky%eph1QbOnfef`y?oRl%$8QoagA0)+Anubm0lJiqZ^g#-}=jgn&I zkyjAfr^U2o5t6_I;>#-!hLsR|a6Zlw){1CLA?G3G@tG)VM$0sdK}CA?X<^%d{J+FxZk__OppeMz(N0OxgyC>@0t_MI7=(FaRbBY&#LjY|8f6)z8Qg*}#@ukT_iLV1J49ja&`(jT3lO#Z$2+R1=LoRf2ir*#R&b@98J2QY88!)gvx`Fz{#?N6b!R;uBzyD9rzTU+< zXeIVB71|ed^nsIEEn`a4RURVJ=>6gHUa^XB9i>NMJS?3 zg?yDNFkXB)1{#D}jie%{0#}5ScAG@HYlp~TK(Of9O)iMfLfIlm=RO?*F}P){CtP0{ z-VVE>LFyG$0lfH9mtk+Hi4e~!wwy-~O0n3woLKp)0BzNXsIIhsaijD{kN(r<{A!JdgD5jRa1HmMkjoVF}B`6I=EbOy&rS@f8 z+9nlW%X5gYv*lf`+PvASet4tDx=?n}vi25GqZ z?Vg=#Pk{(rxP`^~!LiVP>7m@TuWgC1^?TG_CB8VV@+oXe*ut%Oy#WV@qafm;f%9wc zY|w-dRNV*25s5D`xq6}F&rt`on&}k@Jw*)dd%Mq`FuFWVWJ0E zEV#5;ti7xmmp@CbY#AVX_K+at%<>d zNe7ijiZ>Q;1s@5Poa@U*OsuoeYQD|O6LOgZ`Xp-?#O$x~_}>(QnyhK3yjSots9oTn zcpDbv_%nh49roLn-squ~$lO&OCa)sK`X&z-oR={fcskZxgucmdOJTZhO@_o0`H}yx*%7dH-y?TEDl{JB-!A{5M?d+d086J5DnqW>K93k*yA$RnuQVh zc%}G?&E#R$P&(3t`{GGT!F@E#5MOp4_WM1tchNFhGN{|(_z=;r8tMVo52b5uvGmuN z;)aOVj%?3A>kUgT@13ev;scBMsQ99O(LNLL{Cea>N6~S|H#rnKVN$ zJdgN_X$gmh#pGec^A*himS3gl#LsA>&5}?Ye*f{C1dTYWbJEJ+E5 zSvRquv0L2&Zl(W!7ZDa7=Dg1Xh_FlFa8vQ?%Bu-r&@EO#3t9J1i66)%EPFr9`+7M) zbvi=^(Z4XQH|XB6E5}KoBq%w1z025%@Vz>SJ-H-)+ z$YipPVSFOuQsdvnQX!p9d=<&H-*}pN@a=%tftNt4ZMqTH4I6q4YJVjjARtPOnxhcc&7Mq@PNWXf8XFdcxYpGqwj>A~6 z^eeTYMyu+Hu6=e~R!7g_;>Mh;g#2X?zXR(dOgs zF+6+C3@=j8(xp74Nu>-`KE}*-r6^4bvFIh#jode3MrIc_s1z}enxQNxR8SHN!x#?q z{ln8Z(rzYzWyDup)W9~K5~_-RkF~GjqGj!8lME7_Kg`25Po^3(0a@qnvY^j~n7y4w zWQebP*Et_n+$XCQiLc?>S0lc{^qbID4F#?eU+;7B5beut{<2$VUQLB&lZTP58xiY{ z_Fv4Q#iZ#nP$$YQPtde=L)w>exD%)a?-g!ti|M>p0>Iznh;F3)d_UW6-XccgtcvJd6buZg?Jc zh=JRYFnBc!*(#sG=-!=HTv_{a1$EIY$oVNhOC{|}d{q-o9$G}7bm!dpYtvla*4b(+ zA9T#!yWjf;={vQGb{IIXi(~&Jk6WWypmRX5H9+c>-QMG{!3+JM3(A{`AS5hSeQV%r zL5agBfUz>Rve?p z!>Y+lZR&k8(74*57GL$7IfW=A=rJ)U!GhQ%OFTyMoOJr!qXs=F6iv6$lSrGjwU#Sd zZ_ne5z%nf=1YUANc^ujy8VV&WIBq#;u_p(62A+z78N8qbOaM*P0bK0sBV!JnC`O!- zS7nSYXeVOsJ+4_6lb`&7phq4Mr4(e;F4!V60fS>Z5J$Jvj9`C+oj4#{$QmH@Uon%% zK&iDfH7?`L!E8ST=pt9^?Max{(Dsx*qRl|z>;No)A{M?0Mh%Fs{cU^iSA4mWUMNU> z+1l5j;~wkLGPL$Z0+RT8RuO%Y+7GJyTA|!~KK^1Y`HJ%G)Dv#H%hBEN%tw64K1rU9 zOdd|CeZ4>Nb4_o&g*d0oVp&g*Kk%aDa*ew4VXOpt?eQ<)X{O%4SCmqcTVap-BpS2KawZ)m`F zxvIBk8kb4TzNY6P?)HS8?f1}Sl!JV{EUWy6EXbjOAuB`FVPQ5XN0}ZE4kd*k8Wxqz zEXG_9>}incOG9e- z3FYW#6tzY3_p25QcIXGi1Ng!#`Y8Kw@-4lLe=-%t0atMJGf>T>pUs1eg7dnb^ST^i zSu09d2(Xalew&V^&b_D%E<9-vjSPOuK*IP<0AfKgZ!C~_byoc;cfOD5`EB6y79u&p zhU?kagPZrU=5OM=E5hD|rCx(dB#w^|34$Z%a-y%IvTewMdFs(@XKto+(uxGb`pF9H zf+pvrS3N0W*OwaBN7iBWrmr{l+@3hQOe#Q~jAk7a1AK<{e1c6*yv6&poL9K&fZB0@ z1;gZV<&@5FM|NGD4c_7AKy!4~1-=P_MyLAB&1yYddgx}i?Jv{y+e{LlyP1e)R8$1* zcPWv2R*Dg)nEb`tE63i+eD`fo>O~)8VPSZnNP&T!xexd&4wKq>*kScaXh%JJUq&*) zT6~e{bbP6Ld72jfW?HcPPG{FdSo&Jqm*Zr`rG4t|(T=_d#TLAG0DdR|^p&7Aq- zB5KEPRu$)UbI!vSEUGl;VN)GdV#{Zun@v+XRFij!In7F)rpC6ze17pEngB59&Sb@2 z=04Z`O6)#&^P(dO)xs&4PJroiH&YjDUAL9&Wd;2;P5^>gYT1fm{RZ}mU;McHIcRfU z*K=OCsJ|jdyFQ8MtxG%(!V0fJgv7FlObk&o-t(jA$46c`NI3E~p*8e`NYEhD-C<9A zsKk3wR-zRO9Px9iUSi>A2_faAp2|_Gzdh>*TnCp0dwXfr<4C*GZXh}xes5{@e>!@R z&!RF)D1o0vWyPqMLXQTh^rbr;RyEPWdDvOb!v@4xaUgLG@|9*J-<(fTq}af?lc0Dg z9t_n13_+ZTCczBZX2`H@sr2H87?i3Nc!@>W&X?WNu7~>EOf0i?{LbdRE#U|PNRFNp z#lR0DspzrciVO&0m}`c2!~;y_Rz~MuX(OC_g&o`d6wP5$ukFlPeI6F^Kp{_(h;v_Nlcv(UK&ShL zLOeuf>wDJX@jD#`pYLI@y>?%=Y!}XWsKuAtg|+zBJ5ZANV&j9UDRD+y+SoBZeeW5^ zfucN$1thRD7KWtj!=yonNjZ%}&3%|@tp^^Otluaudgr0_%Hm4uHa_3|r$x}1N_;JR z{H41y=0ojkZBrKuNuqYhFVhPyoLciDk)5BaB)*1iEPeTn^SUhhyw0A0YRka_;%nO@ zE7jc(p}^+#CPHfHfuFs|90yvUpkRra=4~LtuqaA`z-K^Z_nGH8SsiGG{V44g$dNwF z5KAW!WTBy@h@)N(upkE@>T$qh$eVoHAcT||)ck#Ze5`|ImZFBuHd^Md&PD-p3tFeo zO~;4|R#2B%ZXkh*)=+R&pAHM+VIN9zz&dr2srn#oU^$4hkA0;r&U%lVVw)jB6jcm0 zj@*+BidaaBVajnl&=r3IAJ(FBNcIy&bo@&xXIMtn7gNr&Q#&S(NaCV5s$225mge2L~Q z@s)GMgUVatE8Cm05vN-Ll~^Z$T6~eaq!IBI+_S3?qt``zW$rQq*irFyU{8ReHK?_( z&@8AAwXX)@XcHRJzKY^ge1NjQNhSGZSD5(P(|IUPtS(UXF5^KS6_bfCg2llvoA%|q zkdXM&jS4K((3$`Cjf^keV`Mcdz7FgOX!z1$(&FG@(ym))OIs?KDd_BVfh z%8#DcWlsPlDHcv$EDDQQad4QFZy``whlfcY-reOqY>;OgRQbhIMNYk0@hhrwnR~o@ zcjzL%)b%VcoXQs@z9QOJf9m4WL?V&RCnzQhH<3a~K63c9XlnFvKL(vMw-e4hmUPdA61rN6(OT(M&;~^yPfSGM5wDEvrW6D3zBmLGS{0Q6l zn6tz{G^Z?psD}ZBv#7H`u&8JciZ42U3va04<;sXvCLP(+pKT!Ycn$j)hBoGLqc_j0 zG&Mzb<&lVF99msb(jq_4Ps(jOsM91=pGOQ?d_MG=^g`4>2I=i0aQ}(R8O2o5&BRo| z8UZL;K_C_L944JtR=mQL$l4U=VHY_M3sMUZggPT8+*@GBfTcg>KCa@5{D?P?mg9N} z%(`}E84C&vAPzG+1Ltny_n3%J=?w_X!F*=fg)Ic5&g<@0Yc6g-Ar@fz)r7LB;@^+X{h)Z|(80*6?JLyci|GY5IGA+tAN{v<8DHf%2HIB-?aTcgw66>Gd04-Q0@=VEhe_%C z*ZhI=u+x0bp_Q)ziO(+X)J=881y#AvcZrS9IS9H7)0=a$_%wNVzG|%l(99*irWQWV zR%^spaeitfCA;EK2Z}hai}tms^RSz)J`XGHE7cRl35ZKCi=tJ-2>f>VjR&6s^il>4 z!VuedNmL?^-u3v2w%*2rH}9mXTjncR!C-7%BY9*Aj-DwuKo8Y9t9L^d#9F_HL+%3?9H zO)f-ifuB{4bvTY|I8GO#y4Slcx|99$uo*kDO?x+V9-I71hy{-&hnRNLVVwXf=SNW^ zf!&(RTz4@A4rG(XJ(MMIDv~V7_o;=)0-4Ze= zeEi>1hR5G4-D^x9w(~`N1JxG=)%8R5d06k(_fEQnts8?x;rniqX<NOaq^L+@0_jH4jn4A%{tI9(KF&KiUjLw@`Tw6B~rxQCmfIZdS{Xtzq**JmhY&ckXQcD~yQMYxCai2LVtm&3?; z*tH>5>QIv85G*BkdbO9Y&9O$rcU?JFo->o6A%daxV%)Wft$md`meo5vb$T zzMqP63DiccQL&U8p8W+m)!c@m1KgdOL06WaAt<3_(w31_>!I+%77u}#^|E--)@7Lp z$~uti^o>LqP-32-*0Qj8i7#>wP!y`^k)Prof#-vyICXXrgyuYK$V6m3c##cj9y37^ zlbI{F+G=qP>?MZH+VyC|=Z>*w4B$?_Rqs|qDcAIKyXp% zD`4Bp$`n80gKzxDnL)Y6L!b%RxQZo66xJ~Ev1nzna&}Tk%N8E=*w72O=|1W>LsEm1 zssTxbH6|APegr>-_#&khGRZM76S6mG2;G^mVdr5J1FvZE(15%;ej}2uejQ_oX8gHq z1@T3a%Sz&_Yg;!49rw*}bI#;pPW_@yHRpAI=Hd&MB))v{DUM$KuZxAXE z)WtJMsb?)vv2d#E+#}EPa+@pM#rZ%DY6p-aLESdut71oXRv^AIXfk|GMLv37_rQ7B zPOqK(Bp6DkuMsolRiS}J4N(c)Nu7n))UX=*M4(xI9U? zZ4&C&jJ1&3l-2JdSUU7LE>>3R9s`^SfTr2-Z>`jr^wdq!j=R>MU<)FpD9jmi&~%&f za4`h38HwYiPHaYk6$P?vWTYjuqrv;euZTg`0I?eK*#O-#rq@uLwdYYrN?xAV$y{9f z3YZ0~8ka%Air|SB>BV{2vW($efQ2V=KcX|nJTmSgPx{VlD~|RoOssvuMAO04N*38i zdvKy9h`9?XJ-_1iQtzKUTA$OgK^a9CCH;3q-m*6E4}P4P^3G+See@7N`c7!|r{Obd!LOKj(T1>h@NhKBkBG z^7Ka?a$eofWqe5A{-@Sq!889!>5K@HQSB?QCl8~xMog3nlS%4@d%wC^#6Kc0{xU-6 z9EAp}nmlxUPh{vDak8~9LNR%m7bf{GXCa+M`g7{Vh0f_L|4Ug}y~kQQ>D&KSEi9Bw z9#*z|=K>_i)47wRgONaUnACF~wp=|wQc(@>h)3_sOulRF>%t#Tvw(YD=3YL(6#OfT zhX3e;JHEO!>#{jsd(Zf-Bd8p7miC4CipmYd*W>9!Ms`T)&M#EH{6A)6Abr`rZ!?8` zmT2w%T00M`9;7aiXNsIp`OrA{&V+l{trp>73jTrViHgg<8zS+=r^`i<^5Hye<+k!I zg!I~b$ zAULAQ-NEy)L4FM=#DT-4Iu9Ef-?1iGKIb9uRzz6r%UHY$=m1>RGpia5KidXkXE$$(#!kU)?`lC7tg%DQcmWo)8B7$|Sz{vWB*9NJ`jx+P}Gt zGiL9VVUnGPHuIM8Ed$x4UxTGmJa34;E-&S>Go5@MYut2D#7X zTUgT``zw0{`CSER?dv(8bkTPL^$odi;_H!FH;*RQKXmdC7Di~t^lOWV zhvInZ+D0%BwO&MiAilD=9B2ui^7#t+^K8OJg&IO|7tgzF_&UyV8BddZLSp)JM11|F zLSG|d>&6_1n9ow{uX}LxJgnmL3MKINz7mr}oi13d+q zT|>mym(L`iBl%I2hYHZQ-h)`eEM&EyEuyLik)JF0d0B+pt#S1pn%Z#yHOi|EA_zW+ zBt$VE?1=^)n2ZsinvcA-SedLz7@d&J0SsjDqSv>!cxdv_>+KO-ZQpq0rBQ3J0^ak` zU{J(@m|x;oc^+->5(q+!LbOZK9r3_nlQ2AV1|l*U1@&D#TR}8E$WfR|l9wgNMqitX z0I3C8nzQ_iP@`mIWf_HRFyfx_Dact3r5*knh+u7yQ*n;L*g0Oj-1x4#LTmUF#b^+( zlW@ho25Du2k0nV!ks%>a@rsmPE07t|QWQ{EMJy8cilu=MV%d{3Lsz@gb&!QLFV1@l zbm-`Uk`DvgllMXB3@}NKK~KDZv~xBrovv2h)dF&Ywk_kZP1PkBawC z32*>V8B)T<0Rl@=*=&o9pocu7cok|3B$_6$gsGq^m;paG&9BRENGtFHhY2O>B?Xsl zBwcVY*>5xg5t@8cz^;`L4BVH&;-p?k0*iQzC0mM!$ddM!2*}guR+S&J4~)l z##ajd80Ybvy2J;oCRl$;Do}!qyeosaAlvp?sC{w2hjAFJWP68m#eNfh2J0^YWBa2%vFx| z?wxY(U)|<*^pVlcfn=eJFEGFxyhj!(VVggK^GP6sgM~BKx~wPcN1sc30<>?>6+fT# z@AsB;(yo0eUzhZ8^zp*b79WAzaIwf@{{ha z*SdMod01el_xSOiW8%+-_czV`Vxb&pgKB!f^k?sa?+J5-9oe=gpoHc|@49Ms?Ttmd z{Ix!>oAYtAO}f-AI8o`3giF@k zBtv}`$(*vkzyd;$&Y)R^4SrGa4q3qdGXv#9O80hUMAJuhYWia7eR1X0tN^b^Eiq)7 zSr6(+r1_YaqLnwUDO2(DvI`2gG!G#LRvacxOYK~clcUL-ciV%!dUSIi2`Q)vuR1Xd zMpu&`XmZ1t01{Ul!(%Lqo8kbyjs|GJ8_Hiux=Tt>9guviUN!Ti1WcLy2h%D zn%*pm%J~qfJM^FJ5E!Wi(0q-W$63dHf%RMI=4>!MqjarhY?!V++KpM4zH9NDoL3GC zF6h%vqAWa)PctGxMG6kRIp3P0ASsOUKS~+su3k{z-;pf}<00nAq&_PpF5CN%FWvp* z*ptrF;JgLo1JpH-e6khPNg$@QBfdBolBXTndz*x`b=VLydX*ayU$2acul=vIH7yzO zb;KvmCP{>3l1(*j{huFl)!YAXs4v({VNHBt4L!XJbs}K1SCV{#>)p@Qiz+VX&RKmv znhjycvJCNB>h`>=W~HcYDUN&3&>+s{@xYF3&coJCq$MHt2{xs-_gOXu&OW^nFWizB zJ4t+n7r4EB?deWZEjE#K6Mm#gY9X-MZ`8@3y?qs+O#;&z|{s`w`)0Q^oR73wa@O+lEjox32_%aqa4;xdLlOm+lQj6ba@6^L5WY@bKuXS8Gjw0*u2%P&q=2^0nhdXS``^!2c=(J^@JDe~tqYGDym%EM&W*XSgJq2ph+=jU@RnK<&ObjQ3(_EfsE1sKa%+TJ zb3XjRNPQPfU#LfeTof8~jjt$nkVVzfri-yi6E=Pa|4lK8XA?>1bg~cPuGFVgr&uNs zwKj;%7Oe=Wo(^(PphVb)P>pLccV@$4NqH1G7Cr&=Nt@5ycF>?MICqVaP|(PcMm)Pq z1yZxeG*CGM*A#*#*cSW=>N$Dh!6e{4Jbo(eE4s_xbw%b`VWlf`7urEyS0!FYhle+Gb_&%WeO_E&iHmU#uK)e=S?u z$j*HhZcw%)NSD7-pE*ogaF`VFwaNv1jrVwScUGIbfqC7g>{g;%8FaHd&584My#*%M z?6V-;R5Yb~Vr!rA#-I~l>HXL~L@DiSO>Ehc;OBdPp$aZ(Uv__OxZ*r)G|c89Ce5Y5 z;`uo;VREqTvgE3jMomkU5z z4IJ#3t1A6wY(gVLye9&*3HnyekLtB&|WFo9zUT5kuff zS4e}yqG%iOrPcF;;;V3Z--|>R-M#t%F}?P zw1e_qKami**-e54y?K6)m*NCZl)Nh9S}n8Y;dLGsWWz{UC$?tm%Thk%6YYxkoKI1l(H@kK6IgE{wfVB*W~hdk02DJyT< z*FZS+o&e8T8-|F#vAZWxDD7+bxrq)egdqz}ov&3Fw_ShAhXr-Uc#~>h$Rx(R()Hfz zlqY`Wp40AkZ>dgPN{Nol0Iv2nK9p`M`u2CT;FCwoQ`4WCcRVY+?>v`F?gzVbmNKw; zFOT*W{-~R|Uqt&_6ARxso4aqqf?A;ghe_k+*O{>4FlkSht2(<})f#StbA+i`$~j3w zzR?hWOv~;qoA~m13NYWhPy}~+%1x2Gv6y>qKh`5Crj^BkCJz@*cp;&Ek(5s|>$1o8 zDjf8Sv!nOd&erE)k-)JW^3|YXTC`r4tp3S(#u zG*!f`hd*LM%9JynpMh8*N#1|Nd0T*3lehYyz|ZN$xYf%j9PkjszW$9O9c`y3ib8gr zenX*$a>UXzCC{eEFz=%&C7)#sL)7VVIpv+cr9K4Z8RUXcSHOS9s=#XyrC&#b|RMrttrZ7QuZLj*b0m%hs0pGJHv;fB3(?|>@Xc(5Y#AZ?JJNX@A(}nzWiisUwNS!!KDQk_qI2uU5BE!3--3^Y(x9%zlEFYDPdC7^wJ9X z?Q9YLl5?+f9yTDptY=TRA3Rp2Ep4OX>mUma^?UC|z@5#|zHCby%w8m4w56>T%B|`6 z-OaSmBRqA|*{yjCx4Jj$wJ&$(+Ig`AO}2{1A7vY@BvsF%a^=jmMXif=g1&QHYhSN; z)8t0B+)=3xu+T)2E`L36m{j+}s{XVABWcacBChwi3yH|H zSo95~R)D5KZz0(5%<&?ynUKRb5e%B05k&`X05Elv{F`1k_yJ zL(W>(2@CLjJyjn8uSXNpGW}RkB$Gf_>(OiqNzzJ#&K^|b3fmM&q3>z!3l{_c50&PC z-_HzA?TUi|{d<&7l!wHLyYsm7J!0|vs8~o2wzl=KM}F;~-1Q&__`w7^K|8$Ma;USc z@N&h)y2qdwW$?k)tIJK&RvhT^S0r2!*SsH|hmAsPK!b$?he<2#d}O(-K{(2c zT6K%8Z5u+!ZLS)7RNmD>Litq#O9hQ}67z0G3I-37KBK936}^MMDE=kkymG5y!L8z% z;85{p;vprs!8^qC?s(|gj39BnGaZbbq1AVs?um_uP&w%2)9ddm zs6L7o(7p!N4XyuY?zi&4;axH4MG>1Qz%V}HCqTLo- z@%p?=z1d7#H`u~V9;1D&$HBvn4*TSUYhUD0PsKyfxKn>jkDh(i#c%y`aO>!K>wEMS zjrcn7F+EctmDIp5UH-a-_!=H2W$NYT>0QfxVI2vq2yC$*L!a)e!jE&m%4}xE4Ml!H z5F#(nT)XXb^=;2~Q6uU0E9H?y@j&|`C^uaaH2V(19__2rp7VXQ$f6DnG>Wv?~IxOdk8Nm7CvT${V zb?ye{(kFod3y}nUjM;_CElhhIC~{U8F+jH7U-AT)J94OC4%4>;-dg)Aj)g#{7Ks8&z@h676T0<6Y-g@H9#Mp6v zns#ig){}>_$1;*ML*uPIuRyxTxS|@1pBfTZ>a{JSyqrZ$c@X%StHrGs0}hiiyhPs! zfeg(N5?UPFtcll1hZ?in<{WtvLS3#wkggY#9ra6=RA{-4PHK;KL)w=IP3j~1VI80r zUz;)NylxS^_iq0@Y>g!2s>7sxqn0;>MocZfRkNLAyE#9>A(8QN^9v;2+ zwMhK>km~SDbP^97RcV3X=cQ6b+ktR`dlrzpeZ*HkW;XjP8RP~c($fRf$sk8>(!Aq9 z=r1E2yJCzF^)Q{6cIOYp*H!0XhcR%Nv>Lr!m9;P8znQ8XhB!xC;*VAt%P@I3_9Y>vkYl6m&6{_$r&Vufe5baen(3d{?yU`)fO_eX%bk zorir0@%0N4)Foop&cj?R zjKHtRPT|{O(ug^rZFK=7nFQvFGnDy3B-t_ zYKr$*NUXw*hbZqN@(|f?cpjSB+tJnz+*i4>O9{aS_Uc5APPvNj8^)iUfi6` zVVczwOElYLkK%K6L&##{B}&?-7OLVuryieGd$*yiWiVkZ)U7xqK?7y&VazgFNc>;(g`HJ}WXzHeY-2t`RqjPVRYx)Ar z5%s9Li z`6-yZdu0Aoq&>ew4wIfoJh+#H&<52_`Z9#HFZXAZP(*8g?bS|bazr~15nug8X6_f9 zm124u^Q59ld^wRR7ha|sOZ#H-Fu}7J?{KW~m0BWQ6cF`Lj9!*iezecY zU=y^Uh6Furgey(^f@~3;^?;_^2JCDj1vQOA^m;Ue8B+8C{0WdNHQ`^<0|?)*plPgT zQFcYk!U#Vx5&2!6X=ia1D}mn-RrXd`reZ{AkwPLkug=M_cO*7hLn?C`UW&Puo*~>z zTD@#E-IVj#r52nHSm@H#_oZm{K{_wjEGPaUG7c#P1s1P2Nj76K>a7KKAr^$Mc4I`v z;Wod14e1C$PAwpsk$fftwVbc_7>GPw(wi8`j?%hx+4z(C1~ zN}Ghamy^UoiBmgxW^AHQm4vt`E0qfrO^{jSxoQ(LiQZdwwbT5HlqwCp;Dqg8P|GN~Fc%BVEAnjMX+lQYDQ8%n+Y@JM1E1j64!ur}Qbz2cf#xq|d69Tt%I2qX~s2IJy1QFi!<@ zib@Gj(37Fa=*|q}p;YXnn)8tlN*k~|P*B)ZuBG9uXb$vpAlfT9BauEz8GPQAJW8|L?Yj<6}ImKlvdodqM_`mME4LKEyKGlOzfk$9>>6peMPLDAP! zVm)P$2K!Onm{40G(X1c@%n)V=Ge9MZmKtt9RSrcH`59R+i?YpfgNWwq%U|gS*LJ$> zs3HwI56cI%*M!>wRFkLe|lz};L%z7>RGe?!2?A#`O(uj{o#HvK5;e?RO$Mm8z`;6c!Dl4w`+$g4eNp4 zH{mhAoy~(bkYyO5{A~q|q7~Fe(b^Lb2VaYB`{!A#{QmJ6MMLScPp~zMD#KxYLp_a& z)8(q8=V8M{Nyzzz&Bo&8%)2|T-I!Kpoc%JzrC~kLeZZAFq9c7Hyga?=v9|-2$#);v zaa-sn6&k@>PhoUP#Si|BqJow?ccEPL7fNx4~32r%4|KwN9I^h!#bcwv)S1KHmga)J9#TK{2hp@f>Hyah}O9TBcHO zfZ6?Np5UA}ADlg%SjBPmu4AFxQX$XG>PZx*-!Rif&ea+O=WSiaV#Ou+gqeJge1_Vm z(*6yWDmDtGgskB|aYH7ZW@XgpGbh~fg7dJ|>ZgWuY`0Xs{s% z$Iu0d>_gN$v*;@yq6rjf5)*F)c$43i;wwWuY!IduzOkj-^Mn@u7psPau6STpDka5a z5U)LVy&Jp_@dZr_dRt)=T2t{D^!|_;!#%nmmD}bM(5%JR6)Y$*z^M2-xFefPX@iY< zT|d-gy2w8?9}4QthQ894kBBeFem|FS(OxN3%j`C)YqF8Wz6dtqBU9%U?HLx?FWl=V9y1RXfo~ zd5;56=St%nD z*JPR9%iLW$ubXjyE!VFvmSQ0@7P3(DWl|+53gx97qf9cwJ#W;o90_bSTEWwku>*B^ z3@O3}4wvZH=|U3FmiGzy#&sgI2^YX7d90*hi7AOxeO{bU6jk77EXZu&fQP~k8lM(3 zSAC18l?#wwS1gv6U=H^1UItSXnOXGG27`Jo%6C(8wI?8Ro?yAgRSF*YO%ttA&xn&l z5M(N@`w%s_D1>CaXif&4#dpr{qa0yNLkJ%T639P(sfS(mvRVEWQgQXw0|TFomS?~p zlEo~oDLVJKuB%PJTdD_vaR)eSe7(8KBLk_NiH+PlzuTa~0AFYAf#x~^Dd=-bYa6Nq zzmIa1?Ghf-J1MGKbu3+PeFf(Ye#)!g!Sup-f~}W3b$`O^c<95IHvuZ1pwmF*Yg;i; zf3-;ONNjUtp0O~@fh+p{(M6Rexedvy%~|&<;)}773WYsV254WzwEC)EkU`87#lF+j zfW%jgV~ArOc`or)nc{}_HOCbXUTT>8*V$=(&cU>={m)IjiO*01*JO_tU#)xv7Ff}~ zLQkYVe|sPyG)=^pb=24;gHjWOpD8J7tq{O4X@T~|b*#BZ`-(biBke0=q9Hmy=b#G! z54peg-1I*E<}hi_VbTxoo+Wqfa@7lieUzhYD*>5Aj9Q$p{>8ORg>vGlX95R3llFDb z{l0uvMPy$PdU@I(MQPuiqPC@``v_VhzW5RZ%XjjJX7vZszO>5L++S;Z7GMDhOg`NE zm7cQJAUwQ_>atZ7&3V|&E?1qTAw=iz=$={6wK!k(PqBfjQ)KlU#fHZ*$Fi1MjKUZ@j-C682EfNly@s!A91429B~ zXhGenmIOJNGnNJ#kAu85zDJvdW(0{QO@SaDvvWSggIgSBn4QLCN z#E*k#^@he&`a00MVu<_{tx?a2N}5CnxHdq>RFPOS5=q#~O=Z#iMg|ED?vP)~>SWr@@lG3-o^B{i{ zBE!CaM0{=7Tn9vs1V-w!$fWgba7}dKzowiogG;Oai2J0sP{<%Y04u9R4w9}FykSrQ z-quNB&NXT`+*EuR?zFe<$9JH8ebh-ijN`@Ei^MjZd3&Feht&NvdDzLa^9!NSRYAim z9!Q|g0Cq?Y`bI9)fu*|bK?ju8lZTn@hKmC}thD{}G5QNrxy08blZV}m1$Dk9b?+H1 zsON~U8#Fl=JXtgzK#30>n>Fn#dv10us7KWG=0z>Oy7$w#kwJ-{m#3p2Pq6kSUVq>H z2t8FforhiBV@sQ8vKKH8gmvx$UB-y^Wh@}RytQUwgon4?G?%OT`Y@?ouFCxg!AE(I z1HKbdF(Vj-ll|ZZvv6m5ENbz!@!Z)Haj1QLo^NG)p^gQuECNg(p0q9?^cL2<>i0eI zSlrIo6Ch?0U$}B!*Kr6i_^cv|1RgKG;0nzpNUm=wT@3M}4+L}5 zdW?m1n77_)mI|57h&!U@ha~m1rIgFr!lWGe_ z1fv~PwtWfH<%GLHvVtp=Z=@+K)s?QqC3xai{Kmqn?P5`&5^?>e8|r5AERd zT;gj(-)P94gwre6758gU$~+>^cnjjIa9>nH`A!YXWP?_sK4DaduQ2VtNbrxj-Rx=R zP4IZi?S0ypv2>p!eI?>6H0NRCJ_|4v!M#%Z8Vo<@fM*MkeRg;G-VMP$dE0UK>c4W* zzTz5HSanD;D0TTv9!h*|w-8L;sws8QGT!nfgkSiJe*OhtZb~1z^GDaO6=+{Qt$j70 zbEt+An3`r(4&M)U?(s-gwSWk`%-4WyYxKXkZe?ZtbjB4ARnXTBR7k9S-4ZD{cZr|f z6DxBpcznqfg3fQo|7JETU%2l1G&ZElGR_MCQk?`X^2sf)R7xpN0tXsCx{C;Eq6 zuKFOyAsdvVOil81@7{Xcwnlq|`9{Ie=i)TcCB7n3UN342LR}0p$AV}AUDm#K@^^3W z*Km|pG%Dw>&ve0_)7qEscV@VPLg#fuKEKbxw}xfW12H3et=3ItwCQiS@>q^&zH-*)iTQv~%;2wG2BN+C+rhG5pv#fYF3Ho%da zSeOt(8(x(~YMV`Pm34~>1+gu!rxCn|fahUS&2myTtQDeYf~DV<=b_@hE8q&HViPk& zTrKy!EJR6XA^%a}FsX}co`E+VW?voV^QV>j?Xadq6TcEb{uRMYI$xhGJdf*gwnmfo zlB6vFt&mUx;;V|hXG(KVMYP3_mn>h?dEGoLLfy{8u1^=R;2qdQDBR=DyCAl6LP@dR zb-1(xD^M(GA=QzU1h=f%aAfB&s747j#FW9!>v(fOTd4j~X2rhTpt2|qlr9wCM+K(1 zL_I3q5^To8EJ12`6Z0_btMNbDjCe_T+MRP#CcgeqSw>^3S>^y){Q5AEA!d0 z8|^%7*d9EL&hqEW(uZO^Ne&{uv~?p+v30{Y61W^r9unOlhe_=`>;$zYkjj^*mlRuY z&tF&G_`>UU_k!7WVZUTE=G()Iq)g-&qP^pJ>g|hl8K-5Zxojl42$H$=K^z(6D7&H|We)tZ&td#TLIEF&T&m?WUh&N5Zp+S+uV?7u_1-D|ULPu-?VX-W@1=OfO4(W%bsLQY`5HTCos~ zDB;!jUyuw`-``9g&PqJAL$(f2e2#NV0L{}6_Rh|h3mXqy+B^Q zejB~y|L)@JmyWsW+ybhKo!5O*ESwYz?Du$kzX6AbJ=z!4I1f8|xoSRHBj@h&^jV-U zf1vE^vMzU#DHeu36nGxbes(ASUd}?t9{Z@fUzv=gSPPqHX9rsQ;zLGJGuqq;a~}7P z(b<3Im%E+*NnHS#>HgY``A>YB^RQS2o*&+J_nnnrPsMD_J75_L8_+a}daBdvWfGu` z^Db6p;1UI35cjjQb~()O&c_uzV!?hjeL44*)DgyY+?2K=-iQsW3reVP6(vh1`{1!M z8X4*T^TxAiEJvHJipzZY5-+} zeP(u-=a!I``7J8>;%S}VJ`9(`@|*;a!nlbieHgE8;9)|0C^}s5vbDLN{7&B-+h7AkQOO-@cAm?u~*o9`MNdzFR!ckaZcO>xe zylx((jN5rw+rHP}you#X?aS_mjmoPwkW2!0=H2nAJ)Nh0ExstBsQnj?89=i4qgfx+ z#H<|0!n4866SQ=qC@u^f=nq$pxFRjC#O#4!dwfG7hDQ@}_1X3dIEPpsS|MpaA zTmEY8i=MsXHX0U!Bya=Fy!opSlTI{w2njaMul8;7@Z{`zwe#h$#LmN6m%00c&49#8-6rC)sB89z(JpL1*;5E|Z7(J`0K=^5Zb+VVA2uNP`s0 zc-Y|bS1_KXQoMTu67W~4B^QSc&c(A+aY&%({^=wYJK-~V_#wwlT9>tBS{t>^7LEG+nMr}MDiQvU=`_H=5@iZbf8;OAwLP-_F`0o2P_OZ=cA z>jP$&)Q~ahdf?NQYfvhzhY|5sxY2n_9>IhA5a^#sNvo<{vu{mLrQ``O@H5L*xi(~F zvBoe|d*Cn*I-->mUJcfUv-LyM*Zq%gY2y^XfV1dyWJWNgPuDbYL9E4 zh}aZu<;5}?E0OF2uKSQF4J%{D;xz5FfH2Uu7XmWezSir--5=TK$Q1Wuo;UQ!_Ms2d&I# zRmeiU61lG{qcm^q6TqueL{4xr#Og8WLn`?~@)f~B`lNSxe&m!oedQUz=>S+@gj>TP z!yU8-zN?lleAT-Ly|JlZv7%RAG*Xt^>_)X48}i ziS9*$@A-xn=@N9!FD*)Tl5TFxy>|(Ec#3smC<2fx0jfNNvL$BjuS4?EggrBSN z^iD&jdW$1{JHe&PU#q3SdDz7q7B~;P6m0_2*aOc)L)Z?*giIi4&I^4Au5F-DQAmV} zq`p!Y*70%y?jtdz!Sy|lIK{9?{Zku6Xt_lf+~2_TB`11K;OqF4V1tFHExNv_7@=PH zh6OzLi=z1iTmR}=De&6iNhmwM^%A~tqH=$LnC?x}PhX}Akg_hHK*LhxY;B9W>R~e2 z`!prYaMnFb=`(_2M>bjiZ`oDCtW@s4?e{-`&9I&dN-y5m$Ftttr@yY)t~yL=vr_v= zN7`N)UjADC&u%F^c1^U8j50d)go%$#J3oh|&>X5bTSTR4Z+_!$?Z|4nmSu1`m>!xX!| zX#ok=UwhTR*1U`})kb<#=e06+q0E)`75;hC-JfSYsJDr zJt&YJHtxEF8O4q$v7wgd1W*U)60HTc5uVzYAh4BHW@XPPYdb`DTyc}((XxdHOnOb* z=%BF6Bffoksa`7|hAdz|2u(tUu9?!?0)a}_tebeRw4AUIvRNPLLR5l+UV-GE>_wRnH56hd6&dl7hgZe)uqiP$soMMw!tL-#X3>HqsnI!bN;#ahd+uz~lU?Y$E0v zI`q^xP6S)9qea3}dPjDuJq_9s=EBX$Bwha6pZ~D814Y|E%8DJyQyR%z$e_%&JE+_)+%j2lMZ{Np z<6R1dp!41PeG#dUtL3jdr_B`k!^mju7~j zhXX}x%o~^aKFSdHD$C+)uQCa>$M;#{|EOUPm3!_{)?aT^({(fF|8hA^CACp+c&!@; zR}nbO0WJtSHS-WbBYOf2a(Cm2SX_4DZhz~l-CygT*{({?!y>*Gi_GqaJ!UNs{Ad+J zglLymGXqT^sGUy)Ak&)I6@naDgIt=L6Rig7nwKcX#5_%_Ix%!8Njuyie(V@5ln zZ4k%Zrs^@ucKwJ`fz!R-xxp3Fg39xXw8fr~_<7~lq9plvNX4?PqCQ8Q6`d|Sqn&>- zj)V(vm3jAm09ud?clHQG;%3#5%T%R7z6NWK#qF#=3>IxF)Oj38>B>afmj!N=zrOPMMW&sW zn6T7 z8u8U=UujXLjzZd3bGhpNg8H(wn^quReL-|HV{p5EF3)>^1>X2_=!p^WRnF4oqi>XU zQ&B5PstnR%)5KTF>GCc6oWs_Q`NA{jL$RwZZ7+2h9x8p!mb(KIxQW64U2Ol10m#lB zjy^PVYfo^f=o|NqbVUv7m1Z9YWK#7*%>3?O@Rk3Q=iJVZXk{7)@Q(^rRll@I_bRne z_r$hB9}MNnrFXF)z9I*TUg11!4CUpyQred^x%)V;%LlMKZkhA294G?!=2J`3zQ~pY z@jmL~OMJ-JUmKnK>#Pi}cW809q>qF(SVx)P8+5BxwQ*vJdHD3KtL8c$whXfKf6Fbs z;=J=z`(H&-d#(0pF+S;@?wpsRg5=vgyB2LXT+oR>DrHxJ zu8lKs0-I;RNxT~%C0QPXV-nezWOyN)i$i!0%Z3RdtOM-l-LVZjB!2l!SZ8DSu%D+8 z!a8i$pV?iO_+|ap@B6F1SAWK%+gHgu((SIQK6R?jsj73Ts;jD(ln2G464>q~>cz|` zbwIQNznDcQ2SRumI6_()3C#2J{0t(%iE!hC_65}|^&sma1?;%TnM2Ii+B}92Jplk@;t=j9=2{bYcYXaatgOX^5jBB(ZrAT1)5m_6J66%0A-@1k1A|)FwhOMsi zWb1oY#%JEK$%o6c{6Z2qAoLMN`(hY@?WP{C)ERv(jq>VejQ(jd&dZIKcI337-f^tm zGvXuWQ|yklpe-Chg|J^ca4$Az9~iD%aYa4Fd@*@gmKHYXZS=p1{}pHY)I%i%VXXGM zB#yp5z`~|GtqHKse%SWpp%)2@SEa1d4*Zg_%QI^> zH%ucDxD{mO=<8SMp4{Qh|1N}d@OAM*teSE3g%?^HeSLK+D#wM#qlPqad-q%JuW3Wk zJJV}YyYz2^CJzf+`fREtcR}XsSF|Qzp9I#K|3uc2T)e7$l@rg;hEGrZI7KgJE8%}X z-Le)~?x_zH$<$nu@O@a-nFy`tXa(fYd|a$>x?e2AyI*seuhw&(xN-8(XH&2Lmni9f zZo#i&zCQF8O&+F&_UraB`YJjD$OQ9Mh?R}tJDwUPox5vG9y@_2yf?^j>yRs-k85`O;pbZ2Dxo*4{GU*blpM z?%gM)EBbz|@%>uuhs}~RcMp$0myf1?Fx0wGU#5k%7OTrkRthpp2zHXYqrSy1or>Of zn9E8PtlH42BGI|U1w6;2pvQE3q6boWG$<=&ty+$>BZ{Qv_{F5KkuS|h*(-yk$v49;)ufv;eR z6IYp?Q!4GmVCVKTK=DaEEf{yGvI)U%|o&*khnnh7nYL4~>zS{pozWbWVw|5i@q z$ZR*cZ;7P=lC42DNN5`5S(CX)-0@qC*5HYM0AB^T27`b0srgcIL3y&SD5#>@)3MQu zxFte&2*(1y@r(e610y-D`^%td;Z)4x)kuBiBuyuDhrr=4>3--PxyX(9dU~flesYmC z1`zD`W6aaBL5_nt$ppEQ`BIOhD}-#Be#3Pb1-&*?RLs`^OWH=$zul9l8nU)6nKX?K z+oP{MYrp&2H2U(wRN*0C#ufC3M=nXM`91X(t6lNMT?prSrVe$TTzm_q0db(>{I5PAIIe#@KZ2WLM?{zqO>r2gYZ zJMea3#(qXNNHEZZ4Zk4uI( z?}z=+#(M4*ebeBWh^;&-q4;R4ODG>8SZZAy?S-O_%TqvQ*+)l-Wsh*@Nd<&B4k)+n z^ihdC^qy0k0&Vn6YIz-J-XtI%)mFn1KOGXg_S9*NG3q3$3UlcnpxKd9!F4utx%l4xXnr{MW-++xz?LGdEJ?=V605*$j)}{z+2PK&=b0_M>zmvD^BSVWMhfZEFwOoiEK~pR(_aU^oWJ z{AFSDyRWs$!vSng2?;wu`ebPk=L`Mf+54w2GHZNuCUYgq)>jXTCJ*iG;nCgWzetVw z=-F0i^!4!HQZON!uT1ZYZ0U8=8hr&N)D`?dW{v-uB+FPn%U>*VjlQ&WV|ny%==||< zqf|$k@7*|gxN-DFkpPsG(_Pg=b-2OL{&-J5Qg5HREC1F&wDB_+?ZfXGbWh##)6hEj z(8|J}O*lK_t_=0R(t0LPn#AAx+i$benP-egb8JmdPpBpDebnZkd8Wl|%+;KYbR``? znXmkgywK#~J4^Gm$h6+cS?jp{u)ZXILh&`;!o%Minl8;piFm@RFFcCKrP~9T3s7{ZGwL@8e8@eh-rTP~aNdV~|Y+*|-xGw4a$5JtkF8JaFSxi}S3 zNcev3NbtU4?1$}h1?FoZV7}&L;kJTsBi-2^O8B7NIA*t|V-_ZTzsW?`#Esq$=0(Ho z3*0{$MWsuDt!`J_tr8|Y*BXy$kiCmol22ROQ&DC<22(95LWx!DvYTSNpC&Nf!7Y)v zmiN zUg5uXX6oxdH0HL}v9@yY?Q(A)f6uEdJoAB&HR}D-;mNc62ctA!1DLPNY()EE_dfX` zhLPHEq_%-{KkjLBC{!Au!0+|BeR{!Hj=sRHA3l_MnJ;HI=6T;QOn4jF;R^dLD+Xq< zK@;f3U9k^rNvmdaF@cJUpHLM%pp7BB>|9PGnkttfT{F69zxROkHz>)l)6-T0)vw$S ztDy|q^WRN&Xa`A9I>dECxKmKAKx3=`A2EeA>L4&l=RIjCPu>~@N?)4MYmy>@R7M&~ zcb98C$A(2i=oyh(gE~~{uV`Rk%d-lmK*DZ*0k>D_IDUE@0Ujc_Qh~~?jD+^onEYD; zUxz5^q)(9#(VWheeF!Yf@wp2a^R15lsSCBuBd%`H&@y{L=tMTM>2rtjFY_ywF<<#N zxGz$EEc&MGNTGa;Cv7-VTeMbty*?=~GV(w?Xv7N8R(F5m*1eu z)K>JXmjs;knV)EqPdf+vX>#plzSaQU-$eIY|5w{V;mXA9x^wgM#A^jiW0OJ>=uY^b z@1uv<$F}JKyVE{P+OdRXA3f4`Y?dZSMtOeK0N*e^+NIDtlh@Z*T$tAP8b5# zJ#dr{6SUI(ULkv4Nq6Y^vQo<51p=e5aA#OKhmR9nz8o6gV$DA9zOuXiF7(6J6VEZt zP5w#|{l@8;ntC-9p9wNw(ekZ*i6dWrI~8xW&+gQFG#2(_zBn>~$-`#n`?c{fy*2V@ z4p_p+RkQeURfQHeWQ+qdPl;7Q!Q>%m-~5Q(y!x}q=Wa&cBYzMq&ZrUjK$5P@XYDmv zcdECV9Y!bz(BPVP47an+pw;JdQ#CxMN;zn0*m@?Vf?nC=o)MZ2~Udb-gFLdb~8j3!>Fhgt|2x+2bWjTRSch z==21Pcv_K{rvm{V;^UylC3tSZhtkvadU;yLl04e@?eZC=pn|w=@r&Ts6X*nOc}I1| zXRfTl1vw1-F*_I1Y+!-lWqv;6B2cb^9Gw8Uw*(nDWxxqT z*_J2pvo@n%p}aWYDaNg*K5wKM&0?F3vee`kY1Z-iMAS=IcXdKK_rxhX=xOCk0$yVc zcLj{X1TQBf11HorYYF>d<+LosEHQtR^vT@|Kyw7~WWIo#EauE~JSzDskB-zL+aP{q z44Wd^&u^L^DC>Y0g|9gFPTTuokvZjD4Xa`(XydHeDnHZM%`EP`DU%IY40RLkj_(_3 z8kMjb6PIjFQFR@n+w85C_|AUam?*552x6eDDIjbrzmB|v5PC=(tO!17=>9Sp)Cdgi z$|A+y;%5zAUWTf`O|XIHT2$IkIoYfHjqq#mi?mLRqNo38I9rLG2$UPOH>!`EJ%W#T z(8ThoE-=ET42q5AKn-mdj6&tfa5yfAVdqZb`?U@B!zO)BMba*}Abm2>V)ERsFJtKo z9vY`qL&%t0kobV{B|JH7i1yuSInj^=>8cKdOI^p=<*rP)#74bvzF?#w;LSm}!0Z&2 z8hP{KS@>!OXzUycx{cB0HZ^@vSXa;3@FN#8RsFwV zi(x2#j7>!(cbFbJ3}l;>C#o7?h=-_gO#pk5sOH7R*{ye+VYg^OSa=!&ccP6nE46V& zcIe2CHxiCMaiCit7`xNYJa`Yb;_3SsF;_#{xX0%<`-;pR`tF=9tPCXi^&fIWkQzQSi zKQC${p2l#hr`4Y{{_5F!oWq1vGkJ2YNq3{{Eep^b-=7>*)Zqgf`|q+xW?w`&E$X5+ zDfM4D$0GDgNcLBtMRjTKhdpmA%3zSXXOtVa6>f#r313vj1!hSy^$czik|0F$seTSE z+#1|t9dB=Ijoz&6 zQ_7g<{65H#@Nz7#9!Po{N?UphUWyE5)NYi-_0UuvLq6cMP-DODCzB$vlS1z9vN>;k zj+FJhL{0i}PxI>}tIYC(n2@gEou$^|7bD&pJ+w3}X>$(NjuM-@lEE=SwrZUe6mmlF zX77X^pL&Km@I`)Jj$vELhU5^Cq&=h_hC=r7TOuT=Fn|=vTBD=;$HzDrl+f|;J%)<@ z92Zo)6_8EOUu*sLI3xBi49yQcw=`+%B|#o%&Mw z`5}m)u*Ij{dN2LOR^M>y*Ri&6xi~#!18)iOwDK^+@Jn-Ghw9X9I=q@UisozI-o(d) zLAM~ZF*t2pI%Jc2V?xwIU(b6anlIx$=q0>VVa-HbkzG>%TzlhPoO;_x<{p-5HT#w^ zUmnINH-DR1_!hcAOm>B-FskVxyZclc)R%ep@`wN0C7MC950sI^V3>>Mi&~Xv6Hsrk z-}0#jx{at##{m}5{P=AB`qk0t%NF#p$6U#tLT~GLcme5^Prb5Wm72hF=Rm^@>67}es%BhX`s@EHAg4l1ox&I!v@G!L(2~lUu(+kit9aU=sh;kDV#h{>TCvRRn6#N3e$St?>A?7QdAi6$uc{C*FXQw!o zT6d^XuNni?^UvgN_0x3 z%;WA3b0DIH4`1+a>~|W?#L{aizx;hzs^((m=|XAISv;6inK%fl?@o}XSsE5Ep$C;+ zjfy=+Gt$6GtKnAXPqsT}-&WYk-=hMEqk-Txw)4L&VUcpJv4Q#{BPSQ(&Vx%f^<=gb zgfacr%pHFGTE7A5#LjSIaVc*%f(^eTSpAE%_K&dwLAIs|9)qSZEzz9p)ZpgB1@a8H&L%{~iuX5rN7 z@3XU9#y$rjRzT|F+l|`MJ&Ch_HB%dIVZLZf!R}-@=}jHtT;{7~KzeMg1<1PvI7{sN z1DU=h5*MHF?Q-w2O%J3m=E}Z-HftJqQo`!m-t!*Z#nulc90Kd;#shzDC?-kJ0 zWU@M*E(?sl=qC=y1G&I&R^|))=FROPxMw(|<6Qvd4C`oV}PtVGN!udbn6a2 zK2Fkj?{o3H*CidZ37*Ap?txzkF$W25pK|H&6^25&!bTH*?GlZKZnGHLG>*T;ZN&&s z3aZ=z)$hS^{B~Ow@5@S3;K3^kLH8{I?IQw{C6zKy=YgHVN>r<&M?YiO^|xsR-Nn;! zkWqgQj4^rmDPQIxN4GR)>&KzJ+*?c>IG0ez&a2G6}S`jYu73-SADGJWT%WubL2Pk6uC z+j(Iyj5i;>sb+spYi|B%F&j7keCOl=Z&1H%0UJ~bi%dupQ~_d`zWWp;av9N97eK!l z`Rq?0w#WV{?e!g5ec%|XrFa#274xgt!K`|`8laVcS)YlcFSlv*RsVe#78`r8996)M z<k;2j26z~_hIw*yS7Fs^R3OK`ZhQ-Z`4}xJb(MO=Klv@d94Jb zs-@9(^Z#x2;=eCgSiAuRi1}(hHN$&6^X(y&c1V_}YclOd+kYla6*Y9sSEPAqy|0*j z{Tn$F04C>;q`QYmIZjQ=!sS>v_5Rj@SR*&T6dfNAc!H3Oq}xzMu8meB`5AvLKHul4 zXgILaD-iWwo==r{j+@@WhjLwG8Mxt^lLb%u*V6`T3Rhfc%r@h_`Z7u0ACWkdS^96O zWa9h0ug`9XN~SD|Q;x3C%2VVdD5W1gm>pxcw`0EK!%=+L{fyBA-9?^E3tNzuxArxJ z1}+Vod<@CV#d}W|CuCR&3{KrJ62+^vbK2&!0dmgPi^T)-r&-5km^Jv zw+FMCVcS`3Y5^xCPi_-5b{?+L$V1ZpRr4(OF4FH0lJDCHIA(TXeWVBrS1e(^?za@J zg89PUwssJ`4V`yiYmpv^=UB!u?@aVpCUH=6-%5vv-{|>4qA?8i#fSRyt5Y zc>tB9_HTy#(ex)wrQ02reSY|=k=^;ezn;?GyP}VQNuIyYHyX=}W{?!e3IhMXK7qd(&vstxc&KJ>%C{MVQlR{IIZPJ(MAl@ zi_fR&a&l_Ydg`ivyZqTWv!SX|jJBwI&;A&x-ix;q(!iSZS5eD29%{{eVViRI1v&~p zeWAq-SNp_)jN|V10L`*1OD5M6xQ8NcGLLF!dP`cM*Z+c%&83rT2wOV58q43ODA-eG z&Am2oN-K?M`aCSlyO~;^j}cewQl?@2L!)&0dT3mp%-f$%&|ps{OFBYpHF1O3rYr2W ziD7FF-@@lcAWU7W6{<^YR`2R(pgC=>9C#?s3f{nsp_(ed&?+hDL#%)z zzq1Ri!5xh$uK-5~a?lp=h)8_%w%~A8Lqg9TRR|Ryz<$(0CeH>!g58Q~FnK7-q%sm* zbacH0##qWaG&3(uW+wwySS-%nx|@*l};CtsudyE-91>J(%K`;5iOSI4_6b%*D_JVk|>mvK~ta zDnH4FgOeA8G0!uBo^=C9CIM3SkklStKwTw^3pw+!}qYnXeZ9 zB71CLs#f2-h9IO9LtYFNHJ$sQ_mO{y`3fg7QT^7OZecpfnYU%EVRDpyY1Gh2J-|V4 zXUE+_ukIhtRuh+CzDCrm9c!IU^jE_03;ih*HheGid>>VYwe|%bQ8dze5yGZ*4^d1D zwa+;wduPt92CGkxZeFdTXJxdlr{KVsQ4 z$h!-%_9G;;+`?i#au-VB7$c~Kvt*w`oTH0p*4_HqqOsIsgt~MgJtI)2wH;@K_;aiG zl~jsAYd$$;^fih3LLJL|9sFVDT952WNTCAKEcj1;)Na3?^10-P>=gdksf}88aWY=} zuFRK95N_>?e_hzYp|{hM#pDoB1>xq#E7Q=|F<%Gaz+-@G?%v%C8Tm_?AuW$G|IjJP zD2CH(Vpgx*uq18JF!COJ&3D4@nSBi{jlk6SWsa@yp&lA*D84n|?C7bpX(3E+tiwbr;vH3$Ld(iI$y6Rkr;ikq53gaLZrMG7tSVw_fj zKnNc42o|WM35JW9+;B*^ql{gnN9M~jBNg-{%}y!t?30kq9jHEOhv=r5K@O6{6jL+J z&3e4k)s10+XyCVDmb(jFP$yom!Aek5u1Ud;kVG|2>KW^J$>9hF(3MTHj$(f@^)uGV z_%aTUj@2UH66T_AXnSX*-kciaAF9ch>#$Ftt>ShO|=hCj4iF+q-J>Tdcn5_qiKBJ@dr7^V5_;_a~gow z%~t!`YyQ(G-ud7qC%paXYyMND;A~MgiaLTId<-&OvKd%ShRGm{njb_DG%nRP=CX3L-vhU)tttUZbxjO_Dj2y=i*)ITrjU-Um-t{HM?kmIDYg~y9Df95(SZ1@U9-z~$WG^)9*f!HT~N=ZJ>*-qp$Y)p9z_w-5VyYX^$ zw~Vdy!P{qBu;DOYP<=YHk-7Kt8BU{DvpQ3X&;G(C@U_m`=F!(#Y5DH!p@ADMJGQXv z>K9h;+x5X?hX>Dk|8c(icCnVBc=*r$XgIQpWl zXg4WcdZDx-jJ!6tJD;7weCXN6!C*lwit>&XhkSbsMT+$aF z+T+Gx(l;<)=O8+`vqNtnS&hE@{ya6`PPWS}@!F;Q=^shsTiy8XYw6YI@17f4%#x4f zT6q|4bUyi;2=;rJF9|HWxJYVHT`RDA@HCZyGx=d2%%9=BJ&Pv^FZCU}#$M`M{U!Tf zgIr$R)q2TZJS)7Eyl@u5BGdnT%2gfj^T__O`c6D_`~M}t`E@wzS@g6 z6zBhgct^|C*HbcIH-__>qWOR6l|R0Nj8hji`m*BG^g@;qWg2~1c!O-tI?%^%P2=@? zMh>~PiY&E0pQYkrSLEV^9G($l+(%@oWv1MS{#Xn{lR4vm9en&MLs(o7+0rEe)g|^+ z8Ism9a}v@gQ$q@jAvIEDh67txCR}}RzmGuT) z!5FQuJx&f8f1YJ-3Z=Uu73G zPsAZYLp`Km2knCN$`HP2zHAmx%$IZFFxg01h!YuJP_*5(uZ^SQf8~;R+obVJ{jZXZIkz1ub=ga{ zby_(#y5Gt%zn%aqp@9(4b3H?paS-|nq##pL@{ElUo+n|t`WrYY+arM`Y#eLpo(x8mE|uSk%-uVP3Ei5 zqp*J;@yuMvb2NN3Ust~n%~xC1uPc5~mL~=VZ0QPExPw`YgL9JxypLzSva!NU2%Q%K2eC?4NoZE@9bWbN5 zTct8ziSLZQAg;}%oP~gTe$qlTU*jJbv)R89=h1w%c{&k?Od0s^|8hR`)>~O1_&K`S zGySREf@YaICNW$5EcT%vNgMX{k28eYpLd!^e7NPsPf{e4=a#3F$u^BGT@dWhUo%38 zlbi1|zczUo1tv<2zFb`W(jj}#blgmu@^RHTy5XP+8p5a2)6*d|d^BIjHkq$A#b1L& zSWdmwdAqyN7tFp*uRTonvE)b8S8VL$1#6vS>HO-5Y;dP%C`pDnrmyv8cw^hI7f|CGJ%>M!7s96M2citUYF=OT4g2)?Su*C z@jTv+5)8D~=ylln z8TJZHb*Tc;VI(4&AqZKYb6iF8hUFlCx$?Jhzi!AFsJSxPK{(w2Ysrhp+WLbN^e{FJ zo_+s1ZpWnx7$Ge;wm5mjb5N3NEk~gzhW^cniaO4(C2SgT%-Ror zwLVCN_Ss9pHBO*z^EanEv<*Jb7HT+Gu!t;7mYLm6% zd;hVQ^)NOKo)NzqrRqvBCx_<2BDFLj1;5jyyAPQr4v-zf6g3NP4G^A60+A1Q}b!uEM zpw<*YB^E&$p2b-F4)?-Yqc{&f=p|{%U0GrzR}1p2fxm=`@&H`WtuNPuxi`D~=xsCj zy7i%WCI!_xlhp4VO*u1aH+`DahToHzYe5T=19Y#-v!CXv3LgA(2&jaHMsYvIFPw^{ zRe7u?mNfq}&U>kI-+_+*El2N_BtRh;ww^i(Uo1NL$`zkO1i?l`8gKFlS$yqrTex}Nh9PJU&osa5L>u8(tTYJU|hT(>7U z#>aO}@!$Zdxu1fc-_L?7R3&6zM+F=_O{0#!kf;$e=+LtuL zZ?>%eZzq?n_4C#rK2IZ^>mQmSJwFnx|4FdIWsZ$k4@8}Xw=3|Qh3?n zL35fRO{t5;^d2($N?Msv0Z*y_g9~IpIBSJt@EVmfwD^? zWn>hN(Rm|vS5`H;H|++!R_BK;3$O2`8bT%lxY<0*;DRE30gq<_1!v#~RlQWviV)n> z{KE1fQ1m3iK3x@&5(B(0i*A7Hv|+wB4<a#`y)^I$vG z&R@0L+4+Rj69x}-Z~~dYeDz;3f#XhA#|9>X90-*Veu^<<9bEJQCXq24>(z$ychKYA z&xzSBj7R;F;1bTd)+P=?lFr|kX3J5@lN^BgT0aXxMeDzLDpUSv!m=H&+{1B$XD|j% zDT?~%_;SQUgJJf;3+vW&Nt*uWU7RK4Et;=SWX}6b6IN)wCbw%vwF2A|sKVlr!P(H- zm5fQVYc?jF`zEIq+3|gYz4?`iyY;gxvT%vPLG$h(^xMMkf)e|SU|ovh;PXCO6HpEV z9{pC5+Sjk1QR>lrae0~fDmesW;+JiDdOrpH^?`Kgz+23|@!u>7UmcqwH})9voB1@! zMjeQG;Nb(1Xcr6!Y36sfqJYQ;%dsN(1xNUoSUcq#dINU^|8$9W4!Blnwm~soDQ!> zNvyV0+K>eAa7v(dsy9EK`A$f;JMSf1DpmmDpK)ozEMS9F?+UN|c9EeLgswf>(!E{x%>0 zjlL4z6cjUMnAeSr_6Bh-CIfdk#qh4cLp<%%VX0f9kOeM4VEu%Tu5OpW!*b4Qe6(bb zoVOz^DixFYVz@JoUdL04j3(H|y1R|btq<{zH^jd%ffYo&p+w8T6I>l}&{I6oDQfr< z8YhPrkxjQuJB)4u;Imt>885}c-=zq&E6 zThq?%&;zl^mL;Kn5J%Zc%M#bKsAcy$7*sXCB+ZiVET-1ji-+w>_N*{Bz((^mKE&vz zb!4DFefYJ?Y6U>wKYGiO)&FWTJ$T)(+RDHsiS7UEByn1P=IOuF-)`Qm%_@INgLto6 zO%-T2`kPNF(t6lYw5Vq`5RB2+kS(2k-ker@*`0(A{2yn9TSxzfPqEep^SB+y-FaQI zm?+T_>X+{GGGC)BBOhhut43eXV=?|}WTm>!P{p$QFbL!C*_|Gld__nWPrV@1S?=VAdY0rl<+gZ0IjP&daAiPKt)IsLie?f2(jSb9*@5;;MmYqwl+L z*QDKeZ%BW1mCW+54Mia?X)D=cw6;6bx6566k7}hxUv=8&p-Vf@EAG6Uw-!U|p)7)%}X_kZ8EzkneBV#6n4*D02j2C z@#$CE7d**o^i|_l=r!n$gQVRCHN3UPSB8aN8md zq_)V!QX(;ThnUwrl1dgNogJGb6re$9PEJNLzrwAZVeVT41?_AtKOx2A!%-^NXyvM_+!3$wN^}k|q|gI5}?!p)15p{+74? zzn` zSheLZ31;6h%+~;g_1uI{KqG^x0AVl;&sI91s?cA^wFcX z5KbRt^Ye@JE1su;e)x=JfJ1}FX}K$_0|{r>oo#pZWWHb~8GH+Kb>X88MjZ>*e|6$n z6X5Okoz6e-o-ASV(C+;4cVIS-xv)?>HP8Oybq?iE$=-fZtf1tZugC5~sJC0j3IxY` z5(@j>?2Q`w+~$hCC5wk|WPanVi0T;KfIUU->VIY7z(a#YfVPD1KaJ9!x+lpp&fV?_ z!tb75M*@%zD{BEWt}B$b!mdNH34N3nH^0gJReu(fa$sR zK$BdKJ91V?vDsB*pt4s4056Z347uU?PSbqIA zg;juybZSF|zNO|t<`*EI1{r>i!PE|slIN&poN{~o_=CW-FR?-!bz5MA?}R-ZxKsdT zz#ut*RiL2~mzDTlx82cA<>T%w_1t9idk0jx1P;wH9Fb1%kt3$EM-yJHlE^1w9q2~vxM$&5 zMm7*j*vS*wrfq;jl$fve$wO6|*)PED6F-*QeN~jaE9!4MYs?Nk@3Q5e`4HK7KmF7{ zo0%&9{m!}bpuO@J3>`$pznWAa+Zzb6cyS0lz<~5YmnVB=o3;mf_vIhHeFu|=KTgIl z4^w(i^?zMt(=%0+)SUp&*<6_2?f_ckCux{%F>ozCJ}u$YGsF7r0F{Kxe6s>rBvJaD=->$l-} z4D{g2$)CV2*QGmz+-6F|QUpxQ7=)Zup}u)D&lH2Hq@1OVD7aX_U5SQr?ToeP zSV%Mz$T$s9_y<5j9Hr<-akX$1o{kh>#=+SgZrVo-{^vqst|8n$$VfSxlMj(&o)eF2 zT3)A11vpnCO!>qb?nbgUPYR`#uZX(wacA;S=1WT>*lnzS%4r6%3TX1KusGFD3(ck6 zj`ox5D~R#T5LNn(G3vky4C-`FD;Fj zn}Xu6y^c+-RdlANoGt#7EIj-?_3okm$xrS3a@`vDqsT5iOG2`hOHgHWhI!LCOpoiD z%^lMdl7|!ZOI`7lvqyG+D9k?dZyj@VGs`E$-XhQwe(Ao9(bqEO>)I?%9!~uR?d(sO z$&|n<;XW$s{4Y?|T77f2|DQDTL;r0u3o{>LsDk;L8mjq=W~feYJDd60(x!DMIUQof zF7l&(8f9^w4PWkt8Cv=7(KbJIc#l4Wuzw|~**zm+0`p}Hm(kXmvprCnL;jENn6ly- z8q~LFOa2^$aRm+=@=9s-M^g*Gj>GFTg4(OZYj2PhEN1PmQ;kiLwmK~YRSZ$QSqi=?EW z*fElnYIJrebaGaPpXjPqT|$;Ii|5*&MeZGUJBS;vIQ+VAOILduE>OO}0>4~q$r0Z6 zz*!o%m};?zN8;3O7qc6XaRtQ6#^%Ck(!COwObQ1nWeA9cB!?rsyvbJ$UMK^t%+;d# zl5e{pD^-WBFzXeSOsU=^NwtWQQ4j;{%qh=vh7E%;O~J;t&ox5OwOuiJ|K1g7--0R5 zF+vu>S`e(4VcWZIG+!TPHC02)EI1u>UDR9C^Q8H9ilzxijX`oTN%^!AX4*K207!W$ zl11~iOyRR4!zS1V*AEj#)=g?-1>F0j`oX?*KCv->Y{MD-8vBVRIKt*7v6MF!jbxuaYmxQosD3q|s{4ntki-&NKQlnB5NK zM|UG^M%s+N)*<`+wLOf!gzt7_iG4F`B%fLuOIy?;+e_VBNxUlJq1X8&{5m-b+T+`z zEXKN*mKJad0vox)yjCLh`!RPNqpz9r!FI0xQDI}g%C2b{HLUCKGT;Q)*oLI$8ji9h z*HP&N-OESjqU@vjB9JEsD){;(MUD`3)oW=fq3wfL6qT`}`}I*18LMomF-6bS6Bl(j z%#YlAR?vDfBtAAMRaK?wRs~Wm5g1d9`gQp8geYsvlu z9NnR0JnSy{(numG!el#^Crg^W)T!?zK!28wRRv$XNRChkT{(d@p9efqhV6&F-Bi|2 zsB!MPCD%?3gCCHq8wAo!!YJ9D1I@XNYs$1EQ?V@u(#nd0ESfVprs8(zuADKu65}vC z{9p!4b;Uz6+h%K{`C{}nLt)WSic`ux1SQq2udO&tJIL#j%Lixt!k1mJZ}YCp#kPi| znB878UoFOFp$GF-rpBu#3$LO(eI9!?eX_819!~TmOl~$`%>K=;p3T(OY3v+0QH0~e z_FtW#+36g*Q&Fj3u!%-{o^=`i2Ow@0arP;e1xkg{a?in1IM{h?*?7TKN zKCY^xe3leDbteZeM+I;s9B1A6uaWFBna=ua7QV=PoUzl&h^ZyvNt~Rq5a(c$sfyyF zlu8J%C)V@nEL3T~S8cD0*lvu!4JqxlX~m|F2R%2{YeUs|t4Y$9dLpKQ2_&+TaTiSU zLtVCoO?9o{>z87zwM*L2TE@`UKWo7~IJ|Jkm6R9Y<5idDnybo@oAa>JKpMGDN|0De z{l2M*I*I!OPXbs^k8*|cP9)}XtI)m#8ua*QpC3jh&cq}WEmy4iV8{Sy5^b%tcIUu zlM+}hNrH~^`hHk#Oa(d*v{@=))unGJv^$JMnvKYcXdZ*Xfbv)0;~xz@%4e)gYV8sa z;&}s9nlCq67R6N6WRLZmKJTL1~f$)Qdn;P?e)j0@9n1Xiexmm(8uWcm^F95m`>I=Fg;VEHG> zPLViPhlfrqG8G8z2|x=PSqI@`*?pi~=&{Dge4{JgL%f1i!B^XSImpC_MX+fdc~(+s z6Y1@iBaMbW(B9Wj&YEQ`R*I?wdjL((StAKT)uB4RAnv)bxXMCH?XzV`g3zkqt7E>3 zcK^bOs$_-WwgUx6RH1>X#p>3ty>p*=D>CWuq$_mf!qec#ZoOuGpkqTnHPH(R zZ{r{Id=ZF4h!($X$z{*O+TvM7KKJEVTt_ZtV)AA=PexJ4s?^KmA#<&M<_uP)NwmBF zbcXTw#l4AnL!OTuVsLXHNsKiC`cA!tm40Kzv#Mk@G?zZh5`c&9=Sa65#oYKEjxPA% zu)F>i_^|P2#_pw0!dv*sQI1`rub=fW`pT|<7xntZeg^T=?*4qv-aE%`q3wca<$3>= zOo<r6^9wGhT-kG;papX1Z(##FKQ5k3a7MI%`8#2<--)*_6P%e0&W8 z6Uzq8T9j2Qa^*x}KImF)z9N<*KPghMPld#d%HjEK2ZAjJ%UW6DY;PXcV_slA3P??| zgc;pt$N?<5GRVcUa+D@zX?I!==1Vc=HjLNGqlWS}!IErG*F2tLiTR3U9y;tK8S0}u zFwHAN%>3EJ^*A}KO7AGWQ|KKVq7vZMzL0yR`BK>c(w#mD3*6!e#owsVZ9w)_8U*Gm z!F)Z%S;$g`OeXzY`VD=$t_nh0XJWefFxmJ7GqYbJS4Zy;nO&g_snpc?tZ8m1^GW{# ze=p|CE=wC`zdZk_{pnoo!rab$jK!?X0G+Uv~YvPthi~s zoqi%>vhW0Ke)nNEHuYe>R9(&8Mvqr-Uk={t(z)h+PGq(L&JPwz|CJ%<=)O6x<;>bl zIu>5NtXrO+k>F_{0z}Lb4!Pa>)Y`=V(UUK4IL?lMbKvX!6>KDn_XVuq4+&F zKp&fJtdTCmwz_&35gXFWX^NP*`q)ahigDub5f3xklG5ka5c;u#czG?Nr<*so~@bF>G z*UHm8C`Vr$QA<905dX}B`@Dstp{e#8W$mMAu`cq$KxJe-u z_MYFRe>hc1F&36R_*R?r&kknEfh%^8)qbxp3Db1#w+TmTN#YNDILCa=#nIPFV=wx- zm$C0Y`&*n~fiTt&Y11!{5Ls;;y6;A7x7cd8noL30LB|eM8Audh(>z z8GWVSPlpOG6$w0=O_UB>n8$pz-4(ZiyE!x}`8%*4ON&3Sgd-1*RYa+H?DZTGJ6tFX!jWD2`jx zQwF1@zuT2WrDlj-xP(bIy128dM}nw-P|wj*lL~4@XVrxW9>E%-=|E}(hK{et`$4jm z5U+5=-58mY=m`{u@+CV6BcH$#hwcitq{R?ZcbV6QvghTk0LOENYhbsz^Z4VCm5|5$m=a+fI-{?)`QpXC7-JHt7vPgT zZ+EOyo6HAiIe()5clJn_xp>LuE|?XO*0-*0SEX-`4~O}^y^sXw>6$J2Ki{!p!*BC> z!8Y2~!k!+ZuAyZ|YMCYv3)TdL_0iV_f0VAFbagC!k&nUM!4&+*cG}!e|K);CBeG)P z%q7Kg$NKxzb9UKySfzSu@~|hMPP?fVughe<@+XJfYID({rMCf8;(y22mam+|eC?*7 z+M_RPj;O&@z&I^nnL(}nT)4AX{^*MNcpA~=%ml!OO6t}mSmSJ*chm1+@~~Q1XDA|k zB@N;~mbzwmo%IhqpZo*5y_?#yhEKkA376Q;l*X12bJ>)_i%LDJ1Y$|DodwwpPHkDc zNxdVKrW3DSE^W?fP3aspS<+QTBJD2GUiPTK?o2D)86FKM&YVytAp1r+sv);WQ6J0w zF`}DmXCx}tX6ntW*r??Rqu%l;*J_f&NJFUW-tHGFciVyaz$e^OT3BNeTK5rknw<4e zR+|$EHT(ft=f=ENQx6?YBVp`6Ze#O2W1l|DnVC1K0N;L|IFCREL{pO4et|_fTTood zx&s5fwo?uK3F2Gw%o{bZIV?~mfzc;OeWcRbmo{{_GL?-nfo;@ z17Tx_GykQO5$C<5bG8FLJK}rk3Sny`rN-a)Ir~hJYN24`*J^_$vsJm-@chW3ew#VK z7N1L5401XT;z@MKr@GtgEZDxErX|(;?VP>~EPL!(Z|`2FCLK7FHZdc1>Fu+FYq@on zHFrAvFxnLyPqV%7GDju;=gddKq@Us4*LLe6lZVc(449lGA2X@A?RMKSKnm&xhg^KhVR}ULK6>`VHWDBBB%bpSgNwM_DLS{lgy_CzOg8lUub31TWE3yuaAh$FF!wA>XC^Ic5zGyfP)$8KS)e7s#A zBd%4Q1se$*_(3`%+#S%!0#)0XjalyfF(CzS2Xfj2-7zjV@$-*yK~e+vbFT(5Lu`IQ zrYo3wi&41arDVL8s!TvPN?i}T!(z#Uvsw+r(q!~Hz}-aotLx^AH&qho#kMm0cV&Cs zgn7It7WF@ck;hS^{w!9yKkf<(H-L9l+ALptnYDf$kueVwo;c6YDisj2Xlv?r%SmZp zxJnYr4o^1*dX&l<^`VXCi?(2CZ2_}9a?9Kl^|3opsy6=94E5*FP8)yqOGR4yO7g`0 zExv5ZQRbPZou>8bcOUPV)G`-qr@HM{#Ur)d&L5cI zG@=nc%J-r<%$HA>UelBLLW^GEtnu{^7xwR8nI+@z^;3-_gRn$qSWw`&+0aSJ!G)#W zoVPuFt!Pv?uuPG(8`ZqLX-bpYSk)b-%^{a1aI}` zMLxBkOzHQ{TH5+{XxMklXx_zE#`d%~hje6W!W#Y}o2evOi!y1Wg;9;@CfH+pPG!W+ z2&8VM`Qr4im=Tzw!I_H{K<=#}&ArsPB|$hE_qk=c#$qcfpkW#qcy7Qn+9*WorT@fN zH@7i-3Xk)s4F(+B1f>)!H1~1M~El4b^AgqY?vd8GOxt+?9Pe#XE5tI+05lnJ0dkF#^sl z15aAZWE-p7E%Px4we~!Md}Rgb7U=}f^zKWeuNg*P(>rcGlM-igrEh<*%H;@JC1`I2 zvAHOfHJ{l*PuD)BeAXfJYHXM<9|qGbtUuVwnnW4>D3${R{No)AHMqjoE=-1waPk_fAPTHq`D2oBC^|4^d-}|6L7=vqn)8T<{ z*x1OWqS;>U8x!ezp~GUk?T)`ENS85O4kS{e)wUPw^~87yvwW3YSq^1$p0Zv zCL(yF^Biazo@%HS2BJftP4ScYGP}^rRS8}gD6ts3v5Y5XNufQ1GDU@sB*>D(<9Ip1 z6vJFaHGCHrwh#zh>Mx&!9##ob`y#S)WgNXM!PYdT(K;+Jc^IcC><+S9RAvuKw~JWf z;^a--O4O>1r5MM9vKBO^$VwMpnhT?^zpN;)a*_KOi3ZV(GZ*VQK<3j527IC`o#CN1 z0VrS#aGmfrtuvDLNV5~(+x@Gh`O@T}wR^nIOmD0!_h=~rta8p=k@vre$9dR0l{)hk zRc96&XU61Vu&nh5Eb#wl{n^2?d=+s^sM)=qeZYfiUzFRoV%4QmZ3_bCt1k1^e)omK zzI~^K3!l4h+V`?b@Zhx#JF@bI)bBZM)aw5+Ntat6qL0e!patgF_9OC#?vbU=9H#X(C{V586VCoS%g$sP#^jfL}GTU8l-aai%+(JQD8THl`YAl=IXNFm=@!u$6mH;P0G3FTa6meR*hLzP1aF2!Zl$$b9uhCpz^ciF&y= z^R<$ZZ(8;|O{bBlUyo8`Et@V8VjnuqU^0vAzdGR+_uV3e#c;W*2)V&tI^h;igMUacksIs6#0o%0V-J$41`GBXrHGMCg-k}-f63vdm5S8A* zlQp7h&ql0}sf~r$pDJ_Ql?bmZoDe$M@bYw6lUwKL#xjz)nhL3e&|dPIK>7$C&zaNI zawV}X@WL+hQk36xJp)cx%URM2O0@(;U@+n(){3e7=!@LyL7Oi}K6`;HN)D2p&ax{k zZA6+odzczK0dbLPSEcgpg<5*6dh~V6W?Cxo2r3;HQ~^tf4@A?q1G?Pmu`5|3wh0F1 zkJ*KPEJSi#D$Q4EX*$WdwnL{7H>uO57cHncsO>cn2}ZLl?M?XfSxaKuy9S|)ltX2Z z3mr!$tzT)rS|F{Jvsx$|VNIcxL5qLfs^kg=v3y6Ppf1qjnGn5jlqpHXEBf{zbxW|} z$%0!{%#>d1_2pkYdj=%NU;_$hZFsW$zCp#52(ZN_y-BP4r= zsliHlxf6Y+w>?^gN=Cx4xl+Z)_;iNbq3xHsbFtYpRShcO$Z`A^6M-16BG$_u>(H5| z+Voe+7F}^~U*V^&RH!mvqV*gA691N-aXBBm&!d_RI+B1sOSHx zw|y@qpY$)BZ(b*dj{i6HFhVT<@f*C2?E9gOAKKO5+q!JQyW#`sXv_+#X&mpxkb|8lae+`%5AkttI?|A_Zp(*XLEjV-1#-3y5&wnT%>*wUS zoUVA<{GT%u)#i)j7p~+?jA!}0Qe1kZZyC2JK>LkSd!05D`W`!njMcBt9B=l$N}&oe zdlM+d5EX`{r|CB)(voIXyiL!uj;~QV2AAmgpdVF-D$SRo_+Uu3ttCQV%s52jP8lA+ zAC%Fzc@Q=sy+EhZxdJunkj7juu{PlNsDqtClp`_}fo$TfG+)AP=`yQ}QyGo2%9FUY zLc;;Z%erK+&6$&ZAk^ir1Sff7(JFpD0-%bQ@9ect(DaKvZbz9Y(ZF_9loB2}z zxRtZsKbDzVP|^>*02yvNWRxx2qDhZosu#(FxCx3W72LLqY^=|>+UBcicBY%=t1Hv& zhb1Sym@ohO+&6!ggx_oVo|S8hfpE&aw_{|bSS$HLZV!Sz{-%7) z-qC3wJ;&Z;zFL38@dQh+37D^>7xQIl<3FO=-~0e-{yTH#e}9+HzD6gpcmcIB7EF?V z_D!Bswm&mf+*3zU_QKbsGOwtT%U^olcDE;i6y75g?nxBYX?vMdVJvmys*>j8s^dqA z-;4RGJ?bs|;Xq+aS2wNkgBgdZ3Gr*RQ<)DCDV{#Zn|<#Wc!TsC%NBZ&d%&LhM_3(a zBhJiyVP+{DS2H171(}^W;l`e`$);yNEJqnpXBZ1&zSy?V1B9zdzy5k=YR&`uW~voegr8|MX@;-{^%$<@*8v(&!WoB2{K7U5&QfML6>uh~l>3;8$*_`O7uadAj1FkgnZ z2WX-n5`BA-gD&UX|3})l07g|@{hxdHW^)r3xCsFR@|c8x3!*MB3w%^&L&Shs7bFzX z>Vh;PVm}aSv4t`T5u>68L<(wkwOBEVVnzS0T6+OSuo3b7Y#T%opGB<|DV6{4%-zk4 zWFLMf*}3yP_sp4@bLM?XA(I*l%oRp_rX@;1D>0=c(?Hl9#hWStF`S&zDCg#+Y(oMe z@MMK*WhpCad22e=X<|}%G1b-qgC?Isu+(C_k|0HRmVknP7{8PNz5?*QmPT#C#E@bO zcVaRDQ&zJKH5G3v<^t!l5^$skTs|0e728o*1WV&WAlL>BvXmyoCw`bietqX5<4+E{ z@*xVRNq|?O6Rvch$P}qOu-QAB$CF%Xf~Io4Qw%mRk{I@<2u@nYhSdAJj93=bmx%jm zzHwk$z(Xg7V$LJKAOncnEk|F>Ebg6>9(|>SW`+StF<3cN$@$1JC7oc5!g)c7D|=?{ z>JE~^*oG!BJz@2QFi4HlYfk9#Iv5_H*y_5VEx;8P&E5X_L=u4sgpjY&3leGG3 z6Z3c{vJ{gSM6VaPIm%A)Lc`(s2~c>H`jqm(m^5NY>V_RY;Hu3`K(D z%sLeVi$xS_Jk-fH&AU=1J1%^{4s`E&4lJhKp&WxhU`N|~~t5r9ikZfMaM&wfLw;1ZUN$5~HtWxU!(0Qs)ae^SR2ohp_6-OHKGdyR@-v zm01-AhCT|TFQk{*X!3_pkE4E7R`nmf#E0M%p#BGvLx!>SwFEH*H%=3JmFtZs*GQ`` zCp)}J-b&ncNCnbsNr!zP1zQ~WTPGCCR2b@)C~lVw5NsMGn*wz^CB#S}BA_IG;UO^8 zdOl?L^bkZLl@LR5Gz)q=6~rfYO6w5OJ=}-myr63rO&+ z>#vvtZ52Kl<;*`Bs3!cIYTmpyEu4BimlTh_xV**IBI3!zjG@m7P+zq;MsgO;!^XNA zdi13*`bvpu0mtC>w7YX&iJIr&Jgh)%!$(21`Z6V9<2i{*;8mkv&j6-YUs0gcFbz`% zfE>CIJ!%&y{%_D9A`t^6j1)mq3P3eM)h&gA9t%aC$vhY~QBJer#f<}6gX3UF6ngh= zT0>=x6KQ}mL4boMVc{<`K>V0M5}rW{;#tNHa5;TMNKk|nHg8*&*xF#3)kYneD$IBQ zA+AtZLBc*G?M}N6y-s1rm6~*vCa?)1VNRsC4XX8jRqhtC#ER6 zVotdMTMgC)F$1Jv)lfyog66_V__p`tSbBsaqcc>fJTmeL}@{7Q@7HKj%&Wax9`a5#842)2HfrHO7VE(i{JT3hg zXWnLfW68zn%g>`PmKhj*1zW~S$KIzjmky$NVe*jF$M{l;%0@Dt2}lJIyt3#?RIZsM!fp1Vo0u+U9&R7bcpMleiNW_c$fTM$%JM zbK^-dUh=?erYRD)47T79>=DP1uN@FVfdo|?m;!h>D(XtX8N6R-4iDZO>jA&R$_398 z9!b%07SJ=uA-83;R19eum+fYhYdzWlZy3aT1$)NuOHBj15g}f^^8jNwP!3li88pMT z^!;@#RZDcZu`Ml;rppCGE`})PZ8Q~HGit9)3?@u*0xL?=HxZTySIkoON(};0q#ZVO ze5D10gclbaGmD2_Or>5Ps%5;%q1ICj+@1@h6)YfDp0oz%XFzg;pNVMQ5YpZ#>&70VMlyE1#PUBN^4CEiEVWUs4FM|n{GP+ zN9#kH`^m8?Q#o5kGFt$Z>qVhp3r`+q3VqNII#@_6I-BMF~-Yg1WGbjU-~uN zny28$(wgV_pnsIUbxenLXv9ktj|p}VsidJ4B3mE?Ioa;<+|sgDe^|v6vj|H9wnQap z0^vE*;YU*%&*E|#!QvU{cyI_iiop;tQ-Ks5BOK4(40dSI8e`j;WcK134G`R_&~Ma^ z6o&L#mX75jm9DzzeR%RdMdFshroc9Rh-C#&#zME#i>~ za={?;A%&S}G%f9c>0$vkff^RiG5m5OUP%44d5frF@VDdcucSLRPphByz^$u}4S&t= zPTz3slBo|=Fb+?HArYe>A<=quMbJKJ8oc(!>dS=s5`5lN8pDkNNURLaU6=E5Ul<hlgVb)Y?=+8A#Z&h7#Trg^3cZQAx2*qAYoq| zCL}EDltcvsSvpJFXhwc?9J(3NKOxq(F}RQC>S~Jeh@kpHDe54nK}gwZh>%+7HToB} z>9P89RL@5zPO5l&)v*;FUgco)wSxA&J03z2NVIZ~S1I6V-o)XRoJM;L@r=kbj#3{C zgu)%IVzVRH*tFw%vT9A9Gk&IOelgBn-GYLDe57eR*oUa9hYz3lK>eKOj@^9ww2HHb z4ZpOZ;qhq|w~wL)a~`PQ`a%OoV*PRb z>%ki$m^_rtOm0I_$IcQU<53`k5ODz@FrD2FG?(15W7#AWqG0i*iyeXmujZ=DS5Y9x zW|_o;J+&Cnjg`zT>(zR}3A6pABn)_> zez7DCHQup*RNpiB1YlLyoA;0Uu<(-J&+XgBqV?B@7W96u5Rp6%0QD|%1Zree#?Z}Dggz|(F+cpBay$!<`^V_(uE{7Dt3j9&Wg;BWig z|32;6T0ifx`j>aE=>M9(ar%kJhMrwC9(%zU4F=yqL{s0N6p+oI}qm zm5IqinHYVkYBA8p8CD1DR7LT+pwp|k0K}siAJi8VaU^Wf@UEtu@e>90bvAmyJ&FyC zzQ}@Nhx#fhXO&@{CMo(`$eHVg4u5N=r@k(KZRY92FWJ*D@Lo@StsQ*t?gnhHkQ+HW zxJyDc1Qbb5y}h@}G+$WzGO+eV*t%h=vPK^n*t%h0Q7)o%(Ex1{^<__eAKWbR)5?Zr zie@h{s_2!Qh$b2$jPl~qSKyh+5NLzDWAxR4+|uyVFPOKv1nO(U^op}jUv!{h_r_bN zz614Dd4K)Z-T0;m(Ic~f6Hls~!P6%YI2e6_!pxu#wnH8a)s)I?LDnHmZ$ueIN0Wzb z)K^&jDbJ*T-H1D{a|O|bxCBXcvP4u-4O6iPr4|K#vG*2`dOqdI@MV`J)Ys1Wf7p2M zW9!x>)Ys@+&beSFG!Bjgb6P-be4V8&7=5AhkSTPv^B zSWDKTZE1&q`l|J;+RZo>exnpS3sv3g>q>4J-UaIGPN=U7CS5-0vUlb;d;#?}3+ij* zV~C2*G84k$Q%HWcM+f^S1x6t_2FR0^vIOKZucATnvu{d}i0T0_xi$obVyCK!`%88K zECb}J{AdgHb?yGb5ASH9zLxa0n-4#a-mN)L$KlG-dJ4f&Q;_xBn zM!&JW_dtCyPL3$>qiXy>k`ZG^W}wMY5=2twnWWjG`TtWPR zi=AXCFF-K6G){OV2NyRK25OmI())IJ7J3WbC8fy|whe`z()^Jez>_`;@KZhoGZnZH z<=`|yHdCXHEIeMjhQ@^E#3yP2$d^=jvP4P_j1%!q#wk#34D>`WHBuhMBU51J3QolQ z=2D8{M@%v5IBPB_D&$q`Ft&LoJ20Z;_-%j-noB1Edc2cx07*r~6+6fMe%^PNUcShE z^xpbu_4R8;yXW6(tY5oo+~k=RC%1<)H8+}Hki;fihUqIR`mxXPIn?JvTSjiObDiTH zdN3;rQU?C_yDewGdO=h3eP5JJ^{w#2@hP1z5vpk=D8hgxFP-of+g7lS;er-+Q_vz z%cU3|3gNpqy!H6hLA$>=cFFH6Zhd^ihT)Y1CvJZI^e%MA`StTAR#t)!8bCl$8BQ^t zNTt0pG9;7)KG5!WgV2I_b(Y6zSeq=Z@HLm*v13d!NXJx0e~=?VJ1zjRs0;-Zv1?eZ zY6!ub5J6`wGV|@nH;nFj>{!>EhTdLs*`Uq$4wzWE^AORYg?r|_v+i}B4>WRlOx1Q8mfj0mb&cn73Ezh`d?VDBtBZ%JuY`d5EIDnjn`Ae*!!>gu> z19={p4r4yf#h$z1#;qm0D_5;JcI?^@8|J_B^3<7UzjOMAMN6^KwQ%??C8uCj8CHcN z8Ym3wdQVcr#}M$(0P1K1((Zx`oLGru)qla(p~I4J|0cg6HK=*l3MUZ7w8#>lX+H3z zth?@*L9&4Bp)4o?-k5FJj`MQ1R4?I%G%EaX)J@mM)$%^*=no5@Teu{ymis<8dM93~ z&Oovn+$u9-I65gzhrQGiscC5yLj}48Y0eCVMHNMx7Iigha+YGa(dgB&R#Dq?xV5E3 zE71oZc0|yIB22OcMi*02u9VkcS?>=MNjRU{(wRGh>pQo{JwtEWvCjvM(eLn~Q8z7G zHFVFyv3-vspfS7aa|>53f-Sn$Phvt3Y=4|ZxETWC5X)9=)J1!=wDwyJXUu0QvyUIF znhrkqrP{1Rn1t4Fyl{uN9yfahdITM}z1>SC-4ChJfElsqsf4Xj+!<2FD4(#!)o7`1 z1=nqbrH#i157@t))z{fyHEx(T^RY>@}`q~=kSNXtZ?#n1l1VpXjv{6j^qBcs#jgUrG_gL6> z>+65orEz2av0LUnckM0HD+X6o-1bGk3qGUyw~kse|Ni>!j6j2v6^3wz)iiMRrUj;F z0+`gInBo-ijA%zMQY4#S(c%DeYxP9}2?ucM8WhJw(12{zN%r9UaEbcCrg+{IvgO|K z*2W4?ea+jv^{w?oFBy12y{EozoqG1bOSvEC2_4L=aa+Kr6dEO-nL-Y<77o8-tQDL&Hr+Atfl0e74_M%ZUow^VQC zx6K-R0gh8&l6}v_udt9uN+3%pbcM#3%DuMkkgYW{I>z8myZQZ&N>T3to*Zzh%P+ybIz5wcLEHqT_;kU=t zS6zu9HD*c)L48qVltLg1u zhLOUj0&TToo>pJ!k<%QjCeTgNob_Pl4$O$X)E8sgrIh|HXs$D!j0rsig^gO9xd!_>xNTA4cnOJ!GRsXzeVv|zE-OY5>t~so8xraZT*?dt0r|1b%(bE#Q2`UKJ&nQrhi(CQSLOxl9X9>9xvw*T3lN)j> zh|;CBNvLep-kWqI7oGUF-BiiigeT%F_(QQPtp5rV%F!DDwTXP3Q{l@WNEw9CQh1WU zFH{8s{U5~-ZQ$8Rh*HWv>3~O66{k*cBJoToImh8@qEPXw@m|b0n=wrl?C;~34oJm1 z20eH~B05vVm`GoxhGzmD>bFvmCn-RNS$%0VMxIaaA~2!rB}mH*Jc6LZc-Dxs3B(=A z_;xYJ623+8(h;05C+xHMz#TSY*WneMS(g-G_U8*Gc#%lx0cKR>dV*-H5#r&x)1v*$2mYe`ckY&lLP9AdMk_U#h;f_?5b>A z{H~9n!oyT6bJ5*(Ec@EACiVgt7;-8Kt6JS9N{*6ipjKZ6|4S01FMWxO#hNEW!05|S zbJo}3ItR;A7=4`yB!Wj@;Z&FuZY6tWi{GN_Pr&Hw87i(@eI^?HxKbQwL&x1Iqw#&E z+^c}6_AE*6sxEEjg4%fjaN5z+ank`;-`i$Ymx9AmZyIbTa#F9wlAIWKQTsSi9(}R; zvT+_(rdRY2S?kFiP!v{AD`76iIA0D%DH^!T8WX1Osj!nTM3M2J^KpDOJt-+1Sd2;8 zOTAbMRR&i)p}4=t=!^NnB2?ms%oPalNqK2yt12!;h0jx8ydoRYD0sTf17%|9)QFy= zH-#7X?fB8^#W8fl1)8Tq{mcaTbf~C93Y`$Lm@i~7p`YVgC>buP<6w>kjPbJ$gETEg zN-smg4}!Sci#{(T2dGud`4k`#Gp@dPC71Ik;3qWiQ>1MbY%86R*(;k4?D$Na>TeaT zeTP692+os4cnm*XNM#tpRbOWs3&1nZ7W}ovElPt~i0YT#s9PD>$6o^9b%_K%h$B!qXx;lX* z9z{tKwHFj0eevWWBt>7^3Xu_`P_}F@a%(^R_K~K-c3Ej|?M%6w$e1B{-Un&}1sR}! zV>ig|ZphA4P#Sx)%`BOUM#foZ>Gwd+<^&^$dFEsZZZB;8?v$?h=!|)}{ zLy?_eS#kvGiEN9eJs6R58u4|~K@iXOrCAE<3wl~PE5{OvH@R_v>9uF}=GKxLpuW(~ z5f7s;w8^1SE^lT-Jk_bU;3T1Ey7e3(6lhEgp*& zOdeWF1D?mdZpZ}Gmu~qq_gs`8RAO2X<*_j`qHdc7T~VDyk~a-z29~wB3||%Mk9N=} zkF4PBK!+(#9(|<*7ga-Dk7#>OH%ZhxC9G;9+>#gtZxv?s1;FU*Y?H5uNCS#dYf#D> zR2OC7gK?2;7ZURS0614!qNO!@W#*ieMK9v7!gfj&j^awjG8E_aV3rsqQMeOG8sU{5 z#1zqLjw<=b5;fD7#fiC^sA@b5P@%W5SOtfq;E*&She?N8#U>L{2p0YkVDUMx8|H`=s7Ar?M;03BmE5_ZEh zpl+C|@-STRLF?BhPThIWlqT~@L(FhAAv%)@&kUbDxgz<^$XcP1CS&zBHi&rL%Io-z z)-bjMS1Izu0RUYsi3F_iEV6G$V5+7NP$8HS)WwV^Z5*gCRXrPAhx%eV3jH{PsE^P$ z;qTbuksKQamHuvy5wQo?)|CFlTQZp^LG^G0OEjuQ%$r$kXL= zD>|XR2$P43Cl8~ji!|fj+&tw%E~f#K3rTD|TudY0^cnO~7qaa0Eg=^}dlllE)Vry0 z*4ucf7lFLAt4|BuD$vQHId4Kv@92SV%3KWfd9{_M z`aubW;yio4r?AU*NRHK;B{j9(hDEYO!Rh@eia)7dr#@L{C| zL25+N2;yKqB0e|*5nM2(OawY1rEvsOKb1C9GOMXTWo$bsFOg1}p}`X_E~i0l!8LJ0 z7d&@3xQCY-K<6xI78{DZ9I<(p#ZfP_{OKVyi6s<`=Y?~dmI$!)Ub(hDu$>om8oMfqv3llBW7i9B)Ayjvn$Y1txF^~5M z!b{wW0veN*M|WTXoFEE~$si}-Q!=V7mp4!kS>dI$MkT7%@tB%@a6^v?kwcQbcMHPs)YI|{OP=S~D5Dr%;`{>$22`2u>6l*Y=Cv7VSd1xMP>5KEAFCQ5VfxW` zg#@_=NKq8Ns5ahi>@uL6>f-xszcwX@;*m66A z-qqX2;7oXM79KoHqi+_R%8uH(RW=qLnjl_gq=wvl=;}VF{O_JSd%W>g(VYX^x{-jh zAma%oI+^)vW|rjkG-k^<|3G-}^!jp7q?xVCPn3V(v|(N+;748>6oR zVHi+fM0XBA0iaJ7g!+mG*Ec--kIDPa|HnTs@B93xH}9F#*3GozgWob-Qe~UhqsU@6 zqxzP!cV-YDeX;tI{Phew?`RfAO6Ssct4;A7=S1-+_avfKn~9=(PqL}#3~AcmUlw0^US+!Ls8TL|vEIls~hAZeNAWH`z2zL)e5%3w;>et>V$olK)Ih}`;yfY&B z{E?5p+W5h+^*{Q@kvE>c(ErM1yB9&w$Y+pt(QZ~>*@jw-nltd07B!kNrv!n~7a1{b zDSgn&T(*pGVmx_hZEKy4<6PqqH|r4EgL6_COAai@Q8Xc>T+uUoFON~{EFs((VdNeK zXKX-(8f*REyDc1Z<442R{Wb6E(|j90zhwWXD?eMF{qC{TKRxi~;ZsSjQLAmrngL4m za_PG{q1-5Bb%uZxZV+iCa|8`RaeBU3hpJod?m8}0@aP9o<+bn#Ke@SgsX@l_uVH^Q zDfR)%gvemz^0qGum)z9%!{K-BfA;F=*vk7aUG>3ZqqaE>B5>u_J9nEpf1nRL59F1&NLdo>la_Y zTm5?Mn`wXV^m_GG7ya$hRh{}TJNaV!pGE(=f7x|+U$QFBgeVl2@JXS}@0TqZP*oIV z-e4(3f&$W`FAx@$&HD}8%M~A6ZUUj0aty-+_dRm34;F(r6qThwUlCq`osE9bSk!p$ zZSUT2*AqSZ&iwJO|MjEkAN73ugWrudTn0O6t*<8g!1J;3q7{G6Kc(vRL~PxJ*y6`U=>y zvC_ESCtKc0(VUkSHm$yPnPs#RvPUc4#U}{i$B|a?tcejfrWOWaA!Ef!l6FJH%f_xK zx9qLMVngp3`;RMs_TBumwrsfk538r%d1uuH6S8i+Zq}7YMniqc@G~g!M+f4oz_T#M z+}6F6Ui}oj$q*LzHYv6PJ@7s?*x>F{w!9b^8VEPLw#Y+!R#sp1M82ZEzk$Tz1*U&A#(=o5Ed~oS(+_394fWtryFM7Idj2<7o9fGcyx_gIo%%d--RQ8v*P|Q zSO0o$*YD;c8KctQJ%mLOMgamIcu8i^N_}x@E6he&7FD(0bloC5O;%Q47NP@I8@?E! zk@})=u+BkEA^|%fiXI3fMsO`PUiHHpj?US1^>0pHRDWb`_fzs}Un%i*J8$LO)vGG9 zE~|edt~x}NB`aD8G)7(-7?*Lr;$oNy07y1K6+XP2`ny=!C5VW4%nFaA0#B6>qd;%f zLI5&Uz~PLOqh7gU$pvd4dHSx;i!XBz)}KEy@a72{FaO#2xp{y4O}`$U4}9rkoB}@- zzDJq>3I#coAy|kX<1~yX2om&|GM9Z)!Ufz{vYNAoz7KG%MDK#Lz!^ci=e~xdGGxtV zzf^Fx#{(=5nJNHw%%Nhegfd$)0}I>{oCNwxU&ZBuKZKnYX+XE;gR{CcETn0~d3nnA zF7;Xfw?bt?z5wZUh%`=pxRP1oP;V&nM$DBkRT)C7CKf_s#Yh6w)Q~!`NG@XCZuAqJ zX!fCC^(9-q zjU_vS%XJX-M)#gbz`;8n;t+dl`Rivsdv4a9J-@1bxqSMVZ`-)9j^jjn4e_Uvad-L; zRSgPk()cjnhlD}=B)F@Oe05M%>^+Vp97iP1k>Hxlb-6&2CB00+8!^}rT&WC1d|Oo< zy>0!y^2m|RQwEBwj!gV(;hm5E@~K5%KlDo0)X#8jIdCe06eU7%PZ7-w4zIbwk~V~} zbg%gPf&mhyK(bl*F;%j~RZ;C{&Qo7Hwj!uM5Mb#Wq7C%K{aT#ZM!@9qGlc~mhaPO> zmHowe5B~Q^e(;4|N2YXsv%2P~3iBrq{p#nRe=%>*j`i!%ngGJx#)rh>D%H?_85#!p zq6K*8@5t469!gHnn4EM*Uz<3l?X?8v2A228ft;7T0-FG(EfS&;bEaSa`i3t?%pCN} zePwT2eKw!+nlI41%WWk)yB0oq-)cGiOUx9byfkBBaRrRHY=tcokV7eG=mR?_Fs9PN z)g5RNDvhr#^LsABZlR5`w8N(tvMc$ryVO{6d zU;cE`DeIn_`SMnc`p&wqipCx;4qSix z=wGs;AB_sra2r^(GRK*8p5sL7YT%O@g!USxnDxn{+{M`c>IU#>t0ho^To+TSFBAr< z7hsCia+CzvV?1OEs>u-Gym4R@H=iN?jH61QipG6zkc@y#XQOkVWvFVFD3{CZnA zqr20fY{lJ!F zId)vD01HsM_iy^ooY(Gu@Uy=kJN@%(3%`(XSZjP}S}&X>$(rlW9j zSPC}*s|z!=`eKe)5>4GwNWeq@gzN=xrR{7eUM2#JU}N8yN5k(PcyZ5Vcb#+aC79@8fOPw2FB2R(w8MVv3ixUfh=LUTRJ1Z4yv^eUAR3R?lC_u46>HUtcG z^qNYheGAl=)DiLa?XaUj1iO`{ti-D2VF~!pet<&M$93*)N6z}U|JcxRHx|nG>mPWv zdW&=Q!;4;UjDrB>6mRvGq?fmwYi=_(AN+c8@2y`3hM#ltknb8# zIhudh;1#c&-)H&xqQ*;tddN(Yv7zX2WL#E^Lk)nj9_Fz&Drtzu(ZRCfmljOYw|3-L^VR$oD>Q)g;CgHva$zD%gE0{f^Se7wgh z;}6&wsV5JSte+*fyic=LxWb;ec0@@my1vSymkcnhkkyy09^M`5i>cy!x2bIEqaV+9 z*Bm_cFAonr^PT>0e*00NN9S?NPI#&G%{@<8%XpY&j0und^<}!Oz9t;AQE(YU9?qNM zTMHn=%_jMHh@7FHW155)Rnqy|a)=|&xU$A^Ewel_VP+BiVVAOM3&vB7Y%v!l79wR?^;Q0-w{_WB2@1Jzr8=o!x^Yi)BMh<+bcyiC4>*tI%4T^=p6q-B` zRcK|7pq;&ILp+s}88|p^s`M3XfkvQ<{TgS<_DWEr6>Umf%0xtx8&G?}3tG^flp2Fp zUul&Y0s(7)^Lmy83~mtrH2x39`&6`sL)k zC~a&jX{?Z)8^bgcNld!RQs$-;gdvy9v``2g>w4tEMITO`TC|J5gKk27!RtI4izP4q zF1iMHDxn-xfu(4{N-EMPNVNLG;Sgh*kw(f^m=$gku<&V8?vDww4^&a(+G3&`(wSWa z=@LijMC3CvT;T3WHMxe7*14xp1r?bY73Y7|g(-_u`1PB9`+;&GZk==A&#i# z!zmESvXo>{CkkSJgSNn6DagJ;!bk-nFM`mIDy}qm1HU8_KzyZ;0JTPgUd$C54IfoW z4tS@7Mj6DeWDIh2TvOs<+S(2S@`FK}A*xpTA~WsPk&&qUJZPPx}j0 zB`86Ikw>*p8V0(zl8O)!hi!0rkqghF&e(t-IyKONHKmNwf&a z+{)b_27~Ji_>}^r7rq7MfjTI-kgB3E;$^R5uxARgsi+kv75JGzF7eC|p2GMK^DB@Z z=sPUI2_EGW_&|ZaS~NK-O~OTp^AI0~vuHhSDwR~{O&(5VqAdJeOL#n2m*R8hp0#7V zz$p)LzssWH(3XNcS@l0)Ff>@lN!kyAWOqgYjWUG7c~e1h>sb=lM@ydaNDXE`)51kP zjn#%fPxTO_qR@bP^i@_}7OC!Es)`B>&2F*1TWWMV#+S%esJcJ7Gf?sypQKpSO!G>= z<`?h<*f!UEy{m!M*WyV=%!K+%i$@OYBz$8jUvFtUfMHVp5%hOA8dfRF%btdX{Wm)q zC^b@4Rp0#Dq&6-a`(wl43%bc<9ep@y{B{Bz{&u~y zu`@Mz*~2(cAa08#jMOO;F@S;7a(QukC9^w>^uSvzbxbp$9EI8wLE&IV6$;o~W$|^* zmZh{Z#CSCmuvm0xrNP|sD9a>0$E_1=VhE`C*$YVATt<}+d(qv952>U83JWErG^3qb z{7>#r+8A8rr03T~OaQ0W>Q{m> zipkTNOdvtsOa)xViV57y%Wvoe9Te6fO&kw~I5#h%HE^y7bGy3->a#h6YH%s9*SFSLIZtqN2TU=BeNx z1h(okyVl!-T-{s2FAac}@OFDO_9>zVPZl(5bDmkS?TlO}iU8&HJt>N>f77t@Wb@K~ zN2r;c{kNg#eSpg9Lg@Ybe@P=mN3oOmb3H*}V-sCAuA9X07DLR~AgC{0osuNvW{s6- zoai0$5muKz?zBcspMl=x%V-qgEF2H8tzC#98O4aMhNE8Um4*OdoKr6LI?B;Td`MwG z8pM{JLNS{3fpesEbPE&N`LP%1LcTcBOl$PTH813oMB~gTHcl#voKUP{59DpL-tBBf z1*)DZp3TTv<-6VI8m3@ZQh549LBpormqWu2uk91NBb!pyv9PhlcoUJQ)B zilV@2q%)hE4C!D58XyD;Rkaq-?WIzLiXW*VUKkuP%4D0Xu+)X8E6J}E^5X63jw6CcO0bzt zO=txMJHSk#CZCiD5J9!T;Khf?z#$GZhMYw`pCo1s81$|uo=0<;DfLwp`1(3IMV6sL zUq@M7w>@wK-*{p*mtG>E%~J@n>T(48+F1L-<2{i1YIc857)A4RvJ z5whsLi^||k0oWBUw)Yk|QiIy_NOmzboQwfUEv^{wbq<&ksslI`BZ;!nKZk`t3czda z?SJ$7ESNkLG<}?5R4zX=B|}=`m2mJ~tBJKQ!x~|m#SI4KLPvX>lv+k_Yqyb|*X~3o zcPUwAb)6!{O5loYqH=X+b_@**>Z^cx2XT1_ovOD=+9GJjjhsWi63!zb*-Fe4mp(zE zkXsB9l0FDTRoUpR^5~`!le3MVqx37mW7j9)l^P0=Tu=1X96(0IfXD##Br4=HITW=e z#rRfZ4XEFAN7%C`H62TFxXFvaG$!8+K9h~2(thlpHnb8npeUbH2GK6Sv=pGolsKYR zhM3G^mNvSc30N)Hn9N>Ou1(L-x81aGw_{aksO&B92k`J}K<4CDkz5>d&7 zq1%uqA|z+FguK^b&os_f3X<@~eg`yp4oskOI9eJ*)wm88tP#Ar*Bfhljc{iGOab$T z!6N0^JWBLN7afqoDCZWW5XlhC9Iyv@QJ{s9!>b7jsjA7I)M8T&hhxy~3WK=;Jc51F z2Grb^fQf7mgdSw3I?vX6fkO%xA`fL`qbe)lQH0?ki`!->fmm7kpG&L!mn>bi&3xf6 z%L*DFUSX~O^|yDdSaimJhso+sFr)y3A-I|oF_B=?_XJz@Aq$g-ksdC#q2Ve$Q>5Oy zp^Dv~+Xcjj^WfAu;KDa;*bV5;V@Lv90F zt3QZc4<$wL&kXz*J67nhiIKz)j98bUo)j>kzU*KcI}Z(vzJ|>ImJGT5JTfPos3`P} zWmlcqqXz9QfF)-NGdWOSqUx(Icp^@!`=C`O;h(~nk^hHuOmyDWf0ORx!5sBbH#A62ARgr zL+T##Ns^D?9Lsww+Xn#0S}Ew&?oh?bi75{qUxhCX99zcV-gw1b`ol-}o^adM%lzw% zONV_oWZa7FlTSPN)0NfVUiRI3Cf)7p)ewx6dWPHX$w?64?iAW1|3P2>b0NTb@zs^7FEuA`tx~e!-nO9 z?}=Vi8CbR9&T!tX%PTnz0NpYDj1=HfBLz2WM=SO1cilQt{Y&o9@pyJrNCxmvo12gTR5C<7U5_d z?)8&Efq(wh>hw_2+>u2iZrs=Jl@I=LPTk+HZ{Nlty~K@EjKMqeqc8Pt z5%O#!Jax~8CYk>LKRfs6#uSJVUzz*HKmiHP8-rqhL^7u=j?CF-+n#hr+9JFr%4QuIWInl@6v5loW zhU#ZX%3Y8{BF1YMfVld)#^!Fd%$JguoN!NlczjRa{K(URrGM`6m9OD%6;D5P;OH$6 zhhM4A|A^;zJvao(8XO3Qd`B)F@;Wbxuymeta5y!wao)^dflrcGrE_eV!~=bZ z-rTOhk`f%xSd30!sLBxib9oj#P=~^*W+I|rV@TG`kKW^SsH=~9{J*zvs@eDX-~VG@ za6i;pk548JSoo{Hc}3QT8#%U#9}k=``m$r|f<+WvaF*Bq<!xu(`^A!jYj##tEWGl_@e^74IpCqar z*nH`l?y48Ok;I@efg;)q#;L&?ONNGiwQl%G7{;%P5#Ywc<{Y$ZTMqh>t5V#Lz^z*5 zL4C1Bp^X&ix&((7BN7%sL9J2!%)Zm_i|*O`&Cmb*v|KT=%kbg7ch9(M=xO%#rQyFU zef|p!*(AR^-s@FSJ_{7UEt;9i4Pc>JAVLcq2{KSwG61|z#iJX72|}H-kQZPvTsS;* zifti;T6Ni727VU3{nBr5S0nGLIIw)d;V<6GziZBV|D5H|N9%hkh*%V=j!&iB2ae(( zG^b`hWduMIr-zeqQL$#1_@#t8#E5ZLST!&jg&(L#-q-@j5<0-rI!M7I^y?)Xhy8KP zgWs*a>-lphb#Zt2uD#%evz|TAxI8*?%VS@R>&-ZI@fX7)@KgACrOuYL0ek3>6y0W? zVvTXKEa=#vQhI}bay0YtEhEH`ya$2#*(z%s!1Qo{&fhLdXS>x9Oadt+MS;^W;ZOum z6$ru140sV$X{IQmMoyazYS5cW1JD>oA+pQ)V)?m1r$O#|dx;U?=Kuwqyf7<0@1!Q` zUI>w01CdSS3fR1(v`JI74pgn3ZCxoh&Ug0;qiwqO-In$~RM7eUnLVEW+o>o1`J%}; z+MT<8^74ca{xovnTkn_Neey5A`4Zb`bpdl^F0$_h(o3Zuz=kfXh6Li2qc483;3SC@ zYzJtkfJMbIvL{zln^s;^d+SS|Jp221ep~uVea)FyFZty+_ncZV=B<)TJ{#Npf+toQ z+*G|eQ9LBd+pFGHnPgHR5kMC;TliQ>2$DeYciK`PTju^BT4Ml>EUIfVahV9Clv%BF z~^Rh{cOpYlKf-)U;O0BlYTq)vWlI<{_*TzKcn8Eg0iEtcdXMm3xvln zq=EqI8G;#c8?c9DtWxOsv~V~U&-O`8|guF`7ug!%%McyYb{Qm`CFWmwEAf>c;s!`=Q{U#NEpW=7(LcRurKTLwmA&bC%3MwSrl4y}z^}oP^*)Ct;M&c|lfbq5Tqp408A0Sh zUy1H@&u}hQ9Gq;>_eXl^pPs)X416FVcSR1ss2y>4~+ZZKL3mX-}UQz z?5@qfq@gIhTNQmQY+@j&1q@r#m)4i#pO3hnEVRroZ4>)F&b%W5lbo$~ETCmz~c zw(ZQkrDLj>*U$Uh|K|HApYoq^PkhIvt6vUU@UcmB!!%}~%?P{$ADmj^$7K!i%AoLT zmgw!>%b8Ai=`|f9l_irhC;)Y9h+*3b#*-Ibx z&(H3C?vrOOzN0sbd5QAnxFQ5Y8BwVimCi7Grw1%et1VeWC6Hkn5tCSq%>{nder}q4 zJ@tjyc%=fCL}e+37gP_{7ZRLzysbkP<(rQcJ^jt+UBN9b`!!JD**)v!<=n?Rc$+eslDbI3|zf~lGEdxN&U;q&kNH-P4AxERil$X9hrE%*< zi&sJM0_-U)FG9#hxUg2jCUq4sPz!jm&@^j%-ZXMX?BO@QUN&n_?2gYC%sH^|`TH)8 z1aqtJ9D4C3`PcTuB?X9xpTp;^j8HBGs*9la;F&&f(L9TuP+WZp<6pjTE}do;(N&n- zN<)1S^qirmuV^gI_v9`g9mS4wl6Yd{gx}o zKDzL!+*jW?VNP}5hhMq$+#7drnX6hDIBVm^)}veZ5cY-(_Q?QDOw+bdU-p7poU*}s z!z%kgwM++(%2|Z=upVmpb<9eIKC{%i+0$(b3j} zGHfzNso&tuE^ybJB4l%$@Cl36mr}t_ zWX`{lOj&sJ#c5xi@B72J7ar~Wv)zsEeqZQ;!0(Tq;|`nr;jTN3-3A+?Xe0)^ zsFbwoKlvgW%XKo#mm+zV-IK1CJI2~8pEb#@%_k|J z6J-8TAT-27)hcD0ileT@!J-kkW+dLnXRjpS@W&U_tWt{(+IhUIe-2$-N}1(LqnB*z zJpil}fgpy4E7&t71QEmb1Uk>mOG6Uf0uM))3O%FbG71!#C%UuPk{ob@1w;nqp@#DD z8b3Pa8b|V^twIr>m7gqwuWd+$ZUbh`P<3)!MeD#JvGQ+&6F9@5P$Yz{b-=|qC4ZaP z1EC*UeZ_q`e(1FzfxH1AR%xw)qK8YeM+TsHM2n%DB_MPZ66)!3L3*EEN<5rEpr_1b z#`X}tyP*W~X{jN=iX+QB>|lT&S*6;V)F|N`=+g2*eK`@}FSSjjJDEun9v8@WD@7#; zL!X?2CM+H_SrQ&?p9=3x`1J%IkUh<2_!Hg8Sp~;~>;SuYMzPJLfDUM5NJRC#1ahaC z9bCVK=a;@&2=yhcxcZXDjP5w3avC=hPd=wYgkhS=>dP|KWK!1WW!Q!^fQn;h)CnYQ zKD`F@RR;A1?xz9=C+4pLhZ@ii$LPzT&!tO+{ZlfzEncWEw|Vq6AKh@MuX4VhMDxCF z^ps9~>uEUjePYTBdK$F5%hp7d|ttzEI+O zeWv>qGMWJ>#N8D_$z##N95z{UpH8Y!wpxeL7mhWh0Ip&^2FEMOUr#BMJukz!<(VEP zRraMSbn1(IXuAf_9tJyE_WT=-xXew0mvLJ4ju0cMTJ;dT9n z+{E}%j!;lvWWQHJS3kRpjjWa|__gX7O&%yy;K z7P4zY7&g^;N{1JlQ$h3FdEmyommFOB z<8h}JAKRV^1P&{Fk-&sprvTuTi74Q%saS@!d{H7W*%K^ACFIc;86ti?ffYw0)94zo zoG(G?Xi$^|9(@re52?H)9gK)mzFbh*TI^~V?vo<)q*11*xfZ2~ z1M15gePQwtOJ2`_TNr)uofRrA3_X_Tt1XS#A9i*Z3>&9I3~n)TGPI9SC>k-`(g*&i zuE1A7^Rd?k*-H&;f0ac8apzB6&w(U!mO|BQ$(A}{a|~(9vuXnTNl?nNA|*&`_F#z6ngX}wT~jy#<+xwHO1-BB6SGnK^0>O zA*D2wDdEV$Ss4TQYX!<9vXx(Zj*!s&2_a0Og9quO@4;&?YDazw2e&LsI>Q95YleTel!AeB)MipcA#^arGE{~Jo_8bXI+L(&5r;Jii+<{N!iM+9fm1$Hcfb z2u?GH84-%6Lj#2mIZ>k+63|&)g}eAl(JFp}x;U2SD9U>rp-=?v)?gZosD`GX4IIyndguN*-#x*jvvf9*mwg;$U(73L9s-^b#wa_Bn;eLp0t zD(KUqZ4PUIQ&^ETTnFW}GA?aDV^cZI0vn3DAp^G1K=(9jRSqKvBjo z9KB-pHQ23LTu!-_vW_XxQ+P@7>N7i1VC@SP`5b6|#y$XC( ziI;Flhk)i^G6bOte6XV=Smyg`fxIYAG-DCe5|?1vlHY1vh4{MY%JBr4wKz?}b6e%i zo>ZV`7{N`Q53dGzcC7MPewT|Kx=mA{P*>9hPa6ni&_xccfmpvlp1>HiQs@=Md8*OK zn0m#A7Mj}2GZcoqmZeHXF`23^5x`hDc5(pO0x?k6G1jLOCG23WuJZ*Pg3cgJyciKd z2|x#HTStb*xeB2&ig_`g<$CIir+-%>Qz0?~s&!gcUm!xVZ%h0ZyakmaCD3J*nLHX;sng)yZV=~IB>@Jhs+dkeb1Q>3kq46F(+_(3hH zdn77z)H=vCOfW6MJzjuJiBxg4pG##o;0g8R7)P#g#Nt!HkF$~61(0nECeU64Dn8=x z0q-%up%1xj0J{Ynymh0TCl4jm7d9&tVf58iS+(DSJE?&8Jz?_Dn6xe1q59uaZT>xA zY?a@*XY&78Wckc;s4w#8qpW!J1=7;M8OFx$|AuLzUi%ua%$fI)xvOp<6w1}e=+^$E zM*Adn2EX{Kbx?rLz(~_@?=S?-=Q&RXylqz~4E2So!lN(T2_bp(6~O2#b^8*)u<~go zV(()xQiM4|dtn~Q;uA$tu2Yr|++w&;Gzj~LRPY9!DTx%xL68~i^1c%iBe_p8kkpM+ zr70i5*yY~~u&2Kc*Cy0J0R5}TD;IGJva+fWv+&!&wjMcnDL~;T&oT>e-ZVygSHnFV zENwL4kIBQ7bp||(l=pH3MXWI0b~2XFdK;uZE+&DFeF@&IX46J9V)y8ZlGkgVZ&M@APMcnr?oZ2DV7< z{bo_Fv|&vR#R7^?pvEf!K4BZSH(@g#hkxvRY-7Bp6$(v9E1&ipkPN_yJ~Oz26xBpg ze(I`)k&n(8m7`{{UmIx2HKvGbK9zB#xI?n4>BJssKy5sZg~XoTG1+HsUURQS!AHfT zrFb}^R8qN)Bt{^WG9ZDxNm0%08kW@z`X$)FU2SGC`f`cu>3Kkhq zvK0zeUl#e11w?KEL6w7Xg@lhnj#VN=wvLtXZs#dTLNzO65a$j|wIrTrI z8BjobwTJzW1A}5r3e#X)5mp0)YqMS^VOoFQUfoatfVhPr`Xv z0sl0h(yYpQ4=0c0hGGm=6f$%IWCzc)ma2k-=-0X6Hjlo*vld)qM+Y#NqGnYgG>^XX zT-BPZeXa%9LQCdCNznqojDS8bbtoSd>vCjmluyRh7XlD{EyicfYhM_B@z#x6oQHL# zro>C$k?H8Quky2KLS=7KQ@=6|mERp7X8(^w3Ny^=YqlTOmoOCwj+c8wu#T{K{^g?% zVW5T4S9G{fkn?3JUlFm=+_?nXY3GNbzWSpKms%t_dNHuCA4%li!NMPzWRS?&u8`@- zl|2nPtO32A!-%mj8%w!ksko_pb=(dN>^!WC)w2469w}Vt*o_-+AzJsO>EsKjukx4= z8#ILq_DARU47@PT<_@rV-T`4?^o8Q;S&7}f(~3z}`5b%4Ram}yKL_e-D0Dqyis3+Z zzR!XB!gqnUL!1m8DB#a!E1|x`7JTV~?7jTTu~b6koopv|MI@L;TaXddGJ}>ueZ99s zn$Y=CdM~>!B(ty$5%pj;*1hVw*7*aKHNxfz$uw|+igJO`m+7-nja?U4aL~s!5ce#K z7Gff%#E_ahdM4nZ9Kz@eA#3o{qc1!G0zU)MR4;aM34$3W+%{%_*pVDiw=^Is1QxEO zAd`xp>5f+JlR6+4S0MULDqXo@1(_s`08eh9K+bMS0tI40RAa9}9H2GXW}bg`J}5F_xoo*07w2{poPXDogv=xG8rM@<^D^i37B^S4R>a9X}mM za$pGLpf<8q%qjv|aM}{Df)&%Tz=vQwAZ=L{l&}MGMME7=iCR)xf~?gFUNK8mnF7=X zb$D{az`Gdgrm=}^AeA&sGyuFsay^hN7eR{jO13GNh|v*%5(GsBMqj2*W@6AY2g6iL zk>c^A&JChyP~|`Gm^Gs z#okinMENk8BP-^_anlngfe=DGQj|n7X*o^}H8ixxu1V7rxP}@US|D>|B`6{76RF{u zkJs*m&}&klhMV@K(0A|22fe0s`%G`z7xJU;sg(BlaryClDfGI$K;D1NK1Y%r>m1!B zoxSH{&6>5=e5{$-vuC4N3gaen98J7<7e(O0cxYU0sp&_jtC6Ukm!HO!2NP!KMDOwx ziN?_3sRXeQ^%fzhan#UI_$76PgRL8CzCzqM&*O4g*z9WZo@A0D>k9qMzQ)bru{;R} zlbblyjhwGx$Z@@-%-q#{rT!wy4)fKI(5-H`GueLm*2IE2CbA_z#9;LWJ7$H=qs@H~ ze-f?Nd-bfPnWEHM=8JQ@HfH{j@kPpp*_T)I72@pcSWi-UYP-nXuEhF9qz;aOUl+gd z1Z&7Q>*8UMBz(ND&f=kJ(A6+sq4xvR;xS)Rxh-)MtI$82$Lx#ol(IEU*Kp|d!eNF99n_-Uf?c+FXl@@qg^-6{Ij5#(xKM~D z?f~~cAwyRx=2!m^&Uc(EQ;Z0bPi!c8@?r6CuKYG^2pi`)F^bLjLTFbQ|C5kyy2ce7 z=P%w_u1|dJVM#XC5b_I27Jl^{zBSA2&?%umr4U&>%<5xwk-w={4G8@FhiFFE4Gzii zayqN^N7w2trbZ*X!-)&CiOHHZBMUP!=r3WB=yT@{CH_^K+=V?!dEm$`$a1GrTIF^S zsZ`w6VK@6aPcRPT>!_Em+5!U7)lk@!N;hm!`L3R z-ytaKG-=Hh3Y3+v&NOJqhQ21DyVZ)|j-JOeA{>F=xB1a85sJ3O23ZX_Ur{ueR6f(W zL?+cgM=3-;)q@xXc*;@nX2NO9f<)`U&3VllPqI#Y?6h0SI^XCxGp7F*ti&-R4!8_@ zNdsa9RZx3(q{l67v!Lz{kZMA?Yb%$4#iEg_u5W`q?==RP7W#w;AsFY)V9U33o5ZPF z2&$W?S&xGfh{SQO2p)`}Sn;8tqN%6iBdw@dz6vXNQ&cuX6^#QKP&$B5JejAIIP>rz zfYOZoDIHayH(vXE8_D8S!cOYkJ>}8@Pb{idttP0&6;qpBsp0MnM;HVu+dQ;UD(WmW zQ!Em}pGE{OHVCMI(@Q6aG~vD{OXMg?Bde&5YQc}*g7Ro3y&|(HdS3~Wgl1l=`#JbF#?(_2F0Lmmq^oTQq@%~ znu5Q&a>>}8uEhBTRZu%yugWZ5nGG0*B~W%2^{p{F+-UOa0!1@yDi#_$9o*^ORr}6p z+K4GZ6Ke)e9m?#>AZVyIF<(gXG6XHTRl|tp_OcYpfuAk7DeR;UVt^3ht@CB%^k}DE zO-|Vbbt}9qOMU6zn#H04#q$gNqCVO5yI20O3bj%IpGvAmb4MK;3ndAxu^&xsguC**?1n8V;bR%^RZN>Byed1 zTTx5SGEFM`B51r7;}+$Q0kO1JEgU0h+mN6s*CLwI3fXXRR=0-QyWmOVC#V2fru=q= zl*u2%48^ri>m00tYZJ*?m5PPo<2T&fbeuXAnfD_Wp(Z0GG5IJ}>8k{W^I`5P8Dk+^ z6|)MsQZa3&{8Bt7WvO1?dYZiCIW58|@{NwZ3Qd?3*V&=sh-4^?${T*4Z- z8JRzSc)G^RJm#ua%AiVTycQu$(_{_}IcYuw_s_Iy4N?A1lIWN2;+}veT_@`>kGYe| zQCDcnA3tUoTc%Ah)e3O$GHl%Xlvojgl2rtZJ>HEYVo9dG+ZjNHNS~vx94vZ^P886K71^Q@yyTF8`z~$jv~YFc?wPEmH!B|i$yuo|MAz~As@ixHQX+*`bqrq@sEAbNxcS>G)=dD7}fXd-AP^M|u+G)mKH+uEr(GIV24%wiS8x5dL zeRQqjl9DFCeN+k?iz5v4Xo@8$uP4xr2Pc*BBAlyRbaik9)V~vkLmec;%8whBo;u`0 zt`f8h#e<^Y^b#AK#}W7`WSo*5Nbadk%1#8YSErZGpj)n$MDX0M=EvqNW;dSJK+qf- z6309@Y8!W(qv%}eq6L!DO~j=3!U_Xu4pIpCk@F7doE(Y+Fn(m zUBSAy9+1O|iup?GPbB?2KD2&RM*ngmg!?eBSJQ;YPZF;vCpPIU8qlx5&VNWJ*G|2e zd&Xiui9LFx!K|hv+b1vb*XlzRRNoyy^x>noNIHA1$Y-9Nknrh&vaG#p&14`J)5!oMwIJA+dk__a7B`W`K8WzsD1k=Qm32y_aRegDr{g>psWQ zukI_9-=Yy6yHQg`u(F{%&ikfiwzNq}50uTCJu}7kVcR$z?iFm3Wh^>n?)sh+`SoPx zyyw@;r{xz2_36NaWl5T92}2)CN&q8;u5d_xJ$|DwNM6eI^;{Wwl2Mso?}B`jUf@X^ zEJVCnK%Dtoao)p^Tt-wrZPRVQ8~Y6I4&>rVPJK1yl@}zG-FbDIpwCW_X-a)S6Ga(H zDoyBJoQA9lVshH${KcRfIDvYurLC;0X$ziPN*b>)dd(A8Bz#f3SiRCu{hJ=&eJJ`-RwesE30@I-L& znn2x0m&uy(t`}XHV_{=B=y(tXI3*eQEUa$}x`?`hL-9zYy{(`69P3}xib%1mI8=)% zAN8t%tAs2LVO$+r^zd2)X`POPds8zz{b`Dml2b{`*n#EX$U7KE+MtO%KOmd$&P>Fp zS{4r{NZ~YDETY(o>YA6&>VyU4D?oMD8IoezPAm3flrvexfkX%d=t!^NO0Vr2r!g@! zURup7&c3e1eChkJl7v1}=eU(rPOh#NVytdtu6+|r3-5X`v&p$3@f*_Fo^_1FFc_FG z?3y6f^-h@4$?CSbWVaQHXfXTY@kuJ-jnMH^bg~f>n5g7=)(Aq1nIKs_gb z!2B_9lG)dIn7Bmzu4h~IWkrHSckqf5bIRW4w{i^$K6NnaV!JkQ*lLr_We%Xmw}k#(d`PYG1^7m|*rrLuG(EqhXnB&w}7z19}4+#`Wm+1u!U;^rDW1)k*K zbOdtOnN^PYB9qz2DpWR>R#;RM8@x`J;yx8FUiek75jkcgY`_erD4E%2q`|S0J8$UVGM(BJ$@}qMSxp8nn4zqap)DMv( zS?^`^_&me!Fo^jWP5%)J_6Bwvw>t061c}X=(FOSaz2CkRwfwhUv-8mrKKA}?=2~nn zPkvBFfhVbtDwyu?F+c;z`jR;@0iw z)(1Iwb-(1_AghT%-@EG`Q=XC}>`H>0eN7W#S)5h#wJNyh@R0D)_bk@~`tpB9xTjvh zj?B6V^(WPQomlH4$3wxs5Y3lVUrjiN>BZPi zmwh_!y9$*}WY&Z?Feuz{QElWq=Nj>MIP$auTTJ3TBMmiQykyX|gKa+x>=Ai{l4uUn zV0Rb}u^z^Ujq3R>#ngJ@R7|?&wui{#Xh`I-P}T;&1ZX)<`UulthCNGh9b6cAjfDw) z?i6P!lb*+-VUp0Ynay>eTE%=RQ;Nwa)0bx(gU+~s=jluxqu+2Pajy{8M*wBf5ou~T z>iiTEN1!?uVRq4lUY+Boy2LG89Rn$H4~rO`96K~WQrDR?M&7+PjbW({rHw)u52GrS zamM3b1%Nf`m~;(c6c;NEtX0irh50IU1f{VlOk*2ml02%52BO=Eqp8m#}=$O#L%tu9?iC-bc!*?MIV~A0e%42_O5()b>ad_V~Q^W^A^g0MYkyr z^5pfJI|%&rvB0WeP6a3+aHEb#0=fonQDX$f7)4oOE~vbyl{L$t6)qi#sT~NIuejqG z^F>|i3vX|H1%yNWHV$P#SP_Dhu_FxL!vBj{?@46nTbE0vw!ujlkon5AcR99*ddaNk ze`XJYLM>i9Tu>6b<0PTWkjdjiW~6>$W}B}=%ikM#RBGE&Zyxt_FFavs-XIr;|14O_ ziaghR9TFX8tOlm4rft6T*_UVCijl(R%v_2ELAox0`kYQbzslJA@bfmAJi`9B+eb~f z@RV4W1l`ju{Zm5{X8%L1Eb>!bD2fL`cOnn6&pH1bTiTketBv-pZ@4QDC-VH}o6yv2 zGroGXF_Ybc#@(^oj(cH5@GsQW?9m~(4lxh+48rMIt4G-kqKk){F*P-vRJCeq#5(IG zWT{ickg=(2%U4p9A20LX&=#3J_Hhz8Ie1KxVS6{#^8WR!pr@Gxewwk~<)J6U)_=(2 z?0;FysUCMZ9uD@2zws4Pz4~7yG|afTgUn8x+{n!M+6tR|TuevO0ogEpG&$ju5zot8 zx_Ia?H9c4*ft}7!GjcOeMuYrSKYjZkwBC`7%j2bka&nL+PMdphy{kcNQ1*Q^(KVOu zP+N|&uz8j>pT=<$)*#a*LEn>0Gyg_v(pH_Goip?La1I?m^SqIaHAXa2Zlw?4knfT< zr)lpBKGxFcTxn zb`S{pl7@M2BEJc(4`)DN-c9 zjPVw|Vt1m8l0#A-zp0bXCFVKCg64#>Z$}D${{S$-kA0B5B$XGaOeh^;VBd7#*2VUq=Y(N5EW! zgxMFK;58e&S8P*)%UjW~qTu4S!)2~rrU4={$*)@GVk*?SGUHA*N=QP0R>d&KMJIXn zcz4W$qJvA?-nyaBzQClrF=IoCe6w_urtS;_2U5FmsU(%P{^OJHNgBKeNOpHP-lnil zMys`)ayK7SB9BKcd3AOM3`Il;(`f$#FrC9k?RB;;KSDLn_84*OEf^TV(`4uL+3Unb0_c2&`Y zZs-0NmN32|Qm>Uq(;FW$>3267Ua+W#V_x$}vfjy0SbF|dKnUT&Sj7}~_NRK{ff@3T zYklwMZ#U!q*e%q}^W=isG1(JbFU$Wfue!7(rSf%jp5IaBkv!qHp}!_4rUR!Dv5#u?UAB{sqDHDtBa#+GMTZtbQDetGEG z-Cn7VO(goE zhg|#&0huR|6d|Fvb2{`avQ}hG;nbl70Ow%EMf4(|!`0O$bv3FWGVL(v<%mshktJf< zir1m|YQYzLIPg7GGEBURIyD)LkGw)uTL?o!4QC7k-@(^4ui3~84vl8BGUlrhFkd!` zs~86~js%?{8;|Bo6OdKVDd0TrX@03~_&FVBjFUHuew_)O78{~Z)m@K#)|Gz)DHkL3 zqBf(jJEr_SgdEkeOj(uI zRM7wiB{~@Li8TARo$QMr>bsp_Unqo)s@XE}_`K5>e>`)+S$C(<9A` zy@ZEtx`kYFzNhK$6=+^Q71?#eCmZWdmEtEA)}8Eo|H6TFA3WLjBJ~zSwC>b_OWxnk ztGQVolIO}{Wb-iFuXhF;zU>ZG_MM+iM)Ot0IyYaz%S!iXf{Nt+YN_A%g?K1oByr~K z3-wbaHytx+dhcf*Vv#*1n zFVqgDpR8+tQ31!g@RB+CQc9@tF zk=syDGI#LFw13Z`@~qkTpmE>bRPtqU^T&c@dpA+DFf?%tP6K$U)c&FZj=qI^<;9m; zx!^c;32rUfP-Z>z*ggGW@89&xV2RTx?sy-IhhJn&$m~n1U!qc&aVp~tG~u+A$mciH zke8ZHDjOQizK{*`rL!+@YsD^D$Naq;R41P*-52BsCLOD<1^KOsGng+~;O%QTun(GP z!}kNNq&2%pYw5cl_k#Hna<(D7^wQ!})E}HKyd7*52)*2ELZwq4(+MuF zh>*~_kIt)uUU~WVFSd#BMQ05wp!w2E%)46?D63pJN^ZwEET%@hyO!qj5svH8E=W6( zUhfb*&}CrMDrp^L?K~6$GG!!m4m?4z8Wy|wqTb-`09i~`66>G>rJ_HcRgP{F9sw`2 z{YDk6q)&%4zf`?cc>Pw*X!IM*$h`6TEsWIBAE}Tkey%13QJ@OgPJDzq_} zuXt2dEG!Tsp58h^8nEAiVGKwiW2DG1IYB)UDvvL?pgVFd-;%)x^;m=A=9ljWB2= z_cUiH2TiV1I$cXixDf*EPKZnF!Wri4l9!n;MUId&&q{Gs0^^A)1u>nS~!Zg5>(|*9#-U+dl9;1`9vuz@ebnD z%){1fAx&PQoGPp{=U+BXzSYkMHZfl>n%Hynwar)QCncqSYPtFH8X|An2o0)pZ6_u6 zodf!At?_0vA|9I{`YyV7N7{qR>2rwx;{#1x5tf@To_+Ock$W{?^k!|G4)>~RU)l2$4b0LciRq|G`AyLuO8p_BNDZM#Y0zO@zAh%SkuKrGyEEt z>^iRF@UGyq(GC4(<`Zuq!>d!X=TFO4E`6{ud-Q_%ZS04SNqunkF6;D2bE!SM-Gv16 zMQU(BN z{7sUCYeOc_e>hDR^yIE9LDm{l`+cgKLcu$dw*2a#FPo2XtEh$!JxZP5I7B^nLkmc= zGOj?~@8Oo=<~J8anz|36i}|7*v?0gg_?5rK^5&$MX23I1AC*HkDeOn;3XJGWCt*Il z7@P=juZtcb%4o&mAIF`yg)XpGxQ?rV1gz)c$t@Y;uJW{DenQDBA7FZw@N`%zymsAO z9`B?{B3N=^-eke@>{3PbVw^7I8E=aRYf5)Gkdp0ZZJ5L1)icJgq7LPCAhN2y4uA!T z-MmwSuBo)-bAG~zN1?dt&5$+xsdT5o%gk3l(G{;rlVUcqSep`JlzqGj7b&szQ}YWv~=-q$t}URJT<+a&Pzf_LCtG~b#&U?yMm>pd{L8C z05N!qy5jUkNTjT!sa=Yi=&W+lEaN`Ota8yT<6ftw?^U81eko}*6@Myk;15ccm|QQ) ztfB^fplBl_NMi^O+1RwqW;=AS-ximiNNS4M1-v9)Uw#STIXwdoA& zwjk?$0=x8*3oq$RGJ?999=~)6cnUaPS~6d02&N|V>+cXlJGw=)=+t%9P8&~HbX$8ZNt6O7E$PphU< zjV-`&Ye~fofiPdKC~gS6)K>NRh43K3a~`AgI_TR?gcuevR8fwCI)Fb7TnbT;w4ISR zwL!6A4{^FG6_2fdfk;|Jz}pRcRrm8SK1!1zzH3`w8>~E+IN6@qS;8A@#j%|(q^ewd zyvVeXCQhVCw*ru&1xhSN1gaGT<=qp zr~BmQ7uOI(K2BjBrLg0$KG}x(Jeh|^wa*4c?gGA9DKT&TqWPlxp>cBQ7sTH2PM6fj z`Hm{8-8(8%mww!YE35ZqA>4&&v>hCDPWIK-rScU^%X*rsb4UxYfG~J&S@s@E4G&e)I(rg&=0519?n|xi ztc`cpdo!uu?OEG;bH7yok%zGp_c=GXxi>rVD-;dwduwTGY)7Cnj!uaQ7+&;(C| zaE4s>iZp%BMCsGl)53q;BL^R*@=g6#uY5`R) z_B$#WOE^WHAdmeBn{ULip&@CLQkAa1EFG7V4fcgF z5=?3nbzT=|`Ex^0$|-GuwaU~w{$;EjNAn@^L6*V1ia@ndpbG(N{IZ~~F7R2@;|zTg zKTVs`4zeUbgnld@40>2RQR0Jx6pn7@3oL*!d+Ku>OzY!gjUo8E6@MHwB#8WBJlCQ; z#KQ$Ft;(n`E@g%2;sQR9d%FCZgJ4&nt+wgPtYRaP9+!rsWQfO60W>0u#DXMDkK1*x zq~>dz{Dis}0Xv5If+v2Cp1q;sk<_T^^1WWCSy_4?Jw)UaH0^oI1=EoYUv$MJqSEr> zg-pd46XLLx*3eu%#ApaZcNTqIv8M)QJg8R}6x*eRXqTw@YL^zV2(0Z}ndXU! zlHwQUyso$~*s%ATIP#r79r%M&ulo~2Atgi^TqNI z&142+#lGX4`c5N*MzcoxL40w z*+Ucml;!lW?MPZfj4#po=rCIr_|<=UjAmwoj8VSwG%I+$rTf&`2CbA%JvholIKSdl zQL&c5hl1Te^^cv!6vAnb!{Bt#$4)vgNc&jxo6B=uB6FInjiTL<&+2qQ`8Wu{1Xtmc z(rnZ4y1Q1Jlu+A)-nF~R23)b!68!{72skY=#WBtdB0|!tx$gq>1*B?$i@IQgVcH*5 z7H1}vMKo_V>s{yUOW1hM3Z66t*0|Dhie%YJ0Y?(}Wgf+O!BZwwz3`GWqdQ*M z49PRIEPNNfcp~lc3qKfj*_yWixbAV5HG5TU=dW zQB`x=-SIAJNXF}=Sr6I3tkL+G0rSOTQ6_eOn!_R}L$DF_Sx)cl;_OR98AisHD|L4Q zPig4cKaLz#D8IPg)!=uc#G1f1;t*Aum%@F{{^TL*kmyMy6%-yK6XZYf5zX<(y?Z zq0YXZZ(_cF50lk`Fo;gbU(MI7?g+Q(Jwcd#`B;zIXlJ{#%f$TheoAEfdHo@KLB>P* zem6C8-K-q>B($i_TMzKOTFqDGSBnZ0&PHc>SYRsPHQ?quSP*=%><`Vna%S-0RjC|# z;g&R9zO5z~yf+)Dhf*@E=IbpVN+q0w2==$E)DtXK8}>6Y%{NY~`N}X~A@`pQ5}19h zqTbNPpP7Yc)z^4eM>}!t zikzt%@uBEb9N{vd7r?if&*;9wtwo@AzxV<^tMRzio>8@eQtSercv1z$=aKCU+QN+o z2+T;FIt)Ci9rEe{qYHRsXrTlejCyn{6iYI_qAS&zq0YrK-x8gWi}8w%%#rU_O1&{X zV)kWg+FdPn(i7?dyE6o$6HeACu<`_rq>t*dI-NgZO3`z#3!4?>BT)f(y8^0GphX$a zJwOHuecGMiTv)F~lmvDAm^IoARrpBZx2Mgs=cSP(iF{NK>xvo5AOXMbP#w~A0ddA6 zFP7q}s9lFl1GyOSBzu$$ejQ8aEsiy4C4j+*WppC}BZeGv0S(KbDzkyipv5i~a-v!! zj5|C6hMw+||aJ>KVaF8eUk;!^$!*|v) zEbK#u&EA``o~e=Z?LC!KUlWU3X~pe)xI>~?*a_}bt%>UyHv)G z_oZ?vTgs+)KOlFFNA@k|Bg?Z}0^5DhoegigO`C3a2#PZJgf!kg*PqH)w^+IBeG=*u zr5)vv@OjV71VW?xmzm!$8&5O~<_3L%*K zep+wudM5tg8?)iQTO=F2x;MLqW99T2>DB}8`0c@4bM)SE@oP4s@Kt~Z$N${L61M)4 zm4k2Ngrmofh<|jKNgw^}wyNAU$!OzPX+WO7o^`5OL~vZj7jmgHiD>0ek^NJZdcG0d z4GlIasyP1#N5Oor?=xLF2z_ZT&x}cVUtey`Ch(uV&*|c!^{;)C(B@JV6u z`DWLZri>LREFOaVIKP{E%-G>8hO*@SH}bv)2E#$G=V&6bZ@{y;mWIXLC2sST^xV@l zr%_N)aMV#h>9up!(2n4;pyrDaZ_PRhimPd=^i}B3j@OH^rjN59FeIs;*%^bl5{z0n zN#DlvQ)RvE!9y52R%vP<{;<|*qq8rkU0p?j_ZT&P1rQwqPN>BEH8jxNPTxMIp)Uu+ zx;~*M#<&Kz%rdmef|}EDGeLmE5_&S%CCgxSg>!Y_U^f-7ok0OXn%U6Rv=Y3&!o@i* zkSlXfj2iNQgI@t@aCI-%BuUPMiOeSnhXLqzgJwjS&{>z?SZF6&i5YtIbkytPDJX-a zY>c64n@4X0TGPoj5Ik=>o@F zk0?#83nHNiTQsrkhmu*syjC`S5o{4KU;4c<;n|m_XEpqgZ<>0qU&L6^u{D^nXvwcK z#vW@Ve(u8v^w!Ms#;G>%n^-*bX7^gFTfv`}d-_(Sv=pH%gua<}fKLzqY!GvgSs0m1 zCKCVF?1(*?`XL@ZhzlGz+Sn3MLEI8zc>Qvq*iRlxTXL{ajNm(>H#1Ou~=P@9jnr2K%MhPZxrBpqtAESr&VL z7C4~K+6&+8X7zZxhnsyy);^J#dZ0!in7LrGN2V{B(Ym9mVeyc62lwtm`e!KIubso} z|L4tC-O{FI-5Gj$N9pdmSU#INSpCwR4<9=}vB$1OjXe8uRekowbR(FM?7AnNeCkAB zqE)6dV39aWA}#2jf9i$~kRCZsT_ZiQ_{1RfumzhNQGRdRBmNeQ3_phr;P6NUMhAh$<bg4_QqPDXS^AEE84z z3W1;JR}7SbmDX458BPi2YcT8~iVnLe=bI5bcYe_J*d3kE~Y;KJVCT~NI z?Zb0_;=>)<>g+*n%ikV@oLee{6^ZW>jGewgay=+Fony0bH;#AHL=L0p`P}8n$q)~I zIUF`K-hGY^93V(SIy2hZnS+11`I4FQGkM*QWuRG9W{^9<(EL|*_BDd}O7HyqP-Fb5 zi^|~>?-D-2jVM`9z!J5gxJm_Tb-yZOOV~KZd zs#b2P(y z)bDmdp1zpv?JvmD#>2g}h;`~Fe zjhMU*rmnNENBo;5PDG_hx=vpiIFe~hNYGGPI{V_OdA$aY@y_EINHa??-qd9mn#37V6av?mNKN&J{Q zxt$3xquaNGhI>Tr1_R@53{P*R>8u7!@S{zsfz@XqvEPVaqCHENihmj;4sT+Vl@{n@ z@v8bm>qkxr^^O$=I=V2eXpEtpsi>OLaCqj?i9B=FWpkvtqql5%m%EHDdo7hRsKZ6>I< z1*2?M_MYk1o+QtLjtEtrL5(lcw(!n&^ES@C8!^9FM10}9s0WTkv9hL7HWac|PbgJI zQBh6p3WP`Z$f!eyptp_uvP0@}IwUv^7KMtTAptF(4qhO&IdQ7wj^Jf_hj=%ONPnv~ zOX=3A6U{2z;6~S4hKIC!FI4Oa?F_!L6kqTeq^?1>uo4#9sX`3OmQC7UCAImUbcZGlLDf69iBPX^uGJl6mvGY)#aAGiD)q&(8($9HE zPhhCz-M~MK`LZ|1Lt}OInNQP(sqaV*Zz%Nc~tkzP#K8=V|ED!0uavr^u>Ns{^zHvJhA zr^mg#evLl+@{v>+q{i+&G^FN>@%BLK9{Qj%Q2L}4yMsK4`AV}du{`sU9-BP- zIy-XYld4M0SBc8=kM#Vz-kT*&KitZ*13`__sbAZCN#n#DME>Bn(xLh{dj{lkHflfg zjhm$Y4?oQSr0QJtC(Z`a$j)z1N}Y3@dWuTQ;-MW|lOvn%JWQVCYv$RzCUV6rMrG<+ z8Pc9-hS5T=nEVPiF8ePHl5P(A>?`9b&DE7V`PQO7*b`lEgWyv2Uy)|emvKeSSD+kH z8R{QrfFzdLS4~36iC-^y&j4s*Tq;*^SIG4;rI!K{^3&W7^CLL+$XWpsxvzz>@~!MG zSx*-T9;ZwOE@qd8q?tdua?66^NT|u0%0k#UD>sLzZUn?|%!058ad|Q@$w>l;(g0{ z6^M==SC;?^Ux8zCRk5bl&?7KoV?<;ux>me+Dwx;UR?#-qEtL$pG&L&#M`H*Vm2>HB zvv%lN?omHgdJZ6#apYzmU+OeWK`R2mj$6$ts#5oe;Bgm#`Lc~YxOKTqBWC7qc~-)Q zP(Xpu>FUQZUx5=~pwBqatu+Is+Xp5`rxR3^coq+NNfkB9;W3l#q$g-}qSlV1%K)eb zCmSin(3p*5r+kLe|MhC#Tz&c4Qt*57NMmfv0LMn^v=bM1j6dUnKi zrKy>tR9bqLKgJ^6*SEpavo}feXrGn;yn#;Wl-EySNb-;i;-0_HCg?5bn)&48CD+a% z3{qoTi-$qJ_{q$C4)diJ1jMeuvoC8)Yu+k`jq`T?V@yhS-YZrY4>!`zF<(5+qq$A* zHm-Sa(oJ@y2tu5F{ldL8mQys#yMNw_&c5>F{WJ30TY}o?s=vR-_<^dEYiDF;27&EJ zF4eq>gbLa!_NU1}{tVS-0|lQCQ*|+4<|GV7l+Ksoo@dzVeEbzv8@|+l_SeqTD70xmBBNJPwK=+wy=+yD4r_ga9G%OkxbpH>ZGYctR<@I5Mcro^wGKo?nxn| zcE>>&h^-EO5n64C?32(#U=gSTPAAOKoUTT!$d)I4++v{}#(qM!+g5 zf~%;p1|?{Mgs5fgID#|ah}7yD3cW2}7V zofq$O)LYJVV5M1+f>iMH2Do#1Z3 zL}RTar_*f8g7O!u6tB7>`daeZ$AU&Eg-hDm_DZj-b|b&4_?AgLJ8pXR;BKKS(DcjG zh2@@Axt&`TS_?^4IHhjN=1gGgvVzl#j^w;*do|EhBx;|Jy4NgX;&^Xef<7@ySb)I) za4Rg{Vt=w2p!s?A>B@@N&Wlens=o_lyrCJZhmO{qBCGLGYC%1&ki_$3DheKy+in2O zc9m-}WeC_g7d`MtH{~22L-75?)c8dn7KP9N&3tmMVzNm~crs3alA&S3n_jwr7KGE{ zN=VIw#~v!GQQ(xgLMj4_((KpHYnISezyKy!2}IZ#9QR(;`Z&$mYhW5zrPM|5UqDUk zOykOyk6S_jtJ!pfT5}w~D4X890>g)!Jcs4ZlF)%&=9lUv%EFS%g9$H0+RYkkR@KF7 z+K{*$#?25&rma=KQqD#%VYoSx9%g2xeO zp)3P;T`^so_@NnNq20$byl1N9NGWMK@!noVm%NHLt^U2dQstD0u1XC`V8r`M*`)8o zu0}5TIkYi)A~Zu-=j7m3zRD215k6u)+4%Y0)QtPjcbOk5N$=Kk3l22bj__&yG~ONx z0W5#)a;o1+*bHbG3d2Or+k!qn7{Y!eYLLU$)*h%jaRo zEDu$jpwVnU$E-PhsInS3`B~#+aKAB4ztPHhuL!TH{wvdr-=ncVqjr_;Z5E% zAqJYLt}6t&Y?D-`f7>K_%C~IaIh0iwCO8O{Er1`Zd$+e~((HowvS7%b0Jd1fqpo;f zK9+|E7HdS09H;4r-yygCc1p9ghVx@>Q>|=w_%F%exn`vHTb?)8Xg%4h>1sAjiTUdw zZ(%B#Q!RRWlIF}zBK7sqTul{la%?)y>m2Vdhk8wR{Cuf5u49;2HS@-2a;td{xt$PG zjcvuxMlN-yNWxeB##@?;HACkPM`E;UxhVgjAJFU$+QA#C8_018lK7Z{5rgPU^^SYs zxX<8;#=}#Q?t&RAaKQ#;UNY1%QhL417;eXY5xGn0wfc{Cd-3x@3k5U<=k-c%Mg{VS z#l2YMa~iV>aAcWkwn`J(;$79JeDE-y=LP&SdR;GNjqe8N)8z${7DEMokcU~h9Ap*4 zp{mI25oPp@sgDh9!Nx^`Ga362NW2+5PfFw@STCKyA7@xfJub}G9!wW?%_UwDf16{j_BW{zxU1>E6!FY0@NC#+3ga|qX3Ufd{)cYICP%qHeK7OtP`ODl5XqDIE+wuSJW ziTbs5H9~56>gRiJDpNA>M8DYl^2(&V3909Oa*NmQ!k?_U7w07HdK_2-hlgxb-oiH)Md^Hcc zo26OLleDzT)z+dtSvEav$IWS;rfxJi{?tmH#_4&1aE~<)AbR6U~RO zw=AlVY`4%Hdgioz3)p%a>j=9Mp7&w>vsd)XR?Ju26VS$3@$Q0r=Cft5AF^%wuaxY- zcW&@f`x8l;Z>OsJz-z;yF*!XW)+uA3-Bv-^t}q}w&hvI|ZN>-XX&7%}&-+DxN8N1p zNp|c>YsOAOM9=U(@qa-*rF+zxJN$LAL5h7GWHFEVn(Pg~lO<+9OGUc>W3p|&V)=Gr zBT)QuXbgZsuczg}_mybh)a*%DG^1(lB`_!!;$b4Aznv$b8w83v8XmospgHH@&a1Cg z+5wp&_?U0u=E`dG)S}1ZN)i}S;i}9AXl^wR?c6TntmJ^MLZCd_$nfFmhoG6x#YlP+ zP6%LulQHTTnB74cakB+pv8LgSvyA&3a*d)LCQ*umkxj&e9R;SL9|xP<3UERiP6pSW z-#86}hYLH`Id1+FqkiCn=cK4oi$9Be^D0%xb>k#m-(WYZeuC?U>(+mkL zj4%p*YDHShBaU4wuD&Z{8wqvEUjwh3sXR4fy?op+c>J|uq zDj}h+E|A<9MyF>idbo@e#q2BUz%j6Vn2DICZ5f9)+F9?c{S(fw#$vhjQt51p3G){! zTfy>m^f<#cQ5r1E#1vJj8{{Aqdvcn%s?=Yado}UJ$nRj-ZM-gSvPOf#T+%EaZ)_yX z9{Q(PoTNh)!|b!0r)4yoF@Hxz)`&tS>RJiBXZ|5+K%ChYP8p9Flx7ogIPF6^z0_)> z3N$r!bOcYyFpi~uPtPeEQ_7)4THIRCrmHZ@_vd6}j5q@ic&T+cvenfiWvDEheGQn~ zhvs-JvPa*C?QUw0@_@BYQ)2eTOCfz6a=O(rt+Z zL=6e%E6%>IVeeZOUhAn3>w}SXoP)7*LgE?ObVyl1ZSveY2eLw?X2K;L1powo%V%Gb zen83-Pn5){`RWdSB*lC=%ond%QQ%u%6C~em>?155%7mMLc(?e2*ZzDaQ?Hm5^N-tH z_wv#EkCsXUn6D(uC$i=b-oV5aPICnB`7ECc zXmM>?voAGY3|^UDR$qV!=Bs->1O@XY>!bO)bt8j`^=pa*os4zATh8y_eO|?Fc`?b})-+PNV3XEF#)gkWoeE)x zKC;Ff-*=0OsNk4=mG5u-PO9c>(C3%W2LDxKQp}h3)t#X?IgkuqG&GL+vT`bE%D(gG zVT6(VU&(aYC3*99Cq4gs5Gt?sw71Qj$;DXB^_cV2#R;vA`YDLS8)nVvegt)E?bY%g z3g|>SGLp^o%j~22SQT)5CD3B-mV=f|;OF=yT-1C?roN64tnk1;uHrcmHPtkv!VFGp zD(U#;Q1ryyO5pSnSX%{6&{gNLr{NBa%275|;fUjQ#=F5OfAm9MSI|mxxP#E!5IBRo z0MD&$zSPa2A$BM5yNotrXMvV&4>2mx!?z*2JW@p-%gt7J(2%Y`D~OD?5nY-v(hLQ4 zoqYw}#iLBB`Qn`pE(q#RQwBNBh+&&7?+dg9L2T6J!ieH`gdsIui;w@bymY!(Z>4Fq z4^)*OC*z4YRETw}BTi@JHaS2$E(GX@IW41$CSOdr^g>3t&06 z1r9|A=??H3W@52AvgwBo&??2ky;$baaVtD^0mTb$9Cd{@GCN;YlCV^cE=niULbme= zIy_Tky^OZbzS_^e68e^D)@w~E)6i~^%4DyaFFEP>7WXC!?yAz=CX8EUpoXsGc^3VA z52E^MmKOg0WtpEP2hz69R}uT`49DInCCS%j1IfFykTh?U6!SG=bL-BjeFkwW=56UK z;<~9ODRNnEzVtb)Of4YYr~i{zlrE4A?!|o7^xTL1i8o~Oxz~s+2yz$+NaZg0J|psv zyfKw#jZx1grWsv9Rl@8mny*~3?|(Zquj-S^GdCn*@ZXHl-Do=d3WxqiCXXLvTf@!Q zFil=ZXi>mL71_E)XJ3ZTo~{vt@wvAuB~ZY|(vmok5wpY!g$1a|YA+va4!;^jqh;FmW|}T}}^j63#9- z&&$uOpA`QcW}u(GiG}1j=I2YKbKXF*m9TcaZuYa!XfdK%(t&IjxQ{Mkuym+5?k#(f zBC7R_6p_@z_iTL;A&Y72FJkZ0zE8j9+P2H*KXsgHbcKa=l8hfeS)8+Jnvy! z8}oBtACZypBc7g*_PCez2iiY1`7MWHNoV`=ptHJXlP1|P_6BsxmF69AY8WF_*6F!X z`pK|oqQN0SdfZJn@C5>`vj8owP&j)bTz7b_PB&azX3>7TAt7s_706z1nwV;%T`LC} zgMz0RgKO-HPzW%e7*AHgPAC!Pw-J9DqK(*V1p;`6We9#$FI_>oX@gfsW^%o$Quwu_ z13u5M;s`4vs4NG^lNMw0Y#OPK$yr&w({!S`IOmSuCuG)mL zFsUK)dMVOUt-3)2+?h6#rmvwuTLh??_6Y8S3XN@y_croMcM=qGMMoSb?G&^_|EH>+ zWZ^J^M%zYT!E0780+(GeU*m1_71#4e-MQ%IWE zSr!Y?lLE^cXeK*;jT3P<5}@s%8#pg1=F75p*i_s~xUS#Hp+0(Q@7ez{HZ9jzLXP>u z7Ez4Hk*rx^fU;0l^d(qszC!w<4gT1HMBe~yt9=ReOqaEOoM&I@Fy<=^vX(WnKtJ(K z!@J|yYsYyLOS7+Mu1?c^O`gp?yH-k{ zyIw}Blzx?GU*@*;rs_}8j((2A%x(|V_kwmI-SLk|Pxu|n3q@M1bWv+ohlgrYl1cout%Hd-I`1%C^JP3@J8 z*?$26KRcx~yqxfo)JJj&FA_I;&E#x|8xAxw=w%U*DT0061a)8_xUC>y?1hhCRXQ3AY=WonjTSGX$cw$mo9BFbx4-4q!${NT!`pG2B-lK?%(^bDu?= zVus#I=JgIkh%M9Vp%yV~5h^vFE?|8x7>SvO`4HuBZ_?gXAz@L18%#bB{m_q6M$gI@ zdTeEU5ge|bVo$&Xgfr*#S-FBU+syCqgx+*lL@P@F|*&%SPD z=b>8p-O@ZDH_DY0PE&S-Ajx!{)5K0s+dTqxeY&?o*6x9R-3J0zGdIrKPPdUJ4S z?w`-0N3S)+eg49+P^G%uiqQAL>fJdNvGr6Pm6raTuQ)t+lYc7w2@f%h9NlE)>38?pw95Im8?VA7&dI55e9krkj(g=N zea-f#QgQInTe95oDlZSNok+Z9|CTq?|Ab)-{}oIz|B&Z<)KI=(E8%B88uXj<3_C7$ zGLL*k*+xw;`x=_t64pXuzXoXarbFi0m(uJC&J11@M@M%F*~y_1<^ekxsQgDfjHeeU zOnX?zq#qcbws9yWT~5;1ERV6nin>@pt;b;l;r{S;(hfu?+ff*B*AJRJnxoOTF}Nv- zZ>5Mg^7Ju)lr0E7+HHk3T?=d^G*AVpy_S3upp{NR8C}xMApcy(0-ZzO;*|_fkX93gPCfcd+7~hJ3 zCpTALqq#qV@D^CbOk1wIyQ5$u=|=c0ES{WW3M zsBfMgfUMH0<4wVmaqWB~rZ`E)#87?G5Zo5R42iT(6^RR^m99P_1-Q539c6Z&zMU-h zw-Pga(lX;9@s>c1ml0hkn%d%(z5&n@fSQ8t{Rz=k^y%&C*G%dOjRLkdv$gyD0+|{U z6452lkf@>^zn~7!uQQMi+#9`Cu6_nmJGeJBOl5(DSDOt*SK|rw9S_;9*)GV8!^wXXF)zyf_sN|XBpM436EmFM&;8y0jLw$tW1d9sVZCo zkNFyA>xQ02q@RPtsKbNKz9O9^#Iw8VEFNmDX$Es!nnv?gVi)JvY?ulYZoaDXnBF<@ z|FG^#xfunFoN2yZJmWiN+6^=NQuF15=E=hoSA7V^zdD!7u3rmm?7uPYJd}%miYl;m z*1A8SWF6-dYI!aKi--Lz9%8=M1T|m#Ty74{T)JTqp`+u&U;knz7w;73zqrxK?_MVT zqmL&N#%vr~AH5(*v)VT(x#LUiU&nlU}m_-@9nYf-`eO2TtavGN<` zAPcv|)uT4zN_WmVgl@LorSfeX_|yxPVTZ0i#5(QOe94zBotsvYz(yE4gIEcRegc7B zI^#QLn$xj4C-vu1{z*JL-=gM;GM z3xI4POO$*} z^8_oObfS`T?+U6ZugO(?P6-)8n7I(DS=tsk*%Zr>z}YitE-V_Z!f}am>pl1hM4T1# z|5NrZfOS<>!v8wwo+Kw}Xiq{4p%&N)p zujHPy&)#dVz1G@~wO?l+re*htL@wG%NI80kR1`0F7E!hZdl` z7UY^>GW%q8fIQbyB7!h-IuUS@!w*h$##p(L!;F#bn*1AcOoPY49B4YC2DYkXlks;E zzD9sLu53x+-C9_lYv^au61uRMiJ%w?d&xDTK6*;({MrnF`3~)vPy#eo_90o9P0e5= z)F?Y;&aYi)i#K1jT@xWK zG1QX=-m?6*KyF7Hk>-z;5+n3rbqJ0+f#O> z({}0DNJdT_;gh!%sR>{m9-&I&!SFC1hf<$GNLE(BX7{q*GP$()TV98xl3Deg6f8ne zFbsb#bXvXJp=~uzh_KGP#0lXzwGrVq-YnzZ&8SifDS#v0%?L89Ck7&nN0gWLj6h>t z@2g&!AgF2XLpbmcvFubIeXu^z@p@+%*|^0>kFuamkkBsUG(6P+OV`3`8;FG0QWfHW zZNt9GYU_j37D?Q!(iHg1KEJLw3Mw2BTMYywvvuB2c|+qh!ID}v{S3J%c5nb<+Gh+i)%5GhO-6$w zB|2_Y8ED_b7eWoKenS<`*&??mc}<{&Uyq`Arg>{hJKJ>b1E+{lG)0_Ik19m+FwT6v z=#K12My|w)zC;khr=?{aRK-B%<~pi&aXyc7#VM$ zc=8YrGq3D+;MQ3wU6JU=^0lvjz29aClV7_QA1|hRWUUQ8c4E-jelIC+sbfd@0}1Ix z_CaEA){am2b(F%T%F+ZFmwA=4$@J|E5T67w|5^Y4d*-aM}T?*JF4} z-y$RKNW$WV*$5hSWyZToe|w=NwCg^T@IEYAdXGfUXb7jD9uT;@>s?PCZMY|9@R8PA zrOVDZga)ELQgxXR4h+kQN8Ahj|T-&dl?gf>eeKiMZLWV+3yr5W`7ww<2yu7-I zfp{?t3NM8b=ireE%OxmmrV)68QoNX~)s-W!hbnb@Ca#l1R>y**PJR%tRAQ3u+iX-L zRqt_Ip_vwI4b9<7PG=wCjla4`b1D);6uv~ zt{rE-UK?TAYwyH|-^YMbH`_>J+uTXy+lD}j<0BZL=g->azw0)1e#<3d7^EQKg!%+{oVMOVdvb5%j0m#93lPt+$gpHI6Aw zTM?YUPq+;8JP_GI>5|S2LmAl*@`Shy($I(BY?9{?KVgN@HfO2zm3bQsr)o?(Hc(-^ zyy~J_=F4aVkD58MYl?Wx%_y^M2~o1WQle7#Ddtitau_;q3Nmz3jbx}`2&BEt%$Lc6 z4$OR=&q`aWWk%y#jTvCR`VmM=jotv&wPkQA(ROVm$F&sRd{sIdipeLyi9-P!)*P;a z!D0F3w9bd#Y(WMn1l>3gBDUv|7b-AdvNH29D!_c{j%>r%nQTs`1=c>4M9%BlqwfEL z`2tYFNVC<+C(PGDQNDCd)?ZcgCCehrS7U%)87T2w!+hCTRzOfqqJm4w=;EPqeagGG zoZ{^LuyEYhx5~H34mDp~l5P!x=S(G-uTs@~g=)S+-SNEs^{k;))Jb9eT*^EO3NJ@B z?Pt-DZaGNGz4z0!#=#<5l4!-djfCeXL=z>K-qUOuBFOw)eg#9mwHE}juAKLD}pF@-sCPl)-FzR)ya^g84hxjJd_ z&0|FI%Y%ole5uZ!U;euuQm6@0JyT+1YERro3EVWtdzA-U`YwTfIoar}*&MedkV7ag z%t~=kXfbj)_X4X=DAMs8xREwsST(X2%481)sT6uwO7_Yjk4*dc_g9sU&v?xz6CS{n zq)L>KQ5B-Z5_(leCu(euq_H8$gEB~2Q^qZSqH)Fnk6Prct@uGV>^BmdF_n!&-lvnk zMYdMbufdUHld3wlWU66{jdEaUH}+n|QJgn|Z|%l;r!Y)8?zUZ-s-4w31jQZ_rF4jq zp-h57&18!>>L*SYy(9WPxFaV3aiGBzqCQLtw0(qkQ#7oQ7(bAU^Yk&==8Mr6LkzIk($=A&H6Si8#e5Cv*6l#eS7?YZ(j4fG4}|hjbF8*~HzdnV zJz}rids@OHz2U+hUH^W3nrYuKIqkwVA2ecTf%`X_TW^ujHFUdR2b&S?^spqlDE?G~ zj$_9l!hE@^`SPPLr;_O&<%yN&5aPaeZZ8vir!vQNmi0 zSrJO`FsEd?_nl6ccYao8CZ(Gv)Y~S-QVsVlYm|o~)bgh!XPAsbg==@|AlbbR8P?W`88}3#VLwa4@d-cKUMMqzVs`c3NmZ0sxQO@zb z@k-5Boc%+vcaRNjM%E@(#TacDXoE6LZIvUMWf=!f!>Z=X20UoPm{3;^03fD-`O!*o}ty;=w1^fg{wXu$*bq;Gc9> zSi!#n!`vBtNr!=y<3Ta@G9End5Bz*!K7w~R56Kz?;z942QWN5!_o5sA2!OETUK=K4 zYCPa{#+6pViWpDa)8J{(118jY-|8ijzPy1c%3}CgooOM*Y&EdP{a_v0&GN)MQAn?% za|DF%Za8!kQmVTG363destTEsss$YyZ7*n-4mx1hu^?mHGCP$`5-6=GGt+AM>FbY0 z!&}W)b@Y|z`v^9W*={Cv*K;|Bxi5#oGaG&3&?Z%0V-0QlOzFcZEbH-ZEEes^$Vgh} z8s*A?qD<^1DdKoA`ml!hm@nl{2Fg)evbxE|!M9PM9?Q)JjObYtB)WRjUnQjlQ?o8(N&W00h%hxb3?rb8z z2}99-L(@}1ujQM?lak8iL5)g6fARtt3X6P%K2N&A5neD%}ZzKJ_yW-ie6+6u>~TETwf&1Bei ze269xn|g1A#xs8qNSLo!k!cdg0bEqg7eVaX($(PZ3M1q2_U%+sgUT~D#EDp3I1SgP zf~Kgov{Uax8svBm{hNTp%oiNrOCD6m>i*#gVEs1@N))J8C$F9 zW0F=pludPi_~!`X39}VWGs-6voAKdlzF7NmI(Zl~c?e_ELY)yj zza|fc0?h#^F4*|iICWbcmWAJK4KmdoB9?lmxoL~PKtFD_6??J_din949F}fnx9PFO zDoajAn~Y-D*$Ww`j_5)F`vH5d9miY36Z0imVNMK7enCP^_|6X+>ufkNbO2-DQZkEpQW=Znu3-0 z9+Z#Ln~sQNj*jR+n-mO%u&rREG(?kJx1267GipIZlwzFk~G9P3T)jT42PK_)w#l`$srGeN%Mn7GzOHL2)QK8 z)oo<1JAGf{Abw8n=`k1*2>TsrKpJ1Nhnc zKq-6nwa!|&Dpx!vy?KI^^nmo`tI$F{5h9Ql-6(D$C0b2}A;dW^uzKtltPd%v1a)(z zHSP~cqInFxY$GzkBb8~gNi8NJ6V~hTWWbo_QqE+GSRclG(eolKR1RToeN)D>f7$@Fr1rs%Z6AuO5tE{e`<)BHHB2 zwxHqh3eflntSYcxkT&pv$Z@F+by80u+mn)yQPAc=_s4jDr+%qVKwSq41*@1|XIzXv z02}-@Q@yNK?-tU^ptX{b`lMSohIQ+PnlGWru&h|Sp>XLKb{1z}S4WFAHu?g=KyE`g z7=-Pvi^njDuEd-%t?Ve3}!GW(H^&80su*x#=+sczz?-g{2t|-+o@C&pxKD zIFo~$B6sLyWK3Iwl4#HL_1YpV2?uRa5}NdTN$}TEOjs|ckl5)FK9LmgmW&N`DO9-A z#w}ucY?v~30AMOOZVVTynZjU2=iQhJQ&+P#v-X%VwEa04pkZiLrA`^dVLfV*BOvIr zrx8789rn=S4qSH^iS^S}(qy92KF!xkf|P-=R@?C~Ik+)xh*8Y@0;Aff-q`Q4Y?pL^ix7k6)XY89gpEi~Yk z_&Jp0>YBlX2~6En?>(P306D2d9VOb$2XV_N70c`m+;v-}jB*U%g_}=5xQk z`2+Roy^qV{r>DAG&s|2{GQ0x93htC8q3EG#qb`lqeCbEc!uJGSfn93E<^$JOJ_qA= zxZG4Xs=DPB4z6QxlTPsN;E~anHYfhDy7lprV4zZd#xURLK%;8DY>Bh($dg2691P~* z+bW^cl0lm`Lw&csDGDzBL~P^IBbVHG&dn!1zx#$~&$;==OCDLj;0u@DyXrB%nl$U) zRhNEY0Rr?b|Codi^K~>?@#%b=>_RJQzM@l-j%bvb*$Bx71-FMuwr&mms+n(J# z`_g&ywDj*-C_(90r&FNc$DRUK7wrxT^<1W23jY|{eqH;j!)lkFJzC7Q?aB1~$TcHY za#8!;V{N!&^3Z&fGZ#7aBS4OYkR3re3YA;-uLRX&hwr;>DC2P+3I@zyf0jO)`yO{>$t~OJo0-|y==!NH-7sj zl)`>HW90N8indd0ZTDbVZp9a?%2}|MV~yD?x>5HRd^FlwZQ{InrZ>t!(G_uO^#vpd#b zyLJ^m4|qQs_3I->A7;a+ZA-%CQ z+KM<8`leeA0q+Sk_UmX!n$}--{iEA*Y@I)Hbac&a7p}kj`pf1od=2JDrcJx{!rLzQ zB+xw>y*Mq4Se8fYq6tKK}gbC#Vw^~ir-^!k~z7r1%%Za(kc%_qD%y7>Ow zhNm96ddE4-j#E4dEy(>ipex?^E6?4oMK&ObQ)<2Ch@D18n|Ja|DqLq1!9K}{@gFz( zLf*H;DVw+s2(DxFRnnQUK$b^jG%pA~b)v0zU0LirH~SR+<=IJrlOcNI($nWWws6fY zC+)s(_N%APx@*U}d_S%d8FXn4z`s{f(t04*&*SRK>YizS^NCSUdp>rXZv{90w z4<(WNaTBfx6m_|oAC+s`=}X8A`^@X8&FzkP0IzRtV#LH>vrWKkKeV z7tiIeJk}&X6@+~O6H#Bf%IM^#b9gd&7_)J{F*3Iw6bwH5Y3kH#)9B(a9ZKfCVnn9~ zrEOFZJp_9u4>=|Y#+bIPXOf4Bz5@^|N$v(&cOIHe`A{eND10mu-Ph;~ALc7IcfFk= zbt|bp^+3pIas7{OIq%rVFkg3LzJ7Guf_d{UzW=F{FkkP%e9gU3HL?EtrtSkH!GP;O zFJW{@CvSvehWYB;@*uXObToa4SvrB(62x^gl6dIqAmT(rW=JbSIW5W8c5q~H^X<{j z^R-cTZDFpSzS)YzSC!&bfuueN8nj)CHw zgemRweqJ?S$A5Xw#TVau*U_2z8olht7ya~>TVO46w5f7wcvJ8lw87|5X9Cn}iMa1{ zrSki?OMM~7Ox!YdP0)bE>EZRxPZki(gUxP4R0N$wbb7N)lX-_eObWhylU$5t>Ei@s z4;_p3b`*5{qvl*F&7C}0>AUm(qaS-={aVb|f9|;C$y=U04>{j-Ir+S%ecl(2yZAVm zr`T$oz%XI$RJjyt?fE6WWR{IW$kg!|P(DG6dk79B00ccyz%dSyaO*14$k8?%pJJ zRn+Gt1|bM+CW=n7IsOVJYIa%7{alqoQNs>ID(f3BxYm)VbHvgnx#Sa58U*mOdNb}h%Gn0!4Wx6DD>9Uh68GogE zX`qWlvWQNi8H%Xxs^C=cj2#>r(#|jCR|AS3(J59vzXHE8T9yX|4HyTdWy`+&`x`cW zY4@`~pE-KTrrDU~4;-=joJ(ca(a+!T<#TRsS~?DSpH5}=fWT)bdN8VEeW1Orn8F(I zi9|k(14m3>*=#ky=bIN+^U6qZ^P(>|+;;DecHi^(u17Cfw(RRm@A}QI3(uJ=?>)L< z;ZHYjjwWQO5={A_pHfx^;E;CCsoxlGw|~@fngN3wNgPkQ+M){{mQw39zS64+Tfs<7 zMFicpYm{;ek;kUApzGOz8@yd%zK$aA-?3}=WjCI)>C$NH3$KH&w0xtERzKe%vO7 zlqswN7_m__UB021=X&)4sMBVnq9W&T@nOcxSA6^4rHelD+U^Y(FT3l~6)*0%_3h_; z{r=D8H(}?YJ*cuFN#K|W+vOYYg$&)ur*|pUYVA=6HgP#O}Bje(iK16wtM&5 z3wO*q>%2uDy7;UcZ@p|Ghqc`{@7ZtPbw612RvZ^!B12_JYkLJs0~JT2<)pq`$)X-+ z8nn%_l~5wJq2#j0e3+l(BRev$oA$7yiZSWEiTymbK31vL@JpFXa_{Muem#s0MU}b8 zN8!!=)$N=S*^SpR$DQ@f!=C5u*XI_@``2%O>!zPQ`R%vAIFl``M?AWt>#Vas#Lz-6 z>t`x_2u3eAQm6bW1k)@>pR8bfywLEzsJ`vUva9&2!ADZ!}lewO0 zOedT|o!&tK`>!LDW8&3eXkX()y05&AR}x%?3bvSSy>WcltRCIc7W!^82lY7i1Xy1n z6=gDLKb&UAM6sOlA*P(@)=T%CG~#_&1=lP=a)Rk#h5!i*KW~!j+~w<^U%iW$uy;3{ zvhe!nXEI!#c|*ggAn)3`?XsEA&%|~7cf-hio@!oS>JH5|grX;Cb*P{#CgzsItqgCL z^3bMf9kzKsvr@0K%bk?t4L##{KAh&`rPwiPowU&>POD!J-lYQ3nr2Gp4~9Tm4ePY&l0?9i@n6SaIBB~tl0!fZVxeZwD^Et^-}nw!7r zq1|`>{P)k@x$Ndu%Whur+N0;rb?^Pa5&!U>&8wh;Xd!wJ!vG=1pz@&hh|Mo}8x7LB zM@oaLOu%UJ8Ib0leu5G;XjcMC;kZ1l;J)GJlpIz(PL|_{>NO1n)QhG7hh=^WMk$AU zH1dNdU0g9==N)_LNh@}2cU~?wq#i|ywQ)qx7fT}52g*Ww>){vB^C4aj#+2D_w0+0yZ8&Y9=}k{*FQb`?Qea{ zs0B2#RUGwOpB-N?rhGtM z9!ef)iul5Up|hoOSxrwYr~b$VjWBRFd7DlPng3sV1?kT#Uv|(l4z=Q2gLA;2vkX#dz zZy6YF0ivmSh%Zq;%vW>rPZ)fCr~&a|hfCy=LDvewPKy~6?knIy;bf;Y@THKAa zKL%=H(;zkELsYOL%(>&is9gr+aHBPL_ z@Rp5JvjZP^m;{`WoQ{>qA0?TwNyotkl}JmtTNYIinB4$`fa_Qv5Ze`qs~}a`sXQ9m z8Uuj?P->Mxc1NWOSP7C~yg;)X?KJA;sJR#}8b(!`_fqfuLMBM|CT%F~p+MPipg`=j z1*`}cfTeZEKst#n;&fD)&a9l9K)%2FOu)pjYS6g5&m&{=nNV;*Y4KJ@^9f@{)z__3MBfAKg~mNnuO|XB=eVH_JgHMV>B>>HOmG zcCOH)8D!p936H2iM930h#8f8zgdVSr-JxNC`BDN01bH=IAupyz(w#%DMEf!YR&el4 z!lnI`SK;$$gbnVWC>#J!v9 zJ;cxzn64k*tfpW`4)&eLAlYT?aM~bxR!%z$d{u-=_#j2h*D&Wkuu^gf!vzs5Q?Fo< za|a1^@pU*N=dGm>{2!W^uLsqFISEY)lZos4CHNbWzCZPg{V~YOcKdGvNrxNirTK)S zF`EMuya}WI%+*F7zaSUaH_eTA7KS~hr)~u4)voeNRNjxiFc0m+zmaBNB0SE1E@k{T z@G^p1dbs#+ERj(R!DbA_O(|kUcA&W!$>-)E?YillYC4n^bddHBWy(?Eg&sfpYHs^G zVw@k{DYtU$=HlP;@z*V^a`nuJla^h)0T|FsHP1p}ug^0~q{w>ShaC@Wq{_)Qzc+G} zqJ()kKbY&xc$EFQU0UGv`KOB%?!}fXs-@;`E@&$lB_Z7#DNh-aru0w(2lq7A`=h7+ z&Mn}2#pkH0Q_9)PtUDqn<@tu8Ct^JGZZYgxNTQ`Jfr)c+om>@K0X{R#WWwH{h0Y4h zXge9(h#`~Klv>~_I8(V7uqVEp#V#gc$)Q2uAOaj#CNaLz@_v(El%@frp1apnMio!8 zlH-}iB9mL4)FIMz*Qlq{%LJgLDm85j4VZ`-6e~PWz#RAJqd>gkRfm}|N+)O(LpAAz z5{hD|XUQvBgu9?VlLFC2QoR^{4D4XVXf(%9uLi>SNx;uq#)y7nwc|;lBfIUnsxJJy zKOr2+z@C6fU16SJ&kFUrrw;RFG`lrUYBlwiJGk<72MNuv6s+oPa&Q`K`Y40ypbZa= zIw(K1;8w-a~8|LtnWD_?f%*b1I~mX#$@F0)5$C zg;L--#KcO|R`lg{mih1RN<6heR0Qg2#-`r2Jd5Ug0)pc)AuCg1|3+52dje-Ih#EAe zX+HiMCH12{^ivwbSxg@GVkE{2x5wPq#gUR9eHB!N15iy*6Jw8{*W0VKhg!;_DrQTE z+l=E6$5=9Sa&THthm}EIJG582Qq_F51rM)f9%g!f-Ymg_oUWycA(xdNlAWUJgL_{b ztXC#iv_i*UU)UK)Y40&#!&{2pfNR*Kpp%CZ9yY{li_79vt%RNN{{FcAj)77#L;y*w z?4`SS_X%--p`43QZ*3^O$Po0W(~`FMzgV)Yny(GNM2_>Pn{eGmBj)A0Ab;>m#tA)+ zLEpg=o`G%)>$E>(d!R;W>63NuYw7yP z04txLKC;C`t>sGr6NATw*yUsC>R>Qa(!1-dd$tFL3H#L4OyqFBI_BC+3?>8 z9x^TruIefWX$}i#_afg_O{p`G7R@#z>_u>U;Z#w^-xU=wdeJ#K9c?+)I05jKXwTm8 z3$*%qv$H>S5!doDGZnqb97yw-;4$jp}^#vrsiBJrf5 zX*8t=U4^)@1s;`Q!_R{zq#}D&MioyL@Ib&R5)H11RTqSnz6YlXxDDkug1k_ z6jmcs6y1i!*z>;Fu*S^jW(kUJg%EnvMGaB8Dxv!LMsVsirsX$=kNH5QuUpA!7fMi( zt7=C38+5-rs#IEe5?4PKbAOH@UR}?^+<26XjH!CjJ5u4(xeWS-E1K1?=4`y8&XfbJ{f)FyfNZpUgycR@BBNKGJiutHTdO`NPjGF|(UBLc%!p;)e#I`^qM?Ez1&O&_P1 z>xM>7bvap#6rq>WK**;eBcs@T23r6kJsWi7@{5w;o=dDt?l=M^>h7f-8k&I}SxW}e z4Oa8z_k*cUJ6T)W)4#0ZzVU9(~^$M#4o(bM4{Or(LlOq)feOA9U9GAZ`oTOGdK&7krQ2On79>>C9mz zuOTvu^g8-#hTX^?`WI z{CKYvX0ztSKkonHG>9hI>v)}JRhC)YEU5y=p?@6K>O*a?ndFw@MqxJmc^He{kv&T~ zfEAE_Lo#%0T!|qV_||373DVkR_-zSHS=Ze{4VBR0bpT+%X*x{e?Ht9WrY0#tJ@65Y zZj6(XFIFX{#WPnJ?ArlN=ZEaB6Rw&)|EPN$ zc>`2E)ee?4R5S8GpkwDgv3f|AM9W%CfllXH#A|XG%7RmF z$i==B92#?+U}AXIqYzXDj8Z5iK9oLhb8Cfbi+-ZAw*3)rLjW8zN1dqdWA?2FPKzmUjVjv~fqZ86tNRxqLy zyCAZaCd1_WXCv6@hl!ON2zj{7TcXzvD*>&>RftRK3ctd5eHX;E!HzzisQId5?Eh{F zQuB3xz#6bWw{=4_# zz^VAInY<5)`AUgodQYTqKOMBk{n(?$pP)+fw(SQ|N0e-+ua>&Zd@*8*Ds~ki)qK6U z?_~G#b>OuhEXEmZAoAvGmlm5E;AqyE{_Ict&)|D* zpOR$Ojv#SMjtJ3@^mLEptS?BdPrJS$G9jn?Q_7>=<>MRX7#BZb_GE{e9Li5OWwGq@ z8uOLt@rbp-N^$EJ=kvgfH(x%c6C>Ak3{G*&dBl7b1IqWFWcN#*!ul~3@NZ`uwzLfB zk$PlYgA1$XOAGnE%qoalO+79)kV_hcEdq2IKQoRhF=#5R`V5Bf;>!@N;aHB2!`<6i zZKYVkA(n~l_~2sYDUT;4W9p678J8NsU|BI>s)w8BMLn!sB!(sx{;E(87}1@Gs#t>P zcTi>&s-v3>a`lXHj6?%2piv=W*G1A>t@%|F`@L%;;ZqNX)e%WtA{9?NFzQoLSV{NX zsiJFwHM*+Bs+Ty$y~9U9yp%`&D^MDr?-46GM*BF1cAU+Ydw_V#SGE#SP=Dgt@p1Ry z?(L!z6*em=tNw5fsm%Rgi>`xZ#<&|CYfuh#cqOw*1%%h+{zJUwi-V zhA-X!v0t2bU%LCf+ZIfG1{ENx2UBf8v*(`7SFdrf1#z5alYWy;K#%b_TNw|>HphbcXB7h=BH5E%_Y!FE7L*S<_p zX3K8(!-kghtaoaP|w9jpHR_W$|t==2f!`0bzQmqnXT zV}BSy#gWRU1l$FFZqt#pl2?i?z09XT)qHLEOF!p9OePdz;OW{|lya9dekk$WzZ@Lq zSZVWxC$0Vbz%dr=TE;OUBG#AREiKoF&6qC}|y|vJoS5i%*riHVb zL;CI7MzdU?m+J^}%b6;A=;pyl%~!a6X5pZx{^dhcuDbo+e|dN5u+=O6^PPV>_L4&` z8d$vZl8yiI!cUljH<&L5^anB9Njb>O7t7Tp^2wXm;&fLIP9l}=I@`3mHJZ&5iYDor zKW_WRs06kzS{l8m7r^mT zG78rD8*Nd`HGv;}MF|2%)_Zdyb=@35=QZI)VH_oioQ1PUkg^sS5d4?(dU1G0h~(3D z-4-vY+Um$32rfz5uyE6#-*wb2r+#kvpYOY|;mY~Do`NeMEY7l%vdKKO^N3znbOomUQQ6CZiB zJonW{SKYpQ!ZS1}eH>8ZHe0sZLzxyVDQjK~(fIq9T^F)Kx*?!=;A<~wG0Dcq`kuc1Z*QA=@ppdP*YvyJeg8iSPcO3XkNmD|O;^o(OMc_~AKrG!)Y3su9lZUc50*E4 z@n5#Q@|8x#*5cQf>(fnNOW$MF>Dwk?v2>o45)G9&4FD1vZosab`Qgi(UT%Kd z`+xfD!%kZM{PFX0&;0MN{`k=|ZvVTsmmmA*|NV(4gdQl*TZu&!SGO9vR%0uqO4%T? zw@J)%Y3rivDS3W-Or6E;r{P^tmUU1qXskq04g`!MX{EOOd-KJD;()^g2w=f@SBX&y z$r_ie89D#PsV9BnmLE1QfAu{dczxQnyT7vR)s5GmWqQY z7$xFd#X8g2$10kOebypB$$}suxFw6D0=l`z86H$sdY5i~#O+h}q@a{_0nsW}7{Ev9 zq^u8&^wirT4F4C2Q{6jE(=V?*bN1Rz+g^U>pEs?!^95PBP#$=C&Ch0HzRq3u-Z$;u z#&#B8B|RrDgjAj%#7Kaj&VJg@gQ80_tR{s{m8qSc(mF0V9}P#gXcarKgNRWojv}7i z4gk_aGQ>9PO_NHXY;*@!KQjrCc9sw{ouke5z8bP$NLgMbP`B=zvdV(-MHq~R3fN@C z6iLSEgg~2P`kv8;)KR>YvaKKFT7k@~J5!17-BZ0D7^J95Urbf@xgwR(56O-~%MwHZ zbzK=TuPg7R-jhLEk1)_gE*a6cVJnrGK0_MFRD4%6$K-M{lfNCHq-<(uj%mI;00NSZ z123ySYs%2fc!_F`zO)6dfYG}?juGCs6zNxXe*Wth*AHL5`me9<{>4yn;X@!H=(ghunA1JN{<-k;Mtmx~D(}oX5`;ojEIQlRg-|kf^kSnhxXWR3C-J`kNLY zr!I9UKOSAnvb~0(PK{=M8bXM*#L_h)onLW|hq|zmG;V4K9bl^o82-Yo<;UOEe8<+K zE_>^T@Bi*wcRqICdB1)0OWWVudGnjUx#oor{pYX0)}%Cz@}c!ZGvD!pAu8?=mw;bW zmt}h#As856Ud!5c=q@Q09Llnx6PAu8Cq&@|8kcfy=NYgOM^n z4j5RrDO*Q1`Sl#b_ckWw1eC98=cDDa&)82~hU;v|aHh{pO1T+?q>DTO(6fqnQhp3ikZ6y`4Y$mi#1709GJvZ(H zaT6uVp3$sGX+W<)!FOwK*Xf}ukd38w%0>#B_Zv!fymsGJuhh-${*N#I;g8erdF{fv z4c~Yqe)W^zE50

HjXN|1rEOh%PSqIJ6UHmH1Mci%$ci!K%M7+HwTUBQ5Xxo|f4bhrw2*FROKmiRcm z{AMuB4}%rYdwo;)Rac$$ABSEPUiHeQuk!-iyKY-I@Ppz@zyIXA_OItYNO6;t^O)WL zBMUzNnaGSn%w53cgmsel{NWBCIwLl26?vCTOU%%v&L~#UNwKMdGUrcL8-b~;TW=-J z^Kc;G5v%?G;bTzC;%@1;8a1L%MP?OVV3%s~o6`4SpW2*lyN`NWjy?5P|5*R^;9YZN z%|h9+>h)XBpRxSUOJDE$-0p2eOiK+;4%uwPSQ;VyF6wrQK8dS%dDo{`xn;lH{oHfAm*05m&F?&D z&bDuz`t`&728_~{hA70s1TSUW!mz{L`1ru! ztq3XW^Wr*}F_V!c?dzKq7R!5VJ}iaJQ-Z$2W3Z`2+;-+GTZ2jTRRj=K;sdVM~6Gu9}dfm>E3C~8P96l46 zuo%VGog9^-6*Hx&yf#YKHqa4jUW*csfcEAAImjVSc{UFtjQ8BC5_d_m#@8i^x=hzgM~Y$ zO?+m20vbQ(`0>$1PXhw}pUW`>SAizRX^5oiqYCa)M-__d&~0cc;2^9@q&~IHmo}}G zd_~4#vdq^f4}(gD<~cAfqv_cAcjBD?y5p{2-163g7Jcu&`6pd+?~Dzv{$ktzT;KKK zGavfYaNBZtW5QPXP#%m^N37R})uo`VzJ@V686@*ef2S&tkB;aOH+w@~41WNO`BD{! z%!Aa|G+$22;-u~*V!lLAbT;kXgD|6%@b}yq?c@*h>rh^#u6Wp=KK0+fD|dbPyK|oV z$RC>Cz3ia2PyYEYAA9H{j~@QJjz84hSsz&mt%Z?lR(L^riR+=eWTD-YDU@~*PX}S{ z@u2Y->Qix$Y`4L^5{H7lKuRt=F!Pn^7Q*d<9f{}xkf=hx9UygW--MmndZs5Zyy5rD z*BA(eijhJe#Wp0^Ljm(;m@Z*Ou3lJF>HPm^zVb?>d4(9= z{Cb|fij(#6NU3;^B>6-irvlv3)RuQT(Y9jE(jN{C{KK<{HvMSeuw%E)o40$@`EPsM zS4-PJ8h)bgXM$NKDxb4q#dWSMd8av_W9TFA2B6&Xt#{P6i_m0=3 za-#WvKVA6j$c@d@7A|!6J-+(q@2u;3e)c~sdv*6|T4>aK9db&qnfp)4fXg3?dEa2< zq;~{y{)rC4F<(6+?~?eAQ_SFvk2^|6WTf|eIlaWDl)ZqwE{1C`9L)#&Jfv696BqVE z+4ntviX~KLJgS=`jJZ4M8s2TIM*m95_<;RKHH=XgmC3z-D!{p5r zL7Js zfa%Kmpz9T%iZo0+77^Qs9vea8lpv~w_M$@yx{iS-2PXrx^opEy(G^=Ou*sTNuR~4L zz+-&7P8kr=Lm^YE=~}e)9RurKR!{h<^c&$Y9Sfesp;T-MV`$Vxk2j~Moy?GcgBs);7JekT}d5L+55J?%!w&_Hn zvxs&;knpl1y%=ygbD^bVG!hC$&JwL;ze8};(WrA$sC4hTR5#Fvf9Y}B7pj1MVp;nYcA${?WOYYHsG?LH)2L5=^p!)y z8h_Mr1Vd2yC|(tL-}kZsu~8N>rN08%FkcVaJfjk187M{F{im|*!RSlmch`7DB6$r+ zz)O#ib8i0*FH`k(^DY}lXX@xHyn;@y@)vNRjdWcdAMP{fg*w|9&xyj|!*puWoKM9k z!N*m_e^qD%ezMV5AX^!I`P`V43l#GZt1nHo>}~7`aBCTTH8=B(ixO4cK;SpKO{wrg zKQ?w}AZ}7x)j()szQXW?xs0@THN>5p=w4#JY)P9a%vbY`n?cds!D^P+?G*>PskZH` z|A{;72%=ZuA@1QBJd>>n=1aP?0lVx9`Y9ER{oWi2osXSciTP^Q_hEOwWJJ$CGNu>v zC071)YD~odW-gV`*6Ci|8lW^U*wWA?iw=>-!X3e4*Om)0Uqe#Be903XesWptVFw4T zn~&fSi)Y;6&Y;c6ou4K*oA+cFx#Eh2IfK4AZfibmNCh?Fwv${}4HkTG{Wdn%x&~R9 z7J}~Fx^AoH%lH#n;-UQL*D@M&((mg6bgx$68qg`F=$XLHD}6Aq{ind)3f`P7A0@K( z5n?ufT{=90-%y{D>Bg8-0?BpvBgB!zd{BVCcSe7#zFD%nnxs>QscmZ5!=PmNg_b)c zSdXD4dl8wu>4e%MI zAwy15Axkp|P-AE_w**LOuL%r@$W`&&|IKDWt4rJ$A&c74*uqQ?g^+IOOKex+4!9s; zYqiT3)HARBTrK`tBo4F|JLJ)vdWN<_%zNqJOPnD*uzX_FaRF@T-|teV3TQ;mS&~D| zv2>>osV}1ayrmmkauEvZJ?90=9~2n9S+XY`fJG=${7!c9Qj`;pu=nkJyyk#9(< zIifGS>UuW8(rLM9@M3>gugQUBMGMCK3dzu`MHdaTs4g&sf?@}DGJDRFOFIKDI!dq- zAIH|dyas!i79FFbueS7T8N}$d#PL+*~QSeWVVKj6Q z$$qMd@17m0(KR8)U`)#+2uH#ZpRJ-S{>n|0wKgK#8}5|fAe!j3zn85TWkqffo3 zs8&2D+)~~Wl5K5i0skZ|#79qAca3XFRWF@1L2(j{9%?O|kYDf90&*bv)d|v%>uw68 zqoZE=E8{1}F<&Kp#}@N-aH~;5(Pn0>E_%0gn@*aH^adMjWVB>Y@95Leao~DMzY7l& zh&38eJVdXPfgw=!pe!pg4U__Jn~B(msAqm9MZFTS@lFkj^{EEH2pX0RD2;-n$f{tS zPf-5c6!lRYh91}@r``H`?0u4gA9(dChRzsTb<|t`5|BDM72n?u>|#q24_8tmry$87 zM`h?_XqgFO5L7XYFO;>&)_YB{Jx$8c0kW&m+pPk12e~A~966L_5l2gn=OV+=(&|Aq zPs%RkilPn2#;C{S5F&w9iYP)waMp5FttCA;00I-HgLqN5p3Y?EH~G~txN;|;i3owZ zlvgWJW2PmugGx)3{xGMYqDz}h-Ht^F73FS zW|SBuUWPGlWKd#sfR1b>CJ}3c=~fQmGX*!=DmL{Brar;EgE64UPu1ul<*KShCZ{(A zi!z-5C>|Tg;&MqR4<#B1uu2C8Ag)vim|T$}=8N+;{f>DRs;opJ>1V@Tc}ftkew#TU znz0;BoBTa=G1|gb8k!*;eYKSu`qg~hDZN2&l}=i9P!U660>xH6oTmNu<0}|^i~8Tr^!}3*MeB>sqAxdac*J{S zt=8qgif)!e=NZ_4q9bYNO)M=8S#?U7Ur0MibLwUeMJSGI6Gj5c&tj^oHxFN+$zXCW z+~&K>le1vy2*@_xXkqjfq+4WA)^*ER4PsnrG`?HF3xYfUm;|mIxxD&J2GC@u^niO8)QXHztKeRnV;5JXC!GIiF0&?HVLjdWtR;5vZ>h^y-%{+2ZvbJeE` zWgJY1l|;EORD6*sHtMGQBpg{sD-u-YK~c4!q&U6&l;(VXBmG<+jKoTc!-IutP$<)# zhFjdVz-59+e?VQ=$cfV^p@h_Y59H1SusoZP=2-dg0FJ{iQgwP^AH*AWNDEqq@ z5JRyh(@|L)-pE?ThMBPhbOWNp&ru1iZ?P3)e_*lzrAh8XJ|`{4LRUE^fjwmRP*6XO zN2(K{USFGb6qlCM3346a6({2C>)D8Lwh|C;#FDzo1FxPY-br7;-%Up~r1rg3&f?H_ zQU@B>4QqaKyzNdN_J(e8PL+^GUW95OM=%Uf6Wi0H+PkVi|Fozwd5EV@9%>=piTQF>jQ!q`okcPyC_~Mc)3qR-st9B%p=@%{kYk=1aDP0Tr$`)NMPVoUMIrG19c| zGgigvrY!bVEJ=_~C~@C0b-sI6J}L;I$v1ByV7tRM zX=*K-BA;M)15sYux@=H3L6ejyID4R)F;;CV0fzCxfqqhZHmSnRbHGB@6H%w1`tD3ld1@8Jt2PECayJ8qZw}2;)tkWIPSnHy>OFvEEOW9Zh)u_kfIr)q6T7+%SCz1l&J7T z+$6FLH!=H$r?5pcF&1a7LAMh*E`c5#LnMXNU&VB6fxh#*^SqCD1?uG6g?zWbdr~;c z313Oj($U9Eo3k6#pLSAj3XWeFzcwoL2zMXZ)7tmNH^U?PqC~bLOo|ci=pioHD5o6c zk-%yxZ$h0#6y|iv4bk_4%zTw}N3)tQ%mXp7O3ISo9CfOq?^8nbp@_PxB3{850`mn> zIMpLF7*_1(SmG|X1;^Rx`0iVpJ-(0&m+JU!Bkhi!cvmrBF3j^XqUY~n(#XT8Wo+f& za4a$hrvo&7=O`<6xck52w{FmKp2?TBF$8SgNVeJZK+`(va2B} z&wU*38GW^rhAeNQFNa`Iuq%HTM6UgR19sD$X+*|o?Z<%z-Az%89AQ{dh>sSXJj`|; z-ULfJdARon0O!HPtpUfhG)tsbQ!_@vkG}3+7g`;C4J#3%VwEV!)qLHv^XpU}#YclJ zq-69(_K;xHmuJY@tuIm584kKao#plGJVA6hzsY6j&lJt?ewIBq`w^$=4Nb9qx#pvr zX8N6nGMs;}#1~O2Dx_*D4Zd+^WTlcge`KEO(6nT0(%ve7d^6H4jci*5X zDz??P)s?X#d-8sa%w7&?wz*wlBE`S%5qGkL(F$jS@=;N-GWsg<{aPz>av0R@Y=Qdq z)&^Igz;cdJaHUbyWEJxKlsP+AIQ4X?$d2Cn19%nM63fz}x>iZmozdmy>xEOI@8Lqx0FU1VK5ZN>a2+s{0X>n-;a{x9 zNkpqY2X?-$rd6VxjnQ*>gmA@6zW9qi8vYVzaTV>}n%OI>{KGVk>{@A6_J1&Gutr>7Cg5*jM#V!n8V9>n9AV&@Ay*KlF}H^2IDcB~x8W_+1$ zUHN1wgn#%0mQvzUuPzZp?fIm@hxS$QgbaTcj830eIQg4a#h`bpv~m=fNpI zz@8@T>dZs0yz*^00Agrj$2Ut1%x) zyPh}8+OCfbmP^#MG4rJ#sqp6O^9+IgF+dlQu9-*9V7{`=i6fv?Al3Wb^_&SIQZ82} z56#L|E~}0jx~Ho9oKr8KeJW+?isr~!_)RZ3rT+G$EM zK$|coz`h+yGv)04&MzJ2;=;~GzA+E47cKYZi_w=#umz2+qmay{s1Kh{*Q%NI6-8n6 zrG=*oMhZK^hbXg@pU=v4MqgpLjVj9j1Q^+i8878FiFEXJH0En%)4C3JuX*#;lGM}F zou7loTngrBzw}*GS1);OI`?Z)acAxA# zpAPTTZp;_6Xdb2X|FQNyz?EJ1ec$h1T)e$lg5<>#q(Dk59_)f^kfx<4l2T=kMmhkY zB_wUepd_laqBw7v^@>*I3~kXWGf|=g5L!VpErT@OtlT7iq8JX9%Hy@8CaUe$ms}~c zW_LU*MNZ^)+CkH%W;~kCCUHG#?MD54&$;gb*j>B}d-4O`z30#G{LcCP&Y$1;e|M&r z8UZX(a$c5^%oU4d@6~*5=bRYi(#C|yGuG=Qs~|^n@fjbg+cZ~1(6iTeN#<(H?X*L5 zUf2|;Bn2M{h;G&-ONN+0&@Awa$#gQ{ljSl9P}y^0KVT+EhU@J!mhYC#)qIiJpvNCO;~Z%L0>3=>Jn;!UARbXH`t$G} zmpn0yN#Mk|{F!Dp&s$lik*SM;Hi^7H(~E`9VK`Oq@2*TLD%G)kWdhK!tO#WMLgTm?0~KBL+yx$VFmbKI#N zJFqb*y?TL8sC42qc`d$fu-qQ!-JleVSY2kUeF%2|L&m8KN|nk@%J$g)QI##}Fg3!B zT9(0&2fb(ztS3XxZx=1>J$^gk>Ke7%rJ=bqNHo`aDuTZpI0cK1O5{A&2C8z?@wkFR zFPy;7@v|5}h6ZB0LpcCg$4`c)*Rq?HowiT=7~twhprLMPT8Lj1#9_ z7~d_Kt9et2*!)QO09RX8#q`bell~ED=1Sx8G9&}tXrsS)OJ76EZ}QtYD|KBt>G4mX zUMgL2-M<#X zqA{@V`#acwevpjYo#R*Y#*nf+Kbd##{G$n1fA4wwu!aiHoXnWvA}h&+O5d+FzF%8i z=uYMS%;ze*=fpbQdQi|`18^8ZeQqJzAL*VTJx@}cC)4`CX!WHqlQ&UJSbfRPFWLNz z>JUY+=*;<(`1xPXUAW+IdT4yvzOFXmYEE{$AeHL1gOv+6=jHfI&pz9XG z!VY72jePMB;&4CJCC*CSP-R+;9)Nb(#7Z}w+E98bA^APRYqa`Gc>aU2GEtq>YkpnR zBv#OC!1E4l=wv)shTU9TW&1Qq)~xqU)=xbavVQ<70wESOuk@=`S&@?(0!7_#IKY@% zb9vC>(DKMYDtmekdEc(;5_p1|6uk>NYV`(Eim{2tN}{t#5qCZdiBj)AbDKLx-dC{{ z9iS;#Vr1iB=}XX@Q=29T@lDQM3sPz~!XVX7_&dIU6xUQ%6;>jMuwB)+i?0eO+h_yR z{?m1X$E#RQOh~HJDh3sv>&VCjj&!cki@P>ELsvbn#`KpKu%M_g|KJE+26}~n~>)nmm$diA9 z$K$1^wL0i&$5<$3MIuyar5z~)E5Yu@jNEhXdh5wg*ST5Ef~ff2jO&{deZ~qrOPIr>eZb#M0wG#2}D!qjvYrq#NyHwieZwKEK}IslI&1y=j%b zS#=UA0tJUZbTKHEq{=xD+xJ@!Scdw7NIUqy@i{w4Jt(xOvT_`)?k;oRG3rawUHOJ( zfld3N_nQ=l0}tc0U8aXcZ!Xtk47>pvR!&^NAMywk_7BkGG86Y!q9 zVpfV%eQawO00?2`@G|)cym;J8*4(U?DJxsS8<%N&3c>ti?0vH@v-40o8ujJ+K9&go zN;Ot^ci{n>xM_ab?L&Q8arD=1^YDkNtbTTkrtEg@z2YR+eH0@; zm&F(VF9qIzBA;V{N{Fox*rS>i_0NI$Dx(9`R}%4U^gT4wWrt^r{Izlx^;NFg{fi~) zYmr42%XgEOFc2;E+{@tj(G^dsf!Ao@yup$)?_#q9YJf`VW0;l11`Ac+rYA6q8_RCd zr}aU>ruw+N;Ygu^0;O4P3~(5wK_$Hv95Fh@GJu~S zNR9ctft%hA8;!s|AzRBF9}HvqN*swV!b&u7L7|{+CXaP|ToH&>xBx|$CtR%X3bh{mqG!m$l*2L_+%9h~J;ph+=opX~LvPIU#EdY5 z1h~2@z%?yk!Gl-GN{<0F(vH@yb8yjBxdv7BIq$&^jx;PVsW*_-2Tz-Qh_*j&F3oFL z-9vq)0NYQ`m-s}7!yc%wcQg8$kGD1ur(cUV=3<~+m(Lz!FT}&uliNY}Hs$`8vobt? z%vn)nbFmrv8e*zX5Rp;kP$-kAuORi6&v(pePk_{yiG=|)+t3guTL@TG@!U#~6{s&a zz}WMRU}=R5x^ZDe_dZM}^|cVy*K#lhTZrn5pDnA$UOQ0OnI8{(k{WtcZ1m%*(30=A zE`{pGA}ijRmE8|#+$qaRMlvWLsIQ7em7qnH;uO3wK!b%J8`6<_Kz&tK%jv~4iv_Z- z*T0e>p*EmDT`(3^DAfhDG~BG!a3?-;xLxcd9@Z;nmcxr0b^_D5D+8%g!i=f{4; z+Z#S)*{hphmf+Z#doN2&J$g4}y}9#qMf`%(1ycI%GZ4Oq1ZvRKo-8Gt_iiu%e_ z>4FZAy&a!069-iToW0*c8(EzE$2oa4KEqKgU_S4x%aBBKp%BK=_3U3?w_kfV~ z4;It)&k_3nEbKng=i=V$b5dWx7$>n9eI1(g4{kcUf2}}$&4pDBlpiKr8z@z=0XI$= zZQRmpWtZ*R7M;il6?F*FB~_25fq93h1euQZ${d!=%b^8WF833*<%Oms1sSB#02iO} zA*n6PB+`mDP<&*L5PdLFNs?RD39c`%A&M;51R`~!sK|l9&-070$sIwB@Kpc3+Coav zHroTlE8eu6^v<)@L~~ZYnbBQB$%_#yl&-!^!k`b8qLlhl`$^ygF1>=%;3%hsqcseC zl%tnCTAqw5%h2G&nJh&It%5`KxW}YyA|uCgGaFbM%(fRHNlk4&8ce|gL{lDQ&QH=R zPD(^;{9q@a35~=Y4nrVz(r5MpLu)4k4O~o-%zJ|;HhdjFslf75ZVSXNNh%fLT#{wa zIEUc8&q7n=g|ZiT(G26nKDm@7H5MZX&oPX|Q{b|fBr)cgX`cKd9Raae+ub~4{7L}k zV9Z@@OWK>qh_Jrq zhz{Q!0L=}RtPldiV#jV&Um)Z`&Bc=t(_(X9)$NJpl__2W)x2lZX1o>ZIb3`qJcK9OSr%$TMb(XMQbkhUZPCZC$>RW!h>L#CE7O z`l@~dPCj>YiTcW8hONK+56L8^^9Jhcs>ws*{DP=c|MX-&fitW{bD+qK+lZ|HmCWJS z3VBqP#1Z5W1@enQqtzgon%SLtpt*J5*nI z-UVJpuDGwd7!ec{*eZKbIe}=krwigs>;Xlo7X|@|FEo$FiOZ zlpv12$dl~w)RCFj*o);KT=#bWk)paZHOu^BnTdsM2+{Z~3)ImdFSU#9x4(plAA?a1 z0Oba&5PqGKKqIvwQXy64F!2gi4SNd&{JA1=o-{g_aH~>=kSsC+qAbCCezDvsM@d8~ zf^zhFG_dS2+|cnw%B%DocwYPMtXK<*WdJ#(ODXHr?m{EPRLDOk=6%3)lPJPI6VW|S zMqg91#6>kH({A3dfge5OsbiEl^apXXyEF0ZBAzftLTX@XpHO*Tbc3RUnJmkK+LORf z?X%kizaAozq~JA%Vm{5-w=};KRkN{p&f)mZqtae@3V`4%$(gw4LgUJ^3VbpuVjCUOyzx zF6yYh;&l#89tK8VrX{V=mV`(`TH?_6E$+y+H2Ol09RBgMNq}MYbzIc+%Z%H&6pzPxS=fpjOm*V-57 zO|2hzkA!g>)96c*3Hf=JA5dR+VR3f4ax1!B4#>CkWS-5wexT^fJQBujEf2R<)Baz% zgjfA+am-d1cLX}T9P-zEKJ5=2>f8MsCc3m*%!eD?W2%)g&IY;9n?me#22oHtwbP`e z4HAwJ#e=631Wg60J*G~@(6}>gng#Z*+R|=mM*nL*irfv{Y3DW}YlGDI7^g}|PbiWp zB_skFd#Eu+-#LXTUvHVpRpc-kqOIlO%(*UcHv!2WVu~Eiq_kmn_gE*)x-K1B*FuhT zDDY$-#0BB{gYdMXjSaGm24&SSyNFGYH!W~AJMfB$OP+f?1MUc@)EiLD@TZp+;(|s9 zVlm*L>jD_I?t^A95554>UKG_k=gN?QhER({GzVAFa0nqRI$B8De5o-)?Bsu%E>okv z1~CWkwV$gE`~ZP)uAiHWc27!D9Tz#*=kYj8!=bEORU+khIWtE()3{wmCUYPy;X2K?h+By2#rM`OlKI{M( z-T1e>ijC$7W6yWtm^kK3eAV}vqhs`yOMRhjtYl;Hsnvyz+x`vQ z{N#bkddoL0_da|30)lOUg0|-jdak>bXPb|WGob2mM(X!7njTkr%3?lZ^u_mKkzoX{ zYCdy#-Pf{m9=4jjoY~s9;s-k;#@>&4pQOR)ivvZ)diDV%qP4G0n1rrPe$wW@@$6CS zxfib6%>UpQP2IlHP;n*Bw{BRr^2sV&ej8nn|7pfscdb!htbNU}_LVXEDp~tlU`O^u z&e|7yA5R{#Z{%zWZ^%=M(4FV9bb$%yVc)OO*UaSW%YK6~@^wqC^uLjEejZgi^EP%i zyo0%?)9kiVasS(e6|Z)VnEzD1I_>gBkK1Sv=WEw_Tlt^KnvYg}tL`I-VX+BCnXH%s-e<+x@7pOV8MNxPkMqAIt`LyCR7vdPz<}qxGYFc6rlvNWUzz> zrd-yE)|^T~L!hj#)3Vp8A4dUn7~2Z4S7_D70X#~@cCs{+hv6W8UJm;JHB7*+BoCHT z;ARy+Pva&W!7XC21qv1+W9MA=(Z-aheRsnshKAjAd}AGYccVjyAH}ON7o8M&*hd=R zNRbDAjhcItr;lO`qV@J5e6kRN+!2n^&Fz0_R@K_T9s?wYzd~k$4qKS z=3IOEE>?UNss-Ads;6M^Hx8+7i4@isEm}$c&>bollvSf zdI9yNoM?j?O1>YeFOT|S^5@;=P+t+gOGmLT*ka4dx>fJmpyISZ@Q%$3&N4<{#f8G| z3Lj92vYVxHLFwL8eL4GBN$3P|^cB_D?ShgSs4p!RwS!v2>ib0+eQEMAen=Me zC7I5AkHq{2>TCHdMeu?9*&x$S(aL|xNAG^~vaN+v>~MJQZ{_xAd6U!^c}VcR)mQ&B z2c61E1Pu%IP1k1hmG_mfFMVaIx}_v>Z4khD3H5cU_e7O-*t!wb*V_C8%=n_=f5z(i zy9`p#J(6YR`FHv4(lm)_O3_r7%Udd0jCb{$NT&9yi`&j@SO(5z4p39M#t< zqpz}<_76HnU-3L_IUl~TWOn;*x%CsdMe1T~g>t9r-JV;>pdrLn>WM~RexvNmLKB4g z%88BsK8xv_&~yu(gf{Y7vbsbrtWl^Bv1+m*(V494@Y9`j%tO-8fc zpnZT!0>UV@-dy7_>g7;1DzA!jUZp>-*^`Ks>AR!)f)xH8AE>RaEnz+e$221UI~Z}SV8of5QCiuNYn{_VNFhLpm3Y~Nbo_= zI}601pDg-03q{spa~x*1F|FXhP(onOY!RtzS*Mqp3^_y6@fhZm$3i0+*$d$nY`fqk zY&|e0q<=Qp@{_CIu`duF>dQ8vzNXYr7W*LvUE=9v>grKu%F&S!3h$$AP+twAgMKsF znMyH@3K4pG*yyc5kIRaX&KQLz2(RpSSeK0I>(}iPlZRkIum^>OZKS+n>yptspziYdXxZ5~AM`FK z?=7onl5G^!k_(_O+1xdwbE|aAiqo+kr3!MkLuB91*0X1C5TE;iEk<&H0yq^1nvSBZPpQ#l# zXy_HwLlIf%vPWTKXS{gs1t=}H3L*t~gT$Bx-w<*!NGu1@i-)8aWU(F8yQdn*f`qN$ z=X0e7a8eDe;6yfaUdf*qw$zt~3d_VT0#K{6K5LG~mH#mf!fF90e@F0DbD}_E6hV() zOx=)`=!YxhuYx9aR*~e-Lv5;uU6C3IoA`Q9R8s$yLw5;%L#{FaA^}C+d=Z9@(PyuR zbcL6vg7%p`3o`6Ve;EcSBt0$@fw7Gui}EP&2fyksI(|0JPsh~S;o}T|0&!$Sn>%rk z50asTY^N{MjM*vYk>F_bK=48mM=PW)C_!w3w!TsbGg!0C^NuJnT6ME^0AK)>xYFr# zrKFakSGexLd*u7$il9k@8j2pOAxdc`I0X#qHZg6OWVJuOKz};{YNcXu*wx0cXc}mX zw`m9S+p#n#ns9}QvA~6M^4^A?jFOFdh=E_^(aA6xZjPZGNFfQa;ZeyDEg;0_U*Mr) zb1hH=e=#Tq=orGlyq%!~mutL-amlbNL1kfXJ3voH;V5@opop2%Af?MuV&T!4Un%wS zQYI*cfnRiWGVG3lAr8p6sfQ(<#ebeUoB#X&}HUbLh`O(wh7mU6z^g@BrH!}5jw8Iji##QV{%$y!1p9!r< zGpGTI;8pX9M2iIbf(kM7Z|W5?$Wd|=Y!;B#x4_pyaqEzqr9TnR!%j$?4m1Ufz|?w- zuus*D-EkYY=R)Jz{t_KxcC5anvPf)4h&o0PvaoswX<5KAk9QTc6SRDm_3rYqNH(H7 zLIW@b1icGKj4=ibr8nb0HBWjI@-iu)E54G^{jt*uONWnHsW4V<*&IomyIsUdH_e+!H@t=RfUpR)kw2AG^=k|j9!J#5EB7i7?y#BX3bw0frShd) z5o!XjO>f++R`!^cI>?r`pjjV({6O#x#^@J=oN2AT{DM9td*XVjFyQ@@K`-5-R*tc8 zy?%zS@8n&%9NVFoU$D7nsGQ@EWw!A}vyXlE0Ml*EnpKAb$9Pz5Jax9r2Ipa!m72=^ z8K#Dwe_)X6>A*~qbw(DDZQnavoKzTY3{>#0eQ(OD z$8=EkBR8T`*}1SUDBnak_vdD5J=xn->mvi-BT1x>MW6A?p4doVD7xZ2>=G(d`ymG4 z2m`2>A_x*{mmlO>!GRHk<-~{P4?e4VmzIIL9;#W;Dyr2h!={5 zW)W}*Z_yHoMR*R)SYb=eLA>$&(J{}q;*zwHb=7AtqCV8w!W-D62psWw$UAi03?t`2Nu$oN# z3o;(GNpilIWXCSeNP&V-gqvY9sIcP-@fgvRiwQ?89h&M~Gf5(nosMHe@1D|@w*7%- zrmMd1A&RKJsNU-@cd4d_tmx4cRnyCTducw{!lzzNm3K7VfPhE~_c-z>=s}RkdX8c9 zJE(N0DMweTui=htFZC6xSvU2%HIr0!LO7()GJFZ5r9N*iMq2?&p$FEu)Ylt+5?lR4 zHuVBb7Pj`-xucwuLUHHc`kN5_seMsoYr_*`ng3tL3i~|ewi{d?enaX@>kXuD`wBKP zVfS?osIMW2#YdljiL|IvSS_y?&q!wN;9(%nt8K6;uY8)JQ%`}O?Jz-KnUnb`CRgik zADFXu|5@&TY^wM5>!?e&Tef-Is)fm$q`u6tCxAtjV_cKk z%?j-aSb2VIH{qhWSYI1D$TyI7#z1h9XH>n0w8er|~ zQeVp~7`{~Y7Nd8|!-e-D)BloYIriTFVPQFHQ0l9wIq+mptaIJP(RHWuupB6wEjbT6 zW%t`lHuJU}5qLYNDt-&SITBz?Ld0s%Fb|ueg z39=x23&gg}ai@P^l2#VaPx4FJ2ZPGEBnBXWsE@oXJ0VbfqP^F+4%^~b2X~@g%N#^s z12AASA`lEQ$svC(I>+Z6W3J2iiqJalxlQ!iDf)%$dkOvUFegT2sf{md~E{6z_LOfy} zurJcDVak;87_p9%@B&5RA|kE=?R8gb5>q_$rFprGf`BK%UBU@efyUxdXFFJ663%K3 zHFKsnTOkNT$;f6U^o*4AOXr%2ekKFh!{*}-1XCSgBKT>F5K|IDn}x$DMl z>pCmK2J@l4!Q$#^vgDfTOIz9;>T7cl0Xw9t`2B|B?;-%y!)GKN4iwb`j6L6;!os*@ z_EENX9z=b08GY5?1%2XsKVaKxl`ksjEw}nAtiI9PJRd1&1rNp}Puk+b?{P3cznQ%a z&yzvt=*buO3`Sq+22q&Yt-fBEiA^xh@d^em1}&?>=*u+v3dawi=-Yq-kd~N0-2B#R zW`E^+zn~ow#&`5WY0lwM{)^k0=d-yYEd4e;#5S0>d7FHP%iPx%%>6%e&c3*qS?^hB zlGz*2-j)@CuOAerW}trF`h)YZT<0+5v-cm3bwP0iJ;u)?S=0mSYpu?=SOkn8*ppWa z_&E9!60zOa`>uIyue8grbJS%g_sSirf_;g*rAn0czM#+AhyTb}Q9M0SIKP5~|GC-( z%5>cgU3;RKDEmj3{n+7!e1`L|94Ol4K+zdm-DA7xSE|jbO^#oWs#zb!@L0QWjSgFc ztkz5F%jc$W!HqTbmmJFN@3SH{jk#+WV>|1FSvAs{2+F7peMooHbrr_D98 zThuP^MfaGl#oh;a#vO;8Qpw5!607`LLx{jmo7T*6Sd^j%3O-(+idv<21obtXwqb>x zx6#H&@ix`VxR2Y2irxHgV{e->FS?sJgb!xHWe4qUB2ALKbX)56j9ZXoYjl_8eff@t zM1ZR4wC$ptKWN1?7L_%D=P#^7%c)q*{sVGqoV>NWD1iYs7bDgj&&&K!U%kxeyq%Vi z-b^}CUpJ%6M}mvK#6b>e^yN*Hhv7hO;aw}f>=ffdsF)?Ptv6a`|0-uTpamSI)vt_& z{H|xsoUZ!%Ae?U9phAayNMDZnTDj)rA;%qsg*b9l=l5MY!`h(|YEJ+kSIuX1or7>% z0ZQE`!18P!sQ&9m5mAk*`Q8s0KbAfgg8XOKnSuH5-SitiRMf5Xgit=RX8yUnET5&; zRR58i4w}isN~5o0cmXy{?p9xE^p&z!7vS;vA$VkR?l!J-SR-N6$3L4p%N0or#IfFG zsw1N>O&%UWeL4T9pC)5-?YVMIw zPvn02hf?}(I?T1a&FCv1j=p}cOEqNl)qDTZA#um#VSoJmJrq5(aorvBnmlCmmHSq{ z-as!G^%X~7)06+g|I^+h445d_<>k*Z7NS7>^izw|?)5Z=7n$^1zMtl!4Q7ll%HQ43 z#!iemC{*L&uNj|sSc>W6z!Tx0SSnBTk1m#WnCs0r4?E9!SYz~6*vuLFpaXER=!O|4 zLIYvO(2qy z>?5+v)yW_yl{?RG7rcberhHF=srLjQ=jd&xa~JZ}mQ6Vg;+DBgS@H%bOf|XV3-H<4o`fTsun=BO_|*0Uyo2*_mC@AeP~bu!R@y%= zhVSFI4VCzY>mL1_Y7Y0l(s~z$eM3jWxsFlsP;eyd?j|^Helg(S+v(+oc4cmGH>Mv1 zoq>vaIFcarj$p`1ITM)PW6rjsKPG`jUqH4nPRm)@joGTy*Z$ziG8FaCBNMtynmjC| zz5t2G&OTLEm!ZAVzaemKkDOY-DFNRm;oX~xJ~tfo?J`cRHFj*wFLPII(Bz@tslMXm zVLVX8PP!o|_b##a)mKAu-#00;Y~s3GJF??s{t#~ugO-@yv&A}9v-TCz#$vEHo%!b( zA^-bxSjKP2{qLRFgT_wh@Km>Y!`~@F{C)#B9HCy(A;lZAa{Wuy<{ar8e16Cll?nII zyMC5TID3(lUpaY*`l2#0Id}H9gVk!yH_~71s8F_mqwG-_;zoS-zLmv^>)-7(`szp( z^1(~@hhyB5x@>VoH!V$PJB!J+$U7Xr^EVeOH_pIB+vE@&=VU0G@1>Ef4ijhkeuJUD zAhzo~?EA-$+Q z%&~qf{)8|ZU#@(nP@9kH%gaeg-R~F>RLf$SUBLu9Q;xSc-{-Y~R|Mz-Q3TPn*Q4^` zkoBW4x?vs>x(N6(kyb3c!QXa}G{ zj`Ox|7ML;ejSmxLRS;M80B^7NCZdRY#>Esw`g|B*y$C|zQ9Vg?bdqy~$QRk;8+-E# zx$8zpZfYfA!2Dd}HR1)Gp1gSrh|F75UoP5-Df^KRahnp10LGl~^#f7_#}QK3 zWBm+|`66c=O%#Lku=21aJ$8U|bqd>1q8STJF|8xHnKf6ns*QC3N;O9R|TX>o*c%Gx098wCQJBggOY&dyX zU32S(F?l!yRe;_T#k$m2$$=uPaR@JVc2tZZ?iB{-V)IwNl|Xz4eG~On=xRY&lKLVU z50OQG^#Sgvt!}QuhyL4ePjqg3NY8c8)1m)j#)&obzSmx;_kS3f-!|1_?W@rDVcC&g z>^cvttsA>YbB5K{=L2%CyPo1ig1RR(Inn}tr@zK}z3;jYQf{iKz8p>eC+w;GBdAmr zA-6Jab!&!oGg5FPK@X3evgy~`m_~<8M{(G74e@mi^)=`Dz#da6&3V`x(s@{9Hgn7J z&Lqj~rD`08p7P*M4DFDI0ZxeOOOuBUpl(#a)n+$9!BqaX0ri7kg|IUCNMDaZD8b

?v4%-dzCt+*5rrG5ul=l3AstUBpJWsx)Z`o~;sju$r?gY=n4iP^Vw-7=Eg$m3q3nT8EvSDHS{_lG5Q))Ez0gyf_mjz<;FZs zH1qu%R^MgW^dOxhD6V8rx~RV9{Q**Q+0!^uy6RiWZ_>AG=V94b%l=J%^NefLZ{7{Bj0`i^XZG=%>>QJa)vm1@Iis)PWqN_p*DwMVjo!x`InLD%Gl$*U zD>$qlNAHl)t-uVQ&FDzfQ+x+~=IJl2xgKFksV`3+{sYRvZx?w{zw|aPKAlZhLAMbt zihJ2YbRM3*_%lq;Jz(DZ_m+0saXw#pn~n4F*Btdl83)B~JzeDKJS-*b`3F{Z67Sjh zx&B?Kug4hO#0kFn?pNJTj6KbX&Xf30-V~nioz!4bf+*%V33h`%r$Rb=Jf!=D?DCq_}%Qvy-8!G zAg$07MozhCrk~~SE9~+Mh+jeevWr6XuK0N>Wk6NX*v8l z%u9Wxq%=5tyjZAP7BP*!p3~%^g$HeXl?H190$;COA@#NBCirv;ZOJWrklE>czh9$w zFg+G-@CSUb%K@4Q4D}T^_Dg;3TKgKRFRgtI8JmIQMtymEs}!8nmv$cR2S~KHMk>hGhzjY<9tXes1$P@$bj*YDe>`;bS$rvV+A`8XPI<1yJ`No zf~|~wg6De=GJp8{mLHM&T9JDjY~4?>I~-6IoDydA<-+fd`?xEB$<0IdM42D#Gu?T` zdD!AJ>^$6^YMAr51T_FX3e_6#P^kOU4v|vk)CXMQNA_!+GJyB^XyQr--qpT7B))-I zW1yNRt^LzFRZB`fHC3IsVG#3eTI-eGHlT4amVL*4{A#Hb#l zn|l&l$ER4;2YqkY-i|erYI(uDKDrsKE6Q2CZSI-w&M;2=CN4W8U zx01DQ80P6BL1JAF!?4}3%_pv<(O`z&(0hbIEvuu8Vq{K1gQFrfeYN0ly&@Bo94A*3 z7j9sXvsZ5E7aJ1!jDi}^6-Rn`$AXJF5uNiGol?}a7E^GxEPET&Ae12b6qM;+-Swk{ z3VeL-`G|En6*rYwz|}mCkiv;s4EWb#vlW~$5;YfKu#AJ8nqIJv?nm&()J6I+ZSTR} z0yT-*w8>CS_3Y^ZuJ}^VIygp-i!>h9A}T<0z{B&fQLqhTDVWdfki+QtMQ*5GY0Vvn z(J*8l#hcGlFV&1Werk0YRbtu+Zl`5$^RnfQ=YYPC_R5?LC>E;%Oe(d7Q-rix6Wugb z0|HRg*X7ou){kGtmS&|G#GHIVT^$Ag7?EiA6iWwW;RnN-B( zGXhHc!>RHheRgk$DM2*_DtsLLkMdLcJbgyK4@(W8B8Eq?H0NOpO@<9%d27rL(iLaT zosj^uQrcUez7IP<8TEGZ9Q6Nt#Fpj1Y7=Kqim%$<#~FdwZe_RfaZZ`U+u9<&!t4!| zJ9WUZ-r&lM-HavpAEF7lg$WxH9mK&Y66nN1v!%^1C=-~KDyyH|7Mx-WU&$EAf6DyF z=+?)D5Pe{8S5e(78B^c4Ufk8dZKT${KiC?Aw2My@b!N}KJeQZ>kl;UY%)DAQdas`^JUaJ!McR!v#&?2ZUR4C*;ql_F&q zP%?~1kI@gW9x4q)P!JKF!vYK5r*LUTYhD(Q*pOa3Oj=$2CTNadAL;>v(=Bbgp)ae_ zDC&yZ^NxY^Hr+QP2_@j)H?*j-gyEQJ`M zYezO2Z_tfQ_LgVm!el73o_00RP^>6fT1WFK+%a|It>7wZx}!^_T%jw)pLAqo0W%(& zjF!QB7aZ{HzlfDNLEEkn?dV=PhlXWzJw;2a&q8n+8 ztw=`!>0^tH>ZHfDFXzhKE4(s1%2XbrNJ&Spqc7Z23sDanG}S@st075<5m0O?8V>#k z>EnwUCIuq8-yme1Hw?y!+SNSFLGO<<7#l%r==JzSIxU3y%Gq3?gpppUFGSNBs4r=_ zq57KcBjNm~8iv60LYDf%J$}=*WSr`>rA-NLg}KYC%&FaIPSaN>pMx6|;4JD3$nAfe zKz*HHz903Kx&2Wz>woR3Tg(J&G9og~wu-AVu+m7N%3aHlcfv;!h zxz9-I>*aGhFrweJADn_S7rpq9Eb42qN4Ll4eZGoS5XFV~IU4*Q8S_@(W{V5k@m`xC zu2azDt_H4MT)BHm(hl_Kv;N8<_E%lTnm+zotqsn@qP}K}?6r;lVs*9F4-cvS36xFW zkYPXStFKUlv?}Dh>ZK-tXuhx~_2p#3C2AwRTfsLNE~N?9nuaua$TbK>RGkc&9(N|{OZ9By zGU5d_$x9$1%+ZI7F+}@<3u^K`76A(Mih_~culW>nUP1Gv+DrhHk#ndoZ{fkKE6h z<717mbI#3iBRet5RYK1%= z4ShmJRRh{{$3JV?eQ&k=8Iis?nJw^I`?t4P^?wjU^-E0BqrTcj1ZE}44wc-M7+j$UqXME7c^X~Ye`04bcUD`s_myf=C(Ms-R)&Da(n3QkpP54w9 z=7XWW$hXVj@bOm{zTnu>)|`hm&ckw`h`!;~>dSt-iOs9B_p$W%qrRxS)e#Ws@uGW` z>L%qbtf61I7Pc#LDzNwss(v(%ddyK)@)~K)2@BC9rG%fzt0)CI6#SeFxO=^?glaW& z!nVR+>9wa__{6DjsR6_89B~9&&2Ty9;waLtV4}i=$T7Ftb?T$A_wZ~0P~75F00fOi4YTT1SikWav#`Z z{#6;%+4Chr+n&ehLTwg0915A`z(Xw~K=&iJW1fhTU2b~58arDKW04?sd1EtNPvee$U?pvAqOrtMY4Hi&e_9wIE zYE430Yi*&S(VGuTc{PhdmUe9@0ZX;SWz7Lz<`r5`y zzQVm<+f>sbphv8~?Icqe9}wE@zHL(*1d!O2`zs2N**Xn{4kvjVM}6V1mI^04RW?UM zq#5Qy!g8f2+XX3x!8?``IT!q#4AAw2^)g2&l6!v@AEDPizT`Kc74b$qxTQ>VBRIz^ z$fjr_#OP2)xdUxKG{>B@#nf^jc;Iq1UdQ=48UZ>&JYveO;w{E1-v}w9_fjPj1jk#X z_5)1vRz)^ofK)g>J48o^wmm3bMCG*>>@fASer6uSNa%u$R7!Y|G9RedvDJY<9KBAX z`+|ZC&Z`9EOkWb&ju{(*L5G4A?9_D_SWBPf6{qgzUy^A*5M%7H3E*zh?-YWzke*uj zO^hp~Q%<%uL0Nn$MDvIT;*d~D=djoad%#tqR$z5PS>>mJiFX*Tgu?YmmFoBParE^L zju)K15@|2{*A#y<`qJ80%<0bk#U_Sj0tI`tZo<;Ml6#{e+`X}2TS_i8W0S$;AtMav z04_WT4{yQ5YSdM0U$Ah{aF5XAsVf*zU$j3`aPhdytI4*P+v1z`^qib z>>jXJzV_dYqjJzR&)&L7p=qHinrA-?ZE>Jd#+PVrDNWE!a8->+AAjXA(T?-5oB3-N$E>~yg(xytkUkX<5v5L4_B;l) zYx@NsPs;n0lmJb53~+&f?lTWTpwI7|3@xyt`MDmAp0haSiIXiUjE1anGe?cxDL1?P zf}({5-y^C4z>|{E=Y z>)FRe!I%APMTQob#~WwMXJRKJ@X|zRq8L#GGyj}9*_hFs?zybS*jH(R9&<&gQYWX8P%cLA?kFU6+ax%z z#G)!OIwIK>g#Kiu(O01&`Xf?b**iFff4Y&@F5D|Exihx50&li;ojhXg3zqazv-{~i zR|w}9iFO=2bGEZABgAs90DHqh_O5Nc8~PI>MPii6?~qR zJI=|>NoULMJZTz95Ko^Mb_eR~pawhTpX0E_Riei~8Fxq&wUIHXuefz1zv?z3G_Xq% zI4Mid=qtvrwXaGat}SmnTrMY!5zi24zq`3QgEq#&S=W6lIg>_TfsLN!T8$1m^-HML zgKsD}#&mRj1hvL%qPKY4ALMxqDh5a&N1_-rkvXbxVLW~ObKWJPOVb^mOj>2v5H|6e@b$uRwA zK9-+sBsDxeDev7(f!oT0a6MfqZx>?}vIFtsuU{zUuQ(4|Qib(d+5rTeerO$h|%h zo+b}{$CqBzH&eI}2I1YnFnr5pm|rQTV6PEpCjf-(vf{xKlzM!I?H!(Ff2KF zKxhNd$6r^FTTlTTo`)UyHt(7qZ;yrt$j9U8iy9wCU(dF%^=XCSWQOMz56)`zMWVdR zwe|&ED2vbK?-}$opP-fvYjQ%k#xt+&_D~(S8yPJ==|ZNpuW&SXb{PdslV%Ie=xez4 z#W@euKjkF5?1u#7vWq4UUysvwgdrYv7p&DSe7#GEAEo`KR7CZq(bpj;c+^)h%~rN& z_=dx>3Z{fD^+jg3LfO4>%vWf>sJ<4rAovOkYr5<&)IVl^puPrcUw*Lm#Q<`fxQ1|= zJgn6A_nru*(N}f$Hrna`t%WaQMtyaN4b7=*i@Nvc`F*G_cX9Fowk@&mf*HV{{H4r# zue^a8_`a!KC2TtlSD2n-_B7Izgr#1`P9k?z;+`tY`RE^7Z)(wEZ*2sU$h~Uq;&=yxhVU9fF0`IncMz>fNsvY~3IwHF9C=hFinq zZ0787rH+Cg!X30A!{!Rdy`VmUk7z~&(r4!m%x)uJnu6^cJUb5qF&(YAjfi6KTDhLb zZA=5i00+rjuB3NGzlS_?-*4gTL7@jdN_E_{c40MJqbY5k!Wi4N4cxY2W=Hb2U3Z#% z5yW~RqaZ^&WI^mD7BT3AAs&^>`r;va(;4O zlLb5k;x=WDAYaM2j&Jmh!!Soxy}Ok!q|nbmXQUJi(qy~zsWJ^Q6D6l#`C3vE;bxvW z*u}RMpsUo+^>{}n6A#$3`iPG_#5J}M;7xhpl(*L@*i{fxS?mQvT5wLPmRhpw2M|F- z=6RE326&dP(W+4@xr_5;3yf8Uyx>1H6Xu$*afNFVyRrlLaPlnRz-dV&>uYv=oD@J> z;1ZsURP(65*iE&W*1q16t}^$bg|AO5_(e^JycyNcCp&JrD3_n$Dv5 zXqe#?qDp2PFcraY0yX7?NyoxA-XA^=d(ur$Ic?3^YjJ$x;!>C+>{ulQ1%7g8;|-R zT#B*pA(cf9S#=(E>tDj}sSdR6#rx{f*Z;RoKdCPiOH^MUqg2Bhcfs2F+Exo+w_C7t zjjFL1k1sI#D)W2e=GPva~n;?MK756_211peh)eK1jPg?BJ;7tL6%PV*it6y*1k`b#G%^*20F+Y=m~ z;XG_NorisUDJ6+C8wf@G>H)gWE>VhsinUCW2|!{t69tc>d@THVOMaA_eD%ATbt1)k{KGd)Nwhk_IKoDTRX^@CvpB zxtKmNyM%)ib(OPi7Gu1)FdM32VKACbd~?Ug`M?el72lCi-$E5~blkym!pvO5XJ^SM zC3eaey*}?TXol51NZGc*ArPtX&~$xpGv?@&;kDA&Ip``U)>g%QVa^k~z(wQ1LRInA$JQqoJlRtDMqbEzUYl9SSIExtbVQ*P}f$rrsa~>ONqrNHnKe z;v}f{7w64kSD(jwGatt>jD^8@Sa-#FSbPT{9v#0R9=G$fb@a88DO3b?o`OuG)s=zd z{AB=<{3ipY1)z$gv>|burS)!UHg2yJDy4&_V>>}k?y(^1?I|gG|3x8E|BD9N#t$+U z#O!2H;s!a^KKi0TbdE5p$aHl1!=TPvl?9WGCRo{yt)b4vdKIcx5KSZ;)U8y|zJq8% zu%1rTLVEsILHWaLg1dkQ0|ZOwbDa9H(D5$1-PB zKGc2T%Mx=tz=X6#suroG89oot$&4R=1E8R z!9-sLNp}}JpaAqtHF}nhy7eKa+pGgcoqdeHKF<{M>U{5J)@x5QkY%5%BQ}QQQFGAQ zq5FPOT25)*R22K*%RU7W_8U>brJHUfn8XM8o|>m`@|#C&gGH6Wo&c|p(F|erSK}3I z^{Oefh6-vPx27@7w$9*ZkV^L}p#04crKF@6q78zm4|=I{gd3 zUkv1~IQInawfrHPQFqkT;`O&snl;FI=$4}S`0MA`$#`OY=XqGmW?v<1c0jMI@4G!o zhE0w+ZD}(*e}>zTTAF!AUltg^ik8>jw}WI;WJ`roFyG0`@T2P#sL9f0AN6)eav%Bh z_J8{w2wSjI|HM*S^ttGW>Op+(C(<}8)trZAR?1PG)GiOft5WvVQBW=DP(@~Mji8i8 z?_*i?F$%c=DxgCUzhP+GX=tQUM0|w(N`tmBWBXEHKJwFtypo(9>+uxKkr+zL ztz8p^FOmRmrJ!rBmAf)fZwvN6aL0d@L$&=GbeX(Pe z!@YRay}7V@L$-9?Y4P?fzYB1;FL-3k=JjuD!1U=En{xM} z%BuH(dMdZdM~{(@Uid0vieL9rKC?}(EPu9Shzd07tCafEtW?gdRMj2MN_~vd)6lN< zj=QLNhp#LYj#;Uy_rzmT6a3?>ttazB0a^M;GRv6Ewdk^Dx+v?cA$tyQuT|p{0OJ$eFh) zP+!&f`F&Ld^7QZ7nl=xhz9=qduBY74EmNV?vgrIR7gRC2s4qCaZ1woQSbIk2KhVZ> zrGjRF7vAps;Z2!)1Yo{ly1o|k1NqzbG39!u?9=mBhCrbp!ElW6T+V0O!v%P zp}w4=NPy5SY(k0_-rO_~xs)gMke40W9W%E4OqnLKSA+c&>MOHPi4MJa>s`G! za3`g0GwMr_$7_pXGxSFu8*ruiiaWB0djd528YWr>Erblz7mX`hP*GngH$-hO5JSiF zK)K=5EZ;=aF#0Od66=2Jvo_l;a@3dg{`wDbgU|_3AMeDwj`yOL+(lFBs}nf8a~Z?x z?excgmh{R0{ct=OeGL~?-m+7D4fv9JaK{e>(@Ce*Z?T&*?FraN{R)V%e$)8k(tluc z)vw&PWS71Z!jY)HpcRZuoF3@;?yr&-(cG8%f~NNuxhf3x7005#Li(U&gVC2qeOc`p z59K@0!*ZY~aFePK6BJuCH{H9L=6RBxbY!HqM27M6$J(rM>K};HqK*?A1QG*Ikz;-^V1-$iSWJwx_QAQP*8{_ z7o5xyyq=0$Ne=u5D5=H5o~0Rnq}v46RCiMCLLUh}`9AKO)>YQ<4dTfQPbVZ(lMhu! zU*c2;H`~F30;2EnE-Anyyh)hY5XdUIdw#ollfw{%BJrAP0SSJsobWtGWweSXMA!ux*;O+OOicw%HljE4#XOGwLoP~{X!H*0zwIV zd_p0ourJR$BIUxIL$6NIky<3CJ`E`K#^n*u>-lDLtEeyS$bK=YuSISgV>?qrGGboy zAtIwDSS87X}#s*SfqTLGN-6VvTJ=ce1HF;R6a`>&+5t5J6 zN_{*L@P%?U=R9oDKiZoJx86jl8$cn}5+xZ_WV{Tm z>dOfWIlw{Yc$!izYYz%@))8@Py&!_yE{DJvhVricqp=pmF%et}<% zR_`H*4S^OvVzgtKj}B3dG^P=+01rF7Lya{Qf!M07hle`eRY~tmT4{g4Si<+%22hRl zHHn~9ZAt}gr(PB>2Ni+}guvV9JY*Pxi=70lrA=7PyUK&(wLd6?7R=4NB9dk3JXT8y zqdL}#kab;je$G5HjH25I-NGhA0GZ`-1xaP9%54fu`uM9;!@J6Oe7OkDJJV9)Rp4S* z3g>}ZbG|S3_XAOq#1{HVTzS!yfk;4umWPi|7IydZ za6tO(&NIvzpCj5c$mpKC&3@@5scyEkbs2rp5!?ZsVZD@V#KfL$0Uy|N<$N@bc=$vk zbWvXwCyzRIW+B*xUn9sT6^uH2tPzi<8SJB>Qon8_K+`7#NB-Z%nUuGo!uofu#}VDk zMa%mBz@fg~ziH#U&%-`d&W2mFxt)Ba$`&F$k1(nYq}Gep{XM+(K~zmJLS}xr#jvt3 zH55YgZhK{j1Aq+Ff@MrpXZOWMA!z=ID#jyrL+PSf%}-FJ*A9amR`j4}H-mS0368V< zltKj(X!PhZLQN6x7HwE%oj|&xXiD5^!SswkV>TKdi0zsmYP%|KWH9STiehyaKzLO& zed9W0PsIAZDG&70_)?kQNZEFM_(Q83*3m5Kt)k^m208}vy= zZnF_B&`sky2Z?MlcPXUw@CqZ~u!zHSzH0rdECMx}$VmyPyP(skuc!(oXgAnixeM(o zi`#aIyU7R_1vKDc*l~(-)D2l}AVi2H(7rYAWgf^!)C+7*@@M7-W0l&<5+7W4LOjD- zkJ;CHQeRg1!54~lB3*4Jt}J4AJy06*S%kYW%SjA%BW|Xl4={wOy%|j z7Yjr@m#t=7@5sG9^d)0LGV7zh;?|9^E7h02P*hcP+DSnIV)jveZ9Nb#)6;qCC5^t? zfuNy&Fr8!9mPj%^;N^5q{ry%Rwp`YF~hQ)+F;7^P|;$=dgs8qs^)k}O zUr}MZ&%=J%CQ)A`|0>YtjlU?Q3<%a&K#C7JQhA?+Sb?e1jub|-6GALq(XjVJ?Zz_< z+-UO>6ojgZ|G&HQi?Qpd@A#avXLrv!Yx~%7%LXOstl3y=2xTDwxu}I%V_;?Sa6=J_ zii$2SrH1w)QVMcKRAx8ZcoPL_n<5lIs;Zx`3W)XNSi%spA8e=JwWqyZhE%4qqq4SH zte|nW(k-#-xn1QRHrs`wD__eYP_>fH;8@K%%nlQ+x#tWSoeHY&eOL+7YtG+;Fev5d zhGt*Plw8>R>IFBkNqv1>>WhIVoRK=<53Q22F>UiJoWs8wI1T|L9?nc~RZMI7k^_mG zr|s>=`P9Z3sIN}{$wRNB8#;LyUMSLU$&Zk z<(xd^LmNHHUe*s?M9pBXemAjFU+(O z3eSb7Xw_h`W%3F6l11tZ`32m_0v>`z7O}{TP$!b7F?~vTV>SZ|+M~uC4_U0#mxR28 z4`M>@m6U`!8ftNtQH{4mfL+l6U=x*Qf`rm^gE73xyf>CC&~&^|YKSk|D1RZI0n65b zjVwO0Ljo#z2YOpE=zCo2}DYQ~w+0NOQZX@Cq&X{ZR0I%&U`dV#GagLv3Mx;g^UIl4=E1&Tv*f}9s3d(<`{$u+ zx!z3>XI~dB>T5Qs-iJkf>3!HAv&f<5v;(L%X;}TM{7a51=NR*Og~xiPZazYP@f5!H zu7dYAleSV1?F^dcjuO+#L~;R?Q!krRv9iz*2kSY-ZBqGy+wq*mzOA1l{6~Xh&{-)I z7f`4CahYwlQAO5VL23airb_2-MhixF$h30Sl99zK^1TqQdwqFSsAaeiqX5WJEdU{o zg3AP2{+_?t;P2F&l%Dr3l7`9KlF#hjSFY|v}MoMps8w}-FVU2m}h&gsY zE8Go$<;!0JO#=BoLiQSkzfi_o{S+4MhcLhzdLP#5MiaG+04kqw1zQ474C|e~534(} zaW?>ol24~P?Y

Cbdh)r#UYb$?I~PRS;bJ?d56&epj2MxMo;)H z_H?+fH1+cuCs38{GQ6wxp`2UiNao9-ijSw6+;KQJR~Jm;o}-R^;UrzdD-*^m;=;k) z+Gm|UKXjT^sUxX-xPBit&HUm+1J%&;4r{6}0Od1o*KJm~PIQwQuZE@~|;MBA83YKKf`ihviW&OF%BKw2-RQ<1 zaJN8d5P?$y8bP=Cdoin|L-H|cTmm^1!|^A_wj;*?h5~j06@U$x(MA)c;u|hsliSDf zW?^Q^UdT6CofjuN>q=t9yFy&AV+cM~vNgB;TzfGmiCg1MCdPIW4m5UqNC4{!P{KIQ ztn$%U#TNYyNs5$@nu)-e^8t;JaR9XqqXAWmKjfBd9Ye*$Eb<7cZTPj+t*e}T2VYX! z$v69O1SrsoA`tZVE(b>nVV~Y)eKc9Hx&qT+FXOgfYG9RLouk>+IC3bk0 z5Btm}a!VL_6xKM2@c3ghX71BQjsf_abp+$A@ps28=k{QHdv5@1SI6y0Y1^>?&f1Lo zI$ZonLXO-_apFgp=u3SSM(PX1@j%5+!b=>euf?FKR^~%NSaShlC=`AC)tl!aRjx|K zTiV(uVWtGxmcEKaBR~4AS$dqO)c1H_vM+(%cgi}V5veZ|)K^`M3f==+tjzew?}4RHBAx|kwmZ|YVzZ#+2h^8Gef5sC{b?^-L9)dw z5J7!q`T~$%C^DbY45$f68l<-9p5Ro2GP${ww){_~sVM|J!1cY~C?0--k8bA<}ngUdA`Ex@>&vC7@&4 zoofnRmaoD{C)_;i7x-q_!VEPD^~_F=`6u$?SGZd0Olqjz4WQs{THQF7o->RRCXDUQ z1~o#|!_?&4=GReQ^Sn@$_rDM8PV7e7_j#%=*E{ij{<~PK^bDm%(Usj14ZZ}68HeI3 z*k%x_0MYu(cEb8_`q(mX=qLK+P|L|OxB$q6$W;Wi6Hpw7{${G}tb#2L zA5yO?36 zdT+}Z7T>meFZA1}>M)+brw_I?z1GVK7tnQ_3CR7|`a;K8H>bAO9QP=~&<{ZUk+Ju> z17heHZ`As?+n*(XgWdX$W|`U11atFOMCK+9Gbi=)R2b{3aE${RCVO8R)z{nZ40Ge+wR!7*$ZV{w z7fOycX=I}L@i)2ID=$*$hj`0#;m@sUXE4Vv?1xBwrPb`KQeWXrK*F`3bs7>xP+yo< z8zD@NauTm{&V`U(*y zU%+T1EoqShPf>{k(rb@uC-$c-$^K!OsRaK~k0d$8c&ku7N<7s$bH&y#RuK-H7DK(% zTuzF@9`q*rtjU&ECT!up?m}&^5C=^51J${%emb_-fv`~yr@+3(jr!W;FCjBvNOTZ2 zykFwvHx4`_9<@%D_*;a`!i`&$r37!OC0R0~B{X!4V_hfRWJ*nN*2e@+S_Mxs#GkQ_ zAtqCcv5=G)j4WWpWjwPm`-aWkj=3GO))6-CET>FxlSJtZUQZf&1>+` zvUuDa{QK}^E##?2a#}96g3vnTXaXT&5@60CDCW(qme^x9Bqgi}6=>-(4ju)eTOm<> zrt)vbxl{CWGDJ;0J|sIygq&g+*=rDnt6f;8`W<`PT^T~12^>p0R_S%Hlwc=~v)Bu# zdfDYa8XS5k@pc_OaW7I}rv#F@S@BggCuZ09hjE^jMd^wMfkJCYw{!=n;DT_dw~SX; zWg7{iwM`#xwrSjLtu%+`%|evB$%U9N&_8cj>~gl}g5T(eXm)QiiFw&#yE|QUIz*$s zHUd55Feq8F&(l^4w zN>CpOucmd)p$Yrm*?~&3H~D}0B;|k6tgR+f)<}KXuQFwo#DhY?Y%6yv8qYs!&22g; zE-b2L7cj(_FeXu7;f0<3&c1r;>&o99N&U*Z#f4TC-SQT)7EOw-A^iHGJkP(#4d0)G zxpTkla^%zMDl+P8&SHiRK}Flxd2iq@m#IZ3A`5U_Zj4|Nr@Q!SOXQDgRm@)%a0e$6EIC+c!Pgu?<(#D=3UJ>f>6o{v|iX5DJ zi1r+sfFLDVCaVwuicJ`P9XofTC2c#vjZpRg(GA3NDzXbmPP;Ism&f6gGecsoxPzTgRUlN09k94(1hW;-K zwEN*@upR9h(+~MJh%^-EjeG1PRgL|}!caAE*RjHlO&x@?QeN*=2kLqgK5h(--w#>W zpm6O@f}1-%ZlHVrg{11`%~7`(Q@wy*foZ5=_loew{rwv!F^WRW$FQWx6kx;i`aZnK z^~85Qh(~6dQyR{0^SF&M2eQ~|9PNf64)Ah4Qn=9)bVE#z$-;1iAqua@V@#_1Cu#Q| z<$o}S`ole8NB6(jLv*`0$Qxa0dJK%SxjhjG*^#e3QUAXNq+aWAnepoWvsMz1!6vR* zep^D+L3Rg`PN2oIZlDE$7{Icol?rH16Sb^Yl10<>^9N}T0afZG@1%T?vk&Dv@Ls}D zRC3rCwL;s=tog07RH{btgojskJ!U46LN;S2ttRvbSgFk$ z?4=OXYE}LKL|SGobEX3=Z!ltORzQAqjq}|z%c!{|X!2pLv=jLYH0^Q% z>A2o*mf0CHNBdA%w_?Q?_!)Dk?kuzulCV?xpVub3uU$KAethly=CNznUZ=+g%;}kF z(a7vq?%xNE#N2V~_$b0CeCwIzDwP3FlRVO>G2|f(c_c%bV;S)9&%N)#^H+DyLN3}US3|-Tw0&V z-Qr6&EzX|u#>=a-{t#cxeJMoD{ZFoOBxw%_i||tlq~V&jERat`K1A%%O3m3*8x{G9 znh7KF!rI{_RxbuxiWv9`+y}no6(WWd;UOTyy3&oL$yL}xkVjW+O_&&CR}b+VWTS0| zCH?~*CDDKpq+!AE{Eb?fG7d)GMTX{E>kpa7dVKVv4ACf~giHmZ!sx2$jiOPd^2OJ- zUwZZ;72%le&;pZ*-@0lJiW^?6$q#)jkquIbwValsxsoXtYF%h3p;D>olqE**(DPEw ztFe-RHQ=S@@qs>CN=YIM;+B|4gC#iGc=JS^Vm~dlWFWGd is not an object ID File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 5 - Info (332050): create_clock -name "iq_valid" -period 48KHz {rx_ciccomp:RX1_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_valid} File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 5 -Warning (332174): Ignored filter at SDC.sdc(7): clock_sys could not be matched with a clock File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 7 -Warning (332174): Ignored filter at SDC.sdc(7): iq_valid could not be matched with a clock File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 7 -Info (332151): Clock uncertainty is not calculated until you update the timing netlist. -Info (332110): Deriving PLL clocks - Info (332110): create_generated_clock -source {MAIN_PLL|altpll_component|auto_generated|pll1|inclk[0]} -divide_by 335 -multiply_by 64 -duty_cycle 50.00 -name {MAIN_PLL|altpll_component|auto_generated|pll1|clk[0]} {MAIN_PLL|altpll_component|auto_generated|pll1|clk[0]} - Info (332110): create_generated_clock -source {MAIN_PLL|altpll_component|auto_generated|pll1|inclk[0]} -divide_by 1340 -duty_cycle 50.00 -name {MAIN_PLL|altpll_component|auto_generated|pll1|clk[1]} {MAIN_PLL|altpll_component|auto_generated|pll1|clk[1]} - Info (332110): create_generated_clock -source {TX_PLL|altpll_component|auto_generated|pll1|inclk[0]} -divide_by 2 -multiply_by 5 -duty_cycle 50.00 -name {TX_PLL|altpll_component|auto_generated|pll1|clk[0]} {TX_PLL|altpll_component|auto_generated|pll1|clk[0]} -Warning (332174): Ignored filter at SDC.sdc(13): clock_crystal could not be matched with a clock File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 13 -Warning (332049): Ignored set_output_delay at SDC.sdc(13): Argument -clock is not an object ID File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 13 - Info (332050): set_output_delay -clock clock_crystal -max 36ps [get_ports {DAC_OUTPUT[*]}] File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 13 -Warning (332049): Ignored set_output_delay at SDC.sdc(14): Argument -clock is not an object ID File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 14 - Info (332050): set_output_delay -clock clock_crystal -min 0ps [get_ports {DAC_OUTPUT[*]}] File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 14 -Warning (332174): Ignored filter at SDC.sdc(18): clock_adc could not be matched with a clock File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 18 -Warning (332049): Ignored set_input_delay at SDC.sdc(18): Argument -clock is not an object ID File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 18 - Info (332050): set_input_delay -clock clock_adc -max 36ps [get_ports ADC_INPUT[*]] File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 18 -Warning (332049): Ignored set_input_delay at SDC.sdc(19): Argument -clock is not an object ID File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 19 - Info (332050): set_input_delay -clock clock_adc -min 0ps [get_ports ADC_INPUT[*]] File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 19 -Warning (332049): Ignored set_input_delay at SDC.sdc(20): Argument -clock is not an object ID File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 20 - Info (332050): set_input_delay -clock clock_adc -max 36ps [get_ports ADC_OTR] File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 20 -Warning (332049): Ignored set_input_delay at SDC.sdc(21): Argument -clock is not an object ID File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 21 - Info (332050): set_input_delay -clock clock_adc -min 0ps [get_ports ADC_OTR] File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 21 -Warning (332174): Ignored filter at SDC.sdc(27): iq_valid could not be matched with a clock File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 27 -Warning (332049): Ignored set_multicycle_path at SDC.sdc(27): Argument is an empty collection File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 27 - Info (332050): set_multicycle_path -from [get_clocks {clock_stm32}] -to [get_clocks {iq_valid}] -setup -end 2 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 27 -Warning (332049): Ignored set_multicycle_path at SDC.sdc(28): Argument is an empty collection File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 28 - Info (332050): set_multicycle_path -from [get_clocks {clock_stm32}] -to [get_clocks {iq_valid}] -hold -end 2 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 28 -Warning (332049): Ignored set_multicycle_path at SDC.sdc(29): Argument is an empty collection File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 29 - Info (332050): set_multicycle_path -from [get_clocks {iq_valid}] -to [get_clocks {clock_stm32}] -setup -end 2 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 29 -Warning (332049): Ignored set_multicycle_path at SDC.sdc(30): Argument is an empty collection File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 30 - Info (332050): set_multicycle_path -from [get_clocks {iq_valid}] -to [get_clocks {clock_stm32}] -hold -end 2 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 30 -Warning (332174): Ignored filter at SDC.sdc(31): clock_sys could not be matched with a clock File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 31 -Warning (332049): Ignored set_multicycle_path at SDC.sdc(31): Argument is an empty collection File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 31 - Info (332050): set_multicycle_path -from [get_clocks {clock_stm32}] -to [get_clocks {clock_sys}] -setup -end 2 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 31 -Warning (332049): Ignored set_multicycle_path at SDC.sdc(32): Argument is an empty collection File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 32 - Info (332050): set_multicycle_path -from [get_clocks {clock_stm32}] -to [get_clocks {clock_sys}] -hold -end 2 File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/SDC.sdc Line: 32 -Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. -Info: Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = OFF -Info: Analyzing Slow 1200mV 85C Model -Info (332146): Worst-case setup slack is -4.404 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): -4.404 -388.058 TX_PLL|altpll_component|auto_generated|pll1|clk[0] - Info (332119): 1.814 0.000 clk_sys - Info (332119): 30.027 0.000 clock_stm32 - Info (332119): 45.160 0.000 altera_reserved_tck -Info (332146): Worst-case hold slack is 0.400 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): 0.400 0.000 TX_PLL|altpll_component|auto_generated|pll1|clk[0] - Info (332119): 0.401 0.000 clk_sys - Info (332119): 0.452 0.000 altera_reserved_tck - Info (332119): 0.485 0.000 clock_stm32 -Info (332146): Worst-case recovery slack is 3.227 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): 3.227 0.000 clk_sys - Info (332119): 96.012 0.000 altera_reserved_tck -Info (332146): Worst-case removal slack is 1.284 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): 1.284 0.000 altera_reserved_tck - Info (332119): 11.143 0.000 clk_sys -Info (332146): Worst-case minimum pulse width slack is 1.218 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): 1.218 0.000 TX_PLL|altpll_component|auto_generated|pll1|clk[0] - Info (332119): 7.177 0.000 clk_sys - Info (332119): 19.682 0.000 clock_stm32 - Info (332119): 49.522 0.000 altera_reserved_tck -Info (332114): Report Metastability: Found 113 synchronizer chains. - Info (332114): The design MTBF is not calculated because there are no specified synchronizers in the design. - Info (332114): Number of Synchronizer Chains Found: 113 - Info (332114): Shortest Synchronizer Chain: 2 Registers - Info (332114): Fraction of Chains for which MTBFs Could Not be Calculated: 1.000 - Info (332114): Worst Case Available Settling Time: 13.556 ns - Info (332114): -Info: Analyzing Slow 1200mV 0C Model -Info (334003): Started post-fitting delay annotation -Info (334004): Delay annotation completed successfully -Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. -Info (332146): Worst-case setup slack is -3.496 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): -3.496 -159.849 TX_PLL|altpll_component|auto_generated|pll1|clk[0] - Info (332119): 2.102 0.000 clk_sys - Info (332119): 30.878 0.000 clock_stm32 - Info (332119): 45.544 0.000 altera_reserved_tck -Info (332146): Worst-case hold slack is 0.384 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): 0.384 0.000 clk_sys - Info (332119): 0.385 0.000 TX_PLL|altpll_component|auto_generated|pll1|clk[0] - Info (332119): 0.400 0.000 altera_reserved_tck - Info (332119): 0.430 0.000 clock_stm32 -Info (332146): Worst-case recovery slack is 3.572 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): 3.572 0.000 clk_sys - Info (332119): 96.290 0.000 altera_reserved_tck -Info (332146): Worst-case removal slack is 1.188 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): 1.188 0.000 altera_reserved_tck - Info (332119): 10.797 0.000 clk_sys -Info (332146): Worst-case minimum pulse width slack is 1.218 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): 1.218 0.000 TX_PLL|altpll_component|auto_generated|pll1|clk[0] - Info (332119): 7.200 0.000 clk_sys - Info (332119): 19.595 0.000 clock_stm32 - Info (332119): 49.402 0.000 altera_reserved_tck -Info (332114): Report Metastability: Found 113 synchronizer chains. - Info (332114): The design MTBF is not calculated because there are no specified synchronizers in the design. - Info (332114): Number of Synchronizer Chains Found: 113 - Info (332114): Shortest Synchronizer Chain: 2 Registers - Info (332114): Fraction of Chains for which MTBFs Could Not be Calculated: 1.000 - Info (332114): Worst Case Available Settling Time: 13.638 ns - Info (332114): -Info: Analyzing Fast 1200mV 0C Model -Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. -Info (332146): Worst-case setup slack is 1.395 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): 1.395 0.000 TX_PLL|altpll_component|auto_generated|pll1|clk[0] - Info (332119): 4.454 0.000 clk_sys - Info (332119): 34.929 0.000 clock_stm32 - Info (332119): 48.082 0.000 altera_reserved_tck -Info (332146): Worst-case hold slack is 0.135 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): 0.135 0.000 clk_sys - Info (332119): 0.141 0.000 TX_PLL|altpll_component|auto_generated|pll1|clk[0] - Info (332119): 0.186 0.000 altera_reserved_tck - Info (332119): 0.201 0.000 clock_stm32 -Info (332146): Worst-case recovery slack is 5.163 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): 5.163 0.000 clk_sys - Info (332119): 98.256 0.000 altera_reserved_tck -Info (332146): Worst-case removal slack is 0.545 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): 0.545 0.000 altera_reserved_tck - Info (332119): 9.779 0.000 clk_sys -Info (332146): Worst-case minimum pulse width slack is 2.841 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): 2.841 0.000 TX_PLL|altpll_component|auto_generated|pll1|clk[0] - Info (332119): 6.972 0.000 clk_sys - Info (332119): 19.142 0.000 clock_stm32 - Info (332119): 49.471 0.000 altera_reserved_tck -Info (332114): Report Metastability: Found 113 synchronizer chains. - Info (332114): The design MTBF is not calculated because there are no specified synchronizers in the design. - Info (332114): Number of Synchronizer Chains Found: 113 - Info (332114): Shortest Synchronizer Chain: 2 Registers - Info (332114): Fraction of Chains for which MTBFs Could Not be Calculated: 1.000 - Info (332114): Worst Case Available Settling Time: 14.623 ns - Info (332114): -Info (332102): Design is not fully constrained for setup requirements -Info (332102): Design is not fully constrained for hold requirements -Info (144001): Generated suppressed messages file D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/output_files/WOLF-LITE.sta.smsg -Info: Quartus Prime Timing Analyzer was successful. 0 errors, 20 warnings - Info: Peak virtual memory: 4879 megabytes - Info: Processing ended: Thu Jan 07 18:22:33 2021 - Info: Elapsed time: 00:00:09 - Info: Total CPU time (on all processors): 00:00:11 - - -+-------------------------------------+ -; Timing Analyzer Suppressed Messages ; -+-------------------------------------+ -The suppressed messages can be found in D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/output_files/WOLF-LITE.sta.smsg. - - diff --git a/FPGA/output_files/WOLF-LITE.sta.smsg b/FPGA/output_files/WOLF-LITE.sta.smsg deleted file mode 100644 index 6291147..0000000 --- a/FPGA/output_files/WOLF-LITE.sta.smsg +++ /dev/null @@ -1,5 +0,0 @@ -Warning (332060): Node: rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_valid was determined to be a clock but was found without an associated clock assignment. -Critical Warning (332148): Timing requirements not met -Warning (332060): Node: rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_valid was determined to be a clock but was found without an associated clock assignment. -Critical Warning (332148): Timing requirements not met -Warning (332060): Node: rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_valid was determined to be a clock but was found without an associated clock assignment. diff --git a/FPGA/output_files/WOLF-LITE.sta.summary b/FPGA/output_files/WOLF-LITE.sta.summary deleted file mode 100644 index b5aaffc..0000000 --- a/FPGA/output_files/WOLF-LITE.sta.summary +++ /dev/null @@ -1,197 +0,0 @@ ------------------------------------------------------------- -Timing Analyzer Summary ------------------------------------------------------------- - -Type : Slow 1200mV 85C Model Setup 'TX_PLL|altpll_component|auto_generated|pll1|clk[0]' -Slack : -4.404 -TNS : -388.058 - -Type : Slow 1200mV 85C Model Setup 'clk_sys' -Slack : 1.814 -TNS : 0.000 - -Type : Slow 1200mV 85C Model Setup 'clock_stm32' -Slack : 30.027 -TNS : 0.000 - -Type : Slow 1200mV 85C Model Setup 'altera_reserved_tck' -Slack : 45.160 -TNS : 0.000 - -Type : Slow 1200mV 85C Model Hold 'TX_PLL|altpll_component|auto_generated|pll1|clk[0]' -Slack : 0.400 -TNS : 0.000 - -Type : Slow 1200mV 85C Model Hold 'clk_sys' -Slack : 0.401 -TNS : 0.000 - -Type : Slow 1200mV 85C Model Hold 'altera_reserved_tck' -Slack : 0.452 -TNS : 0.000 - -Type : Slow 1200mV 85C Model Hold 'clock_stm32' -Slack : 0.485 -TNS : 0.000 - -Type : Slow 1200mV 85C Model Recovery 'clk_sys' -Slack : 3.227 -TNS : 0.000 - -Type : Slow 1200mV 85C Model Recovery 'altera_reserved_tck' -Slack : 96.012 -TNS : 0.000 - -Type : Slow 1200mV 85C Model Removal 'altera_reserved_tck' -Slack : 1.284 -TNS : 0.000 - -Type : Slow 1200mV 85C Model Removal 'clk_sys' -Slack : 11.143 -TNS : 0.000 - -Type : Slow 1200mV 85C Model Minimum Pulse Width 'TX_PLL|altpll_component|auto_generated|pll1|clk[0]' -Slack : 1.218 -TNS : 0.000 - -Type : Slow 1200mV 85C Model Minimum Pulse Width 'clk_sys' -Slack : 7.177 -TNS : 0.000 - -Type : Slow 1200mV 85C Model Minimum Pulse Width 'clock_stm32' -Slack : 19.682 -TNS : 0.000 - -Type : Slow 1200mV 85C Model Minimum Pulse Width 'altera_reserved_tck' -Slack : 49.522 -TNS : 0.000 - -Type : Slow 1200mV 0C Model Setup 'TX_PLL|altpll_component|auto_generated|pll1|clk[0]' -Slack : -3.496 -TNS : -159.849 - -Type : Slow 1200mV 0C Model Setup 'clk_sys' -Slack : 2.102 -TNS : 0.000 - -Type : Slow 1200mV 0C Model Setup 'clock_stm32' -Slack : 30.878 -TNS : 0.000 - -Type : Slow 1200mV 0C Model Setup 'altera_reserved_tck' -Slack : 45.544 -TNS : 0.000 - -Type : Slow 1200mV 0C Model Hold 'clk_sys' -Slack : 0.384 -TNS : 0.000 - -Type : Slow 1200mV 0C Model Hold 'TX_PLL|altpll_component|auto_generated|pll1|clk[0]' -Slack : 0.385 -TNS : 0.000 - -Type : Slow 1200mV 0C Model Hold 'altera_reserved_tck' -Slack : 0.400 -TNS : 0.000 - -Type : Slow 1200mV 0C Model Hold 'clock_stm32' -Slack : 0.430 -TNS : 0.000 - -Type : Slow 1200mV 0C Model Recovery 'clk_sys' -Slack : 3.572 -TNS : 0.000 - -Type : Slow 1200mV 0C Model Recovery 'altera_reserved_tck' -Slack : 96.290 -TNS : 0.000 - -Type : Slow 1200mV 0C Model Removal 'altera_reserved_tck' -Slack : 1.188 -TNS : 0.000 - -Type : Slow 1200mV 0C Model Removal 'clk_sys' -Slack : 10.797 -TNS : 0.000 - -Type : Slow 1200mV 0C Model Minimum Pulse Width 'TX_PLL|altpll_component|auto_generated|pll1|clk[0]' -Slack : 1.218 -TNS : 0.000 - -Type : Slow 1200mV 0C Model Minimum Pulse Width 'clk_sys' -Slack : 7.200 -TNS : 0.000 - -Type : Slow 1200mV 0C Model Minimum Pulse Width 'clock_stm32' -Slack : 19.595 -TNS : 0.000 - -Type : Slow 1200mV 0C Model Minimum Pulse Width 'altera_reserved_tck' -Slack : 49.402 -TNS : 0.000 - -Type : Fast 1200mV 0C Model Setup 'TX_PLL|altpll_component|auto_generated|pll1|clk[0]' -Slack : 1.395 -TNS : 0.000 - -Type : Fast 1200mV 0C Model Setup 'clk_sys' -Slack : 4.454 -TNS : 0.000 - -Type : Fast 1200mV 0C Model Setup 'clock_stm32' -Slack : 34.929 -TNS : 0.000 - -Type : Fast 1200mV 0C Model Setup 'altera_reserved_tck' -Slack : 48.082 -TNS : 0.000 - -Type : Fast 1200mV 0C Model Hold 'clk_sys' -Slack : 0.135 -TNS : 0.000 - -Type : Fast 1200mV 0C Model Hold 'TX_PLL|altpll_component|auto_generated|pll1|clk[0]' -Slack : 0.141 -TNS : 0.000 - -Type : Fast 1200mV 0C Model Hold 'altera_reserved_tck' -Slack : 0.186 -TNS : 0.000 - -Type : Fast 1200mV 0C Model Hold 'clock_stm32' -Slack : 0.201 -TNS : 0.000 - -Type : Fast 1200mV 0C Model Recovery 'clk_sys' -Slack : 5.163 -TNS : 0.000 - -Type : Fast 1200mV 0C Model Recovery 'altera_reserved_tck' -Slack : 98.256 -TNS : 0.000 - -Type : Fast 1200mV 0C Model Removal 'altera_reserved_tck' -Slack : 0.545 -TNS : 0.000 - -Type : Fast 1200mV 0C Model Removal 'clk_sys' -Slack : 9.779 -TNS : 0.000 - -Type : Fast 1200mV 0C Model Minimum Pulse Width 'TX_PLL|altpll_component|auto_generated|pll1|clk[0]' -Slack : 2.841 -TNS : 0.000 - -Type : Fast 1200mV 0C Model Minimum Pulse Width 'clk_sys' -Slack : 6.972 -TNS : 0.000 - -Type : Fast 1200mV 0C Model Minimum Pulse Width 'clock_stm32' -Slack : 19.142 -TNS : 0.000 - -Type : Fast 1200mV 0C Model Minimum Pulse Width 'altera_reserved_tck' -Slack : 49.471 -TNS : 0.000 - ------------------------------------------------------------- diff --git a/FPGA/output_files/WOLF.cdf b/FPGA/output_files/WOLF.cdf deleted file mode 100644 index 3ed0382..0000000 --- a/FPGA/output_files/WOLF.cdf +++ /dev/null @@ -1,13 +0,0 @@ -/* Quartus Prime Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition */ -JedecChain; - FileRevision(JESD32A); - DefaultMfr(6E); - - P ActionCode(Cfg) - Device PartName(EP4CE10) Path("D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/output_files/") File("WOLF-LITE.jic") MfrSpec(OpMask(1) SEC_Device(EPCS16) Child_OpMask(1 7)); - -ChainEnd; - -AlteraBegin; - ChainType(JTAG); -AlteraEnd; diff --git a/FPGA/output_files/WOLF.done b/FPGA/output_files/WOLF.done deleted file mode 100644 index 1c4cdfe..0000000 --- a/FPGA/output_files/WOLF.done +++ /dev/null @@ -1 +0,0 @@ -Sun Nov 29 18:49:47 2020 diff --git a/FPGA/output_files/rx_ciccomp.xml b/FPGA/output_files/rx_ciccomp.xml deleted file mode 100644 index 865fc53..0000000 --- a/FPGA/output_files/rx_ciccomp.xml +++ /dev/null @@ -1,45 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/FPGA/output_files/signal_activity.saf b/FPGA/output_files/signal_activity.saf deleted file mode 100644 index b669306..0000000 --- a/FPGA/output_files/signal_activity.saf +++ /dev/null @@ -1,22540 +0,0 @@ -# Copyright (C) 2018 Intel Corporation. All rights reserved. -# Your use of Intel Corporation's design tools, logic functions -# and other software and tools, and its AMPP partner logic -# functions, and any output files from any of the foregoing -# (including device programming or simulation files), and any -# associated documentation or information are expressly subject -# to the terms and conditions of the Intel Program License -# Subscription Agreement, the Intel Quartus Prime License Agreement, -# the Intel FPGA IP License Agreement, or other applicable license -# agreement, including, without limitation, that your use is for -# the sole purpose of programming logic devices manufactured by -# Intel and sold by Intel or its authorized distributors. Please -# refer to the applicable agreement for further details. - -# Signal Activity File Name: "output_files/signal_activity.saf" -# Created On: "01/07/2021 18:22:15" -# Created By: "Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition" -# This file was created by the Quartus Prime Power Analyzer. - -FORMAT_VERSION 1; - -DEFINE_FLAG TOGGLE_RATE_FROM_SIMULATION 0x1; -DEFINE_FLAG STATIC_PROBABILITY_FROM_SIMULATION 0x2; -DEFINE_FLAG TOGGLE_RATE_FROM_USER 0x4; -DEFINE_FLAG STATIC_PROBABILITY_FROM_USER 0x8; -DEFINE_FLAG TOGGLE_RATE_FROM_USER_DEFAULT 0x10; -DEFINE_FLAG STATIC_PROBABILITY_FROM_USER_DEFAULT 0x20; -DEFINE_FLAG TOGGLE_RATE_FROM_VECTORLESS_ESTIMATION 0x40; -DEFINE_FLAG STATIC_PROBABILITY_FROM_VECTORLESS_ESTIMATION 0x80; -DEFINE_FLAG TOGGLE_RATE_ASSUMED_ZERO 0x100; -DEFINE_FLAG TOGGLE_RATE_CLIPPED_TO_MAX 0x200; - -BEGIN_OUTPUT_SIGNAL_INFO; - -# Output Signal Information Line Format Description: - -# []<;> - - ADC_INPUT[0] 0x120 0 0.5; - ADC_INPUT[0]~input 0xc0 0 0.5; - ADC_INPUT[1] 0x120 0 0.5; - ADC_INPUT[1]~input 0xc0 0 0.5; - ADC_INPUT[2] 0x120 0 0.5; - ADC_INPUT[2]~input 0xc0 0 0.5; - ADC_INPUT[3] 0x120 0 0.5; - ADC_INPUT[3]~input 0xc0 0 0.5; - ADC_INPUT[4] 0x120 0 0.5; - ADC_INPUT[4]~input 0xc0 0 0.5; - ADC_INPUT[5] 0x120 0 0.5; - ADC_INPUT[5]~input 0xc0 0 0.5; - ADC_INPUT[6] 0x120 0 0.5; - ADC_INPUT[6]~input 0xc0 0 0.5; - ADC_INPUT[7] 0x120 0 0.5; - ADC_INPUT[7]~input 0xc0 0 0.5; - ADC_INPUT[8] 0x120 0 0.5; - ADC_INPUT[8]~input 0xc0 0 0.5; - ADC_INPUT[9] 0x120 0 0.5; - ADC_INPUT[9]~input 0xc0 0 0.5; - ADC_INPUT[10] 0x120 0 0.5; - ADC_INPUT[10]~input 0xc0 0 0.5; - ADC_INPUT[11] 0x120 0 0.5; - ADC_INPUT[11]~input 0xc0 0 0.5; - ADC_OTR 0x30 2e+07 0.5; - ADC_OTR~input 0xc0 2e+07 0.5; - altera_internal_jtag~TCKUTAP 0xc0 2e+07 0.5; - altera_internal_jtag~TCKUTAPclkctrl 0xc0 2e+07 0.5; - altera_internal_jtag~TDIUTAP 0xc0 8e+06 0.5; - altera_internal_jtag~TDO 0xc0 5e+06 0.5; - altera_internal_jtag~TMSUTAP 0xc0 8e+06 0.5; - altera_reserved_tck 0xc 2e+07 0.5; - altera_reserved_tck~input 0xc0 2e+07 0.5; - altera_reserved_tdi 0x30 8e+06 0.5; - altera_reserved_tdi~input 0xc0 8e+06 0.5; - altera_reserved_tdo 0xc0 5e+06 0.5; - altera_reserved_tdo~output 0xc0 5e+06 0.5; - altera_reserved_tms 0x30 8e+06 0.5; - altera_reserved_tms~input 0xc0 8e+06 0.5; - ATT_1 0xc0 3.125e+06 0.5; - ATT_1~output 0xc0 3.125e+06 0.5; - ATT_2 0xc0 3.125e+06 0.5; - ATT_2~output 0xc0 3.125e+06 0.5; - ATT_4 0xc0 3.125e+06 0.5; - ATT_4~output 0xc0 3.125e+06 0.5; - ATT_05 0xc0 3.125e+06 0.5; - ATT_05~output 0xc0 3.125e+06 0.5; - ATT_8 0xc0 3.125e+06 0.5; - ATT_8~output 0xc0 3.125e+06 0.5; - ATT_16 0xc0 3.125e+06 0.5; - ATT_16~output 0xc0 3.125e+06 0.5; - AUDIO_48K_CLOCK 0xc0 96001.6 0.5; - AUDIO_48K_CLOCK~output 0xc0 96001.6 0.5; - AUDIO_I2S_CLOCK 0xc0 2.45767e+07 0.5; - AUDIO_I2S_CLOCK~output 0xc0 2.45767e+07 0.5; - hard_block:auto_generated_inst; - sld_hub:auto_hub; - alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric; - alt_sld_fab:instrumentation_fabric; - alt_sld_fab_alt_sld_fab:alt_sld_fab; - alt_sld_fab_alt_sld_fab_ident:ident; - Mux0~0 0xc0 442200 0.4375; - Mux0~1 0xc0 649414 0.3125; - Mux0~2 0xc0 248909 0.15625; - Mux1~0 0xc0 495300 0.4375; - Mux1~1 0xc0 532227 0.3125; - Mux1~2 0xc0 226021 0.15625; - Mux2~0 0xc0 590515 0.5625; - Mux2~1 0xc0 355225 0.1875; - Mux2~2 0xc0 154953 0.09375; - Mux3~0 0xc0 538330 0.375; - Mux3~1 0xc0 605469 0.3125; - Mux3~2 0xc0 297089 0.15625; - alt_sld_fab_alt_sld_fab_sldfabric:sldfabric; - sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub; - clr_reg 0xc0 1.25e+06 0.5; - clr_reg_proc~0 0xc0 703125 0.25; - design_hash_proc~0 0xc0 937500 0.75; - design_hash_reg[0] 0xc0 1.25e+06 0.5; - design_hash_reg[0]~0 0xc0 667518 0.265625; - design_hash_reg[1] 0xc0 1.25e+06 0.5; - design_hash_reg[1]~1 0xc0 618222 0.328125; - design_hash_reg[2] 0xc0 1.25e+06 0.5; - design_hash_reg[2]~2 0xc0 558975 0.359375; - design_hash_reg[3] 0xc0 1.25e+06 0.5; - design_hash_reg[3]~3 0xc0 860009 0.296875; - design_hash_reg~4 0xc0 766602 0.5; - design_hash_reg~5 0xc0 1.13281e+06 0.5; - design_hash_reg~6 0xc0 1.13281e+06 0.5; - design_hash_reg~7 0xc0 1.64844e+06 0.5; - Equal0~0 0xc0 168457 0.0625; - Equal0~1 0xc0 168457 0.0625; - Equal0~2 0xc0 694.469 0.000976563; - Equal1~0 0xc0 694.469 0.000976563; - Equal7~0 0xc0 297852 0.125; - sld_rom_sr:hub_info_reg; - Add0~0 0xc0 1.25e+06 0.5; - Add0~1 0xc0 1.25e+06 0.5; - Add0~2 0xc0 1.25e+06 0.5; - Add0~3 0xc0 703125 0.75; - Add0~4 0xc0 1.13281e+06 0.5; - Add0~5 0xc0 957031 0.125; - Add0~6 0xc0 1.45508e+06 0.5; - Add0~7 0xc0 278320 0.9375; - Add0~8 0xc0 1.24268e+06 0.5; - clear_signal 0xc0 937500 0.25; - Equal0~0 0xc0 153809 0.0625; - Mux0~0 0xc0 568848 0.3125; - Mux1~0 0xc0 615234 0.625; - word_counter[0] 0xc0 1.25e+06 0.5; - word_counter[1] 0xc0 1.25e+06 0.5; - word_counter[2] 0xc0 1.25e+06 0.5; - word_counter[3] 0xc0 1.25e+06 0.5; - word_counter[4] 0xc0 1.25e+06 0.5; - word_counter~0 0xc0 1.17188e+06 0.375; - word_counter~1 0xc0 767212 0.34375; - word_counter~2 0xc0 1.1499e+06 0.375; - word_counter~3 0xc0 1.4754e+06 0.375; - word_counter~4 0xc0 1.21033e+06 0.375; - word_counter~5 0xc0 1.7668e+06 0.375; - WORD_SR[0] 0xc0 1.25e+06 0.5; - WORD_SR[1] 0xc0 1.25e+06 0.5; - WORD_SR[2] 0xc0 1.25e+06 0.5; - WORD_SR[3] 0xc0 1.25e+06 0.5; - WORD_SR~0 0xc0 703125 0.1875; - WORD_SR~1 0xc0 902710 0.53125; - WORD_SR~2 0xc0 856934 0.375; - WORD_SR~3 0xc0 349932 0.28125; - WORD_SR~4 0xc0 272579 0.0703125; - WORD_SR~5 0xc0 1.79338e+06 0.244629; - WORD_SR~6 0xc0 1.28729e+06 0.304688; - hub_info_reg_ena~0 0xc0 703125 0.75; - hub_minor_ver_reg[0] 0xc0 1.25e+06 0.5; - hub_minor_ver_reg[1] 0xc0 1.25e+06 0.5; - hub_minor_ver_reg[2] 0xc0 1.25e+06 0.5; - hub_minor_ver_reg[3] 0xc0 1.25e+06 0.5; - hub_minor_ver_reg~0 0xc0 937500 0.75; - hub_minor_ver_reg~1 0xc0 937500 0.25; - hub_minor_ver_reg~2 0xc0 937500 0.75; - hub_minor_ver_reg~3 0xc0 2.625e+06 0.25; - hub_mode_reg[0] 0xc0 1.25e+06 0.5; - hub_mode_reg[1] 0xc0 1.25e+06 0.5; - hub_mode_reg[1]~0 0xc0 408630 0.3125; - hub_mode_reg[1]~1 0xc0 1.53829e+06 0.5; - hub_mode_reg[2] 0xc0 1.25e+06 0.5; - hub_mode_reg[2]~2 0xc0 112610 0.0625; - hub_mode_reg[2]~3 0xc0 739212 0.265625; - hub_mode_reg~4 0xc0 112610 0.0625; - identity_contrib_shift_reg[0] 0xc0 1.25e+06 0.5; - identity_contrib_shift_reg[0]~0 0xc0 112610 0.0625; - identity_contrib_shift_reg[0]~1 0xc0 13743.6 0.015625; - identity_contrib_shift_reg[1] 0xc0 1.25e+06 0.5; - identity_contrib_shift_reg[2] 0xc0 1.25e+06 0.5; - identity_contrib_shift_reg[3] 0xc0 1.25e+06 0.5; - identity_contrib_shift_reg[3]~feeder 0xc0 8e+06 0.5; - irf_reg[1][0] 0xc0 1.25e+06 0.5; - irf_reg[1][0]~0 0xc0 1.39648e+06 0.09375; - irf_reg[1][0]~feeder 0xc0 1.25e+06 0.5; - irf_reg[1][1] 0xc0 1.25e+06 0.5; - irf_reg[1][1]~feeder 0xc0 1.25e+06 0.5; - irf_reg[1][2] 0xc0 1.25e+06 0.5; - irf_reg[1][2]~feeder 0xc0 1.25e+06 0.5; - irf_reg[1][3] 0xc0 1.25e+06 0.5; - irf_reg[1][3]~feeder 0xc0 1.25e+06 0.5; - irsr_reg[0] 0xc0 1.25e+06 0.5; - irsr_reg[1] 0xc0 1.25e+06 0.5; - irsr_reg[2] 0xc0 1.25e+06 0.5; - irsr_reg[2]~3 0xc0 628967 0.25; - irsr_reg[2]~feeder 0xc0 1.25e+06 0.25; - irsr_reg[3] 0xc0 1.25e+06 0.5; - irsr_reg[3]~6 0xc0 1.25e+06 0.25; - irsr_reg[3]~7 0xc0 974121 0.46875; - irsr_reg[3]~8 0xc0 626833 0.408203; - irsr_reg[4] 0xc0 1.25e+06 0.5; - irsr_reg~0 0xc0 2.09375e+06 0.5; - irsr_reg~1 0xc0 1.25e+06 0.25; - irsr_reg~2 0xc0 751953 0.5; - irsr_reg~4 0xc0 1.25e+06 0.25; - irsr_reg~5 0xc0 1.25e+06 0.25; - jtag_ir_reg[0] 0xc0 1.25e+06 0.5; - jtag_ir_reg[0]~1 0xc0 1.25e+06 0.5; - jtag_ir_reg[1] 0xc0 1.25e+06 0.5; - jtag_ir_reg[1]~feeder 0xc0 1.25e+06 0.5; - jtag_ir_reg[2] 0xc0 1.25e+06 0.5; - jtag_ir_reg[2]~0 0xc0 1.25e+06 0.5; - jtag_ir_reg[3] 0xc0 1.25e+06 0.5; - jtag_ir_reg[3]~feeder 0xc0 1.25e+06 0.5; - jtag_ir_reg[4] 0xc0 1.25e+06 0.5; - jtag_ir_reg[4]~feeder 0xc0 1.25e+06 0.5; - jtag_ir_reg[5] 0xc0 1.25e+06 0.5; - jtag_ir_reg[6] 0xc0 1.25e+06 0.5; - jtag_ir_reg[6]~feeder 0xc0 1.25e+06 0.5; - jtag_ir_reg[7] 0xc0 1.25e+06 0.5; - jtag_ir_reg[8] 0xc0 1.25e+06 0.5; - jtag_ir_reg[9] 0xc0 1.25e+06 0.5; - mixer_addr_reg_internal[0] 0xc0 1.25e+06 0.5; - mixer_addr_reg_internal[0]~5 0xc0 1.25e+06 0.5; - mixer_addr_reg_internal[0]~6 0xc0 1.25e+06 0.5; - mixer_addr_reg_internal[1] 0xc0 1.25e+06 0.5; - mixer_addr_reg_internal[1]~9 0xc0 1.25e+06 0.5; - mixer_addr_reg_internal[1]~10 0xc0 703125 0.25; - mixer_addr_reg_internal[2] 0xc0 1.25e+06 0.5; - mixer_addr_reg_internal[2]~11 0xc0 1.13281e+06 0.5; - mixer_addr_reg_internal[2]~12 0xc0 332031 0.875; - mixer_addr_reg_internal[3] 0xc0 1.25e+06 0.5; - mixer_addr_reg_internal[3]~13 0xc0 1.14258e+06 0.5; - mixer_addr_reg_internal[3]~14 0xc0 1.05957e+06 0.0625; - mixer_addr_reg_internal[4] 0xc0 1.25e+06 0.5; - mixer_addr_reg_internal[4]~15 0xc0 1.6333e+06 0.5; - mixer_addr_reg_internal~7 0xc0 1.3298e+06 0.273438; - mixer_addr_reg_internal~8 0xc0 864258 0.4375; - node_ena_proc~0 0xc0 2.8125e+06 0.25; - node_ena_proc~1 0xc0 4.3125e+06 0.25; - node_ena~0 0xc0 2.37969e+06 0.5; - node_ena~1 0xc0 864243 0.1875; - node_ena~2 0xc0 1.98242e+06 0.40625; - node_ena~3 0xc0 2.14931e+06 0.298828; - reset_ena_reg 0xc0 1.25e+06 0.5; - reset_ena_reg_proc~0 0xc0 2.10938e+06 0.1875; - sld_shadow_jsm:shadow_jsm; - state[0] 0xc0 1.25e+06 0.5; - state[0]~_wirecell 0xc0 1.25e+06 0.5; - state[1] 0xc0 1.25e+06 0.5; - state[2] 0xc0 1.25e+06 0.5; - state[3] 0xc0 1.25e+06 0.5; - state[4] 0xc0 1.25e+06 0.5; - state[5] 0xc0 1.25e+06 0.5; - state[6] 0xc0 1.25e+06 0.5; - state[7] 0xc0 1.25e+06 0.5; - state[8] 0xc0 1.25e+06 0.5; - state[9] 0xc0 1.25e+06 0.5; - state[10] 0xc0 1.25e+06 0.5; - state[11] 0xc0 1.25e+06 0.5; - state[12] 0xc0 1.25e+06 0.5; - state[13] 0xc0 1.25e+06 0.5; - state[14] 0xc0 1.25e+06 0.5; - state[15] 0xc0 1.25e+06 0.5; - state[15]~feeder 0xc0 3.46875e+06 0.375; - state~0 0xc0 4.51172e+06 0.5625; - state~1 0xc0 183105 0.9375; - state~2 0xc0 7.12207e+06 0.4375; - state~3 0xc0 2.625e+06 0.25; - state~4 0xc0 488281 0.875; - state~5 0xc0 2.51953e+06 0.375; - state~6 0xc0 703125 0.75; - state~7 0xc0 2.625e+06 0.25; - state~8 0xc0 2.20313e+06 0.375; - state~9 0xc0 2.625e+06 0.25; - state~10 0xc0 356445 0.875; - state~11 0xc0 6.17578e+06 0.375; - state~12 0xc0 703125 0.75; - state~13 0xc0 4.3125e+06 0.25; - tms_cnt[0] 0xc0 1.25e+06 0.5; - tms_cnt[1] 0xc0 1.25e+06 0.5; - tms_cnt[2] 0xc0 1.25e+06 0.5; - tms_cnt~0 0xc0 869141 0.5; - tms_cnt~1 0xc0 2.8125e+06 0.25; - tms_cnt~2 0xc0 1.25e+06 0.5; - tdo 0xc0 1.25e+06 0.5; - tdo_bypass_reg 0xc0 1.25e+06 0.5; - tdo_bypass_reg~0 0xc0 3.21875e+06 0.5; - tdo_mux_out~0 0xc0 1.02539e+06 0.5625; - tdo_mux_out~1 0xc0 532227 0.3125; - tdo_mux_out~2 0xc0 743980 0.578125; - tdo_mux_out~3 0xc0 957031 0.5; - tdo_mux_out~4 0xc0 607910 0.5625; - tdo_mux_out~5 0xc0 453102 0.292969; - tdo_mux_out~6 0xc0 1.01563e+06 0.5; - tdo_mux_out~7 0xc0 393288 0.3125; - tdo_mux_out~8 0xc0 455402 0.773565; - virtual_dr_scan_reg 0xc0 1.25e+06 0.5; - virtual_ir_dr_scan_proc~0 0xc0 3.46875e+06 0.375; - virtual_ir_scan_reg 0xc0 1.25e+06 0.5; - virtual_ir_tdo_sel_reg[0] 0xc0 1.25e+06 0.5; - sldfabric_ident_writedata[0] 0xc0 1.25e+06 0.5; - sldfabric_ident_writedata[0]~0 0xc0 6890.89 0.0078125; - sldfabric_ident_writedata[1] 0xc0 1.25e+06 0.5; - sldfabric_ident_writedata[2] 0xc0 1.25e+06 0.5; - sldfabric_ident_writedata[3] 0xc0 1.25e+06 0.5; - splitter_nodes_receive_0[3] 0xc0 1.25e+06 0.5; - sld_jtag_interface_mod:\jtag_interface_mod_gen:device_family_mod_inst; - BPF_A 0xc0 3.125e+06 0.5; - BPF_A~output 0xc0 3.125e+06 0.5; - BPF_B 0xc0 3.125e+06 0.5; - BPF_B~output 0xc0 3.125e+06 0.5; - BPF_OE1 0xc0 3.125e+06 0.5; - BPF_OE1~output 0xc0 3.125e+06 0.5; - BPF_OE2 0xc0 3.125e+06 0.5; - BPF_OE2~output 0xc0 3.125e+06 0.5; - data_shifter:CIC_GAINER; - Mux0~0 0xc0 1.6741e+06 0.5; - Mux0~1 0xc0 893593 0.5; - Mux0~2 0xc0 1.71339e+06 0.4375; - Mux0~3 0xc0 1.03119e+06 0.359375; - Mux0~4 0xc0 1.50666e+06 0.496094; - Mux0~5 0xc0 1.6741e+06 0.5; - Mux0~6 0xc0 893593 0.5; - Mux0~7 0xc0 762334 0.498047; - Mux0~8 0xc0 950703 0.338867; - Mux1~0 0xc0 1.6741e+06 0.5; - Mux1~1 0xc0 893593 0.5; - Mux1~2 0xc0 1.62676e+06 0.5; - Mux1~3 0xc0 1.07513e+06 0.375; - Mux1~4 0xc0 1.6741e+06 0.5; - Mux1~5 0xc0 893593 0.5; - Mux1~6 0xc0 1.6741e+06 0.5; - Mux1~7 0xc0 893593 0.5; - Mux1~8 0xc0 1.86418e+06 0.4375; - Mux1~9 0xc0 927652 0.46875; - Mux1~10 0xc0 1.50124e+06 0.492188; - Mux1~11 0xc0 1.6741e+06 0.5; - Mux1~12 0xc0 893593 0.5; - Mux1~13 0xc0 761815 0.496094; - Mux1~14 0xc0 949818 0.341797; - Mux2~0 0xc0 1.6741e+06 0.5; - Mux2~1 0xc0 893593 0.5; - Mux2~2 0xc0 1.31518e+06 0.125; - Mux2~3 0xc0 1.17064e+06 0.15625; - Mux2~4 0xc0 1.62177e+06 0.380859; - Mux2~5 0xc0 1.50073e+06 0.488281; - Mux2~6 0xc0 1.6741e+06 0.5; - Mux2~7 0xc0 893593 0.5; - Mux2~8 0xc0 761936 0.494141; - Mux2~9 0xc0 980412 0.342285; - Mux3~0 0xc0 1.6741e+06 0.5; - Mux3~1 0xc0 893593 0.5; - Mux3~2 0xc0 1.31518e+06 0.125; - Mux3~3 0xc0 1.033e+06 0.1875; - Mux3~4 0xc0 1.5201e+06 0.394531; - Mux3~5 0xc0 1.6741e+06 0.5; - Mux3~6 0xc0 893593 0.5; - Mux3~7 0xc0 1.6741e+06 0.5; - Mux3~8 0xc0 893593 0.5; - Mux3~9 0xc0 1.62676e+06 0.5; - Mux3~10 0xc0 1.17475e+06 0.375; - Mux3~11 0xc0 1.53253e+06 0.46875; - Mux3~12 0xc0 1.6741e+06 0.5; - Mux3~13 0xc0 893593 0.5; - Mux3~14 0xc0 767585 0.484375; - Mux3~15 0xc0 959746 0.34082; - Mux4~0 0xc0 1.6741e+06 0.5; - Mux4~1 0xc0 893593 0.5; - Mux4~2 0xc0 1.31518e+06 0.125; - Mux4~3 0xc0 1.00841e+06 0.21875; - Mux4~4 0xc0 1.44042e+06 0.408203; - Mux4~5 0xc0 1.67198e+06 0.46875; - Mux4~6 0xc0 896021 0.484375; - Mux4~7 0xc0 1.6741e+06 0.5; - Mux4~8 0xc0 893593 0.5; - Mux4~9 0xc0 1.62676e+06 0.5; - Mux4~10 0xc0 1.17475e+06 0.375; - Mux4~11 0xc0 1.53253e+06 0.46875; - Mux4~12 0xc0 1.6741e+06 0.5; - Mux4~13 0xc0 893593 0.5; - Mux4~14 0xc0 750974 0.480225; - Mux4~15 0xc0 950986 0.342163; - Mux5~0 0xc0 1.6741e+06 0.5; - Mux5~1 0xc0 893593 0.5; - Mux5~2 0xc0 1.31518e+06 0.125; - Mux5~3 0xc0 1.11081e+06 0.25; - Mux5~4 0xc0 1.38453e+06 0.421875; - Mux5~5 0xc0 1.6741e+06 0.5; - Mux5~6 0xc0 893593 0.5; - Mux5~7 0xc0 1.86418e+06 0.4375; - Mux5~8 0xc0 927652 0.46875; - Mux5~9 0xc0 1.62676e+06 0.5; - Mux5~10 0xc0 1.17475e+06 0.375; - Mux5~11 0xc0 1.55114e+06 0.460938; - Mux5~12 0xc0 1.6741e+06 0.5; - Mux5~13 0xc0 893593 0.5; - Mux5~14 0xc0 771027 0.480469; - Mux5~15 0xc0 956947 0.345703; - Mux6~0 0xc0 1.6741e+06 0.5; - Mux6~1 0xc0 1.71489e+06 0.40625; - Mux6~2 0xc0 1.6741e+06 0.5; - Mux6~3 0xc0 893593 0.5; - Mux6~4 0xc0 1.46623e+06 0.453125; - Mux6~5 0xc0 2.23315e+06 0.40625; - Mux6~6 0xc0 986334 0.453125; - Mux6~7 0xc0 1.6741e+06 0.5; - Mux6~8 0xc0 893593 0.5; - Mux6~9 0xc0 1.62676e+06 0.5; - Mux6~10 0xc0 1.17475e+06 0.375; - Mux6~11 0xc0 1.53253e+06 0.46875; - Mux6~12 0xc0 1.6741e+06 0.5; - Mux6~13 0xc0 893593 0.5; - Mux6~14 0xc0 726944 0.471924; - Mux6~15 0xc0 965848 0.349243; - Mux7~0 0xc0 1.6741e+06 0.5; - Mux7~1 0xc0 1.34847e+06 0.4375; - Mux7~2 0xc0 1.6741e+06 0.5; - Mux7~3 0xc0 893593 0.5; - Mux7~4 0xc0 1.38376e+06 0.46875; - Mux7~5 0xc0 1.6741e+06 0.5; - Mux7~6 0xc0 893593 0.5; - Mux7~7 0xc0 1.6741e+06 0.5; - Mux7~8 0xc0 893593 0.5; - Mux7~9 0xc0 1.11081e+06 0.25; - Mux7~10 0xc0 1.7023e+06 0.4375; - Mux7~11 0xc0 1.6741e+06 0.5; - Mux7~12 0xc0 893593 0.5; - Mux7~13 0xc0 794093 0.46875; - Mux7~14 0xc0 978107 0.351563; - Mux8~0 0xc0 1.6741e+06 0.5; - Mux8~1 0xc0 1.07052e+06 0.46875; - Mux8~2 0xc0 1.6741e+06 0.5; - Mux8~3 0xc0 893593 0.5; - Mux8~4 0xc0 1.32475e+06 0.484375; - Mux8~5 0xc0 1.6741e+06 0.5; - Mux8~6 0xc0 893593 0.5; - Mux8~7 0xc0 1.6741e+06 0.5; - Mux8~8 0xc0 893593 0.5; - Mux8~9 0xc0 1.00841e+06 0.21875; - Mux8~10 0xc0 1.76636e+06 0.429688; - Mux8~11 0xc0 1.6741e+06 0.5; - Mux8~12 0xc0 893593 0.5; - Mux8~13 0xc0 803936 0.464844; - Mux8~14 0xc0 987044 0.353516; - Mux9~0 0xc0 1.6741e+06 0.5; - Mux9~1 0xc0 893593 0.5; - Mux9~2 0xc0 1.6741e+06 0.5; - Mux9~3 0xc0 893593 0.5; - Mux9~4 0xc0 1.29125e+06 0.5; - Mux9~5 0xc0 1.6741e+06 0.5; - Mux9~6 0xc0 893593 0.5; - Mux9~7 0xc0 1.6741e+06 0.5; - Mux9~8 0xc0 893593 0.5; - Mux9~9 0xc0 1.033e+06 0.1875; - Mux9~10 0xc0 1.85153e+06 0.421875; - Mux9~11 0xc0 1.6741e+06 0.5; - Mux9~12 0xc0 893593 0.5; - Mux9~13 0xc0 816505 0.460938; - Mux9~14 0xc0 1.00043e+06 0.355469; - Mux10~0 0xc0 1.6741e+06 0.5; - Mux10~1 0xc0 893593 0.5; - Mux10~2 0xc0 1.6741e+06 0.5; - Mux10~3 0xc0 893593 0.5; - Mux10~4 0xc0 1.52343e+06 0.261719; - Mux10~5 0xc0 1.00216e+06 0.255859; - Mux10~6 0xc0 1.6741e+06 0.5; - Mux10~7 0xc0 893593 0.5; - Mux10~8 0xc0 1.6741e+06 0.5; - Mux10~9 0xc0 893593 0.5; - Mux10~10 0xc0 1.17064e+06 0.15625; - Mux10~11 0xc0 1.95674e+06 0.414063; - Mux10~12 0xc0 1.6741e+06 0.5; - Mux10~13 0xc0 893593 0.5; - Mux10~14 0xc0 831676 0.457031; - Mux10~15 0xc0 1.4111e+06 0.356445; - Mux11~0 0xc0 1.6741e+06 0.5; - Mux11~1 0xc0 893593 0.5; - Mux11~2 0xc0 1.6741e+06 0.5; - Mux11~3 0xc0 893593 0.5; - Mux11~4 0xc0 1.25312e+06 0.273438; - Mux11~5 0xc0 967450 0.261719; - Mux11~6 0xc0 1.6741e+06 0.5; - Mux11~7 0xc0 893593 0.5; - Mux11~8 0xc0 1.6741e+06 0.5; - Mux11~9 0xc0 893593 0.5; - Mux11~10 0xc0 1.31518e+06 0.125; - Mux11~11 0xc0 2.07379e+06 0.40625; - Mux11~12 0xc0 1.6741e+06 0.5; - Mux11~13 0xc0 893593 0.5; - Mux11~14 0xc0 848503 0.453125; - Mux11~15 0xc0 1.38686e+06 0.357422; - Mux12~0 0xc0 2.78735e+06 0.5; - Mux12~1 0xc0 1.88966e+06 0.5; - Mux12~2 0xc0 2.78735e+06 0.5; - Mux12~3 0xc0 1.88966e+06 0.5; - Mux12~4 0xc0 2.78735e+06 0.5; - Mux12~5 0xc0 1.88966e+06 0.5; - Mux12~6 0xc0 1.6741e+06 0.5; - Mux12~7 0xc0 2.78735e+06 0.5; - Mux12~8 0xc0 1.88966e+06 0.5; - Mux12~9 0xc0 893593 0.5; - Mux12~10 0xc0 4.08288e+06 0.5; - Mux12~11 0xc0 1.92066e+06 0.375; - Mux12~12 0xc0 2.78735e+06 0.5; - Mux12~13 0xc0 1.88966e+06 0.5; - Mux12~14 0xc0 2.78735e+06 0.5; - Mux12~15 0xc0 1.88966e+06 0.5; - Mux12~16 0xc0 2.78735e+06 0.5; - Mux12~17 0xc0 1.88966e+06 0.5; - Mux12~18 0xc0 1.6741e+06 0.5; - Mux12~19 0xc0 2.78735e+06 0.5; - Mux12~20 0xc0 1.88966e+06 0.5; - Mux12~21 0xc0 893593 0.5; - Mux12~22 0xc0 1.10412e+06 0.285156; - Mux12~23 0xc0 947022 0.267578; - Mux12~24 0xc0 2.78735e+06 0.5; - Mux12~25 0xc0 1.88966e+06 0.5; - Mux12~26 0xc0 2.78735e+06 0.5; - Mux12~27 0xc0 1.88966e+06 0.5; - Mux12~28 0xc0 2.78735e+06 0.5; - Mux12~29 0xc0 1.88966e+06 0.5; - Mux12~30 0xc0 1.6741e+06 0.5; - Mux12~31 0xc0 2.78735e+06 0.5; - Mux12~32 0xc0 1.88966e+06 0.5; - Mux12~33 0xc0 893593 0.5; - Mux12~34 0xc0 2.78735e+06 0.5; - Mux12~35 0xc0 1.88966e+06 0.5; - Mux12~36 0xc0 2.78735e+06 0.5; - Mux12~37 0xc0 1.88966e+06 0.5; - Mux12~38 0xc0 2.78735e+06 0.5; - Mux12~39 0xc0 1.88966e+06 0.5; - Mux12~40 0xc0 1.6741e+06 0.5; - Mux12~41 0xc0 2.78735e+06 0.5; - Mux12~42 0xc0 1.88966e+06 0.5; - Mux12~43 0xc0 893593 0.5; - Mux12~44 0xc0 4.08288e+06 0.5; - Mux12~45 0xc0 1.92066e+06 0.375; - Mux12~46 0xc0 823552 0.09375; - Mux12~47 0xc0 2.15308e+06 0.398438; - Mux12~48 0xc0 2.78735e+06 0.5; - Mux12~49 0xc0 1.88966e+06 0.5; - Mux12~50 0xc0 2.78735e+06 0.5; - Mux12~51 0xc0 1.88966e+06 0.5; - Mux12~52 0xc0 2.78735e+06 0.5; - Mux12~53 0xc0 1.88966e+06 0.5; - Mux12~54 0xc0 1.6741e+06 0.5; - Mux12~55 0xc0 2.78735e+06 0.5; - Mux12~56 0xc0 1.88966e+06 0.5; - Mux12~57 0xc0 893593 0.5; - Mux12~58 0xc0 861254 0.449219; - Mux12~59 0xc0 1.36528e+06 0.358398; - Mux13~0 0xc0 2.78735e+06 0.5; - Mux13~1 0xc0 1.88966e+06 0.5; - Mux13~2 0xc0 2.78735e+06 0.5; - Mux13~3 0xc0 1.88966e+06 0.5; - Mux13~4 0xc0 2.78735e+06 0.5; - Mux13~5 0xc0 1.88966e+06 0.5; - Mux13~6 0xc0 1.6741e+06 0.5; - Mux13~7 0xc0 2.78735e+06 0.5; - Mux13~8 0xc0 1.88966e+06 0.5; - Mux13~9 0xc0 893593 0.5; - Mux13~10 0xc0 2.78735e+06 0.5; - Mux13~11 0xc0 1.88966e+06 0.5; - Mux13~12 0xc0 2.78735e+06 0.5; - Mux13~13 0xc0 1.88966e+06 0.5; - Mux13~14 0xc0 2.78735e+06 0.5; - Mux13~15 0xc0 1.88966e+06 0.5; - Mux13~16 0xc0 2.78735e+06 0.5; - Mux13~17 0xc0 1.88966e+06 0.5; - Mux13~18 0xc0 1.6741e+06 0.5; - Mux13~19 0xc0 2.78735e+06 0.5; - Mux13~20 0xc0 1.88966e+06 0.5; - Mux13~21 0xc0 893593 0.5; - Mux13~22 0xc0 1.08411e+06 0.296875; - Mux13~23 0xc0 941712 0.273438; - Mux13~24 0xc0 2.78735e+06 0.5; - Mux13~25 0xc0 1.88966e+06 0.5; - Mux13~26 0xc0 2.78735e+06 0.5; - Mux13~27 0xc0 1.88966e+06 0.5; - Mux13~28 0xc0 2.78735e+06 0.5; - Mux13~29 0xc0 1.88966e+06 0.5; - Mux13~30 0xc0 1.6741e+06 0.5; - Mux13~31 0xc0 2.78735e+06 0.5; - Mux13~32 0xc0 1.88966e+06 0.5; - Mux13~33 0xc0 893593 0.5; - Mux13~34 0xc0 2.78735e+06 0.5; - Mux13~35 0xc0 1.88966e+06 0.5; - Mux13~36 0xc0 2.78735e+06 0.5; - Mux13~37 0xc0 1.88966e+06 0.5; - Mux13~38 0xc0 2.78735e+06 0.5; - Mux13~39 0xc0 1.88966e+06 0.5; - Mux13~40 0xc0 1.6741e+06 0.5; - Mux13~41 0xc0 2.78735e+06 0.5; - Mux13~42 0xc0 1.88966e+06 0.5; - Mux13~43 0xc0 893593 0.5; - Mux13~44 0xc0 2.00218e+06 0.25; - Mux13~45 0xc0 478187 0.0625; - Mux13~46 0xc0 2.25497e+06 0.390625; - Mux13~47 0xc0 2.78735e+06 0.5; - Mux13~48 0xc0 1.88966e+06 0.5; - Mux13~49 0xc0 2.78735e+06 0.5; - Mux13~50 0xc0 1.88966e+06 0.5; - Mux13~51 0xc0 2.78735e+06 0.5; - Mux13~52 0xc0 1.88966e+06 0.5; - Mux13~53 0xc0 1.6741e+06 0.5; - Mux13~54 0xc0 2.78735e+06 0.5; - Mux13~55 0xc0 1.88966e+06 0.5; - Mux13~56 0xc0 893593 0.5; - Mux13~57 0xc0 876904 0.445313; - Mux13~58 0xc0 1.3479e+06 0.359375; - Mux14~0 0xc0 2.78735e+06 0.5; - Mux14~1 0xc0 1.88966e+06 0.5; - Mux14~2 0xc0 2.78735e+06 0.5; - Mux14~3 0xc0 1.88966e+06 0.5; - Mux14~4 0xc0 2.78735e+06 0.5; - Mux14~5 0xc0 1.88966e+06 0.5; - Mux14~6 0xc0 1.6741e+06 0.5; - Mux14~7 0xc0 2.78735e+06 0.5; - Mux14~8 0xc0 1.88966e+06 0.5; - Mux14~9 0xc0 893593 0.5; - Mux14~10 0xc0 2.78735e+06 0.5; - Mux14~11 0xc0 1.88966e+06 0.5; - Mux14~12 0xc0 2.78735e+06 0.5; - Mux14~13 0xc0 1.88966e+06 0.5; - Mux14~14 0xc0 2.78735e+06 0.5; - Mux14~15 0xc0 1.88966e+06 0.5; - Mux14~16 0xc0 1.6741e+06 0.5; - Mux14~17 0xc0 2.78735e+06 0.5; - Mux14~18 0xc0 1.88966e+06 0.5; - Mux14~19 0xc0 893593 0.5; - Mux14~20 0xc0 1.91581e+06 0.125; - Mux14~21 0xc0 257756 0.03125; - Mux14~22 0xc0 2.37781e+06 0.382813; - Mux14~23 0xc0 2.78735e+06 0.5; - Mux14~24 0xc0 1.88966e+06 0.5; - Mux14~25 0xc0 2.78735e+06 0.5; - Mux14~26 0xc0 1.88966e+06 0.5; - Mux14~27 0xc0 2.78735e+06 0.5; - Mux14~28 0xc0 1.88966e+06 0.5; - Mux14~29 0xc0 1.6741e+06 0.5; - Mux14~30 0xc0 2.78735e+06 0.5; - Mux14~31 0xc0 1.88966e+06 0.5; - Mux14~32 0xc0 893593 0.5; - Mux14~33 0xc0 895261 0.441406; - Mux14~34 0xc0 2.78735e+06 0.5; - Mux14~35 0xc0 1.88966e+06 0.5; - Mux14~36 0xc0 2.78735e+06 0.5; - Mux14~37 0xc0 1.88966e+06 0.5; - Mux14~38 0xc0 2.78735e+06 0.5; - Mux14~39 0xc0 1.88966e+06 0.5; - Mux14~40 0xc0 1.6741e+06 0.5; - Mux14~41 0xc0 2.78735e+06 0.5; - Mux14~42 0xc0 1.88966e+06 0.5; - Mux14~43 0xc0 893593 0.5; - Mux14~44 0xc0 1.21791e+06 0.125; - Mux14~45 0xc0 1.91581e+06 0.125; - Mux14~46 0xc0 2.78735e+06 0.5; - Mux14~47 0xc0 1.88966e+06 0.5; - Mux14~48 0xc0 473898 0.078125; - Mux14~49 0xc0 2.78735e+06 0.5; - Mux14~50 0xc0 1.88966e+06 0.5; - Mux14~51 0xc0 2.78735e+06 0.5; - Mux14~52 0xc0 1.88966e+06 0.5; - Mux14~53 0xc0 2.78735e+06 0.5; - Mux14~54 0xc0 1.88966e+06 0.5; - Mux14~55 0xc0 1.6741e+06 0.5; - Mux14~56 0xc0 2.78735e+06 0.5; - Mux14~57 0xc0 1.88966e+06 0.5; - Mux14~58 0xc0 893593 0.5; - Mux14~59 0xc0 1.09124e+06 0.154297; - Mux14~60 0xc0 1.38187e+06 0.350708; - Mux15~0 0xc0 2.78735e+06 0.5; - Mux15~1 0xc0 1.88966e+06 0.5; - Mux15~2 0xc0 2.78735e+06 0.5; - Mux15~3 0xc0 1.88966e+06 0.5; - Mux15~4 0xc0 2.78735e+06 0.5; - Mux15~5 0xc0 1.88966e+06 0.5; - Mux15~6 0xc0 1.6741e+06 0.5; - Mux15~7 0xc0 2.78735e+06 0.5; - Mux15~8 0xc0 1.88966e+06 0.5; - Mux15~9 0xc0 893593 0.5; - Mux15~10 0xc0 2.78735e+06 0.5; - Mux15~11 0xc0 1.88966e+06 0.5; - Mux15~12 0xc0 2.78735e+06 0.5; - Mux15~13 0xc0 1.88966e+06 0.5; - Mux15~14 0xc0 2.78735e+06 0.5; - Mux15~15 0xc0 1.88966e+06 0.5; - Mux15~16 0xc0 1.6741e+06 0.5; - Mux15~17 0xc0 2.78735e+06 0.5; - Mux15~18 0xc0 1.88966e+06 0.5; - Mux15~19 0xc0 893593 0.5; - Mux15~20 0xc0 578348 0.125; - Mux15~21 0xc0 2.78735e+06 0.5; - Mux15~22 0xc0 1.88966e+06 0.5; - Mux15~23 0xc0 2.78735e+06 0.5; - Mux15~24 0xc0 1.88966e+06 0.5; - Mux15~25 0xc0 2.78735e+06 0.5; - Mux15~26 0xc0 1.88966e+06 0.5; - Mux15~27 0xc0 1.6741e+06 0.5; - Mux15~28 0xc0 2.78735e+06 0.5; - Mux15~29 0xc0 1.88966e+06 0.5; - Mux15~30 0xc0 893593 0.5; - Mux15~31 0xc0 2.78735e+06 0.5; - Mux15~32 0xc0 1.88966e+06 0.5; - Mux15~33 0xc0 2.78735e+06 0.5; - Mux15~34 0xc0 1.88966e+06 0.5; - Mux15~35 0xc0 2.78735e+06 0.5; - Mux15~36 0xc0 1.88966e+06 0.5; - Mux15~37 0xc0 1.6741e+06 0.5; - Mux15~38 0xc0 2.78735e+06 0.5; - Mux15~39 0xc0 1.88966e+06 0.5; - Mux15~40 0xc0 893593 0.5; - Mux15~41 0xc0 453937 0.125; - Mux15~42 0xc0 2.78735e+06 0.5; - Mux15~43 0xc0 1.88966e+06 0.5; - Mux15~44 0xc0 2.78735e+06 0.5; - Mux15~45 0xc0 1.88966e+06 0.5; - Mux15~46 0xc0 2.78735e+06 0.5; - Mux15~47 0xc0 1.88966e+06 0.5; - Mux15~48 0xc0 1.6741e+06 0.5; - Mux15~49 0xc0 2.78735e+06 0.5; - Mux15~50 0xc0 1.88966e+06 0.5; - Mux15~51 0xc0 893593 0.5; - Mux15~52 0xc0 2.00218e+06 0.25; - Mux15~53 0xc0 2.78735e+06 0.5; - Mux15~54 0xc0 1.88966e+06 0.5; - Mux15~55 0xc0 1.97127e+06 0.375; - Mux15~56 0xc0 1.02836e+06 0.34375; - Mux15~57 0xc0 673553 0.300171; - Mux16~0 0xc0 1.6741e+06 0.5; - Mux16~1 0xc0 893593 0.5; - Mux16~2 0xc0 1.71339e+06 0.4375; - Mux16~3 0xc0 1.03119e+06 0.359375; - Mux16~4 0xc0 1.50666e+06 0.496094; - Mux16~5 0xc0 1.6741e+06 0.5; - Mux16~6 0xc0 893593 0.5; - Mux16~7 0xc0 762334 0.498047; - Mux16~8 0xc0 950703 0.338867; - Mux17~0 0xc0 1.6741e+06 0.5; - Mux17~1 0xc0 893593 0.5; - Mux17~2 0xc0 1.62676e+06 0.5; - Mux17~3 0xc0 1.07513e+06 0.375; - Mux17~4 0xc0 1.6741e+06 0.5; - Mux17~5 0xc0 893593 0.5; - Mux17~6 0xc0 1.6741e+06 0.5; - Mux17~7 0xc0 893593 0.5; - Mux17~8 0xc0 1.86418e+06 0.4375; - Mux17~9 0xc0 927652 0.46875; - Mux17~10 0xc0 1.50124e+06 0.492188; - Mux17~11 0xc0 1.6741e+06 0.5; - Mux17~12 0xc0 893593 0.5; - Mux17~13 0xc0 761815 0.496094; - Mux17~14 0xc0 949818 0.341797; - Mux18~0 0xc0 1.6741e+06 0.5; - Mux18~1 0xc0 893593 0.5; - Mux18~2 0xc0 1.31518e+06 0.125; - Mux18~3 0xc0 1.17064e+06 0.15625; - Mux18~4 0xc0 1.62177e+06 0.380859; - Mux18~5 0xc0 1.50073e+06 0.488281; - Mux18~6 0xc0 1.6741e+06 0.5; - Mux18~7 0xc0 893593 0.5; - Mux18~8 0xc0 761936 0.494141; - Mux18~9 0xc0 980412 0.342285; - Mux19~0 0xc0 1.6741e+06 0.5; - Mux19~1 0xc0 893593 0.5; - Mux19~2 0xc0 1.31518e+06 0.125; - Mux19~3 0xc0 1.033e+06 0.1875; - Mux19~4 0xc0 1.5201e+06 0.394531; - Mux19~5 0xc0 1.6741e+06 0.5; - Mux19~6 0xc0 893593 0.5; - Mux19~7 0xc0 1.6741e+06 0.5; - Mux19~8 0xc0 893593 0.5; - Mux19~9 0xc0 1.62676e+06 0.5; - Mux19~10 0xc0 1.17475e+06 0.375; - Mux19~11 0xc0 1.53253e+06 0.46875; - Mux19~12 0xc0 1.6741e+06 0.5; - Mux19~13 0xc0 893593 0.5; - Mux19~14 0xc0 767585 0.484375; - Mux19~15 0xc0 959746 0.34082; - Mux20~0 0xc0 1.6741e+06 0.5; - Mux20~1 0xc0 893593 0.5; - Mux20~2 0xc0 1.31518e+06 0.125; - Mux20~3 0xc0 1.00841e+06 0.21875; - Mux20~4 0xc0 1.44042e+06 0.408203; - Mux20~5 0xc0 1.6741e+06 0.5; - Mux20~6 0xc0 893593 0.5; - Mux20~7 0xc0 1.67198e+06 0.46875; - Mux20~8 0xc0 896021 0.484375; - Mux20~9 0xc0 1.62676e+06 0.5; - Mux20~10 0xc0 1.17475e+06 0.375; - Mux20~11 0xc0 1.53924e+06 0.464844; - Mux20~12 0xc0 1.6741e+06 0.5; - Mux20~13 0xc0 893593 0.5; - Mux20~14 0xc0 768971 0.482422; - Mux20~15 0xc0 956701 0.343262; - Mux21~0 0xc0 1.6741e+06 0.5; - Mux21~1 0xc0 893593 0.5; - Mux21~2 0xc0 1.31518e+06 0.125; - Mux21~3 0xc0 1.11081e+06 0.25; - Mux21~4 0xc0 1.38453e+06 0.421875; - Mux21~5 0xc0 1.86418e+06 0.4375; - Mux21~6 0xc0 927652 0.46875; - Mux21~7 0xc0 1.6741e+06 0.5; - Mux21~8 0xc0 893593 0.5; - Mux21~9 0xc0 1.62676e+06 0.5; - Mux21~10 0xc0 1.17475e+06 0.375; - Mux21~11 0xc0 1.53253e+06 0.46875; - Mux21~12 0xc0 1.6741e+06 0.5; - Mux21~13 0xc0 893593 0.5; - Mux21~14 0xc0 737469 0.476074; - Mux21~15 0xc0 946277 0.343506; - Mux22~0 0xc0 1.75781e+06 0.25; - Mux22~1 0xc0 1.6741e+06 0.5; - Mux22~2 0xc0 1.71489e+06 0.40625; - Mux22~3 0xc0 1.6741e+06 0.5; - Mux22~4 0xc0 893593 0.5; - Mux22~5 0xc0 1.46623e+06 0.453125; - Mux22~6 0xc0 1.6741e+06 0.5; - Mux22~7 0xc0 893593 0.5; - Mux22~8 0xc0 2.23315e+06 0.40625; - Mux22~9 0xc0 986334 0.453125; - Mux22~10 0xc0 1.62676e+06 0.5; - Mux22~11 0xc0 1.17475e+06 0.375; - Mux22~12 0xc0 1.56804e+06 0.457031; - Mux22~13 0xc0 1.6741e+06 0.5; - Mux22~14 0xc0 893593 0.5; - Mux22~15 0xc0 773735 0.478516; - Mux22~16 0xc0 980123 0.352539; - Mux23~0 0xc0 1.6741e+06 0.5; - Mux23~1 0xc0 1.34847e+06 0.4375; - Mux23~2 0xc0 1.6741e+06 0.5; - Mux23~3 0xc0 893593 0.5; - Mux23~4 0xc0 1.38376e+06 0.46875; - Mux23~5 0xc0 1.6741e+06 0.5; - Mux23~6 0xc0 893593 0.5; - Mux23~7 0xc0 1.6741e+06 0.5; - Mux23~8 0xc0 893593 0.5; - Mux23~9 0xc0 1.11081e+06 0.25; - Mux23~10 0xc0 1.7023e+06 0.4375; - Mux23~11 0xc0 1.6741e+06 0.5; - Mux23~12 0xc0 893593 0.5; - Mux23~13 0xc0 794093 0.46875; - Mux23~14 0xc0 978107 0.351563; - Mux24~0 0xc0 1.6741e+06 0.5; - Mux24~1 0xc0 1.07052e+06 0.46875; - Mux24~2 0xc0 1.6741e+06 0.5; - Mux24~3 0xc0 893593 0.5; - Mux24~4 0xc0 1.32475e+06 0.484375; - Mux24~5 0xc0 1.6741e+06 0.5; - Mux24~6 0xc0 893593 0.5; - Mux24~7 0xc0 1.6741e+06 0.5; - Mux24~8 0xc0 893593 0.5; - Mux24~9 0xc0 1.00841e+06 0.21875; - Mux24~10 0xc0 1.76636e+06 0.429688; - Mux24~11 0xc0 1.6741e+06 0.5; - Mux24~12 0xc0 893593 0.5; - Mux24~13 0xc0 803936 0.464844; - Mux24~14 0xc0 987044 0.353516; - Mux25~0 0xc0 1.75781e+06 0.25; - Mux25~1 0xc0 1.6741e+06 0.5; - Mux25~2 0xc0 893593 0.5; - Mux25~3 0xc0 1.6741e+06 0.5; - Mux25~4 0xc0 893593 0.5; - Mux25~5 0xc0 1.29125e+06 0.5; - Mux25~6 0xc0 1.6741e+06 0.5; - Mux25~7 0xc0 893593 0.5; - Mux25~8 0xc0 1.6741e+06 0.5; - Mux25~9 0xc0 893593 0.5; - Mux25~10 0xc0 1.033e+06 0.1875; - Mux25~11 0xc0 1.85153e+06 0.421875; - Mux25~12 0xc0 1.6741e+06 0.5; - Mux25~13 0xc0 893593 0.5; - Mux25~14 0xc0 816505 0.460938; - Mux25~15 0xc0 1.00043e+06 0.355469; - Mux26~0 0xc0 1.6741e+06 0.5; - Mux26~1 0xc0 893593 0.5; - Mux26~2 0xc0 1.6741e+06 0.5; - Mux26~3 0xc0 893593 0.5; - Mux26~4 0xc0 1.17064e+06 0.15625; - Mux26~5 0xc0 1.95674e+06 0.414063; - Mux26~6 0xc0 1.6741e+06 0.5; - Mux26~7 0xc0 893593 0.5; - Mux26~8 0xc0 831676 0.457031; - Mux26~9 0xc0 1.6741e+06 0.5; - Mux26~10 0xc0 893593 0.5; - Mux26~11 0xc0 1.21791e+06 0.125; - Mux26~12 0xc0 88400.6 0.015625; - Mux26~13 0xc0 1.6741e+06 0.5; - Mux26~14 0xc0 893593 0.5; - Mux26~15 0xc0 1.18832e+06 0.130859; - Mux26~16 0xc0 1.4931e+06 0.348267; - Mux27~0 0xc0 1.6741e+06 0.5; - Mux27~1 0xc0 893593 0.5; - Mux27~2 0xc0 1.6741e+06 0.5; - Mux27~3 0xc0 893593 0.5; - Mux27~4 0xc0 1.25311e+06 0.273438; - Mux27~5 0xc0 967450 0.261719; - Mux27~6 0xc0 1.6741e+06 0.5; - Mux27~7 0xc0 893593 0.5; - Mux27~8 0xc0 1.6741e+06 0.5; - Mux27~9 0xc0 893593 0.5; - Mux27~10 0xc0 1.31518e+06 0.125; - Mux27~11 0xc0 2.07379e+06 0.40625; - Mux27~12 0xc0 1.6741e+06 0.5; - Mux27~13 0xc0 893593 0.5; - Mux27~14 0xc0 848503 0.453125; - Mux27~15 0xc0 1.38686e+06 0.357422; - Mux28~0 0xc0 2.78735e+06 0.5; - Mux28~1 0xc0 1.88966e+06 0.5; - Mux28~2 0xc0 2.78735e+06 0.5; - Mux28~3 0xc0 1.88966e+06 0.5; - Mux28~4 0xc0 2.78735e+06 0.5; - Mux28~5 0xc0 1.88966e+06 0.5; - Mux28~6 0xc0 1.6741e+06 0.5; - Mux28~7 0xc0 2.78735e+06 0.5; - Mux28~8 0xc0 1.88966e+06 0.5; - Mux28~9 0xc0 893593 0.5; - Mux28~10 0xc0 4.08288e+06 0.5; - Mux28~11 0xc0 1.92066e+06 0.375; - Mux28~12 0xc0 2.78735e+06 0.5; - Mux28~13 0xc0 1.88966e+06 0.5; - Mux28~14 0xc0 2.78735e+06 0.5; - Mux28~15 0xc0 1.88966e+06 0.5; - Mux28~16 0xc0 2.78735e+06 0.5; - Mux28~17 0xc0 1.88966e+06 0.5; - Mux28~18 0xc0 1.6741e+06 0.5; - Mux28~19 0xc0 2.78735e+06 0.5; - Mux28~20 0xc0 1.88966e+06 0.5; - Mux28~21 0xc0 893593 0.5; - Mux28~22 0xc0 1.10412e+06 0.285156; - Mux28~23 0xc0 947022 0.267578; - Mux28~24 0xc0 2.78735e+06 0.5; - Mux28~25 0xc0 1.88966e+06 0.5; - Mux28~26 0xc0 2.78735e+06 0.5; - Mux28~27 0xc0 1.88966e+06 0.5; - Mux28~28 0xc0 2.78735e+06 0.5; - Mux28~29 0xc0 1.88966e+06 0.5; - Mux28~30 0xc0 1.6741e+06 0.5; - Mux28~31 0xc0 2.78735e+06 0.5; - Mux28~32 0xc0 1.88966e+06 0.5; - Mux28~33 0xc0 893593 0.5; - Mux28~34 0xc0 2.78735e+06 0.5; - Mux28~35 0xc0 1.88966e+06 0.5; - Mux28~36 0xc0 2.78735e+06 0.5; - Mux28~37 0xc0 1.88966e+06 0.5; - Mux28~38 0xc0 2.78735e+06 0.5; - Mux28~39 0xc0 1.88966e+06 0.5; - Mux28~40 0xc0 1.6741e+06 0.5; - Mux28~41 0xc0 2.78735e+06 0.5; - Mux28~42 0xc0 1.88966e+06 0.5; - Mux28~43 0xc0 893593 0.5; - Mux28~44 0xc0 4.08288e+06 0.5; - Mux28~45 0xc0 1.92066e+06 0.375; - Mux28~46 0xc0 823552 0.09375; - Mux28~47 0xc0 2.15308e+06 0.398438; - Mux28~48 0xc0 2.78735e+06 0.5; - Mux28~49 0xc0 1.88966e+06 0.5; - Mux28~50 0xc0 2.78735e+06 0.5; - Mux28~51 0xc0 1.88966e+06 0.5; - Mux28~52 0xc0 2.78735e+06 0.5; - Mux28~53 0xc0 1.88966e+06 0.5; - Mux28~54 0xc0 1.6741e+06 0.5; - Mux28~55 0xc0 2.78735e+06 0.5; - Mux28~56 0xc0 1.88966e+06 0.5; - Mux28~57 0xc0 893593 0.5; - Mux28~58 0xc0 861254 0.449219; - Mux28~59 0xc0 1.36528e+06 0.358398; - Mux29~0 0xc0 2.78735e+06 0.5; - Mux29~1 0xc0 1.88966e+06 0.5; - Mux29~2 0xc0 2.78735e+06 0.5; - Mux29~3 0xc0 1.88966e+06 0.5; - Mux29~4 0xc0 2.78735e+06 0.5; - Mux29~5 0xc0 1.88966e+06 0.5; - Mux29~6 0xc0 1.6741e+06 0.5; - Mux29~7 0xc0 2.78735e+06 0.5; - Mux29~8 0xc0 1.88966e+06 0.5; - Mux29~9 0xc0 893593 0.5; - Mux29~10 0xc0 744629 0.125; - Mux29~11 0xc0 2.78735e+06 0.5; - Mux29~12 0xc0 1.88966e+06 0.5; - Mux29~13 0xc0 2.78735e+06 0.5; - Mux29~14 0xc0 1.88966e+06 0.5; - Mux29~15 0xc0 2.78735e+06 0.5; - Mux29~16 0xc0 1.88966e+06 0.5; - Mux29~17 0xc0 2.78735e+06 0.5; - Mux29~18 0xc0 1.88966e+06 0.5; - Mux29~19 0xc0 1.6741e+06 0.5; - Mux29~20 0xc0 2.78735e+06 0.5; - Mux29~21 0xc0 1.88966e+06 0.5; - Mux29~22 0xc0 893593 0.5; - Mux29~23 0xc0 1.08411e+06 0.296875; - Mux29~24 0xc0 941712 0.273438; - Mux29~25 0xc0 2.78735e+06 0.5; - Mux29~26 0xc0 1.88966e+06 0.5; - Mux29~27 0xc0 2.78735e+06 0.5; - Mux29~28 0xc0 1.88966e+06 0.5; - Mux29~29 0xc0 2.78735e+06 0.5; - Mux29~30 0xc0 1.88966e+06 0.5; - Mux29~31 0xc0 1.6741e+06 0.5; - Mux29~32 0xc0 2.78735e+06 0.5; - Mux29~33 0xc0 1.88966e+06 0.5; - Mux29~34 0xc0 893593 0.5; - Mux29~35 0xc0 2.78735e+06 0.5; - Mux29~36 0xc0 1.88966e+06 0.5; - Mux29~37 0xc0 2.78735e+06 0.5; - Mux29~38 0xc0 1.88966e+06 0.5; - Mux29~39 0xc0 2.78735e+06 0.5; - Mux29~40 0xc0 1.88966e+06 0.5; - Mux29~41 0xc0 1.6741e+06 0.5; - Mux29~42 0xc0 2.78735e+06 0.5; - Mux29~43 0xc0 1.88966e+06 0.5; - Mux29~44 0xc0 893593 0.5; - Mux29~45 0xc0 2.00218e+06 0.25; - Mux29~46 0xc0 478187 0.0625; - Mux29~47 0xc0 2.25497e+06 0.390625; - Mux29~48 0xc0 2.78735e+06 0.5; - Mux29~49 0xc0 1.88966e+06 0.5; - Mux29~50 0xc0 2.78735e+06 0.5; - Mux29~51 0xc0 1.88966e+06 0.5; - Mux29~52 0xc0 2.78735e+06 0.5; - Mux29~53 0xc0 1.88966e+06 0.5; - Mux29~54 0xc0 1.6741e+06 0.5; - Mux29~55 0xc0 2.78735e+06 0.5; - Mux29~56 0xc0 1.88966e+06 0.5; - Mux29~57 0xc0 893593 0.5; - Mux29~58 0xc0 876904 0.445313; - Mux29~59 0xc0 1.3479e+06 0.359375; - Mux30~0 0xc0 2.78735e+06 0.5; - Mux30~1 0xc0 1.88966e+06 0.5; - Mux30~2 0xc0 2.78735e+06 0.5; - Mux30~3 0xc0 1.88966e+06 0.5; - Mux30~4 0xc0 2.78735e+06 0.5; - Mux30~5 0xc0 1.88966e+06 0.5; - Mux30~6 0xc0 1.6741e+06 0.5; - Mux30~7 0xc0 2.78735e+06 0.5; - Mux30~8 0xc0 1.88966e+06 0.5; - Mux30~9 0xc0 893593 0.5; - Mux30~10 0xc0 2.78735e+06 0.5; - Mux30~11 0xc0 1.88966e+06 0.5; - Mux30~12 0xc0 2.78735e+06 0.5; - Mux30~13 0xc0 1.88966e+06 0.5; - Mux30~14 0xc0 2.78735e+06 0.5; - Mux30~15 0xc0 1.88966e+06 0.5; - Mux30~16 0xc0 1.6741e+06 0.5; - Mux30~17 0xc0 2.78735e+06 0.5; - Mux30~18 0xc0 1.88966e+06 0.5; - Mux30~19 0xc0 893593 0.5; - Mux30~20 0xc0 1.91581e+06 0.125; - Mux30~21 0xc0 257756 0.03125; - Mux30~22 0xc0 2.37781e+06 0.382813; - Mux30~23 0xc0 2.78735e+06 0.5; - Mux30~24 0xc0 1.88966e+06 0.5; - Mux30~25 0xc0 2.78735e+06 0.5; - Mux30~26 0xc0 1.88966e+06 0.5; - Mux30~27 0xc0 2.78735e+06 0.5; - Mux30~28 0xc0 1.88966e+06 0.5; - Mux30~29 0xc0 1.6741e+06 0.5; - Mux30~30 0xc0 2.78735e+06 0.5; - Mux30~31 0xc0 1.88966e+06 0.5; - Mux30~32 0xc0 893593 0.5; - Mux30~33 0xc0 895261 0.441406; - Mux30~34 0xc0 2.78735e+06 0.5; - Mux30~35 0xc0 1.88966e+06 0.5; - Mux30~36 0xc0 2.78735e+06 0.5; - Mux30~37 0xc0 1.88966e+06 0.5; - Mux30~38 0xc0 2.78735e+06 0.5; - Mux30~39 0xc0 1.88966e+06 0.5; - Mux30~40 0xc0 1.6741e+06 0.5; - Mux30~41 0xc0 2.78735e+06 0.5; - Mux30~42 0xc0 1.88966e+06 0.5; - Mux30~43 0xc0 893593 0.5; - Mux30~44 0xc0 1.21791e+06 0.125; - Mux30~45 0xc0 1.75781e+06 0.25; - Mux30~46 0xc0 1.91581e+06 0.125; - Mux30~47 0xc0 2.78735e+06 0.5; - Mux30~48 0xc0 1.88966e+06 0.5; - Mux30~49 0xc0 473898 0.078125; - Mux30~50 0xc0 2.78735e+06 0.5; - Mux30~51 0xc0 1.88966e+06 0.5; - Mux30~52 0xc0 2.78735e+06 0.5; - Mux30~53 0xc0 1.88966e+06 0.5; - Mux30~54 0xc0 2.78735e+06 0.5; - Mux30~55 0xc0 1.88966e+06 0.5; - Mux30~56 0xc0 1.6741e+06 0.5; - Mux30~57 0xc0 2.78735e+06 0.5; - Mux30~58 0xc0 1.88966e+06 0.5; - Mux30~59 0xc0 893593 0.5; - Mux30~60 0xc0 1.09124e+06 0.154297; - Mux30~61 0xc0 1.38187e+06 0.350708; - Mux31~0 0xc0 2.34375e+06 0.25; - Mux31~1 0xc0 2.78735e+06 0.5; - Mux31~2 0xc0 1.88966e+06 0.5; - Mux31~3 0xc0 2.78735e+06 0.5; - Mux31~4 0xc0 1.88966e+06 0.5; - Mux31~5 0xc0 2.78735e+06 0.5; - Mux31~6 0xc0 1.88966e+06 0.5; - Mux31~7 0xc0 1.6741e+06 0.5; - Mux31~8 0xc0 2.78735e+06 0.5; - Mux31~9 0xc0 1.88966e+06 0.5; - Mux31~10 0xc0 893593 0.5; - Mux31~11 0xc0 2.78735e+06 0.5; - Mux31~12 0xc0 1.88966e+06 0.5; - Mux31~13 0xc0 2.78735e+06 0.5; - Mux31~14 0xc0 1.88966e+06 0.5; - Mux31~15 0xc0 2.78735e+06 0.5; - Mux31~16 0xc0 1.88966e+06 0.5; - Mux31~17 0xc0 1.6741e+06 0.5; - Mux31~18 0xc0 2.78735e+06 0.5; - Mux31~19 0xc0 1.88966e+06 0.5; - Mux31~20 0xc0 893593 0.5; - Mux31~21 0xc0 578348 0.125; - Mux31~22 0xc0 2.78735e+06 0.5; - Mux31~23 0xc0 1.88966e+06 0.5; - Mux31~24 0xc0 2.78735e+06 0.5; - Mux31~25 0xc0 1.88966e+06 0.5; - Mux31~26 0xc0 2.78735e+06 0.5; - Mux31~27 0xc0 1.88966e+06 0.5; - Mux31~28 0xc0 1.6741e+06 0.5; - Mux31~29 0xc0 2.78735e+06 0.5; - Mux31~30 0xc0 1.88966e+06 0.5; - Mux31~31 0xc0 893593 0.5; - Mux31~32 0xc0 2.78735e+06 0.5; - Mux31~33 0xc0 1.88966e+06 0.5; - Mux31~34 0xc0 2.78735e+06 0.5; - Mux31~35 0xc0 1.88966e+06 0.5; - Mux31~36 0xc0 2.78735e+06 0.5; - Mux31~37 0xc0 1.88966e+06 0.5; - Mux31~38 0xc0 1.6741e+06 0.5; - Mux31~39 0xc0 2.78735e+06 0.5; - Mux31~40 0xc0 1.88966e+06 0.5; - Mux31~41 0xc0 893593 0.5; - Mux31~42 0xc0 453937 0.125; - Mux31~43 0xc0 2.78735e+06 0.5; - Mux31~44 0xc0 1.88966e+06 0.5; - Mux31~45 0xc0 2.78735e+06 0.5; - Mux31~46 0xc0 1.88966e+06 0.5; - Mux31~47 0xc0 2.78735e+06 0.5; - Mux31~48 0xc0 1.88966e+06 0.5; - Mux31~49 0xc0 1.6741e+06 0.5; - Mux31~50 0xc0 2.78735e+06 0.5; - Mux31~51 0xc0 1.88966e+06 0.5; - Mux31~52 0xc0 893593 0.5; - Mux31~53 0xc0 2.00218e+06 0.25; - Mux31~54 0xc0 2.78735e+06 0.5; - Mux31~55 0xc0 1.88966e+06 0.5; - Mux31~56 0xc0 1.97127e+06 0.375; - Mux31~57 0xc0 1.02836e+06 0.34375; - Mux31~58 0xc0 673553 0.300171; - data_valid_out_I~0 0xc0 4.52258e+06 0.25; - data_valid_out_Q~0 0xc0 4.52258e+06 0.25; - clk_sys 0xc 1.28642e+08 0.499968; - clk_sys~input 0xc0 1.28642e+08 0.499968; - DAC_CLK 0xc0 3.21647e+08 0.5; - DAC_CLK~output 0xc0 3.21647e+08 0.5; - DAC_corrector:DAC_CORRECTOR; - DATA_OUT[0] 0xc0 2.01029e+07 0.5; - DATA_OUT[0]~13 0xc0 5.00595e+06 0.294922; - DATA_OUT[1] 0xc0 2.01029e+07 0.5; - DATA_OUT[1]~12 0xc0 4.85762e+06 0.30957; - DATA_OUT[2] 0xc0 2.01029e+07 0.5; - DATA_OUT[2]~11 0xc0 4.78584e+06 0.324219; - DATA_OUT[3] 0xc0 2.01029e+07 0.5; - DATA_OUT[3]~10 0xc0 4.45125e+06 0.34375; - DATA_OUT[4] 0xc0 2.01029e+07 0.5; - DATA_OUT[4]~9 0xc0 4.20499e+06 0.359375; - DATA_OUT[5] 0xc0 2.01029e+07 0.5; - DATA_OUT[5]~8 0xc0 3.99915e+06 0.375; - DATA_OUT[6] 0xc0 2.01029e+07 0.5; - DATA_OUT[6]~7 0xc0 3.83516e+06 0.390625; - DATA_OUT[7] 0xc0 2.01029e+07 0.5; - DATA_OUT[7]~6 0xc0 3.53017e+06 0.420898; - DATA_OUT[8] 0xc0 2.01029e+07 0.5; - DATA_OUT[8]~5 0xc0 3.37851e+06 0.439453; - DATA_OUT[9] 0xc0 2.01029e+07 0.5; - DATA_OUT[9]~4 0xc0 3.29685e+06 0.458008; - DATA_OUT[10] 0xc0 2.01029e+07 0.5; - DATA_OUT[10]~3 0xc0 3.29173e+06 0.476563; - DATA_OUT[11] 0xc0 2.01029e+07 0.5; - DATA_OUT[11]~2 0xc0 3.25488e+06 0.484375; - DATA_OUT[12] 0xc0 2.01029e+07 0.5; - DATA_OUT[12]~1 0xc0 3.23428e+06 0.490234; - DATA_OUT[13] 0xc0 2.01029e+07 0.5; - DATA_OUT[13]~0 0xc0 3.22853e+06 0.5; - LessThan0~0 0xc0 100183 0.96875; - LessThan0~1 0xc0 878447 0.871094; - Mux0~0 0xc0 6.96928e+06 0.5; - Mux0~1 0xc0 4.72476e+06 0.5; - Mux0~2 0xc0 6.96928e+06 0.5; - Mux0~3 0xc0 4.72476e+06 0.5; - Mux0~4 0xc0 6.96928e+06 0.5; - Mux0~5 0xc0 4.72476e+06 0.5; - Mux0~6 0xc0 4.1858e+06 0.5; - Mux0~7 0xc0 6.96928e+06 0.5; - Mux0~8 0xc0 4.72476e+06 0.5; - Mux0~9 0xc0 2.23427e+06 0.5; - Mux0~10 0xc0 6.96928e+06 0.5; - Mux0~11 0xc0 4.72476e+06 0.5; - Mux0~12 0xc0 6.96928e+06 0.5; - Mux0~13 0xc0 4.72476e+06 0.5; - Mux0~14 0xc0 6.96928e+06 0.5; - Mux0~15 0xc0 4.72476e+06 0.5; - Mux0~16 0xc0 4.1858e+06 0.5; - Mux0~17 0xc0 6.96928e+06 0.5; - Mux0~18 0xc0 4.72476e+06 0.5; - Mux0~19 0xc0 2.23427e+06 0.5; - Mux1~0 0xc0 6.96928e+06 0.5; - Mux1~1 0xc0 4.72476e+06 0.5; - Mux1~2 0xc0 6.96928e+06 0.5; - Mux1~3 0xc0 4.72476e+06 0.5; - Mux1~4 0xc0 5.0061e+06 0.25; - Mux1~5 0xc0 3.76388e+06 0.34375; - Mux1~6 0xc0 4.16842e+06 0.460938; - Mux1~7 0xc0 6.96928e+06 0.5; - Mux1~8 0xc0 4.72476e+06 0.5; - Mux1~9 0xc0 2.24264e+06 0.480469; - Mux1~10 0xc0 6.96928e+06 0.5; - Mux1~11 0xc0 4.72476e+06 0.5; - Mux1~12 0xc0 6.96928e+06 0.5; - Mux1~13 0xc0 4.72476e+06 0.5; - Mux1~14 0xc0 6.96928e+06 0.5; - Mux1~15 0xc0 4.72476e+06 0.5; - Mux1~16 0xc0 4.1858e+06 0.5; - Mux1~17 0xc0 6.96928e+06 0.5; - Mux1~18 0xc0 4.72476e+06 0.5; - Mux1~19 0xc0 2.23427e+06 0.5; - Mux2~0 0xc0 1.75781e+06 0.25; - Mux2~1 0xc0 6.96928e+06 0.5; - Mux2~2 0xc0 4.72476e+06 0.5; - Mux2~3 0xc0 6.96928e+06 0.5; - Mux2~4 0xc0 4.72476e+06 0.5; - Mux2~5 0xc0 5.74229e+06 0.25; - Mux2~6 0xc0 4.72025e+06 0.4375; - Mux2~7 0xc0 6.96928e+06 0.5; - Mux2~8 0xc0 4.72476e+06 0.5; - Mux2~9 0xc0 2.32669e+06 0.46875; - Mux2~10 0xc0 6.96928e+06 0.5; - Mux2~11 0xc0 4.72476e+06 0.5; - Mux2~12 0xc0 6.96928e+06 0.5; - Mux2~13 0xc0 4.72476e+06 0.5; - Mux2~14 0xc0 6.96928e+06 0.5; - Mux2~15 0xc0 4.72476e+06 0.5; - Mux2~16 0xc0 4.1858e+06 0.5; - Mux2~17 0xc0 6.96928e+06 0.5; - Mux2~18 0xc0 4.72476e+06 0.5; - Mux2~19 0xc0 2.23427e+06 0.5; - Mux3~0 0xc0 6.96928e+06 0.5; - Mux3~1 0xc0 4.72476e+06 0.5; - Mux3~2 0xc0 6.96928e+06 0.5; - Mux3~3 0xc0 4.72476e+06 0.5; - Mux3~4 0xc0 4.79015e+06 0.125; - Mux3~5 0xc0 5.58358e+06 0.40625; - Mux3~6 0xc0 6.96928e+06 0.5; - Mux3~7 0xc0 4.72476e+06 0.5; - Mux3~8 0xc0 2.46615e+06 0.453125; - Mux3~9 0xc0 6.96928e+06 0.5; - Mux3~10 0xc0 4.72476e+06 0.5; - Mux3~11 0xc0 6.96928e+06 0.5; - Mux3~12 0xc0 4.72476e+06 0.5; - Mux3~13 0xc0 6.96928e+06 0.5; - Mux3~14 0xc0 4.72476e+06 0.5; - Mux3~15 0xc0 4.1858e+06 0.5; - Mux3~16 0xc0 6.96928e+06 0.5; - Mux3~17 0xc0 4.72476e+06 0.5; - Mux3~18 0xc0 2.23427e+06 0.5; - Mux4~0 0xc0 1.02085e+07 0.5; - Mux4~1 0xc0 4.80227e+06 0.375; - Mux4~2 0xc0 4.79015e+06 0.125; - Mux4~3 0xc0 5.58358e+06 0.40625; - Mux4~4 0xc0 2.15851e+06 0.416016; - Mux4~5 0xc0 4.1858e+06 0.5; - Mux4~6 0xc0 2.23427e+06 0.5; - Mux5~0 0xc0 5.0061e+06 0.25; - Mux5~1 0xc0 4.79015e+06 0.125; - Mux5~2 0xc0 5.58358e+06 0.40625; - Mux5~3 0xc0 2.12522e+06 0.378906; - Mux5~4 0xc0 4.1858e+06 0.5; - Mux5~5 0xc0 2.23427e+06 0.5; - Mux6~0 0xc0 4.79015e+06 0.125; - Mux6~1 0xc0 4.79015e+06 0.125; - Mux6~2 0xc0 5.58358e+06 0.40625; - Mux6~3 0xc0 2.32641e+06 0.341797; - Mux6~4 0xc0 4.1858e+06 0.5; - Mux6~5 0xc0 2.23427e+06 0.5; - Mux7~0 0xc0 3.45962e+06 0.3125; - Mux7~1 0xc0 2.59285e+06 0.28125; - Mux7~2 0xc0 4.1858e+06 0.5; - Mux7~3 0xc0 2.23427e+06 0.5; - Mux8~0 0xc0 2.70601e+06 0.25; - Mux8~1 0xc0 2.57022e+06 0.25; - Mux8~2 0xc0 4.1858e+06 0.5; - Mux8~3 0xc0 2.23427e+06 0.5; - Mux9~0 0xc0 2.31834e+06 0.1875; - Mux9~1 0xc0 2.6665e+06 0.21875; - Mux9~2 0xc0 4.1858e+06 0.5; - Mux9~3 0xc0 2.23427e+06 0.5; - Mux10~0 0xc0 2.21838e+06 0.125; - Mux10~1 0xc0 2.8728e+06 0.1875; - Mux10~2 0xc0 4.1858e+06 0.5; - Mux10~3 0xc0 2.23427e+06 0.5; - Mux11~0 0xc0 1.81103e+06 0.0625; - Mux11~1 0xc0 3.10214e+06 0.148438; - Mux11~2 0xc0 4.1858e+06 0.5; - Mux11~3 0xc0 2.23427e+06 0.5; - Mux12~0 0xc0 1.81103e+06 0.0625; - Mux12~1 0xc0 2.04243e+06 0.119141; - Mux12~2 0xc0 4.1858e+06 0.5; - Mux12~3 0xc0 2.23427e+06 0.5; - Mux13~0 0xc0 1.81103e+06 0.0625; - Mux13~1 0xc0 1.32948e+06 0.0898438; - Mux13~2 0xc0 4.1858e+06 0.5; - Mux13~3 0xc0 2.23427e+06 0.5; - dac_null:DAC_IDLE; - mux14:DAC_MUX; - lpm_mux:LPM_MUX_component; - mux_rsc:auto_generated; - result_node[0]~12 0xc0 1.13079e+07 0.25; - result_node[1]~11 0xc0 1.13079e+07 0.25; - result_node[2]~10 0xc0 1.13079e+07 0.25; - result_node[3]~9 0xc0 1.13079e+07 0.25; - result_node[4]~8 0xc0 1.13079e+07 0.25; - result_node[5]~7 0xc0 1.13079e+07 0.25; - result_node[6]~6 0xc0 1.13079e+07 0.25; - result_node[7]~5 0xc0 1.13079e+07 0.25; - result_node[8]~4 0xc0 1.13079e+07 0.25; - result_node[9]~3 0xc0 1.13079e+07 0.25; - result_node[10]~2 0xc0 1.13079e+07 0.25; - result_node[11]~1 0xc0 1.13079e+07 0.25; - result_node[12]~0 0xc0 1.13079e+07 0.25; - result_node[13] 0xc0 1.13079e+07 0.75; - DAC_OUTPUT[0] 0xc0 1.13079e+07 0.25; - DAC_OUTPUT[0]~output 0xc0 1.13079e+07 0.25; - DAC_OUTPUT[1] 0xc0 1.13079e+07 0.25; - DAC_OUTPUT[1]~output 0xc0 1.13079e+07 0.25; - DAC_OUTPUT[2] 0xc0 1.13079e+07 0.25; - DAC_OUTPUT[2]~output 0xc0 1.13079e+07 0.25; - DAC_OUTPUT[3] 0xc0 1.13079e+07 0.25; - DAC_OUTPUT[3]~output 0xc0 1.13079e+07 0.25; - DAC_OUTPUT[4] 0xc0 1.13079e+07 0.25; - DAC_OUTPUT[4]~output 0xc0 1.13079e+07 0.25; - DAC_OUTPUT[5] 0xc0 1.13079e+07 0.25; - DAC_OUTPUT[5]~output 0xc0 1.13079e+07 0.25; - DAC_OUTPUT[6] 0xc0 1.13079e+07 0.25; - DAC_OUTPUT[6]~output 0xc0 1.13079e+07 0.25; - DAC_OUTPUT[7] 0xc0 1.13079e+07 0.25; - DAC_OUTPUT[7]~output 0xc0 1.13079e+07 0.25; - DAC_OUTPUT[8] 0xc0 1.13079e+07 0.25; - DAC_OUTPUT[8]~output 0xc0 1.13079e+07 0.25; - DAC_OUTPUT[9] 0xc0 1.13079e+07 0.25; - DAC_OUTPUT[9]~output 0xc0 1.13079e+07 0.25; - DAC_OUTPUT[10] 0xc0 1.13079e+07 0.25; - DAC_OUTPUT[10]~output 0xc0 1.13079e+07 0.25; - DAC_OUTPUT[11] 0xc0 1.13079e+07 0.25; - DAC_OUTPUT[11]~output 0xc0 1.13079e+07 0.25; - DAC_OUTPUT[12] 0xc0 1.13079e+07 0.25; - DAC_OUTPUT[12]~output 0xc0 1.13079e+07 0.25; - DAC_OUTPUT[13] 0xc0 1.13079e+07 0.75; - DAC_OUTPUT[13]~output 0xc0 1.13079e+07 0.75; - DAC_PD 0xc0 3.125e+06 0.5; - DAC_PD~output 0xc0 3.125e+06 0.5; - DEBUG:DBG_ADC; - altsource_probe_top:in_system_sources_probes_0; - altsource_probe:issp_impl; - altsource_probe_body:altsource_probe_body_inst; - altsource_probe_impl:\wider_probe_gen:wider_probe_inst; - sld_rom_sr:\instance_id_gen:rom_info_inst; - Add0~0 0xc0 703125 0.25; - Add0~1 0xc0 297852 0.125; - Equal0~0 0xc0 153809 0.0625; - Mux1~0 0xc0 495605 0.6875; - Mux2~0 0xc0 297852 0.125; - Mux3~0 0xc0 505371 0.75; - word_counter[0] 0xc0 1.25e+06 0.5; - word_counter[1] 0xc0 1.25e+06 0.5; - word_counter[2] 0xc0 1.25e+06 0.5; - word_counter[3] 0xc0 1.25e+06 0.5; - word_counter~0 0xc0 1.38273e+06 0.4375; - word_counter~1 0xc0 1.06379e+06 0.410156; - word_counter~2 0xc0 350618 0.179688; - word_counter~3 0xc0 1.52101e+06 0.410156; - word_counter~4 0xc0 1.29282e+06 0.410156; - WORD_SR[0] 0xc0 1.25e+06 0.5; - WORD_SR[1] 0xc0 1.25e+06 0.5; - WORD_SR[2] 0xc0 1.25e+06 0.5; - WORD_SR[3] 0xc0 1.25e+06 0.5; - WORD_SR~0 0xc0 486174 0.246094; - WORD_SR~1 0xc0 694189 0.289063; - WORD_SR~2 0xc0 628552 0.150391; - WORD_SR~3 0xc0 479218 0.293945; - WORD_SR~4 0xc0 153809 0.0625; - WORD_SR~5 0xc0 4.55462e+06 0.102539; - bypass_reg 0xc0 1.25e+06 0.5; - hold_reg[0] 0xc0 1.25e+06 0.5; - hold_reg[0]~0 0xc0 205078 0.0625; - hold_reg[0]~1 0xc0 633774 0.5; - shift_reg[0] 0xc0 1.25e+06 0.5; - shift_reg[1] 0xc0 1.25e+06 0.5; - shift_reg[2] 0xc0 1.25e+06 0.5; - shift_reg[3] 0xc0 1.25e+06 0.5; - shift_reg[4] 0xc0 1.25e+06 0.5; - shift_reg[5] 0xc0 1.25e+06 0.5; - shift_reg[6] 0xc0 1.25e+06 0.5; - shift_reg[7] 0xc0 1.25e+06 0.5; - shift_reg[8] 0xc0 1.25e+06 0.5; - shift_reg[9] 0xc0 1.25e+06 0.5; - shift_reg[10] 0xc0 1.25e+06 0.5; - shift_reg[11] 0xc0 1.25e+06 0.5; - shift_reg~0 0xc0 937500 0.25; - shift_reg~1 0xc0 1.5625e+06 0.375; - shift_reg~2 0xc0 1.02074e+06 0.458984; - shift_reg~3 0xc0 461884 0.171875; - shift_reg~4 0xc0 639648 0.21875; - shift_reg~5 0xc0 682526 0.165039; - shift_reg~6 0xc0 429688 0.125; - shift_reg~7 0xc0 682526 0.165039; - shift_reg~8 0xc0 682526 0.165039; - shift_reg~9 0xc0 682526 0.165039; - shift_reg~10 0xc0 682526 0.165039; - shift_reg~11 0xc0 682526 0.165039; - shift_reg~12 0xc0 682526 0.165039; - shift_reg~13 0xc0 682526 0.165039; - shift_reg~14 0xc0 682526 0.165039; - shift_reg~15 0xc0 682526 0.165039; - shift_reg~16 0xc0 1.43399e+06 0.165039; - tdo~0 0xc0 781250 0.5; - tdo~1 0xc0 391178 0.5; - vjtag_sdr_i~0 0xc0 546875 0.125; - vjtag_sdr_i~1 0xc0 937500 0.25; - vjtag_uir_i~0 0xc0 429688 0.125; - sld_jtag_endpoint_adapter:jtag_signal_adapter; - spi_interface:FLASH; - Add0~0 0xc0 8.04014e+06 0.5; - Add0~1 0xc0 8.04014e+06 0.5; - Add0~2 0xc0 8.04014e+06 0.5; - Add0~3 0xc0 4.52258e+06 0.25; - Add0~4 0xc0 7.28637e+06 0.5; - Add0~5 0xc0 2.13566e+06 0.875; - Add0~6 0xc0 7.34919e+06 0.5; - Add0~7 0xc0 6.81527e+06 0.0625; - Add0~8 0xc0 1.05056e+07 0.5; - Add0~9 0xc0 1.76663e+06 0.96875; - Add0~10 0xc0 8.43665e+06 0.5; - Add0~11 0xc0 7.99499e+06 0.015625; - Add0~12 0xc0 1.17903e+07 0.5; - Add0~13 0xc0 2.00267e+06 0.992188; - Add0~14 0xc0 8.91683e+06 0.5; - CS_S 0xc0 8.04014e+06 0.5; - CS_S~0 0xc0 4.12659e+06 0.265625; - Decoder0~0 0xc0 303762 0.03125; - Equal0~0 0xc0 2.2927e+06 0.125; - Equal0~1 0xc0 965759 0.0625; - Equal1~0 0xc0 1.03054e+06 0.0625; - Equal1~1 0xc0 2.38692e+06 0.125; - Equal5~0 0xc0 4.52258e+06 0.25; - Equal5~1 0xc0 724319 0.0625; - Equal5~2 0xc0 9737.01 0.00390625; - Equal5~3 0xc0 476009 0.03125; - MOSI_DQ0 0xc0 8.04014e+06 0.5; - MOSI_DQ0~0 0xc0 4.52024e+06 0.5; - MOSI_DQ0~1 0xc0 2.34403e+06 0.25; - Mux0~0 0xc0 2.78735e+06 0.5; - Mux0~1 0xc0 1.88966e+06 0.5; - Mux0~2 0xc0 2.78735e+06 0.5; - Mux0~3 0xc0 1.88966e+06 0.5; - SCK_C 0xc0 8.04014e+06 0.5; - SCK_C~0 0xc0 1.91581e+06 0.875; - SCK_C~1 0xc0 3.10013e+06 0.753906; - SCK_C~2 0xc0 4.52258e+06 0.25; - always0~0 0xc0 4.52258e+06 0.25; - busy 0xc0 8.04014e+06 0.5; - busy~0 0xc0 4.93498e+06 0.671509; - continue_read_prev 0xc0 8.04014e+06 0.5; - continue_read_prev~0 0xc0 4.52258e+06 0.25; - continue_read_prev~1 0xc0 2.59408e+06 0.257813; - data_out[0] 0xc0 8.04014e+06 0.5; - data_out[0]~21 0xc0 9.79339e+06 0.5; - data_out[1] 0xc0 8.04014e+06 0.5; - data_out[1]~18 0xc0 1.91581e+06 0.125; - data_out[1]~19 0xc0 11141 0.00390625; - data_out[1]~20 0xc0 9.82139e+06 0.5; - data_out[2] 0xc0 8.04014e+06 0.5; - data_out[2]~15 0xc0 1.91581e+06 0.125; - data_out[2]~16 0xc0 11141 0.00390625; - data_out[2]~17 0xc0 1.99655e+07 0.5; - data_out[3] 0xc0 8.04014e+06 0.5; - data_out[3]~12 0xc0 1.91581e+06 0.125; - data_out[3]~13 0xc0 11141 0.00390625; - data_out[3]~14 0xc0 1.02169e+07 0.5; - data_out[4] 0xc0 8.04014e+06 0.5; - data_out[4]~9 0xc0 1.91581e+06 0.125; - data_out[4]~10 0xc0 11141 0.00390625; - data_out[4]~11 0xc0 1.99655e+07 0.5; - data_out[5] 0xc0 8.04014e+06 0.5; - data_out[5]~6 0xc0 1.91581e+06 0.125; - data_out[5]~7 0xc0 11141 0.00390625; - data_out[5]~8 0xc0 1.99655e+07 0.5; - data_out[6] 0xc0 8.04014e+06 0.5; - data_out[6]~3 0xc0 1.91581e+06 0.125; - data_out[6]~4 0xc0 11141 0.00390625; - data_out[6]~5 0xc0 1.99655e+07 0.5; - data_out[7] 0xc0 8.04014e+06 0.5; - data_out[7]~0 0xc0 1.91581e+06 0.875; - data_out[7]~1 0xc0 11141 0.00390625; - data_out[7]~2 0xc0 1.99655e+07 0.5; - enabled_prev 0xc0 8.04014e+06 0.5; - spi_bit_position[0] 0xc0 8.04014e+06 0.5; - spi_bit_position[1] 0xc0 8.04014e+06 0.5; - spi_bit_position[2] 0xc0 8.04014e+06 0.5; - spi_bit_position[3] 0xc0 8.04014e+06 0.5; - spi_bit_position[4] 0xc0 8.04014e+06 0.5; - spi_bit_position[5] 0xc0 8.04014e+06 0.5; - spi_bit_position[6] 0xc0 8.04014e+06 0.5; - spi_bit_position[7] 0xc0 8.04014e+06 0.5; - spi_bit_position~0 0xc0 2.68044e+06 0.175781; - spi_bit_position~1 0xc0 3.15242e+06 0.351563; - spi_bit_position~2 0xc0 3.25839e+06 0.670324; - spi_bit_position~3 0xc0 2.68044e+06 0.175781; - spi_bit_position~4 0xc0 2.55565e+06 0.175781; - spi_bit_position~5 0xc0 2.56605e+06 0.175781; - spi_bit_position~6 0xc0 3.0886e+06 0.175781; - spi_bit_position~7 0xc0 2.82557e+06 0.175781; - spi_bit_position~8 0xc0 2.74608e+06 0.175781; - spi_bit_position~9 0xc0 3.30129e+06 0.175781; - spi_stage[0] 0xc0 8.04014e+06 0.5; - spi_stage[1] 0xc0 8.04014e+06 0.5; - spi_stage[2] 0xc0 8.04014e+06 0.5; - spi_stage[5] 0xc0 8.04014e+06 0.5; - spi_stage~0 0xc0 4.36358e+06 0.4375; - spi_stage~1 0xc0 1.86485e+06 0.292969; - spi_stage~2 0xc0 3.90622e+06 0.5; - spi_stage~3 0xc0 974388 0.09375; - spi_stage~4 0xc0 1.60763e+06 0.1875; - spi_stage~5 0xc0 2.2927e+06 0.125; - spi_stage~6 0xc0 1.27285e+06 0.0937958; - spi_stage~7 0xc0 326.383 0.000488281; - spi_stage~8 0xc0 1.51728e+06 0.0937958; - FLASH_C 0xc0 8.04014e+06 0.5; - FLASH_C~output 0xc0 8.04014e+06 0.5; - FLASH_MISO 0x30 5.14569e+07 0.5; - FLASH_MISO~input 0xc0 5.14569e+07 0.5; - FLASH_MOSI 0xc0 8.04014e+06 0.5; - FLASH_MOSI~output 0xc0 8.04014e+06 0.5; - FLASH_S 0xc0 8.04014e+06 0.5; - FLASH_S~output 0xc0 8.04014e+06 0.5; - LPF_1 0xc0 3.125e+06 0.5; - LPF_1~output 0xc0 3.125e+06 0.5; - LPF_2 0xc0 3.125e+06 0.5; - LPF_2~output 0xc0 3.125e+06 0.5; - LPF_3 0xc0 3.125e+06 0.5; - LPF_3~output 0xc0 3.125e+06 0.5; - MAIN_PLL:MAIN_PLL; - altpll:altpll_component; - MAIN_PLL_altpll:auto_generated; - wire_pll1_clk[0] 0xc 2.45767e+07 0.5; - wire_pll1_clk[0]~clkctrl 0xc0 2.45767e+07 0.5; - wire_pll1_clk[1] 0xc 96001.6 0.5; - wire_pll1_clk[1]~clkctrl 0xc0 96001.6 0.5; - wire_pll1_fbout 0xc0 0 0; - PREAMP 0xc0 3.125e+06 0.5; - PREAMP~output 0xc0 3.125e+06 0.5; - rx_cic:RX_CIC_I; - rx_cic_cic_ii_0:cic_ii_0; - alt_cic_core:core; - auk_dspip_avalon_streaming_controller:avalon_controller; - auk_dspip_avalon_streaming_small_fifo:ready_FIFO; - Decoder0~0 0xc0 385165 0.0512695; - Decoder0~1 0xc0 385165 0.0512695; - Decoder0~2 0xc0 385165 0.0512695; - Equal2~0 0xc0 2.38692e+06 0.125; - Equal3~0 0xc0 2.38692e+06 0.125; - fifo_array[0][0] 0xc0 8.04014e+06 0.5; - fifo_array[1][0] 0xc0 8.04014e+06 0.5; - fifo_array[2][0] 0xc0 8.04014e+06 0.5; - fifo_array[3][0] 0xc0 8.04014e+06 0.5; - fifo_array[4][0] 0xc0 8.04014e+06 0.5; - fifo_array[5][0] 0xc0 8.04014e+06 0.5; - fifo_array~0 0xc0 4.7275e+06 0.512817; - fifo_array~1 0xc0 4.7275e+06 0.512817; - fifo_array~2 0xc0 4.58757e+06 0.512817; - fifo_array~3 0xc0 4.7275e+06 0.512817; - fifo_array~4 0xc0 4.58757e+06 0.512817; - fifo_array~5 0xc0 4.7275e+06 0.512817; - fifo_usedw[0] 0xc0 8.04014e+06 0.5; - fifo_usedw[1] 0xc0 8.04014e+06 0.5; - fifo_usedw[2] 0xc0 8.04014e+06 0.5; - fifo_usedw~0 0xc0 4.46369e+06 0.28125; - fifo_usedw~1 0xc0 2.98403e+06 0.437378; - fifo_usedw~2 0xc0 6.27544e+06 0.5; - fifo_usedw~3 0xc0 1.64658e+06 0.123047; - fifo_usedw~4 0xc0 6.76703e+06 0.5; - Mux0~0 0xc0 2.78735e+06 0.5; - Mux0~1 0xc0 1.88966e+06 0.5; - rd_addr_ptr[0] 0xc0 8.04014e+06 0.5; - rd_addr_ptr[1] 0xc0 8.04014e+06 0.5; - rd_addr_ptr[2] 0xc0 8.04014e+06 0.5; - rd_addr_ptr~0 0xc0 5.2803e+06 0.472656; - rd_addr_ptr~1 0xc0 3.95609e+06 0.5; - rd_addr_ptr~2 0xc0 2.78281e+06 0.472656; - usedw_process~0 0xc0 1.53964e+06 0.109375; - usedw_process~1 0xc0 686039 0.794922; - wr_addr_ptr[0] 0xc0 8.04014e+06 0.5; - wr_addr_ptr[1] 0xc0 8.04014e+06 0.5; - wr_addr_ptr[2] 0xc0 8.04014e+06 0.5; - wr_addr_ptr~0 0xc0 6.52576e+06 0.5; - wr_addr_ptr~1 0xc0 3.01038e+06 0.474365; - wr_addr_ptr~2 0xc0 2.24202e+06 0.474365; - ready_fifo_wrreq~0 0xc0 2.31935e+06 0.234375; - sink_ready_ctrl_process~0 0xc0 6.30295e+06 0.78125; - sink_ready_ctrl~0 0xc0 4.52258e+06 0.25; - sink_ready_ctrl~1 0xc0 4.08288e+06 0.5; - sink_ready_ctrl~2 0xc0 6.00899e+06 0.234375; - sink_ready_ctrl~3 0xc0 1.50988e+06 0.106445; - stall_reg 0xc0 8.04014e+06 0.5; - stall_reg~0 0xc0 1.91581e+06 0.875; - alt_cic_dec_siso:dec_one; - Equal2~0 0xc0 871538 0.0625; - Equal2~1 0xc0 4.52258e+06 0.25; - comb~0 0xc0 9.14723e+06 0.53125; - auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][2]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][3]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][4]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][5]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][6]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][7]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][9]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][11]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][17]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][18]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][19]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][22]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][24]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][26]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][28]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][31]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][35]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][36]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][37]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][38]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][39]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][40]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][41]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][42]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][43]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][44]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][45]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][48]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][53]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][56]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][57]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][59]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][60]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][61]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][62]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][64]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][69]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][71]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][72]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][73]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][74]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][75]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][77]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][79]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][80]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][81]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][84]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][85]~feeder 0xc0 8.04014e+06 0.5; - dout[0] 0xc0 8.04014e+06 0.5; - dout[0]~86 0xc0 8.04014e+06 0.5; - dout[0]~87 0xc0 4.52258e+06 0.75; - dout[1] 0xc0 8.04014e+06 0.5; - dout[1]~88 0xc0 6.78387e+06 0.5; - dout[1]~89 0xc0 3.74526e+06 0.375; - dout[2] 0xc0 8.04014e+06 0.5; - dout[2]~90 0xc0 5.74155e+06 0.5; - dout[2]~91 0xc0 4.40971e+06 0.5625; - dout[3] 0xc0 8.04014e+06 0.5; - dout[3]~92 0xc0 5.69567e+06 0.5; - dout[3]~93 0xc0 5.96496e+06 0.46875; - dout[4] 0xc0 8.04014e+06 0.5; - dout[4]~94 0xc0 6.03148e+06 0.5; - dout[4]~95 0xc0 5.25544e+06 0.515625; - dout[5] 0xc0 8.04014e+06 0.5; - dout[5]~96 0xc0 5.84085e+06 0.5; - dout[5]~97 0xc0 5.57675e+06 0.492188; - dout[6] 0xc0 8.04014e+06 0.5; - dout[6]~99 0xc0 5.91787e+06 0.5; - dout[6]~100 0xc0 5.40143e+06 0.503906; - dout[7] 0xc0 8.04014e+06 0.5; - dout[7]~101 0xc0 5.87321e+06 0.5; - dout[7]~102 0xc0 5.48463e+06 0.498047; - dout[8] 0xc0 8.04014e+06 0.5; - dout[8]~103 0xc0 5.8938e+06 0.5; - dout[8]~104 0xc0 5.44182e+06 0.500977; - dout[9] 0xc0 8.04014e+06 0.5; - dout[9]~105 0xc0 5.88305e+06 0.5; - dout[9]~106 0xc0 5.46291e+06 0.499512; - dout[10] 0xc0 8.04014e+06 0.5; - dout[10]~107 0xc0 5.88831e+06 0.5; - dout[10]~108 0xc0 5.45228e+06 0.500244; - dout[11] 0xc0 8.04014e+06 0.5; - dout[11]~109 0xc0 5.88565e+06 0.5; - dout[11]~110 0xc0 5.45758e+06 0.499878; - dout[12] 0xc0 8.04014e+06 0.5; - dout[12]~111 0xc0 5.88697e+06 0.5; - dout[12]~112 0xc0 5.45492e+06 0.500061; - dout[13] 0xc0 8.04014e+06 0.5; - dout[13]~113 0xc0 5.88631e+06 0.5; - dout[13]~114 0xc0 5.45625e+06 0.499969; - dout[14] 0xc0 8.04014e+06 0.5; - dout[14]~115 0xc0 5.88664e+06 0.5; - dout[14]~116 0xc0 5.45559e+06 0.500015; - dout[15] 0xc0 8.04014e+06 0.5; - dout[15]~117 0xc0 5.88647e+06 0.5; - dout[15]~118 0xc0 5.45592e+06 0.499992; - dout[16] 0xc0 8.04014e+06 0.5; - dout[16]~119 0xc0 5.88656e+06 0.5; - dout[16]~120 0xc0 5.45575e+06 0.500004; - dout[17] 0xc0 8.04014e+06 0.5; - dout[17]~121 0xc0 5.88651e+06 0.5; - dout[17]~122 0xc0 5.45583e+06 0.499998; - dout[18] 0xc0 8.04014e+06 0.5; - dout[18]~123 0xc0 5.88654e+06 0.5; - dout[18]~124 0xc0 5.45579e+06 0.500001; - dout[19] 0xc0 8.04014e+06 0.5; - dout[19]~125 0xc0 5.88653e+06 0.5; - dout[19]~126 0xc0 5.45581e+06 0.5; - dout[20] 0xc0 8.04014e+06 0.5; - dout[20]~127 0xc0 5.88653e+06 0.5; - dout[20]~128 0xc0 5.4558e+06 0.5; - dout[21] 0xc0 8.04014e+06 0.5; - dout[21]~129 0xc0 5.88653e+06 0.5; - dout[21]~130 0xc0 5.45581e+06 0.5; - dout[22] 0xc0 8.04014e+06 0.5; - dout[22]~131 0xc0 5.88653e+06 0.5; - dout[22]~132 0xc0 5.45581e+06 0.5; - dout[23] 0xc0 8.04014e+06 0.5; - dout[23]~133 0xc0 5.88653e+06 0.5; - dout[23]~134 0xc0 5.45581e+06 0.5; - dout[24] 0xc0 8.04014e+06 0.5; - dout[24]~135 0xc0 5.88653e+06 0.5; - dout[24]~136 0xc0 5.45581e+06 0.5; - dout[25] 0xc0 8.04014e+06 0.5; - dout[25]~137 0xc0 5.88653e+06 0.5; - dout[25]~138 0xc0 5.45581e+06 0.5; - dout[26] 0xc0 8.04014e+06 0.5; - dout[26]~139 0xc0 5.88653e+06 0.5; - dout[26]~140 0xc0 5.45581e+06 0.5; - dout[27] 0xc0 8.04014e+06 0.5; - dout[27]~141 0xc0 5.88653e+06 0.5; - dout[27]~142 0xc0 5.45581e+06 0.5; - dout[28] 0xc0 8.04014e+06 0.5; - dout[28]~143 0xc0 5.88653e+06 0.5; - dout[28]~144 0xc0 5.45581e+06 0.5; - dout[29] 0xc0 8.04014e+06 0.5; - dout[29]~145 0xc0 5.88653e+06 0.5; - dout[29]~146 0xc0 5.45581e+06 0.5; - dout[30] 0xc0 8.04014e+06 0.5; - dout[30]~147 0xc0 5.88653e+06 0.5; - dout[30]~148 0xc0 5.45581e+06 0.5; - dout[31] 0xc0 8.04014e+06 0.5; - dout[31]~149 0xc0 5.88653e+06 0.5; - dout[31]~150 0xc0 5.45581e+06 0.5; - dout[32] 0xc0 8.04014e+06 0.5; - dout[32]~151 0xc0 5.88653e+06 0.5; - dout[32]~152 0xc0 5.45581e+06 0.5; - dout[33] 0xc0 8.04014e+06 0.5; - dout[33]~153 0xc0 5.88653e+06 0.5; - dout[33]~154 0xc0 5.45581e+06 0.5; - dout[34] 0xc0 8.04014e+06 0.5; - dout[34]~155 0xc0 5.88653e+06 0.5; - dout[34]~156 0xc0 5.45581e+06 0.5; - dout[35] 0xc0 8.04014e+06 0.5; - dout[35]~157 0xc0 5.88653e+06 0.5; - dout[35]~158 0xc0 5.45581e+06 0.5; - dout[36] 0xc0 8.04014e+06 0.5; - dout[36]~159 0xc0 5.88653e+06 0.5; - dout[36]~160 0xc0 5.45581e+06 0.5; - dout[37] 0xc0 8.04014e+06 0.5; - dout[37]~161 0xc0 5.88653e+06 0.5; - dout[37]~162 0xc0 5.45581e+06 0.5; - dout[38] 0xc0 8.04014e+06 0.5; - dout[38]~163 0xc0 5.88653e+06 0.5; - dout[38]~164 0xc0 5.45581e+06 0.5; - dout[39] 0xc0 8.04014e+06 0.5; - dout[39]~165 0xc0 5.88653e+06 0.5; - dout[39]~166 0xc0 5.45581e+06 0.5; - dout[40] 0xc0 8.04014e+06 0.5; - dout[40]~167 0xc0 5.88653e+06 0.5; - dout[40]~168 0xc0 5.45581e+06 0.5; - dout[41] 0xc0 8.04014e+06 0.5; - dout[41]~169 0xc0 5.88653e+06 0.5; - dout[41]~170 0xc0 5.45581e+06 0.5; - dout[42] 0xc0 8.04014e+06 0.5; - dout[42]~171 0xc0 5.88653e+06 0.5; - dout[42]~172 0xc0 5.45581e+06 0.5; - dout[43] 0xc0 8.04014e+06 0.5; - dout[43]~173 0xc0 5.88653e+06 0.5; - dout[43]~174 0xc0 5.45581e+06 0.5; - dout[44] 0xc0 8.04014e+06 0.5; - dout[44]~175 0xc0 5.88653e+06 0.5; - dout[44]~176 0xc0 5.45581e+06 0.5; - dout[45] 0xc0 8.04014e+06 0.5; - dout[45]~177 0xc0 5.88653e+06 0.5; - dout[45]~178 0xc0 5.45581e+06 0.5; - dout[46] 0xc0 8.04014e+06 0.5; - dout[46]~179 0xc0 5.88653e+06 0.5; - dout[46]~180 0xc0 5.45581e+06 0.5; - dout[47] 0xc0 8.04014e+06 0.5; - dout[47]~181 0xc0 5.88653e+06 0.5; - dout[47]~182 0xc0 5.45581e+06 0.5; - dout[48] 0xc0 8.04014e+06 0.5; - dout[48]~183 0xc0 5.88653e+06 0.5; - dout[48]~184 0xc0 5.45581e+06 0.5; - dout[49] 0xc0 8.04014e+06 0.5; - dout[49]~185 0xc0 5.88653e+06 0.5; - dout[49]~186 0xc0 5.45581e+06 0.5; - dout[50] 0xc0 8.04014e+06 0.5; - dout[50]~187 0xc0 5.88653e+06 0.5; - dout[50]~188 0xc0 5.45581e+06 0.5; - dout[51] 0xc0 8.04014e+06 0.5; - dout[51]~189 0xc0 5.88653e+06 0.5; - dout[51]~190 0xc0 5.45581e+06 0.5; - dout[52] 0xc0 8.04014e+06 0.5; - dout[52]~191 0xc0 5.88653e+06 0.5; - dout[52]~192 0xc0 5.45581e+06 0.5; - dout[53] 0xc0 8.04014e+06 0.5; - dout[53]~193 0xc0 5.88653e+06 0.5; - dout[53]~194 0xc0 5.45581e+06 0.5; - dout[54] 0xc0 8.04014e+06 0.5; - dout[54]~195 0xc0 5.88653e+06 0.5; - dout[54]~196 0xc0 5.45581e+06 0.5; - dout[55] 0xc0 8.04014e+06 0.5; - dout[55]~197 0xc0 5.88653e+06 0.5; - dout[55]~198 0xc0 5.45581e+06 0.5; - dout[56] 0xc0 8.04014e+06 0.5; - dout[56]~199 0xc0 5.88653e+06 0.5; - dout[56]~200 0xc0 5.45581e+06 0.5; - dout[57] 0xc0 8.04014e+06 0.5; - dout[57]~201 0xc0 5.88653e+06 0.5; - dout[57]~202 0xc0 5.45581e+06 0.5; - dout[58] 0xc0 8.04014e+06 0.5; - dout[58]~203 0xc0 5.88653e+06 0.5; - dout[58]~204 0xc0 5.45581e+06 0.5; - dout[59] 0xc0 8.04014e+06 0.5; - dout[59]~205 0xc0 5.88653e+06 0.5; - dout[59]~206 0xc0 5.45581e+06 0.5; - dout[60] 0xc0 8.04014e+06 0.5; - dout[60]~207 0xc0 5.88653e+06 0.5; - dout[60]~208 0xc0 5.45581e+06 0.5; - dout[61] 0xc0 8.04014e+06 0.5; - dout[61]~209 0xc0 5.88653e+06 0.5; - dout[61]~210 0xc0 5.45581e+06 0.5; - dout[62] 0xc0 8.04014e+06 0.5; - dout[62]~211 0xc0 5.88653e+06 0.5; - dout[62]~212 0xc0 5.45581e+06 0.5; - dout[63] 0xc0 8.04014e+06 0.5; - dout[63]~213 0xc0 5.88653e+06 0.5; - dout[63]~214 0xc0 5.45581e+06 0.5; - dout[64] 0xc0 8.04014e+06 0.5; - dout[64]~215 0xc0 5.88653e+06 0.5; - dout[64]~216 0xc0 5.45581e+06 0.5; - dout[65] 0xc0 8.04014e+06 0.5; - dout[65]~217 0xc0 5.88653e+06 0.5; - dout[65]~218 0xc0 5.45581e+06 0.5; - dout[66] 0xc0 8.04014e+06 0.5; - dout[66]~219 0xc0 5.88653e+06 0.5; - dout[66]~220 0xc0 5.45581e+06 0.5; - dout[67] 0xc0 8.04014e+06 0.5; - dout[67]~221 0xc0 5.88653e+06 0.5; - dout[67]~222 0xc0 5.45581e+06 0.5; - dout[68] 0xc0 8.04014e+06 0.5; - dout[68]~223 0xc0 5.88653e+06 0.5; - dout[68]~224 0xc0 5.45581e+06 0.5; - dout[69] 0xc0 8.04014e+06 0.5; - dout[69]~225 0xc0 5.88653e+06 0.5; - dout[69]~226 0xc0 5.45581e+06 0.5; - dout[70] 0xc0 8.04014e+06 0.5; - dout[70]~227 0xc0 5.88653e+06 0.5; - dout[70]~228 0xc0 5.45581e+06 0.5; - dout[71] 0xc0 8.04014e+06 0.5; - dout[71]~229 0xc0 5.88653e+06 0.5; - dout[71]~230 0xc0 5.45581e+06 0.5; - dout[72] 0xc0 8.04014e+06 0.5; - dout[72]~231 0xc0 5.88653e+06 0.5; - dout[72]~232 0xc0 5.45581e+06 0.5; - dout[73] 0xc0 8.04014e+06 0.5; - dout[73]~233 0xc0 5.88653e+06 0.5; - dout[73]~234 0xc0 5.45581e+06 0.5; - dout[74] 0xc0 8.04014e+06 0.5; - dout[74]~235 0xc0 5.88653e+06 0.5; - dout[74]~236 0xc0 5.45581e+06 0.5; - dout[75] 0xc0 8.04014e+06 0.5; - dout[75]~237 0xc0 5.88653e+06 0.5; - dout[75]~238 0xc0 5.45581e+06 0.5; - dout[76] 0xc0 8.04014e+06 0.5; - dout[76]~239 0xc0 5.88653e+06 0.5; - dout[76]~240 0xc0 5.45581e+06 0.5; - dout[77] 0xc0 8.04014e+06 0.5; - dout[77]~241 0xc0 5.88653e+06 0.5; - dout[77]~242 0xc0 5.45581e+06 0.5; - dout[78] 0xc0 8.04014e+06 0.5; - dout[78]~243 0xc0 5.88653e+06 0.5; - dout[78]~244 0xc0 5.45581e+06 0.5; - dout[79] 0xc0 8.04014e+06 0.5; - dout[79]~245 0xc0 5.88653e+06 0.5; - dout[79]~246 0xc0 5.45581e+06 0.5; - dout[80] 0xc0 8.04014e+06 0.5; - dout[80]~247 0xc0 5.88653e+06 0.5; - dout[80]~248 0xc0 5.45581e+06 0.5; - dout[81] 0xc0 8.04014e+06 0.5; - dout[81]~249 0xc0 5.88653e+06 0.5; - dout[81]~250 0xc0 5.45581e+06 0.5; - dout[82] 0xc0 8.04014e+06 0.5; - dout[82]~251 0xc0 5.88653e+06 0.5; - dout[82]~252 0xc0 5.45581e+06 0.5; - dout[83] 0xc0 8.04014e+06 0.5; - dout[83]~253 0xc0 5.88653e+06 0.5; - dout[83]~254 0xc0 5.45581e+06 0.5; - dout[84] 0xc0 8.04014e+06 0.5; - dout[84]~255 0xc0 5.88653e+06 0.5; - dout[84]~256 0xc0 5.45581e+06 0.5; - dout[85] 0xc0 8.04014e+06 0.5; - dout[85]~257 0xc0 5.88653e+06 0.5; - dout_valid 0xc0 8.04014e+06 0.5; - dout_valid~0 0xc0 6.0301e+06 0.25; - dout~98 0xc0 5.27634e+06 0.625; - dout~259 0xc0 6.0301e+06 0.25; - auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][2]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][3]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][4]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][5]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][6]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][8]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][10]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][14]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][16]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][18]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][19]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][21]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][22]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][25]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][27]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][31]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][36]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][37]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][38]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][39]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][40]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][41]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][43]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][47]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][48]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][50]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][52]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][53]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][54]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][56]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][57]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][58]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][61]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][62]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][66]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][67]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][69]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][70]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][71]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][72]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][73]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][74]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][75]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][76]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][81]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][82]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][84]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][85]~feeder 0xc0 8.04014e+06 0.5; - dout[0] 0xc0 8.04014e+06 0.5; - dout[0]~86 0xc0 8.04014e+06 0.5; - dout[0]~87 0xc0 4.52258e+06 0.75; - dout[1] 0xc0 8.04014e+06 0.5; - dout[1]~88 0xc0 6.78387e+06 0.5; - dout[1]~89 0xc0 3.74526e+06 0.375; - dout[2] 0xc0 8.04014e+06 0.5; - dout[2]~90 0xc0 5.74155e+06 0.5; - dout[2]~91 0xc0 4.40971e+06 0.5625; - dout[3] 0xc0 8.04014e+06 0.5; - dout[3]~92 0xc0 5.69567e+06 0.5; - dout[3]~93 0xc0 5.96496e+06 0.46875; - dout[4] 0xc0 8.04014e+06 0.5; - dout[4]~94 0xc0 6.03148e+06 0.5; - dout[4]~95 0xc0 5.25544e+06 0.515625; - dout[5] 0xc0 8.04014e+06 0.5; - dout[5]~96 0xc0 5.84085e+06 0.5; - dout[5]~97 0xc0 5.57675e+06 0.492188; - dout[6] 0xc0 8.04014e+06 0.5; - dout[6]~99 0xc0 5.91787e+06 0.5; - dout[6]~100 0xc0 5.40143e+06 0.503906; - dout[7] 0xc0 8.04014e+06 0.5; - dout[7]~101 0xc0 5.87321e+06 0.5; - dout[7]~102 0xc0 5.48463e+06 0.498047; - dout[8] 0xc0 8.04014e+06 0.5; - dout[8]~103 0xc0 5.8938e+06 0.5; - dout[8]~104 0xc0 5.44182e+06 0.500977; - dout[9] 0xc0 8.04014e+06 0.5; - dout[9]~105 0xc0 5.88305e+06 0.5; - dout[9]~106 0xc0 5.46291e+06 0.499512; - dout[10] 0xc0 8.04014e+06 0.5; - dout[10]~107 0xc0 5.88831e+06 0.5; - dout[10]~108 0xc0 5.45228e+06 0.500244; - dout[11] 0xc0 8.04014e+06 0.5; - dout[11]~109 0xc0 5.88565e+06 0.5; - dout[11]~110 0xc0 5.45758e+06 0.499878; - dout[12] 0xc0 8.04014e+06 0.5; - dout[12]~111 0xc0 5.88697e+06 0.5; - dout[12]~112 0xc0 5.45492e+06 0.500061; - dout[13] 0xc0 8.04014e+06 0.5; - dout[13]~113 0xc0 5.88631e+06 0.5; - dout[13]~114 0xc0 5.45625e+06 0.499969; - dout[14] 0xc0 8.04014e+06 0.5; - dout[14]~115 0xc0 5.88664e+06 0.5; - dout[14]~116 0xc0 5.45559e+06 0.500015; - dout[15] 0xc0 8.04014e+06 0.5; - dout[15]~117 0xc0 5.88647e+06 0.5; - dout[15]~118 0xc0 5.45592e+06 0.499992; - dout[16] 0xc0 8.04014e+06 0.5; - dout[16]~119 0xc0 5.88656e+06 0.5; - dout[16]~120 0xc0 5.45575e+06 0.500004; - dout[17] 0xc0 8.04014e+06 0.5; - dout[17]~121 0xc0 5.88651e+06 0.5; - dout[17]~122 0xc0 5.45583e+06 0.499998; - dout[18] 0xc0 8.04014e+06 0.5; - dout[18]~123 0xc0 5.88654e+06 0.5; - dout[18]~124 0xc0 5.45579e+06 0.500001; - dout[19] 0xc0 8.04014e+06 0.5; - dout[19]~125 0xc0 5.88653e+06 0.5; - dout[19]~126 0xc0 5.45581e+06 0.5; - dout[20] 0xc0 8.04014e+06 0.5; - dout[20]~127 0xc0 5.88653e+06 0.5; - dout[20]~128 0xc0 5.4558e+06 0.5; - dout[21] 0xc0 8.04014e+06 0.5; - dout[21]~129 0xc0 5.88653e+06 0.5; - dout[21]~130 0xc0 5.45581e+06 0.5; - dout[22] 0xc0 8.04014e+06 0.5; - dout[22]~131 0xc0 5.88653e+06 0.5; - dout[22]~132 0xc0 5.45581e+06 0.5; - dout[23] 0xc0 8.04014e+06 0.5; - dout[23]~133 0xc0 5.88653e+06 0.5; - dout[23]~134 0xc0 5.45581e+06 0.5; - dout[24] 0xc0 8.04014e+06 0.5; - dout[24]~135 0xc0 5.88653e+06 0.5; - dout[24]~136 0xc0 5.45581e+06 0.5; - dout[25] 0xc0 8.04014e+06 0.5; - dout[25]~137 0xc0 5.88653e+06 0.5; - dout[25]~138 0xc0 5.45581e+06 0.5; - dout[26] 0xc0 8.04014e+06 0.5; - dout[26]~139 0xc0 5.88653e+06 0.5; - dout[26]~140 0xc0 5.45581e+06 0.5; - dout[27] 0xc0 8.04014e+06 0.5; - dout[27]~141 0xc0 5.88653e+06 0.5; - dout[27]~142 0xc0 5.45581e+06 0.5; - dout[28] 0xc0 8.04014e+06 0.5; - dout[28]~143 0xc0 5.88653e+06 0.5; - dout[28]~144 0xc0 5.45581e+06 0.5; - dout[29] 0xc0 8.04014e+06 0.5; - dout[29]~145 0xc0 5.88653e+06 0.5; - dout[29]~146 0xc0 5.45581e+06 0.5; - dout[30] 0xc0 8.04014e+06 0.5; - dout[30]~147 0xc0 5.88653e+06 0.5; - dout[30]~148 0xc0 5.45581e+06 0.5; - dout[31] 0xc0 8.04014e+06 0.5; - dout[31]~149 0xc0 5.88653e+06 0.5; - dout[31]~150 0xc0 5.45581e+06 0.5; - dout[32] 0xc0 8.04014e+06 0.5; - dout[32]~151 0xc0 5.88653e+06 0.5; - dout[32]~152 0xc0 5.45581e+06 0.5; - dout[33] 0xc0 8.04014e+06 0.5; - dout[33]~153 0xc0 5.88653e+06 0.5; - dout[33]~154 0xc0 5.45581e+06 0.5; - dout[34] 0xc0 8.04014e+06 0.5; - dout[34]~155 0xc0 5.88653e+06 0.5; - dout[34]~156 0xc0 5.45581e+06 0.5; - dout[35] 0xc0 8.04014e+06 0.5; - dout[35]~157 0xc0 5.88653e+06 0.5; - dout[35]~158 0xc0 5.45581e+06 0.5; - dout[36] 0xc0 8.04014e+06 0.5; - dout[36]~159 0xc0 5.88653e+06 0.5; - dout[36]~160 0xc0 5.45581e+06 0.5; - dout[37] 0xc0 8.04014e+06 0.5; - dout[37]~161 0xc0 5.88653e+06 0.5; - dout[37]~162 0xc0 5.45581e+06 0.5; - dout[38] 0xc0 8.04014e+06 0.5; - dout[38]~163 0xc0 5.88653e+06 0.5; - dout[38]~164 0xc0 5.45581e+06 0.5; - dout[39] 0xc0 8.04014e+06 0.5; - dout[39]~165 0xc0 5.88653e+06 0.5; - dout[39]~166 0xc0 5.45581e+06 0.5; - dout[40] 0xc0 8.04014e+06 0.5; - dout[40]~167 0xc0 5.88653e+06 0.5; - dout[40]~168 0xc0 5.45581e+06 0.5; - dout[41] 0xc0 8.04014e+06 0.5; - dout[41]~169 0xc0 5.88653e+06 0.5; - dout[41]~170 0xc0 5.45581e+06 0.5; - dout[42] 0xc0 8.04014e+06 0.5; - dout[42]~171 0xc0 5.88653e+06 0.5; - dout[42]~172 0xc0 5.45581e+06 0.5; - dout[43] 0xc0 8.04014e+06 0.5; - dout[43]~173 0xc0 5.88653e+06 0.5; - dout[43]~174 0xc0 5.45581e+06 0.5; - dout[44] 0xc0 8.04014e+06 0.5; - dout[44]~175 0xc0 5.88653e+06 0.5; - dout[44]~176 0xc0 5.45581e+06 0.5; - dout[45] 0xc0 8.04014e+06 0.5; - dout[45]~177 0xc0 5.88653e+06 0.5; - dout[45]~178 0xc0 5.45581e+06 0.5; - dout[46] 0xc0 8.04014e+06 0.5; - dout[46]~179 0xc0 5.88653e+06 0.5; - dout[46]~180 0xc0 5.45581e+06 0.5; - dout[47] 0xc0 8.04014e+06 0.5; - dout[47]~181 0xc0 5.88653e+06 0.5; - dout[47]~182 0xc0 5.45581e+06 0.5; - dout[48] 0xc0 8.04014e+06 0.5; - dout[48]~183 0xc0 5.88653e+06 0.5; - dout[48]~184 0xc0 5.45581e+06 0.5; - dout[49] 0xc0 8.04014e+06 0.5; - dout[49]~185 0xc0 5.88653e+06 0.5; - dout[49]~186 0xc0 5.45581e+06 0.5; - dout[50] 0xc0 8.04014e+06 0.5; - dout[50]~187 0xc0 5.88653e+06 0.5; - dout[50]~188 0xc0 5.45581e+06 0.5; - dout[51] 0xc0 8.04014e+06 0.5; - dout[51]~189 0xc0 5.88653e+06 0.5; - dout[51]~190 0xc0 5.45581e+06 0.5; - dout[52] 0xc0 8.04014e+06 0.5; - dout[52]~191 0xc0 5.88653e+06 0.5; - dout[52]~192 0xc0 5.45581e+06 0.5; - dout[53] 0xc0 8.04014e+06 0.5; - dout[53]~193 0xc0 5.88653e+06 0.5; - dout[53]~194 0xc0 5.45581e+06 0.5; - dout[54] 0xc0 8.04014e+06 0.5; - dout[54]~195 0xc0 5.88653e+06 0.5; - dout[54]~196 0xc0 5.45581e+06 0.5; - dout[55] 0xc0 8.04014e+06 0.5; - dout[55]~197 0xc0 5.88653e+06 0.5; - dout[55]~198 0xc0 5.45581e+06 0.5; - dout[56] 0xc0 8.04014e+06 0.5; - dout[56]~199 0xc0 5.88653e+06 0.5; - dout[56]~200 0xc0 5.45581e+06 0.5; - dout[57] 0xc0 8.04014e+06 0.5; - dout[57]~201 0xc0 5.88653e+06 0.5; - dout[57]~202 0xc0 5.45581e+06 0.5; - dout[58] 0xc0 8.04014e+06 0.5; - dout[58]~203 0xc0 5.88653e+06 0.5; - dout[58]~204 0xc0 5.45581e+06 0.5; - dout[59] 0xc0 8.04014e+06 0.5; - dout[59]~205 0xc0 5.88653e+06 0.5; - dout[59]~206 0xc0 5.45581e+06 0.5; - dout[60] 0xc0 8.04014e+06 0.5; - dout[60]~207 0xc0 5.88653e+06 0.5; - dout[60]~208 0xc0 5.45581e+06 0.5; - dout[61] 0xc0 8.04014e+06 0.5; - dout[61]~209 0xc0 5.88653e+06 0.5; - dout[61]~210 0xc0 5.45581e+06 0.5; - dout[62] 0xc0 8.04014e+06 0.5; - dout[62]~211 0xc0 5.88653e+06 0.5; - dout[62]~212 0xc0 5.45581e+06 0.5; - dout[63] 0xc0 8.04014e+06 0.5; - dout[63]~213 0xc0 5.88653e+06 0.5; - dout[63]~214 0xc0 5.45581e+06 0.5; - dout[64] 0xc0 8.04014e+06 0.5; - dout[64]~215 0xc0 5.88653e+06 0.5; - dout[64]~216 0xc0 5.45581e+06 0.5; - dout[65] 0xc0 8.04014e+06 0.5; - dout[65]~217 0xc0 5.88653e+06 0.5; - dout[65]~218 0xc0 5.45581e+06 0.5; - dout[66] 0xc0 8.04014e+06 0.5; - dout[66]~219 0xc0 5.88653e+06 0.5; - dout[66]~220 0xc0 5.45581e+06 0.5; - dout[67] 0xc0 8.04014e+06 0.5; - dout[67]~221 0xc0 5.88653e+06 0.5; - dout[67]~222 0xc0 5.45581e+06 0.5; - dout[68] 0xc0 8.04014e+06 0.5; - dout[68]~223 0xc0 5.88653e+06 0.5; - dout[68]~224 0xc0 5.45581e+06 0.5; - dout[69] 0xc0 8.04014e+06 0.5; - dout[69]~225 0xc0 5.88653e+06 0.5; - dout[69]~226 0xc0 5.45581e+06 0.5; - dout[70] 0xc0 8.04014e+06 0.5; - dout[70]~227 0xc0 5.88653e+06 0.5; - dout[70]~228 0xc0 5.45581e+06 0.5; - dout[71] 0xc0 8.04014e+06 0.5; - dout[71]~229 0xc0 5.88653e+06 0.5; - dout[71]~230 0xc0 5.45581e+06 0.5; - dout[72] 0xc0 8.04014e+06 0.5; - dout[72]~231 0xc0 5.88653e+06 0.5; - dout[72]~232 0xc0 5.45581e+06 0.5; - dout[73] 0xc0 8.04014e+06 0.5; - dout[73]~233 0xc0 5.88653e+06 0.5; - dout[73]~234 0xc0 5.45581e+06 0.5; - dout[74] 0xc0 8.04014e+06 0.5; - dout[74]~235 0xc0 5.88653e+06 0.5; - dout[74]~236 0xc0 5.45581e+06 0.5; - dout[75] 0xc0 8.04014e+06 0.5; - dout[75]~237 0xc0 5.88653e+06 0.5; - dout[75]~238 0xc0 5.45581e+06 0.5; - dout[76] 0xc0 8.04014e+06 0.5; - dout[76]~239 0xc0 5.88653e+06 0.5; - dout[76]~240 0xc0 5.45581e+06 0.5; - dout[77] 0xc0 8.04014e+06 0.5; - dout[77]~241 0xc0 5.88653e+06 0.5; - dout[77]~242 0xc0 5.45581e+06 0.5; - dout[78] 0xc0 8.04014e+06 0.5; - dout[78]~243 0xc0 5.88653e+06 0.5; - dout[78]~244 0xc0 5.45581e+06 0.5; - dout[79] 0xc0 8.04014e+06 0.5; - dout[79]~245 0xc0 5.88653e+06 0.5; - dout[79]~246 0xc0 5.45581e+06 0.5; - dout[80] 0xc0 8.04014e+06 0.5; - dout[80]~247 0xc0 5.88653e+06 0.5; - dout[80]~248 0xc0 5.45581e+06 0.5; - dout[81] 0xc0 8.04014e+06 0.5; - dout[81]~249 0xc0 5.88653e+06 0.5; - dout[81]~250 0xc0 5.45581e+06 0.5; - dout[82] 0xc0 8.04014e+06 0.5; - dout[82]~251 0xc0 5.88653e+06 0.5; - dout[82]~252 0xc0 5.45581e+06 0.5; - dout[83] 0xc0 8.04014e+06 0.5; - dout[83]~253 0xc0 5.88653e+06 0.5; - dout[83]~254 0xc0 5.45581e+06 0.5; - dout[84] 0xc0 8.04014e+06 0.5; - dout[84]~255 0xc0 5.88653e+06 0.5; - dout[84]~256 0xc0 5.45581e+06 0.5; - dout[85] 0xc0 8.04014e+06 0.5; - dout[85]~257 0xc0 5.88653e+06 0.5; - dout_valid 0xc0 8.04014e+06 0.5; - dout_valid~0 0xc0 6.0301e+06 0.25; - dout~98 0xc0 5.27634e+06 0.625; - dout~259 0xc0 6.0301e+06 0.25; - auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][2]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][3]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][5]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][6]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][8]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][9]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][11]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][13]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][14]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][15]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][16]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][17]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][18]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][19]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][20]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][22]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][23]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][26]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][30]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][31]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][34]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][37]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][40]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][41]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][43]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][45]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][47]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][50]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][51]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][52]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][53]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][54]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][55]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][57]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][60]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][62]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][63]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][65]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][67]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][68]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][72]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][74]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][75]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][77]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][79]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][80]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][81]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][82]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][84]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][85]~feeder 0xc0 8.04014e+06 0.5; - dout[0] 0xc0 8.04014e+06 0.5; - dout[0]~86 0xc0 8.04014e+06 0.5; - dout[0]~87 0xc0 4.52258e+06 0.75; - dout[1] 0xc0 8.04014e+06 0.5; - dout[1]~88 0xc0 6.78387e+06 0.5; - dout[1]~89 0xc0 3.74526e+06 0.375; - dout[2] 0xc0 8.04014e+06 0.5; - dout[2]~90 0xc0 5.74155e+06 0.5; - dout[2]~91 0xc0 4.40971e+06 0.5625; - dout[3] 0xc0 8.04014e+06 0.5; - dout[3]~92 0xc0 5.69567e+06 0.5; - dout[3]~93 0xc0 5.96496e+06 0.46875; - dout[4] 0xc0 8.04014e+06 0.5; - dout[4]~94 0xc0 6.03148e+06 0.5; - dout[4]~95 0xc0 5.25544e+06 0.515625; - dout[5] 0xc0 8.04014e+06 0.5; - dout[5]~96 0xc0 5.84085e+06 0.5; - dout[5]~97 0xc0 5.57675e+06 0.492188; - dout[6] 0xc0 8.04014e+06 0.5; - dout[6]~99 0xc0 5.91787e+06 0.5; - dout[6]~100 0xc0 5.40143e+06 0.503906; - dout[7] 0xc0 8.04014e+06 0.5; - dout[7]~101 0xc0 5.87321e+06 0.5; - dout[7]~102 0xc0 5.48463e+06 0.498047; - dout[8] 0xc0 8.04014e+06 0.5; - dout[8]~103 0xc0 5.8938e+06 0.5; - dout[8]~104 0xc0 5.44182e+06 0.500977; - dout[9] 0xc0 8.04014e+06 0.5; - dout[9]~105 0xc0 5.88305e+06 0.5; - dout[9]~106 0xc0 5.46291e+06 0.499512; - dout[10] 0xc0 8.04014e+06 0.5; - dout[10]~107 0xc0 5.88831e+06 0.5; - dout[10]~108 0xc0 5.45228e+06 0.500244; - dout[11] 0xc0 8.04014e+06 0.5; - dout[11]~109 0xc0 5.88565e+06 0.5; - dout[11]~110 0xc0 5.45758e+06 0.499878; - dout[12] 0xc0 8.04014e+06 0.5; - dout[12]~111 0xc0 5.88697e+06 0.5; - dout[12]~112 0xc0 5.45492e+06 0.500061; - dout[13] 0xc0 8.04014e+06 0.5; - dout[13]~113 0xc0 5.88631e+06 0.5; - dout[13]~114 0xc0 5.45625e+06 0.499969; - dout[14] 0xc0 8.04014e+06 0.5; - dout[14]~115 0xc0 5.88664e+06 0.5; - dout[14]~116 0xc0 5.45559e+06 0.500015; - dout[15] 0xc0 8.04014e+06 0.5; - dout[15]~117 0xc0 5.88647e+06 0.5; - dout[15]~118 0xc0 5.45592e+06 0.499992; - dout[16] 0xc0 8.04014e+06 0.5; - dout[16]~119 0xc0 5.88656e+06 0.5; - dout[16]~120 0xc0 5.45575e+06 0.500004; - dout[17] 0xc0 8.04014e+06 0.5; - dout[17]~121 0xc0 5.88651e+06 0.5; - dout[17]~122 0xc0 5.45583e+06 0.499998; - dout[18] 0xc0 8.04014e+06 0.5; - dout[18]~123 0xc0 5.88654e+06 0.5; - dout[18]~124 0xc0 5.45579e+06 0.500001; - dout[19] 0xc0 8.04014e+06 0.5; - dout[19]~125 0xc0 5.88653e+06 0.5; - dout[19]~126 0xc0 5.45581e+06 0.5; - dout[20] 0xc0 8.04014e+06 0.5; - dout[20]~127 0xc0 5.88653e+06 0.5; - dout[20]~128 0xc0 5.4558e+06 0.5; - dout[21] 0xc0 8.04014e+06 0.5; - dout[21]~129 0xc0 5.88653e+06 0.5; - dout[21]~130 0xc0 5.45581e+06 0.5; - dout[22] 0xc0 8.04014e+06 0.5; - dout[22]~131 0xc0 5.88653e+06 0.5; - dout[22]~132 0xc0 5.45581e+06 0.5; - dout[23] 0xc0 8.04014e+06 0.5; - dout[23]~133 0xc0 5.88653e+06 0.5; - dout[23]~134 0xc0 5.45581e+06 0.5; - dout[24] 0xc0 8.04014e+06 0.5; - dout[24]~135 0xc0 5.88653e+06 0.5; - dout[24]~136 0xc0 5.45581e+06 0.5; - dout[25] 0xc0 8.04014e+06 0.5; - dout[25]~137 0xc0 5.88653e+06 0.5; - dout[25]~138 0xc0 5.45581e+06 0.5; - dout[26] 0xc0 8.04014e+06 0.5; - dout[26]~139 0xc0 5.88653e+06 0.5; - dout[26]~140 0xc0 5.45581e+06 0.5; - dout[27] 0xc0 8.04014e+06 0.5; - dout[27]~141 0xc0 5.88653e+06 0.5; - dout[27]~142 0xc0 5.45581e+06 0.5; - dout[28] 0xc0 8.04014e+06 0.5; - dout[28]~143 0xc0 5.88653e+06 0.5; - dout[28]~144 0xc0 5.45581e+06 0.5; - dout[29] 0xc0 8.04014e+06 0.5; - dout[29]~145 0xc0 5.88653e+06 0.5; - dout[29]~146 0xc0 5.45581e+06 0.5; - dout[30] 0xc0 8.04014e+06 0.5; - dout[30]~147 0xc0 5.88653e+06 0.5; - dout[30]~148 0xc0 5.45581e+06 0.5; - dout[31] 0xc0 8.04014e+06 0.5; - dout[31]~149 0xc0 5.88653e+06 0.5; - dout[31]~150 0xc0 5.45581e+06 0.5; - dout[32] 0xc0 8.04014e+06 0.5; - dout[32]~151 0xc0 5.88653e+06 0.5; - dout[32]~152 0xc0 5.45581e+06 0.5; - dout[33] 0xc0 8.04014e+06 0.5; - dout[33]~153 0xc0 5.88653e+06 0.5; - dout[33]~154 0xc0 5.45581e+06 0.5; - dout[34] 0xc0 8.04014e+06 0.5; - dout[34]~155 0xc0 5.88653e+06 0.5; - dout[34]~156 0xc0 5.45581e+06 0.5; - dout[35] 0xc0 8.04014e+06 0.5; - dout[35]~157 0xc0 5.88653e+06 0.5; - dout[35]~158 0xc0 5.45581e+06 0.5; - dout[36] 0xc0 8.04014e+06 0.5; - dout[36]~159 0xc0 5.88653e+06 0.5; - dout[36]~160 0xc0 5.45581e+06 0.5; - dout[37] 0xc0 8.04014e+06 0.5; - dout[37]~161 0xc0 5.88653e+06 0.5; - dout[37]~162 0xc0 5.45581e+06 0.5; - dout[38] 0xc0 8.04014e+06 0.5; - dout[38]~163 0xc0 5.88653e+06 0.5; - dout[38]~164 0xc0 5.45581e+06 0.5; - dout[39] 0xc0 8.04014e+06 0.5; - dout[39]~165 0xc0 5.88653e+06 0.5; - dout[39]~166 0xc0 5.45581e+06 0.5; - dout[40] 0xc0 8.04014e+06 0.5; - dout[40]~167 0xc0 5.88653e+06 0.5; - dout[40]~168 0xc0 5.45581e+06 0.5; - dout[41] 0xc0 8.04014e+06 0.5; - dout[41]~169 0xc0 5.88653e+06 0.5; - dout[41]~170 0xc0 5.45581e+06 0.5; - dout[42] 0xc0 8.04014e+06 0.5; - dout[42]~171 0xc0 5.88653e+06 0.5; - dout[42]~172 0xc0 5.45581e+06 0.5; - dout[43] 0xc0 8.04014e+06 0.5; - dout[43]~173 0xc0 5.88653e+06 0.5; - dout[43]~174 0xc0 5.45581e+06 0.5; - dout[44] 0xc0 8.04014e+06 0.5; - dout[44]~175 0xc0 5.88653e+06 0.5; - dout[44]~176 0xc0 5.45581e+06 0.5; - dout[45] 0xc0 8.04014e+06 0.5; - dout[45]~177 0xc0 5.88653e+06 0.5; - dout[45]~178 0xc0 5.45581e+06 0.5; - dout[46] 0xc0 8.04014e+06 0.5; - dout[46]~179 0xc0 5.88653e+06 0.5; - dout[46]~180 0xc0 5.45581e+06 0.5; - dout[47] 0xc0 8.04014e+06 0.5; - dout[47]~181 0xc0 5.88653e+06 0.5; - dout[47]~182 0xc0 5.45581e+06 0.5; - dout[48] 0xc0 8.04014e+06 0.5; - dout[48]~183 0xc0 5.88653e+06 0.5; - dout[48]~184 0xc0 5.45581e+06 0.5; - dout[49] 0xc0 8.04014e+06 0.5; - dout[49]~185 0xc0 5.88653e+06 0.5; - dout[49]~186 0xc0 5.45581e+06 0.5; - dout[50] 0xc0 8.04014e+06 0.5; - dout[50]~187 0xc0 5.88653e+06 0.5; - dout[50]~188 0xc0 5.45581e+06 0.5; - dout[51] 0xc0 8.04014e+06 0.5; - dout[51]~189 0xc0 5.88653e+06 0.5; - dout[51]~190 0xc0 5.45581e+06 0.5; - dout[52] 0xc0 8.04014e+06 0.5; - dout[52]~191 0xc0 5.88653e+06 0.5; - dout[52]~192 0xc0 5.45581e+06 0.5; - dout[53] 0xc0 8.04014e+06 0.5; - dout[53]~193 0xc0 5.88653e+06 0.5; - dout[53]~194 0xc0 5.45581e+06 0.5; - dout[54] 0xc0 8.04014e+06 0.5; - dout[54]~195 0xc0 5.88653e+06 0.5; - dout[54]~196 0xc0 5.45581e+06 0.5; - dout[55] 0xc0 8.04014e+06 0.5; - dout[55]~197 0xc0 5.88653e+06 0.5; - dout[55]~198 0xc0 5.45581e+06 0.5; - dout[56] 0xc0 8.04014e+06 0.5; - dout[56]~199 0xc0 5.88653e+06 0.5; - dout[56]~200 0xc0 5.45581e+06 0.5; - dout[57] 0xc0 8.04014e+06 0.5; - dout[57]~201 0xc0 5.88653e+06 0.5; - dout[57]~202 0xc0 5.45581e+06 0.5; - dout[58] 0xc0 8.04014e+06 0.5; - dout[58]~203 0xc0 5.88653e+06 0.5; - dout[58]~204 0xc0 5.45581e+06 0.5; - dout[59] 0xc0 8.04014e+06 0.5; - dout[59]~205 0xc0 5.88653e+06 0.5; - dout[59]~206 0xc0 5.45581e+06 0.5; - dout[60] 0xc0 8.04014e+06 0.5; - dout[60]~207 0xc0 5.88653e+06 0.5; - dout[60]~208 0xc0 5.45581e+06 0.5; - dout[61] 0xc0 8.04014e+06 0.5; - dout[61]~209 0xc0 5.88653e+06 0.5; - dout[61]~210 0xc0 5.45581e+06 0.5; - dout[62] 0xc0 8.04014e+06 0.5; - dout[62]~211 0xc0 5.88653e+06 0.5; - dout[62]~212 0xc0 5.45581e+06 0.5; - dout[63] 0xc0 8.04014e+06 0.5; - dout[63]~213 0xc0 5.88653e+06 0.5; - dout[63]~214 0xc0 5.45581e+06 0.5; - dout[64] 0xc0 8.04014e+06 0.5; - dout[64]~215 0xc0 5.88653e+06 0.5; - dout[64]~216 0xc0 5.45581e+06 0.5; - dout[65] 0xc0 8.04014e+06 0.5; - dout[65]~217 0xc0 5.88653e+06 0.5; - dout[65]~218 0xc0 5.45581e+06 0.5; - dout[66] 0xc0 8.04014e+06 0.5; - dout[66]~219 0xc0 5.88653e+06 0.5; - dout[66]~220 0xc0 5.45581e+06 0.5; - dout[67] 0xc0 8.04014e+06 0.5; - dout[67]~221 0xc0 5.88653e+06 0.5; - dout[67]~222 0xc0 5.45581e+06 0.5; - dout[68] 0xc0 8.04014e+06 0.5; - dout[68]~223 0xc0 5.88653e+06 0.5; - dout[68]~224 0xc0 5.45581e+06 0.5; - dout[69] 0xc0 8.04014e+06 0.5; - dout[69]~225 0xc0 5.88653e+06 0.5; - dout[69]~226 0xc0 5.45581e+06 0.5; - dout[70] 0xc0 8.04014e+06 0.5; - dout[70]~227 0xc0 5.88653e+06 0.5; - dout[70]~228 0xc0 5.45581e+06 0.5; - dout[71] 0xc0 8.04014e+06 0.5; - dout[71]~229 0xc0 5.88653e+06 0.5; - dout[71]~230 0xc0 5.45581e+06 0.5; - dout[72] 0xc0 8.04014e+06 0.5; - dout[72]~231 0xc0 5.88653e+06 0.5; - dout[72]~232 0xc0 5.45581e+06 0.5; - dout[73] 0xc0 8.04014e+06 0.5; - dout[73]~233 0xc0 5.88653e+06 0.5; - dout[73]~234 0xc0 5.45581e+06 0.5; - dout[74] 0xc0 8.04014e+06 0.5; - dout[74]~235 0xc0 5.88653e+06 0.5; - dout[74]~236 0xc0 5.45581e+06 0.5; - dout[75] 0xc0 8.04014e+06 0.5; - dout[75]~237 0xc0 5.88653e+06 0.5; - dout[75]~238 0xc0 5.45581e+06 0.5; - dout[76] 0xc0 8.04014e+06 0.5; - dout[76]~239 0xc0 5.88653e+06 0.5; - dout[76]~240 0xc0 5.45581e+06 0.5; - dout[77] 0xc0 8.04014e+06 0.5; - dout[77]~241 0xc0 5.88653e+06 0.5; - dout[77]~242 0xc0 5.45581e+06 0.5; - dout[78] 0xc0 8.04014e+06 0.5; - dout[78]~243 0xc0 5.88653e+06 0.5; - dout[78]~244 0xc0 5.45581e+06 0.5; - dout[79] 0xc0 8.04014e+06 0.5; - dout[79]~245 0xc0 5.88653e+06 0.5; - dout[79]~246 0xc0 5.45581e+06 0.5; - dout[80] 0xc0 8.04014e+06 0.5; - dout[80]~247 0xc0 5.88653e+06 0.5; - dout[80]~248 0xc0 5.45581e+06 0.5; - dout[81] 0xc0 8.04014e+06 0.5; - dout[81]~249 0xc0 5.88653e+06 0.5; - dout[81]~250 0xc0 5.45581e+06 0.5; - dout[82] 0xc0 8.04014e+06 0.5; - dout[82]~251 0xc0 5.88653e+06 0.5; - dout[82]~252 0xc0 5.45581e+06 0.5; - dout[83] 0xc0 8.04014e+06 0.5; - dout[83]~253 0xc0 5.88653e+06 0.5; - dout[83]~254 0xc0 5.45581e+06 0.5; - dout[84] 0xc0 8.04014e+06 0.5; - dout[84]~255 0xc0 5.88653e+06 0.5; - dout[84]~256 0xc0 5.45581e+06 0.5; - dout[85] 0xc0 8.04014e+06 0.5; - dout[85]~257 0xc0 7.39405e+06 0.5; - dout_valid 0xc0 8.04014e+06 0.5; - dout_valid~0 0xc0 6.0301e+06 0.25; - dout~98 0xc0 5.27634e+06 0.625; - dout~259 0xc0 6.0301e+06 0.25; - auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][2]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][3]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][4]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][6]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][7]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][8]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][9]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][10]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][11]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][13]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][14]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][17]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][18]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][19]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][21]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][22]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][23]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][25]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][26]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][27]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][28]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][35]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][40]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][42]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][43]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][46]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][48]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][49]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][51]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][52]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][54]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][57]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][59]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][61]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][64]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][66]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][67]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][68]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][72]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][74]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][75]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][76]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][79]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][80]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][81]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][82]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][84]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][85]~feeder 0xc0 8.04014e+06 0.5; - dout[0] 0xc0 8.04014e+06 0.5; - dout[0]~86 0xc0 8.04014e+06 0.5; - dout[0]~87 0xc0 4.52258e+06 0.75; - dout[1] 0xc0 8.04014e+06 0.5; - dout[1]~88 0xc0 6.78387e+06 0.5; - dout[1]~89 0xc0 3.74526e+06 0.375; - dout[2] 0xc0 8.04014e+06 0.5; - dout[2]~90 0xc0 5.74155e+06 0.5; - dout[2]~91 0xc0 4.40971e+06 0.5625; - dout[3] 0xc0 8.04014e+06 0.5; - dout[3]~92 0xc0 5.69567e+06 0.5; - dout[3]~93 0xc0 5.96496e+06 0.46875; - dout[4] 0xc0 8.04014e+06 0.5; - dout[4]~94 0xc0 6.03148e+06 0.5; - dout[4]~95 0xc0 5.25544e+06 0.515625; - dout[5] 0xc0 8.04014e+06 0.5; - dout[5]~96 0xc0 5.84085e+06 0.5; - dout[5]~97 0xc0 5.57675e+06 0.492188; - dout[6] 0xc0 8.04014e+06 0.5; - dout[6]~99 0xc0 5.91787e+06 0.5; - dout[6]~100 0xc0 5.40143e+06 0.503906; - dout[7] 0xc0 8.04014e+06 0.5; - dout[7]~101 0xc0 5.87321e+06 0.5; - dout[7]~102 0xc0 5.48463e+06 0.498047; - dout[8] 0xc0 8.04014e+06 0.5; - dout[8]~103 0xc0 5.8938e+06 0.5; - dout[8]~104 0xc0 5.44182e+06 0.500977; - dout[9] 0xc0 8.04014e+06 0.5; - dout[9]~105 0xc0 5.88305e+06 0.5; - dout[9]~106 0xc0 5.46291e+06 0.499512; - dout[10] 0xc0 8.04014e+06 0.5; - dout[10]~107 0xc0 5.88831e+06 0.5; - dout[10]~108 0xc0 5.45228e+06 0.500244; - dout[11] 0xc0 8.04014e+06 0.5; - dout[11]~109 0xc0 5.88565e+06 0.5; - dout[11]~110 0xc0 5.45758e+06 0.499878; - dout[12] 0xc0 8.04014e+06 0.5; - dout[12]~111 0xc0 5.88697e+06 0.5; - dout[12]~112 0xc0 5.45492e+06 0.500061; - dout[13] 0xc0 8.04014e+06 0.5; - dout[13]~113 0xc0 5.88631e+06 0.5; - dout[13]~114 0xc0 5.45625e+06 0.499969; - dout[14] 0xc0 8.04014e+06 0.5; - dout[14]~115 0xc0 5.88664e+06 0.5; - dout[14]~116 0xc0 5.45559e+06 0.500015; - dout[15] 0xc0 8.04014e+06 0.5; - dout[15]~117 0xc0 5.88647e+06 0.5; - dout[15]~118 0xc0 5.45592e+06 0.499992; - dout[16] 0xc0 8.04014e+06 0.5; - dout[16]~119 0xc0 5.88656e+06 0.5; - dout[16]~120 0xc0 5.45575e+06 0.500004; - dout[17] 0xc0 8.04014e+06 0.5; - dout[17]~121 0xc0 5.88651e+06 0.5; - dout[17]~122 0xc0 5.45583e+06 0.499998; - dout[18] 0xc0 8.04014e+06 0.5; - dout[18]~123 0xc0 5.88654e+06 0.5; - dout[18]~124 0xc0 5.45579e+06 0.500001; - dout[19] 0xc0 8.04014e+06 0.5; - dout[19]~125 0xc0 5.88653e+06 0.5; - dout[19]~126 0xc0 5.45581e+06 0.5; - dout[20] 0xc0 8.04014e+06 0.5; - dout[20]~127 0xc0 5.88653e+06 0.5; - dout[20]~128 0xc0 5.4558e+06 0.5; - dout[21] 0xc0 8.04014e+06 0.5; - dout[21]~129 0xc0 5.88653e+06 0.5; - dout[21]~130 0xc0 5.45581e+06 0.5; - dout[22] 0xc0 8.04014e+06 0.5; - dout[22]~131 0xc0 5.88653e+06 0.5; - dout[22]~132 0xc0 5.45581e+06 0.5; - dout[23] 0xc0 8.04014e+06 0.5; - dout[23]~133 0xc0 5.88653e+06 0.5; - dout[23]~134 0xc0 5.45581e+06 0.5; - dout[24] 0xc0 8.04014e+06 0.5; - dout[24]~135 0xc0 5.88653e+06 0.5; - dout[24]~136 0xc0 5.45581e+06 0.5; - dout[25] 0xc0 8.04014e+06 0.5; - dout[25]~137 0xc0 5.88653e+06 0.5; - dout[25]~138 0xc0 5.45581e+06 0.5; - dout[26] 0xc0 8.04014e+06 0.5; - dout[26]~139 0xc0 5.88653e+06 0.5; - dout[26]~140 0xc0 5.45581e+06 0.5; - dout[27] 0xc0 8.04014e+06 0.5; - dout[27]~141 0xc0 5.88653e+06 0.5; - dout[27]~142 0xc0 5.45581e+06 0.5; - dout[28] 0xc0 8.04014e+06 0.5; - dout[28]~143 0xc0 5.88653e+06 0.5; - dout[28]~144 0xc0 5.45581e+06 0.5; - dout[29] 0xc0 8.04014e+06 0.5; - dout[29]~145 0xc0 5.88653e+06 0.5; - dout[29]~146 0xc0 5.45581e+06 0.5; - dout[30] 0xc0 8.04014e+06 0.5; - dout[30]~147 0xc0 5.88653e+06 0.5; - dout[30]~148 0xc0 5.45581e+06 0.5; - dout[31] 0xc0 8.04014e+06 0.5; - dout[31]~149 0xc0 5.88653e+06 0.5; - dout[31]~150 0xc0 5.45581e+06 0.5; - dout[32] 0xc0 8.04014e+06 0.5; - dout[32]~151 0xc0 5.88653e+06 0.5; - dout[32]~152 0xc0 5.45581e+06 0.5; - dout[33] 0xc0 8.04014e+06 0.5; - dout[33]~153 0xc0 5.88653e+06 0.5; - dout[33]~154 0xc0 5.45581e+06 0.5; - dout[34] 0xc0 8.04014e+06 0.5; - dout[34]~155 0xc0 5.88653e+06 0.5; - dout[34]~156 0xc0 5.45581e+06 0.5; - dout[35] 0xc0 8.04014e+06 0.5; - dout[35]~157 0xc0 5.88653e+06 0.5; - dout[35]~158 0xc0 5.45581e+06 0.5; - dout[36] 0xc0 8.04014e+06 0.5; - dout[36]~159 0xc0 5.88653e+06 0.5; - dout[36]~160 0xc0 5.45581e+06 0.5; - dout[37] 0xc0 8.04014e+06 0.5; - dout[37]~161 0xc0 5.88653e+06 0.5; - dout[37]~162 0xc0 5.45581e+06 0.5; - dout[38] 0xc0 8.04014e+06 0.5; - dout[38]~163 0xc0 5.88653e+06 0.5; - dout[38]~164 0xc0 5.45581e+06 0.5; - dout[39] 0xc0 8.04014e+06 0.5; - dout[39]~165 0xc0 5.88653e+06 0.5; - dout[39]~166 0xc0 5.45581e+06 0.5; - dout[40] 0xc0 8.04014e+06 0.5; - dout[40]~167 0xc0 5.88653e+06 0.5; - dout[40]~168 0xc0 5.45581e+06 0.5; - dout[41] 0xc0 8.04014e+06 0.5; - dout[41]~169 0xc0 5.88653e+06 0.5; - dout[41]~170 0xc0 5.45581e+06 0.5; - dout[42] 0xc0 8.04014e+06 0.5; - dout[42]~171 0xc0 5.88653e+06 0.5; - dout[42]~172 0xc0 5.45581e+06 0.5; - dout[43] 0xc0 8.04014e+06 0.5; - dout[43]~173 0xc0 5.88653e+06 0.5; - dout[43]~174 0xc0 5.45581e+06 0.5; - dout[44] 0xc0 8.04014e+06 0.5; - dout[44]~175 0xc0 5.88653e+06 0.5; - dout[44]~176 0xc0 5.45581e+06 0.5; - dout[45] 0xc0 8.04014e+06 0.5; - dout[45]~177 0xc0 5.88653e+06 0.5; - dout[45]~178 0xc0 5.45581e+06 0.5; - dout[46] 0xc0 8.04014e+06 0.5; - dout[46]~179 0xc0 5.88653e+06 0.5; - dout[46]~180 0xc0 5.45581e+06 0.5; - dout[47] 0xc0 8.04014e+06 0.5; - dout[47]~181 0xc0 5.88653e+06 0.5; - dout[47]~182 0xc0 5.45581e+06 0.5; - dout[48] 0xc0 8.04014e+06 0.5; - dout[48]~183 0xc0 5.88653e+06 0.5; - dout[48]~184 0xc0 5.45581e+06 0.5; - dout[49] 0xc0 8.04014e+06 0.5; - dout[49]~185 0xc0 5.88653e+06 0.5; - dout[49]~186 0xc0 5.45581e+06 0.5; - dout[50] 0xc0 8.04014e+06 0.5; - dout[50]~187 0xc0 5.88653e+06 0.5; - dout[50]~188 0xc0 5.45581e+06 0.5; - dout[51] 0xc0 8.04014e+06 0.5; - dout[51]~189 0xc0 5.88653e+06 0.5; - dout[51]~190 0xc0 5.45581e+06 0.5; - dout[52] 0xc0 8.04014e+06 0.5; - dout[52]~191 0xc0 5.88653e+06 0.5; - dout[52]~192 0xc0 5.45581e+06 0.5; - dout[53] 0xc0 8.04014e+06 0.5; - dout[53]~193 0xc0 5.88653e+06 0.5; - dout[53]~194 0xc0 5.45581e+06 0.5; - dout[54] 0xc0 8.04014e+06 0.5; - dout[54]~195 0xc0 5.88653e+06 0.5; - dout[54]~196 0xc0 5.45581e+06 0.5; - dout[55] 0xc0 8.04014e+06 0.5; - dout[55]~197 0xc0 5.88653e+06 0.5; - dout[55]~198 0xc0 5.45581e+06 0.5; - dout[56] 0xc0 8.04014e+06 0.5; - dout[56]~199 0xc0 5.88653e+06 0.5; - dout[56]~200 0xc0 5.45581e+06 0.5; - dout[57] 0xc0 8.04014e+06 0.5; - dout[57]~201 0xc0 5.88653e+06 0.5; - dout[57]~202 0xc0 5.45581e+06 0.5; - dout[58] 0xc0 8.04014e+06 0.5; - dout[58]~203 0xc0 5.88653e+06 0.5; - dout[58]~204 0xc0 5.45581e+06 0.5; - dout[59] 0xc0 8.04014e+06 0.5; - dout[59]~205 0xc0 5.88653e+06 0.5; - dout[59]~206 0xc0 5.45581e+06 0.5; - dout[60] 0xc0 8.04014e+06 0.5; - dout[60]~207 0xc0 5.88653e+06 0.5; - dout[60]~208 0xc0 5.45581e+06 0.5; - dout[61] 0xc0 8.04014e+06 0.5; - dout[61]~209 0xc0 5.88653e+06 0.5; - dout[61]~210 0xc0 5.45581e+06 0.5; - dout[62] 0xc0 8.04014e+06 0.5; - dout[62]~211 0xc0 5.88653e+06 0.5; - dout[62]~212 0xc0 5.45581e+06 0.5; - dout[63] 0xc0 8.04014e+06 0.5; - dout[63]~213 0xc0 5.88653e+06 0.5; - dout[63]~214 0xc0 5.45581e+06 0.5; - dout[64] 0xc0 8.04014e+06 0.5; - dout[64]~215 0xc0 5.88653e+06 0.5; - dout[64]~216 0xc0 5.45581e+06 0.5; - dout[65] 0xc0 8.04014e+06 0.5; - dout[65]~217 0xc0 5.88653e+06 0.5; - dout[65]~218 0xc0 5.45581e+06 0.5; - dout[66] 0xc0 8.04014e+06 0.5; - dout[66]~219 0xc0 5.88653e+06 0.5; - dout[66]~220 0xc0 5.45581e+06 0.5; - dout[67] 0xc0 8.04014e+06 0.5; - dout[67]~221 0xc0 5.88653e+06 0.5; - dout[67]~222 0xc0 5.45581e+06 0.5; - dout[68] 0xc0 8.04014e+06 0.5; - dout[68]~223 0xc0 5.88653e+06 0.5; - dout[68]~224 0xc0 5.45581e+06 0.5; - dout[69] 0xc0 8.04014e+06 0.5; - dout[69]~225 0xc0 5.88653e+06 0.5; - dout[69]~226 0xc0 5.45581e+06 0.5; - dout[70] 0xc0 8.04014e+06 0.5; - dout[70]~227 0xc0 5.88653e+06 0.5; - dout[70]~228 0xc0 5.45581e+06 0.5; - dout[71] 0xc0 8.04014e+06 0.5; - dout[71]~229 0xc0 5.88653e+06 0.5; - dout[71]~230 0xc0 5.45581e+06 0.5; - dout[72] 0xc0 8.04014e+06 0.5; - dout[72]~231 0xc0 5.88653e+06 0.5; - dout[72]~232 0xc0 5.45581e+06 0.5; - dout[73] 0xc0 8.04014e+06 0.5; - dout[73]~233 0xc0 5.88653e+06 0.5; - dout[73]~234 0xc0 5.45581e+06 0.5; - dout[74] 0xc0 8.04014e+06 0.5; - dout[74]~235 0xc0 5.88653e+06 0.5; - dout[74]~236 0xc0 5.45581e+06 0.5; - dout[75] 0xc0 8.04014e+06 0.5; - dout[75]~237 0xc0 5.88653e+06 0.5; - dout[75]~238 0xc0 5.45581e+06 0.5; - dout[76] 0xc0 8.04014e+06 0.5; - dout[76]~239 0xc0 5.88653e+06 0.5; - dout[76]~240 0xc0 5.45581e+06 0.5; - dout[77] 0xc0 8.04014e+06 0.5; - dout[77]~241 0xc0 5.88653e+06 0.5; - dout[77]~242 0xc0 5.45581e+06 0.5; - dout[78] 0xc0 8.04014e+06 0.5; - dout[78]~243 0xc0 5.88653e+06 0.5; - dout[78]~244 0xc0 5.45581e+06 0.5; - dout[79] 0xc0 8.04014e+06 0.5; - dout[79]~245 0xc0 5.88653e+06 0.5; - dout[79]~246 0xc0 5.45581e+06 0.5; - dout[80] 0xc0 8.04014e+06 0.5; - dout[80]~247 0xc0 5.88653e+06 0.5; - dout[80]~248 0xc0 5.45581e+06 0.5; - dout[81] 0xc0 8.04014e+06 0.5; - dout[81]~249 0xc0 5.88653e+06 0.5; - dout[81]~250 0xc0 5.45581e+06 0.5; - dout[82] 0xc0 8.04014e+06 0.5; - dout[82]~251 0xc0 5.88653e+06 0.5; - dout[82]~252 0xc0 5.45581e+06 0.5; - dout[83] 0xc0 8.04014e+06 0.5; - dout[83]~253 0xc0 5.88653e+06 0.5; - dout[83]~254 0xc0 5.45581e+06 0.5; - dout[84] 0xc0 8.04014e+06 0.5; - dout[84]~255 0xc0 5.88653e+06 0.5; - dout[84]~256 0xc0 5.45581e+06 0.5; - dout[85] 0xc0 8.04014e+06 0.5; - dout[85]~257 0xc0 5.88653e+06 0.5; - dout_valid 0xc0 8.04014e+06 0.5; - dout_valid~0 0xc0 6.0301e+06 0.25; - dout~98 0xc0 7.53763e+06 0.625; - dout~259 0xc0 6.0301e+06 0.25; - auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][2]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][3]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][4]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][5]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][7]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][8]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][9]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][10]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][11]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][13]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][14]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][18]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][19]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][20]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][21]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][22]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][23]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][25]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][27]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][31]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][35]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][36]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][38]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][39]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][42]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][45]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][46]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][47]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][50]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][53]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][54]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][55]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][59]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][62]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][63]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][65]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][66]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][67]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][68]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][69]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][70]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][71]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][73]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][77]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][80]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][81]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][82]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][84]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][85]~feeder 0xc0 8.04014e+06 0.5; - dout[0] 0xc0 8.04014e+06 0.5; - dout[0]~86 0xc0 8.04014e+06 0.5; - dout[0]~87 0xc0 4.52258e+06 0.75; - dout[1] 0xc0 8.04014e+06 0.5; - dout[1]~88 0xc0 6.78387e+06 0.5; - dout[1]~89 0xc0 3.74526e+06 0.375; - dout[2] 0xc0 8.04014e+06 0.5; - dout[2]~90 0xc0 5.74155e+06 0.5; - dout[2]~91 0xc0 4.40971e+06 0.5625; - dout[3] 0xc0 8.04014e+06 0.5; - dout[3]~92 0xc0 5.69567e+06 0.5; - dout[3]~93 0xc0 5.96496e+06 0.46875; - dout[4] 0xc0 8.04014e+06 0.5; - dout[4]~94 0xc0 6.03148e+06 0.5; - dout[4]~95 0xc0 5.25544e+06 0.515625; - dout[5] 0xc0 8.04014e+06 0.5; - dout[5]~96 0xc0 5.84085e+06 0.5; - dout[5]~97 0xc0 5.57675e+06 0.492188; - dout[6] 0xc0 8.04014e+06 0.5; - dout[6]~99 0xc0 5.91787e+06 0.5; - dout[6]~100 0xc0 5.40143e+06 0.503906; - dout[7] 0xc0 8.04014e+06 0.5; - dout[7]~101 0xc0 5.87321e+06 0.5; - dout[7]~102 0xc0 5.48463e+06 0.498047; - dout[8] 0xc0 8.04014e+06 0.5; - dout[8]~103 0xc0 5.8938e+06 0.5; - dout[8]~104 0xc0 5.44182e+06 0.500977; - dout[9] 0xc0 8.04014e+06 0.5; - dout[9]~105 0xc0 5.88305e+06 0.5; - dout[9]~106 0xc0 5.46291e+06 0.499512; - dout[10] 0xc0 8.04014e+06 0.5; - dout[10]~107 0xc0 5.88831e+06 0.5; - dout[10]~108 0xc0 5.45228e+06 0.500244; - dout[11] 0xc0 8.04014e+06 0.5; - dout[11]~109 0xc0 5.88565e+06 0.5; - dout[11]~110 0xc0 5.45758e+06 0.499878; - dout[12] 0xc0 8.04014e+06 0.5; - dout[12]~111 0xc0 5.88697e+06 0.5; - dout[12]~112 0xc0 5.45492e+06 0.500061; - dout[13] 0xc0 8.04014e+06 0.5; - dout[13]~113 0xc0 5.88631e+06 0.5; - dout[13]~114 0xc0 5.45625e+06 0.499969; - dout[14] 0xc0 8.04014e+06 0.5; - dout[14]~115 0xc0 5.88664e+06 0.5; - dout[14]~116 0xc0 5.45559e+06 0.500015; - dout[15] 0xc0 8.04014e+06 0.5; - dout[15]~117 0xc0 5.88647e+06 0.5; - dout[15]~118 0xc0 5.45592e+06 0.499992; - dout[16] 0xc0 8.04014e+06 0.5; - dout[16]~119 0xc0 5.88656e+06 0.5; - dout[16]~120 0xc0 5.45575e+06 0.500004; - dout[17] 0xc0 8.04014e+06 0.5; - dout[17]~121 0xc0 5.88651e+06 0.5; - dout[17]~122 0xc0 5.45583e+06 0.499998; - dout[18] 0xc0 8.04014e+06 0.5; - dout[18]~123 0xc0 5.88654e+06 0.5; - dout[18]~124 0xc0 5.45579e+06 0.500001; - dout[19] 0xc0 8.04014e+06 0.5; - dout[19]~125 0xc0 5.88653e+06 0.5; - dout[19]~126 0xc0 5.45581e+06 0.5; - dout[20] 0xc0 8.04014e+06 0.5; - dout[20]~127 0xc0 5.88653e+06 0.5; - dout[20]~128 0xc0 5.4558e+06 0.5; - dout[21] 0xc0 8.04014e+06 0.5; - dout[21]~129 0xc0 5.88653e+06 0.5; - dout[21]~130 0xc0 5.45581e+06 0.5; - dout[22] 0xc0 8.04014e+06 0.5; - dout[22]~131 0xc0 5.88653e+06 0.5; - dout[22]~132 0xc0 5.45581e+06 0.5; - dout[23] 0xc0 8.04014e+06 0.5; - dout[23]~133 0xc0 5.88653e+06 0.5; - dout[23]~134 0xc0 5.45581e+06 0.5; - dout[24] 0xc0 8.04014e+06 0.5; - dout[24]~135 0xc0 5.88653e+06 0.5; - dout[24]~136 0xc0 5.45581e+06 0.5; - dout[25] 0xc0 8.04014e+06 0.5; - dout[25]~137 0xc0 5.88653e+06 0.5; - dout[25]~138 0xc0 5.45581e+06 0.5; - dout[26] 0xc0 8.04014e+06 0.5; - dout[26]~139 0xc0 5.88653e+06 0.5; - dout[26]~140 0xc0 5.45581e+06 0.5; - dout[27] 0xc0 8.04014e+06 0.5; - dout[27]~141 0xc0 5.88653e+06 0.5; - dout[27]~142 0xc0 5.45581e+06 0.5; - dout[28] 0xc0 8.04014e+06 0.5; - dout[28]~143 0xc0 5.88653e+06 0.5; - dout[28]~144 0xc0 5.45581e+06 0.5; - dout[29] 0xc0 8.04014e+06 0.5; - dout[29]~145 0xc0 5.88653e+06 0.5; - dout[29]~146 0xc0 5.45581e+06 0.5; - dout[30] 0xc0 8.04014e+06 0.5; - dout[30]~147 0xc0 5.88653e+06 0.5; - dout[30]~148 0xc0 5.45581e+06 0.5; - dout[31] 0xc0 8.04014e+06 0.5; - dout[31]~149 0xc0 5.88653e+06 0.5; - dout[31]~150 0xc0 5.45581e+06 0.5; - dout[32] 0xc0 8.04014e+06 0.5; - dout[32]~151 0xc0 5.88653e+06 0.5; - dout[32]~152 0xc0 5.45581e+06 0.5; - dout[33] 0xc0 8.04014e+06 0.5; - dout[33]~153 0xc0 5.88653e+06 0.5; - dout[33]~154 0xc0 5.45581e+06 0.5; - dout[34] 0xc0 8.04014e+06 0.5; - dout[34]~155 0xc0 5.88653e+06 0.5; - dout[34]~156 0xc0 5.45581e+06 0.5; - dout[35] 0xc0 8.04014e+06 0.5; - dout[35]~157 0xc0 5.88653e+06 0.5; - dout[35]~158 0xc0 5.45581e+06 0.5; - dout[36] 0xc0 8.04014e+06 0.5; - dout[36]~159 0xc0 5.88653e+06 0.5; - dout[36]~160 0xc0 5.45581e+06 0.5; - dout[37] 0xc0 8.04014e+06 0.5; - dout[37]~161 0xc0 5.88653e+06 0.5; - dout[37]~162 0xc0 5.45581e+06 0.5; - dout[38] 0xc0 8.04014e+06 0.5; - dout[38]~163 0xc0 5.88653e+06 0.5; - dout[38]~164 0xc0 5.45581e+06 0.5; - dout[39] 0xc0 8.04014e+06 0.5; - dout[39]~165 0xc0 5.88653e+06 0.5; - dout[39]~166 0xc0 5.45581e+06 0.5; - dout[40] 0xc0 8.04014e+06 0.5; - dout[40]~167 0xc0 5.88653e+06 0.5; - dout[40]~168 0xc0 5.45581e+06 0.5; - dout[41] 0xc0 8.04014e+06 0.5; - dout[41]~169 0xc0 5.88653e+06 0.5; - dout[41]~170 0xc0 5.45581e+06 0.5; - dout[42] 0xc0 8.04014e+06 0.5; - dout[42]~171 0xc0 5.88653e+06 0.5; - dout[42]~172 0xc0 5.45581e+06 0.5; - dout[43] 0xc0 8.04014e+06 0.5; - dout[43]~173 0xc0 5.88653e+06 0.5; - dout[43]~174 0xc0 5.45581e+06 0.5; - dout[44] 0xc0 8.04014e+06 0.5; - dout[44]~175 0xc0 5.88653e+06 0.5; - dout[44]~176 0xc0 5.45581e+06 0.5; - dout[45] 0xc0 8.04014e+06 0.5; - dout[45]~177 0xc0 5.88653e+06 0.5; - dout[45]~178 0xc0 5.45581e+06 0.5; - dout[46] 0xc0 8.04014e+06 0.5; - dout[46]~179 0xc0 5.88653e+06 0.5; - dout[46]~180 0xc0 5.45581e+06 0.5; - dout[47] 0xc0 8.04014e+06 0.5; - dout[47]~181 0xc0 5.88653e+06 0.5; - dout[47]~182 0xc0 5.45581e+06 0.5; - dout[48] 0xc0 8.04014e+06 0.5; - dout[48]~183 0xc0 5.88653e+06 0.5; - dout[48]~184 0xc0 5.45581e+06 0.5; - dout[49] 0xc0 8.04014e+06 0.5; - dout[49]~185 0xc0 5.88653e+06 0.5; - dout[49]~186 0xc0 5.45581e+06 0.5; - dout[50] 0xc0 8.04014e+06 0.5; - dout[50]~187 0xc0 5.88653e+06 0.5; - dout[50]~188 0xc0 5.45581e+06 0.5; - dout[51] 0xc0 8.04014e+06 0.5; - dout[51]~189 0xc0 5.88653e+06 0.5; - dout[51]~190 0xc0 5.45581e+06 0.5; - dout[52] 0xc0 8.04014e+06 0.5; - dout[52]~191 0xc0 5.88653e+06 0.5; - dout[52]~192 0xc0 5.45581e+06 0.5; - dout[53] 0xc0 8.04014e+06 0.5; - dout[53]~193 0xc0 5.88653e+06 0.5; - dout[53]~194 0xc0 5.45581e+06 0.5; - dout[54] 0xc0 8.04014e+06 0.5; - dout[54]~195 0xc0 5.88653e+06 0.5; - dout[54]~196 0xc0 5.45581e+06 0.5; - dout[55] 0xc0 8.04014e+06 0.5; - dout[55]~197 0xc0 5.88653e+06 0.5; - dout[55]~198 0xc0 5.45581e+06 0.5; - dout[56] 0xc0 8.04014e+06 0.5; - dout[56]~199 0xc0 5.88653e+06 0.5; - dout[56]~200 0xc0 5.45581e+06 0.5; - dout[57] 0xc0 8.04014e+06 0.5; - dout[57]~201 0xc0 5.88653e+06 0.5; - dout[57]~202 0xc0 5.45581e+06 0.5; - dout[58] 0xc0 8.04014e+06 0.5; - dout[58]~203 0xc0 5.88653e+06 0.5; - dout[58]~204 0xc0 5.45581e+06 0.5; - dout[59] 0xc0 8.04014e+06 0.5; - dout[59]~205 0xc0 5.88653e+06 0.5; - dout[59]~206 0xc0 5.45581e+06 0.5; - dout[60] 0xc0 8.04014e+06 0.5; - dout[60]~207 0xc0 5.88653e+06 0.5; - dout[60]~208 0xc0 5.45581e+06 0.5; - dout[61] 0xc0 8.04014e+06 0.5; - dout[61]~209 0xc0 5.88653e+06 0.5; - dout[61]~210 0xc0 5.45581e+06 0.5; - dout[62] 0xc0 8.04014e+06 0.5; - dout[62]~211 0xc0 5.88653e+06 0.5; - dout[62]~212 0xc0 5.45581e+06 0.5; - dout[63] 0xc0 8.04014e+06 0.5; - dout[63]~213 0xc0 5.88653e+06 0.5; - dout[63]~214 0xc0 5.45581e+06 0.5; - dout[64] 0xc0 8.04014e+06 0.5; - dout[64]~215 0xc0 5.88653e+06 0.5; - dout[64]~216 0xc0 5.45581e+06 0.5; - dout[65] 0xc0 8.04014e+06 0.5; - dout[65]~217 0xc0 5.88653e+06 0.5; - dout[65]~218 0xc0 5.45581e+06 0.5; - dout[66] 0xc0 8.04014e+06 0.5; - dout[66]~219 0xc0 5.88653e+06 0.5; - dout[66]~220 0xc0 5.45581e+06 0.5; - dout[67] 0xc0 8.04014e+06 0.5; - dout[67]~221 0xc0 5.88653e+06 0.5; - dout[67]~222 0xc0 5.45581e+06 0.5; - dout[68] 0xc0 8.04014e+06 0.5; - dout[68]~223 0xc0 5.88653e+06 0.5; - dout[68]~224 0xc0 5.45581e+06 0.5; - dout[69] 0xc0 8.04014e+06 0.5; - dout[69]~225 0xc0 5.88653e+06 0.5; - dout[69]~226 0xc0 5.45581e+06 0.5; - dout[70] 0xc0 8.04014e+06 0.5; - dout[70]~227 0xc0 5.88653e+06 0.5; - dout[70]~228 0xc0 5.45581e+06 0.5; - dout[71] 0xc0 8.04014e+06 0.5; - dout[71]~229 0xc0 5.88653e+06 0.5; - dout[71]~230 0xc0 5.45581e+06 0.5; - dout[72] 0xc0 8.04014e+06 0.5; - dout[72]~231 0xc0 5.88653e+06 0.5; - dout[72]~232 0xc0 5.45581e+06 0.5; - dout[73] 0xc0 8.04014e+06 0.5; - dout[73]~233 0xc0 5.88653e+06 0.5; - dout[73]~234 0xc0 5.45581e+06 0.5; - dout[74] 0xc0 8.04014e+06 0.5; - dout[74]~235 0xc0 5.88653e+06 0.5; - dout[74]~236 0xc0 5.45581e+06 0.5; - dout[75] 0xc0 8.04014e+06 0.5; - dout[75]~237 0xc0 5.88653e+06 0.5; - dout[75]~238 0xc0 5.45581e+06 0.5; - dout[76] 0xc0 8.04014e+06 0.5; - dout[76]~239 0xc0 5.88653e+06 0.5; - dout[76]~240 0xc0 5.45581e+06 0.5; - dout[77] 0xc0 8.04014e+06 0.5; - dout[77]~241 0xc0 5.88653e+06 0.5; - dout[77]~242 0xc0 5.45581e+06 0.5; - dout[78] 0xc0 8.04014e+06 0.5; - dout[78]~243 0xc0 5.88653e+06 0.5; - dout[78]~244 0xc0 5.45581e+06 0.5; - dout[79] 0xc0 8.04014e+06 0.5; - dout[79]~245 0xc0 5.88653e+06 0.5; - dout[79]~246 0xc0 5.45581e+06 0.5; - dout[80] 0xc0 8.04014e+06 0.5; - dout[80]~247 0xc0 5.88653e+06 0.5; - dout[80]~248 0xc0 5.45581e+06 0.5; - dout[81] 0xc0 8.04014e+06 0.5; - dout[81]~249 0xc0 5.88653e+06 0.5; - dout[81]~250 0xc0 5.45581e+06 0.5; - dout[82] 0xc0 8.04014e+06 0.5; - dout[82]~251 0xc0 5.88653e+06 0.5; - dout[82]~252 0xc0 5.45581e+06 0.5; - dout[83] 0xc0 8.04014e+06 0.5; - dout[83]~253 0xc0 5.88653e+06 0.5; - dout[83]~254 0xc0 5.45581e+06 0.5; - dout[84] 0xc0 8.04014e+06 0.5; - dout[84]~255 0xc0 5.88653e+06 0.5; - dout[84]~256 0xc0 5.45581e+06 0.5; - dout[85] 0xc0 8.04014e+06 0.5; - dout[85]~257 0xc0 5.88653e+06 0.5; - dout_valid 0xc0 8.04014e+06 0.5; - dout_valid~0 0xc0 4.52258e+06 0.75; - dout_valid~1 0xc0 6.0301e+06 0.25; - dout~98 0xc0 5.27634e+06 0.625; - dout~259 0xc0 6.0301e+06 0.25; - auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][2]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][3]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][4]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][5]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][6]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][7]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][8]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][9]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][10]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][12]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][14]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][15]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][19]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][22]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][26]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][27]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][29]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][31]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][34]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][35]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][37]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][38]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][41]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][42]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][43]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][48]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][49]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][50]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][53]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][54]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][55]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][59]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][63]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][64]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][66]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][67]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][68]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][69]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][70]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][71]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][72]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][74]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][75]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][76]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][77]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][79]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][81]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5; - dout[0] 0xc0 8.04014e+06 0.5; - dout[0]~86 0xc0 8.04014e+06 0.5; - dout[0]~87 0xc0 4.52258e+06 0.75; - dout[1] 0xc0 8.04014e+06 0.5; - dout[1]~88 0xc0 6.78387e+06 0.5; - dout[1]~89 0xc0 3.74526e+06 0.375; - dout[2] 0xc0 8.04014e+06 0.5; - dout[2]~90 0xc0 5.74155e+06 0.5; - dout[2]~91 0xc0 4.40971e+06 0.5625; - dout[3] 0xc0 8.04014e+06 0.5; - dout[3]~92 0xc0 5.69567e+06 0.5; - dout[3]~93 0xc0 5.96496e+06 0.46875; - dout[4] 0xc0 8.04014e+06 0.5; - dout[4]~94 0xc0 6.03148e+06 0.5; - dout[4]~95 0xc0 5.25544e+06 0.515625; - dout[5] 0xc0 8.04014e+06 0.5; - dout[5]~96 0xc0 5.84085e+06 0.5; - dout[5]~97 0xc0 5.57675e+06 0.492188; - dout[6] 0xc0 8.04014e+06 0.5; - dout[6]~99 0xc0 5.91787e+06 0.5; - dout[6]~100 0xc0 5.40143e+06 0.503906; - dout[7] 0xc0 8.04014e+06 0.5; - dout[7]~101 0xc0 5.87321e+06 0.5; - dout[7]~102 0xc0 5.48463e+06 0.498047; - dout[8] 0xc0 8.04014e+06 0.5; - dout[8]~103 0xc0 5.8938e+06 0.5; - dout[8]~104 0xc0 5.44182e+06 0.500977; - dout[9] 0xc0 8.04014e+06 0.5; - dout[9]~105 0xc0 5.88305e+06 0.5; - dout[9]~106 0xc0 5.46291e+06 0.499512; - dout[10] 0xc0 8.04014e+06 0.5; - dout[10]~107 0xc0 5.88831e+06 0.5; - dout[10]~108 0xc0 5.45228e+06 0.500244; - dout[11] 0xc0 8.04014e+06 0.5; - dout[11]~109 0xc0 5.88565e+06 0.5; - dout[11]~110 0xc0 5.45758e+06 0.499878; - dout[12] 0xc0 8.04014e+06 0.5; - dout[12]~111 0xc0 5.88697e+06 0.5; - dout[12]~112 0xc0 5.45492e+06 0.500061; - dout[13] 0xc0 8.04014e+06 0.5; - dout[13]~113 0xc0 5.88631e+06 0.5; - dout[13]~114 0xc0 5.45625e+06 0.499969; - dout[14] 0xc0 8.04014e+06 0.5; - dout[14]~115 0xc0 5.88664e+06 0.5; - dout[14]~116 0xc0 5.45559e+06 0.500015; - dout[15] 0xc0 8.04014e+06 0.5; - dout[15]~117 0xc0 5.88647e+06 0.5; - dout[15]~118 0xc0 5.45592e+06 0.499992; - dout[16] 0xc0 8.04014e+06 0.5; - dout[16]~119 0xc0 5.88656e+06 0.5; - dout[16]~120 0xc0 5.45575e+06 0.500004; - dout[17] 0xc0 8.04014e+06 0.5; - dout[17]~121 0xc0 5.88651e+06 0.5; - dout[17]~122 0xc0 5.45583e+06 0.499998; - dout[18] 0xc0 8.04014e+06 0.5; - dout[18]~123 0xc0 5.88654e+06 0.5; - dout[18]~124 0xc0 5.45579e+06 0.500001; - dout[19] 0xc0 8.04014e+06 0.5; - dout[19]~125 0xc0 5.88653e+06 0.5; - dout[19]~126 0xc0 5.45581e+06 0.5; - dout[20] 0xc0 8.04014e+06 0.5; - dout[20]~127 0xc0 5.88653e+06 0.5; - dout[20]~128 0xc0 5.4558e+06 0.5; - dout[21] 0xc0 8.04014e+06 0.5; - dout[21]~129 0xc0 5.88653e+06 0.5; - dout[21]~130 0xc0 5.45581e+06 0.5; - dout[22] 0xc0 8.04014e+06 0.5; - dout[22]~131 0xc0 5.88653e+06 0.5; - dout[22]~132 0xc0 5.45581e+06 0.5; - dout[23] 0xc0 8.04014e+06 0.5; - dout[23]~133 0xc0 5.88653e+06 0.5; - dout[23]~134 0xc0 5.45581e+06 0.5; - dout[24] 0xc0 8.04014e+06 0.5; - dout[24]~135 0xc0 5.88653e+06 0.5; - dout[24]~136 0xc0 5.45581e+06 0.5; - dout[25] 0xc0 8.04014e+06 0.5; - dout[25]~137 0xc0 5.88653e+06 0.5; - dout[25]~138 0xc0 5.45581e+06 0.5; - dout[26] 0xc0 8.04014e+06 0.5; - dout[26]~139 0xc0 5.88653e+06 0.5; - dout[26]~140 0xc0 5.45581e+06 0.5; - dout[27] 0xc0 8.04014e+06 0.5; - dout[27]~141 0xc0 5.88653e+06 0.5; - dout[27]~142 0xc0 5.45581e+06 0.5; - dout[28] 0xc0 8.04014e+06 0.5; - dout[28]~143 0xc0 5.88653e+06 0.5; - dout[28]~144 0xc0 5.45581e+06 0.5; - dout[29] 0xc0 8.04014e+06 0.5; - dout[29]~145 0xc0 5.88653e+06 0.5; - dout[29]~146 0xc0 5.45581e+06 0.5; - dout[30] 0xc0 8.04014e+06 0.5; - dout[30]~147 0xc0 5.88653e+06 0.5; - dout[30]~148 0xc0 5.45581e+06 0.5; - dout[31] 0xc0 8.04014e+06 0.5; - dout[31]~149 0xc0 5.88653e+06 0.5; - dout[31]~150 0xc0 5.45581e+06 0.5; - dout[32] 0xc0 8.04014e+06 0.5; - dout[32]~151 0xc0 5.88653e+06 0.5; - dout[32]~152 0xc0 5.45581e+06 0.5; - dout[33] 0xc0 8.04014e+06 0.5; - dout[33]~153 0xc0 5.88653e+06 0.5; - dout[33]~154 0xc0 5.45581e+06 0.5; - dout[34] 0xc0 8.04014e+06 0.5; - dout[34]~155 0xc0 5.88653e+06 0.5; - dout[34]~156 0xc0 5.45581e+06 0.5; - dout[35] 0xc0 8.04014e+06 0.5; - dout[35]~157 0xc0 5.88653e+06 0.5; - dout[35]~158 0xc0 5.45581e+06 0.5; - dout[36] 0xc0 8.04014e+06 0.5; - dout[36]~159 0xc0 5.88653e+06 0.5; - dout[36]~160 0xc0 5.45581e+06 0.5; - dout[37] 0xc0 8.04014e+06 0.5; - dout[37]~161 0xc0 5.88653e+06 0.5; - dout[37]~162 0xc0 5.45581e+06 0.5; - dout[38] 0xc0 8.04014e+06 0.5; - dout[38]~163 0xc0 5.88653e+06 0.5; - dout[38]~164 0xc0 5.45581e+06 0.5; - dout[39] 0xc0 8.04014e+06 0.5; - dout[39]~165 0xc0 5.88653e+06 0.5; - dout[39]~166 0xc0 5.45581e+06 0.5; - dout[40] 0xc0 8.04014e+06 0.5; - dout[40]~167 0xc0 5.88653e+06 0.5; - dout[40]~168 0xc0 5.45581e+06 0.5; - dout[41] 0xc0 8.04014e+06 0.5; - dout[41]~169 0xc0 5.88653e+06 0.5; - dout[41]~170 0xc0 5.45581e+06 0.5; - dout[42] 0xc0 8.04014e+06 0.5; - dout[42]~171 0xc0 5.88653e+06 0.5; - dout[42]~172 0xc0 5.45581e+06 0.5; - dout[43] 0xc0 8.04014e+06 0.5; - dout[43]~173 0xc0 5.88653e+06 0.5; - dout[43]~174 0xc0 5.45581e+06 0.5; - dout[44] 0xc0 8.04014e+06 0.5; - dout[44]~175 0xc0 5.88653e+06 0.5; - dout[44]~176 0xc0 5.45581e+06 0.5; - dout[45] 0xc0 8.04014e+06 0.5; - dout[45]~177 0xc0 5.88653e+06 0.5; - dout[45]~178 0xc0 5.45581e+06 0.5; - dout[46] 0xc0 8.04014e+06 0.5; - dout[46]~179 0xc0 5.88653e+06 0.5; - dout[46]~180 0xc0 5.45581e+06 0.5; - dout[47] 0xc0 8.04014e+06 0.5; - dout[47]~181 0xc0 5.88653e+06 0.5; - dout[47]~182 0xc0 5.45581e+06 0.5; - dout[48] 0xc0 8.04014e+06 0.5; - dout[48]~183 0xc0 5.88653e+06 0.5; - dout[48]~184 0xc0 5.45581e+06 0.5; - dout[49] 0xc0 8.04014e+06 0.5; - dout[49]~185 0xc0 5.88653e+06 0.5; - dout[49]~186 0xc0 5.45581e+06 0.5; - dout[50] 0xc0 8.04014e+06 0.5; - dout[50]~187 0xc0 5.88653e+06 0.5; - dout[50]~188 0xc0 5.45581e+06 0.5; - dout[51] 0xc0 8.04014e+06 0.5; - dout[51]~189 0xc0 5.88653e+06 0.5; - dout[51]~190 0xc0 5.45581e+06 0.5; - dout[52] 0xc0 8.04014e+06 0.5; - dout[52]~191 0xc0 5.88653e+06 0.5; - dout[52]~192 0xc0 5.45581e+06 0.5; - dout[53] 0xc0 8.04014e+06 0.5; - dout[53]~193 0xc0 5.88653e+06 0.5; - dout[53]~194 0xc0 5.45581e+06 0.5; - dout[54] 0xc0 8.04014e+06 0.5; - dout[54]~195 0xc0 5.88653e+06 0.5; - dout[54]~196 0xc0 5.45581e+06 0.5; - dout[55] 0xc0 8.04014e+06 0.5; - dout[55]~197 0xc0 5.88653e+06 0.5; - dout[55]~198 0xc0 5.45581e+06 0.5; - dout[56] 0xc0 8.04014e+06 0.5; - dout[56]~199 0xc0 5.88653e+06 0.5; - dout[56]~200 0xc0 5.45581e+06 0.5; - dout[57] 0xc0 8.04014e+06 0.5; - dout[57]~201 0xc0 5.88653e+06 0.5; - dout[57]~202 0xc0 5.45581e+06 0.5; - dout[58] 0xc0 8.04014e+06 0.5; - dout[58]~203 0xc0 5.88653e+06 0.5; - dout[58]~204 0xc0 5.45581e+06 0.5; - dout[59] 0xc0 8.04014e+06 0.5; - dout[59]~205 0xc0 5.88653e+06 0.5; - dout[59]~206 0xc0 5.45581e+06 0.5; - dout[60] 0xc0 8.04014e+06 0.5; - dout[60]~207 0xc0 5.88653e+06 0.5; - dout[60]~208 0xc0 5.45581e+06 0.5; - dout[61] 0xc0 8.04014e+06 0.5; - dout[61]~209 0xc0 5.88653e+06 0.5; - dout[61]~210 0xc0 5.45581e+06 0.5; - dout[62] 0xc0 8.04014e+06 0.5; - dout[62]~211 0xc0 5.88653e+06 0.5; - dout[62]~212 0xc0 5.45581e+06 0.5; - dout[63] 0xc0 8.04014e+06 0.5; - dout[63]~213 0xc0 5.88653e+06 0.5; - dout[63]~214 0xc0 5.45581e+06 0.5; - dout[64] 0xc0 8.04014e+06 0.5; - dout[64]~215 0xc0 5.88653e+06 0.5; - dout[64]~216 0xc0 5.45581e+06 0.5; - dout[65] 0xc0 8.04014e+06 0.5; - dout[65]~217 0xc0 5.88653e+06 0.5; - dout[65]~218 0xc0 5.45581e+06 0.5; - dout[66] 0xc0 8.04014e+06 0.5; - dout[66]~219 0xc0 5.88653e+06 0.5; - dout[66]~220 0xc0 5.45581e+06 0.5; - dout[67] 0xc0 8.04014e+06 0.5; - dout[67]~221 0xc0 5.88653e+06 0.5; - dout[67]~222 0xc0 5.45581e+06 0.5; - dout[68] 0xc0 8.04014e+06 0.5; - dout[68]~223 0xc0 5.88653e+06 0.5; - dout[68]~224 0xc0 5.45581e+06 0.5; - dout[69] 0xc0 8.04014e+06 0.5; - dout[69]~225 0xc0 5.88653e+06 0.5; - dout[69]~226 0xc0 5.45581e+06 0.5; - dout[70] 0xc0 8.04014e+06 0.5; - dout[70]~227 0xc0 5.88653e+06 0.5; - dout[70]~228 0xc0 5.45581e+06 0.5; - dout[71] 0xc0 8.04014e+06 0.5; - dout[71]~229 0xc0 5.88653e+06 0.5; - dout[71]~230 0xc0 5.45581e+06 0.5; - dout[72] 0xc0 8.04014e+06 0.5; - dout[72]~231 0xc0 5.88653e+06 0.5; - dout[72]~232 0xc0 5.45581e+06 0.5; - dout[73] 0xc0 8.04014e+06 0.5; - dout[73]~233 0xc0 5.88653e+06 0.5; - dout[73]~234 0xc0 5.45581e+06 0.5; - dout[74] 0xc0 8.04014e+06 0.5; - dout[74]~235 0xc0 5.88653e+06 0.5; - dout[74]~236 0xc0 5.45581e+06 0.5; - dout[75] 0xc0 8.04014e+06 0.5; - dout[75]~237 0xc0 5.88653e+06 0.5; - dout[75]~238 0xc0 5.45581e+06 0.5; - dout[76] 0xc0 8.04014e+06 0.5; - dout[76]~239 0xc0 5.88653e+06 0.5; - dout[76]~240 0xc0 5.45581e+06 0.5; - dout[77] 0xc0 8.04014e+06 0.5; - dout[77]~241 0xc0 5.88653e+06 0.5; - dout[77]~242 0xc0 5.45581e+06 0.5; - dout[78] 0xc0 8.04014e+06 0.5; - dout[78]~243 0xc0 5.88653e+06 0.5; - dout[78]~244 0xc0 5.45581e+06 0.5; - dout[79] 0xc0 8.04014e+06 0.5; - dout[79]~245 0xc0 5.88653e+06 0.5; - dout[79]~246 0xc0 5.45581e+06 0.5; - dout[80] 0xc0 8.04014e+06 0.5; - dout[80]~247 0xc0 5.88653e+06 0.5; - dout[80]~248 0xc0 5.45581e+06 0.5; - dout[81] 0xc0 8.04014e+06 0.5; - dout[81]~249 0xc0 5.88653e+06 0.5; - dout[81]~250 0xc0 5.45581e+06 0.5; - dout[82] 0xc0 8.04014e+06 0.5; - dout[82]~251 0xc0 5.88653e+06 0.5; - dout[82]~252 0xc0 5.45581e+06 0.5; - dout[83] 0xc0 8.04014e+06 0.5; - dout[83]~253 0xc0 5.88653e+06 0.5; - dout[83]~254 0xc0 5.45581e+06 0.5; - dout[84] 0xc0 8.04014e+06 0.5; - dout[84]~255 0xc0 5.88653e+06 0.5; - dout[84]~256 0xc0 5.45581e+06 0.5; - dout[85] 0xc0 8.04014e+06 0.5; - dout[85]~257 0xc0 7.39405e+06 0.5; - dout_valid 0xc0 8.04014e+06 0.5; - dout_valid~0 0xc0 6.0301e+06 0.25; - dout~98 0xc0 5.27634e+06 0.625; - dout~259 0xc0 6.0301e+06 0.25; - ena_diff_s[0] 0xc0 8.04014e+06 0.5; - ena_diff_s[1] 0xc0 8.04014e+06 0.5; - ena_diff_s[1]~feeder 0xc0 6.0301e+06 0.25; - ena_diff_s~0 0xc0 1.15549e+07 0.375076; - fifo_rdreq 0xc0 8.04014e+06 0.5; - fifo_rdreq~0 0xc0 6.0301e+06 0.25; - auk_dspip_channel_buffer:fifo_regulator; - scfifo:buffer_FIFO; - scfifo_qm51:auto_generated; - a_dpfifo_5ku:dpfifo; - _~0 0xc0 6.31125e+06 0.125046; - _~1 0xc0 6.76655e+06 0.0624962; - _~2 0xc0 4.52258e+06 0.25; - _~3 0xc0 8.67504e+06 0.437513; - empty_dff 0xc0 8.04014e+06 0.5; - empty_dff~0 0xc0 1.58088e+07 0.263684; - empty_dff~1 0xc0 1.26581e+07 0.26368; - altsyncram_m7h1:FIFOram; - altsyncram:ram_block1a0; - altsyncram_nci3:auto_generated; - decode_msa:address_decoder; - w_anode19w[2]~0 0xc0 2.7638e+06 0.125; - w_anode32w[2]~0 0xc0 1.91581e+06 0.125; - w_anode40w[2]~0 0xc0 1.91581e+06 0.125; - w_anode48w[2]~0 0xc0 1.91581e+06 0.125; - address_reg[0] 0xc0 8.04014e+06 0.5; - address_reg[1] 0xc0 8.04014e+06 0.5; - data_reg[0] 0xc0 8.04014e+06 0.5; - data_reg[0]~feeder 0xc0 8.04014e+06 0.5; - data_reg[1] 0xc0 8.04014e+06 0.5; - data_reg[1]~feeder 0xc0 8.04014e+06 0.5; - data_reg[2] 0xc0 8.04014e+06 0.5; - data_reg[3] 0xc0 8.04014e+06 0.5; - data_reg[3]~feeder 0xc0 8.04014e+06 0.5; - data_reg[4] 0xc0 8.04014e+06 0.5; - data_reg[4]~feeder 0xc0 8.04014e+06 0.5; - data_reg[5] 0xc0 8.04014e+06 0.5; - data_reg[5]~feeder 0xc0 8.04014e+06 0.5; - data_reg[6] 0xc0 8.04014e+06 0.5; - data_reg[7] 0xc0 8.04014e+06 0.5; - data_reg[8] 0xc0 8.04014e+06 0.5; - data_reg[8]~feeder 0xc0 8.04014e+06 0.5; - data_reg[9] 0xc0 8.04014e+06 0.5; - data_reg[9]~feeder 0xc0 8.04014e+06 0.5; - data_reg[10] 0xc0 8.04014e+06 0.5; - data_reg[10]~feeder 0xc0 8.04014e+06 0.5; - data_reg[11] 0xc0 8.04014e+06 0.5; - data_reg[12] 0xc0 8.04014e+06 0.5; - data_reg[12]~feeder 0xc0 8.04014e+06 0.5; - data_reg[13] 0xc0 8.04014e+06 0.5; - data_reg[14] 0xc0 8.04014e+06 0.5; - data_reg[15] 0xc0 8.04014e+06 0.5; - data_reg[16] 0xc0 8.04014e+06 0.5; - data_reg[16]~feeder 0xc0 8.04014e+06 0.5; - data_reg[17] 0xc0 8.04014e+06 0.5; - data_reg[17]~feeder 0xc0 8.04014e+06 0.5; - data_reg[18] 0xc0 8.04014e+06 0.5; - data_reg[18]~feeder 0xc0 8.04014e+06 0.5; - data_reg[19] 0xc0 8.04014e+06 0.5; - data_reg[19]~feeder 0xc0 8.04014e+06 0.5; - data_reg[20] 0xc0 8.04014e+06 0.5; - data_reg[20]~feeder 0xc0 8.04014e+06 0.5; - data_reg[21] 0xc0 8.04014e+06 0.5; - data_reg[21]~feeder 0xc0 8.04014e+06 0.5; - data_reg[22] 0xc0 8.04014e+06 0.5; - data_reg[22]~feeder 0xc0 8.04014e+06 0.5; - data_reg[23] 0xc0 8.04014e+06 0.5; - data_reg[24] 0xc0 8.04014e+06 0.5; - data_reg[25] 0xc0 8.04014e+06 0.5; - data_reg[25]~feeder 0xc0 8.04014e+06 0.5; - data_reg[26] 0xc0 8.04014e+06 0.5; - data_reg[27] 0xc0 8.04014e+06 0.5; - data_reg[28] 0xc0 8.04014e+06 0.5; - data_reg[29] 0xc0 8.04014e+06 0.5; - data_reg[30] 0xc0 8.04014e+06 0.5; - data_reg[31] 0xc0 8.04014e+06 0.5; - data_reg[31]~feeder 0xc0 8.04014e+06 0.5; - data_reg[32] 0xc0 8.04014e+06 0.5; - data_reg[32]~feeder 0xc0 8.04014e+06 0.5; - data_reg[33] 0xc0 8.04014e+06 0.5; - data_reg[34] 0xc0 8.04014e+06 0.5; - data_reg[34]~feeder 0xc0 8.04014e+06 0.5; - data_reg[35] 0xc0 8.04014e+06 0.5; - data_reg[36] 0xc0 8.04014e+06 0.5; - data_reg[36]~feeder 0xc0 8.04014e+06 0.5; - data_reg[37] 0xc0 8.04014e+06 0.5; - data_reg[37]~feeder 0xc0 8.04014e+06 0.5; - data_reg[38] 0xc0 8.04014e+06 0.5; - data_reg[39] 0xc0 8.04014e+06 0.5; - data_reg[39]~feeder 0xc0 8.04014e+06 0.5; - data_reg[40] 0xc0 8.04014e+06 0.5; - data_reg[41] 0xc0 8.04014e+06 0.5; - data_reg[41]~feeder 0xc0 8.04014e+06 0.5; - data_reg[42] 0xc0 8.04014e+06 0.5; - data_reg[42]~feeder 0xc0 8.04014e+06 0.5; - data_reg[43] 0xc0 8.04014e+06 0.5; - data_reg[43]~feeder 0xc0 8.04014e+06 0.5; - data_reg[44] 0xc0 8.04014e+06 0.5; - data_reg[44]~feeder 0xc0 8.04014e+06 0.5; - data_reg[45] 0xc0 8.04014e+06 0.5; - data_reg[46] 0xc0 8.04014e+06 0.5; - data_reg[46]~feeder 0xc0 8.04014e+06 0.5; - data_reg[47] 0xc0 8.04014e+06 0.5; - data_reg[48] 0xc0 8.04014e+06 0.5; - data_reg[48]~feeder 0xc0 8.04014e+06 0.5; - data_reg[49] 0xc0 8.04014e+06 0.5; - data_reg[49]~feeder 0xc0 8.04014e+06 0.5; - data_reg[50] 0xc0 8.04014e+06 0.5; - data_reg[50]~feeder 0xc0 8.04014e+06 0.5; - data_reg[51] 0xc0 8.04014e+06 0.5; - data_reg[51]~feeder 0xc0 8.04014e+06 0.5; - data_reg[52] 0xc0 8.04014e+06 0.5; - data_reg[52]~feeder 0xc0 8.04014e+06 0.5; - data_reg[53] 0xc0 8.04014e+06 0.5; - data_reg[53]~feeder 0xc0 8.04014e+06 0.5; - data_reg[54] 0xc0 8.04014e+06 0.5; - data_reg[54]~feeder 0xc0 8.04014e+06 0.5; - data_reg[55] 0xc0 8.04014e+06 0.5; - data_reg[55]~feeder 0xc0 8.04014e+06 0.5; - data_reg[56] 0xc0 8.04014e+06 0.5; - data_reg[57] 0xc0 8.04014e+06 0.5; - data_reg[57]~feeder 0xc0 8.04014e+06 0.5; - data_reg[58] 0xc0 8.04014e+06 0.5; - data_reg[58]~feeder 0xc0 8.04014e+06 0.5; - data_reg[59] 0xc0 8.04014e+06 0.5; - data_reg[59]~feeder 0xc0 8.04014e+06 0.5; - data_reg[60] 0xc0 8.04014e+06 0.5; - data_reg[60]~feeder 0xc0 8.04014e+06 0.5; - data_reg[61] 0xc0 8.04014e+06 0.5; - data_reg[61]~feeder 0xc0 8.04014e+06 0.5; - data_reg[62] 0xc0 8.04014e+06 0.5; - data_reg[63] 0xc0 8.04014e+06 0.5; - data_reg[63]~feeder 0xc0 8.04014e+06 0.5; - data_reg[64] 0xc0 8.04014e+06 0.5; - data_reg[65] 0xc0 8.04014e+06 0.5; - data_reg[66] 0xc0 8.04014e+06 0.5; - data_reg[66]~feeder 0xc0 8.04014e+06 0.5; - data_reg[67] 0xc0 8.04014e+06 0.5; - data_reg[68] 0xc0 8.04014e+06 0.5; - data_reg[68]~feeder 0xc0 8.04014e+06 0.5; - data_reg[69] 0xc0 8.04014e+06 0.5; - data_reg[69]~feeder 0xc0 8.04014e+06 0.5; - data_reg[70] 0xc0 8.04014e+06 0.5; - data_reg[70]~feeder 0xc0 8.04014e+06 0.5; - data_reg[71] 0xc0 8.04014e+06 0.5; - data_reg[71]~feeder 0xc0 8.04014e+06 0.5; - data_reg[72] 0xc0 8.04014e+06 0.5; - data_reg[73] 0xc0 8.04014e+06 0.5; - data_reg[73]~feeder 0xc0 8.04014e+06 0.5; - data_reg[74] 0xc0 8.04014e+06 0.5; - data_reg[74]~feeder 0xc0 8.04014e+06 0.5; - data_reg[75] 0xc0 8.04014e+06 0.5; - data_reg[76] 0xc0 8.04014e+06 0.5; - data_reg[76]~feeder 0xc0 8.04014e+06 0.5; - data_reg[77] 0xc0 8.04014e+06 0.5; - data_reg[78] 0xc0 8.04014e+06 0.5; - data_reg[78]~feeder 0xc0 8.04014e+06 0.5; - data_reg[79] 0xc0 8.04014e+06 0.5; - data_reg[79]~feeder 0xc0 8.04014e+06 0.5; - data_reg[80] 0xc0 8.04014e+06 0.5; - data_reg[81] 0xc0 8.04014e+06 0.5; - data_reg[81]~feeder 0xc0 8.04014e+06 0.5; - data_reg[82] 0xc0 8.04014e+06 0.5; - data_reg[82]~feeder 0xc0 8.04014e+06 0.5; - data_reg[83] 0xc0 8.04014e+06 0.5; - data_reg[83]~feeder 0xc0 8.04014e+06 0.5; - data_reg[84] 0xc0 8.04014e+06 0.5; - data_reg[84]~feeder 0xc0 8.04014e+06 0.5; - data_reg[85] 0xc0 8.04014e+06 0.5; - outdata_reg[0] 0xc0 8.04014e+06 0.5; - outdata_reg[1] 0xc0 8.04014e+06 0.5; - outdata_reg[1]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[2] 0xc0 8.04014e+06 0.5; - outdata_reg[2]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[3] 0xc0 8.04014e+06 0.5; - outdata_reg[3]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[4] 0xc0 8.04014e+06 0.5; - outdata_reg[5] 0xc0 8.04014e+06 0.5; - outdata_reg[6] 0xc0 8.04014e+06 0.5; - outdata_reg[6]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[7] 0xc0 8.04014e+06 0.5; - outdata_reg[8] 0xc0 8.04014e+06 0.5; - outdata_reg[8]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[9] 0xc0 8.04014e+06 0.5; - outdata_reg[9]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[10] 0xc0 8.04014e+06 0.5; - outdata_reg[11] 0xc0 8.04014e+06 0.5; - outdata_reg[12] 0xc0 8.04014e+06 0.5; - outdata_reg[13] 0xc0 8.04014e+06 0.5; - outdata_reg[13]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[14] 0xc0 8.04014e+06 0.5; - outdata_reg[14]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[15] 0xc0 8.04014e+06 0.5; - outdata_reg[15]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[16] 0xc0 8.04014e+06 0.5; - outdata_reg[16]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[17] 0xc0 8.04014e+06 0.5; - outdata_reg[17]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[18] 0xc0 8.04014e+06 0.5; - outdata_reg[18]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[19] 0xc0 8.04014e+06 0.5; - outdata_reg[19]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[20] 0xc0 8.04014e+06 0.5; - outdata_reg[20]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[21] 0xc0 8.04014e+06 0.5; - outdata_reg[21]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[22] 0xc0 8.04014e+06 0.5; - outdata_reg[22]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[23] 0xc0 8.04014e+06 0.5; - outdata_reg[23]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[24] 0xc0 8.04014e+06 0.5; - outdata_reg[25] 0xc0 8.04014e+06 0.5; - outdata_reg[25]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[26] 0xc0 8.04014e+06 0.5; - outdata_reg[26]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[27] 0xc0 8.04014e+06 0.5; - outdata_reg[27]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[28] 0xc0 8.04014e+06 0.5; - outdata_reg[28]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[29] 0xc0 8.04014e+06 0.5; - outdata_reg[30] 0xc0 8.04014e+06 0.5; - outdata_reg[30]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[31] 0xc0 8.04014e+06 0.5; - outdata_reg[32] 0xc0 8.04014e+06 0.5; - outdata_reg[32]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[33] 0xc0 8.04014e+06 0.5; - outdata_reg[33]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[34] 0xc0 8.04014e+06 0.5; - outdata_reg[35] 0xc0 8.04014e+06 0.5; - outdata_reg[36] 0xc0 8.04014e+06 0.5; - outdata_reg[36]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[37] 0xc0 8.04014e+06 0.5; - outdata_reg[38] 0xc0 8.04014e+06 0.5; - outdata_reg[39] 0xc0 8.04014e+06 0.5; - outdata_reg[39]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[40] 0xc0 8.04014e+06 0.5; - outdata_reg[40]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[41] 0xc0 8.04014e+06 0.5; - outdata_reg[41]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[42] 0xc0 8.04014e+06 0.5; - outdata_reg[42]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[43] 0xc0 8.04014e+06 0.5; - outdata_reg[43]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[44] 0xc0 8.04014e+06 0.5; - outdata_reg[44]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[45] 0xc0 8.04014e+06 0.5; - outdata_reg[45]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[46] 0xc0 8.04014e+06 0.5; - outdata_reg[47] 0xc0 8.04014e+06 0.5; - outdata_reg[47]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[48] 0xc0 8.04014e+06 0.5; - outdata_reg[48]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[49] 0xc0 8.04014e+06 0.5; - outdata_reg[49]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[50] 0xc0 8.04014e+06 0.5; - outdata_reg[51] 0xc0 8.04014e+06 0.5; - outdata_reg[51]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[52] 0xc0 8.04014e+06 0.5; - outdata_reg[52]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[53] 0xc0 8.04014e+06 0.5; - outdata_reg[53]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[54] 0xc0 8.04014e+06 0.5; - outdata_reg[54]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[55] 0xc0 8.04014e+06 0.5; - outdata_reg[55]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[56] 0xc0 8.04014e+06 0.5; - outdata_reg[57] 0xc0 8.04014e+06 0.5; - outdata_reg[57]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[58] 0xc0 8.04014e+06 0.5; - outdata_reg[59] 0xc0 8.04014e+06 0.5; - outdata_reg[59]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[60] 0xc0 8.04014e+06 0.5; - outdata_reg[60]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[61] 0xc0 8.04014e+06 0.5; - outdata_reg[61]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[62] 0xc0 8.04014e+06 0.5; - outdata_reg[62]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[63] 0xc0 8.04014e+06 0.5; - outdata_reg[64] 0xc0 8.04014e+06 0.5; - outdata_reg[65] 0xc0 8.04014e+06 0.5; - outdata_reg[65]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[66] 0xc0 8.04014e+06 0.5; - outdata_reg[66]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[67] 0xc0 8.04014e+06 0.5; - outdata_reg[67]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[68] 0xc0 8.04014e+06 0.5; - outdata_reg[69] 0xc0 8.04014e+06 0.5; - outdata_reg[69]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[70] 0xc0 8.04014e+06 0.5; - outdata_reg[71] 0xc0 8.04014e+06 0.5; - outdata_reg[71]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[72] 0xc0 8.04014e+06 0.5; - outdata_reg[72]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[73] 0xc0 8.04014e+06 0.5; - outdata_reg[74] 0xc0 8.04014e+06 0.5; - outdata_reg[74]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[75] 0xc0 8.04014e+06 0.5; - outdata_reg[76] 0xc0 8.04014e+06 0.5; - outdata_reg[76]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[77] 0xc0 8.04014e+06 0.5; - outdata_reg[77]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[78] 0xc0 8.04014e+06 0.5; - outdata_reg[78]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[79] 0xc0 8.04014e+06 0.5; - outdata_reg[80] 0xc0 8.04014e+06 0.5; - outdata_reg[80]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[81] 0xc0 8.04014e+06 0.5; - outdata_reg[81]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[82] 0xc0 8.04014e+06 0.5; - outdata_reg[82]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[83] 0xc0 8.04014e+06 0.5; - outdata_reg[83]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[84] 0xc0 8.04014e+06 0.5; - outdata_reg[84]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[85] 0xc0 8.04014e+06 0.5; - outdata_reg[85]~feeder 0xc0 8.04014e+06 0.5; - mux_sob:output_mux; - result_node[0]~10 0xc0 4.9819e+06 0.5; - result_node[0]~11 0xc0 3.14921e+06 0.5; - result_node[1]~8 0xc0 4.9819e+06 0.5; - result_node[1]~9 0xc0 3.14921e+06 0.5; - result_node[2]~6 0xc0 5.13943e+06 0.5; - result_node[2]~7 0xc0 4.66572e+06 0.5; - result_node[3]~4 0xc0 5.23954e+06 0.5; - result_node[3]~5 0xc0 4.7247e+06 0.5; - result_node[4]~2 0xc0 5.13943e+06 0.5; - result_node[4]~3 0xc0 5.23739e+06 0.5; - result_node[5]~0 0xc0 4.73261e+06 0.5; - result_node[5]~1 0xc0 3.15135e+06 0.5; - result_node[6]~12 0xc0 4.73261e+06 0.5; - result_node[6]~13 0xc0 4.98313e+06 0.5; - result_node[7]~14 0xc0 5.29523e+06 0.5; - result_node[7]~15 0xc0 5.13463e+06 0.5; - result_node[8]~20 0xc0 5.13943e+06 0.5; - result_node[8]~21 0xc0 5.60015e+06 0.5; - result_node[9]~18 0xc0 5.31314e+06 0.5; - result_node[9]~19 0xc0 5.34596e+06 0.5; - result_node[10]~16 0xc0 5.29523e+06 0.5; - result_node[10]~17 0xc0 5.7394e+06 0.5; - result_node[11]~22 0xc0 5.13943e+06 0.5; - result_node[11]~23 0xc0 5.60015e+06 0.5; - result_node[12]~26 0xc0 4.73261e+06 0.5; - result_node[12]~27 0xc0 3.18067e+06 0.5; - result_node[13]~24 0xc0 5.13943e+06 0.5; - result_node[13]~25 0xc0 4.93766e+06 0.5; - result_node[14]~28 0xc0 5.31314e+06 0.5; - result_node[14]~29 0xc0 5.10992e+06 0.5; - result_node[15]~30 0xc0 5.29523e+06 0.5; - result_node[15]~31 0xc0 5.39709e+06 0.5; - result_node[16]~74 0xc0 4.75224e+06 0.5; - result_node[16]~75 0xc0 5.40829e+06 0.5; - result_node[17]~72 0xc0 5.31314e+06 0.5; - result_node[17]~73 0xc0 5.25594e+06 0.5; - result_node[18]~70 0xc0 5.29523e+06 0.5; - result_node[18]~71 0xc0 5.45085e+06 0.5; - result_node[19]~68 0xc0 4.9819e+06 0.5; - result_node[19]~69 0xc0 3.48964e+06 0.5; - result_node[20]~66 0xc0 5.13943e+06 0.5; - result_node[20]~67 0xc0 5.60015e+06 0.5; - result_node[21]~64 0xc0 4.75224e+06 0.5; - result_node[21]~65 0xc0 5.40829e+06 0.5; - result_node[22]~62 0xc0 5.29523e+06 0.5; - result_node[22]~63 0xc0 5.13895e+06 0.5; - result_node[23]~60 0xc0 5.31314e+06 0.5; - result_node[23]~61 0xc0 4.69946e+06 0.5; - result_node[24]~58 0xc0 4.9819e+06 0.5; - result_node[24]~59 0xc0 3.19663e+06 0.5; - result_node[25]~56 0xc0 4.73261e+06 0.5; - result_node[25]~57 0xc0 5.07453e+06 0.5; - result_node[26]~54 0xc0 5.29523e+06 0.5; - result_node[26]~55 0xc0 5.39196e+06 0.5; - result_node[27]~52 0xc0 4.9819e+06 0.5; - result_node[27]~53 0xc0 3.4995e+06 0.5; - result_node[28]~50 0xc0 4.9819e+06 0.5; - result_node[28]~51 0xc0 3.4995e+06 0.5; - result_node[29]~48 0xc0 5.23954e+06 0.5; - result_node[29]~49 0xc0 5.29996e+06 0.5; - result_node[30]~46 0xc0 4.9819e+06 0.5; - result_node[30]~47 0xc0 3.48964e+06 0.5; - result_node[31]~44 0xc0 4.9819e+06 0.5; - result_node[31]~45 0xc0 4.80769e+06 0.5; - result_node[32]~42 0xc0 5.31314e+06 0.5; - result_node[32]~43 0xc0 5.68204e+06 0.5; - result_node[33]~40 0xc0 4.73261e+06 0.5; - result_node[33]~41 0xc0 3.45312e+06 0.5; - result_node[34]~38 0xc0 5.31314e+06 0.5; - result_node[34]~39 0xc0 5.10992e+06 0.5; - result_node[35]~36 0xc0 5.13943e+06 0.5; - result_node[35]~37 0xc0 5.65489e+06 0.5; - result_node[36]~34 0xc0 5.31314e+06 0.5; - result_node[36]~35 0xc0 5.39399e+06 0.5; - result_node[37]~32 0xc0 5.29523e+06 0.5; - result_node[37]~33 0xc0 5.19351e+06 0.5; - result_node[38]~76 0xc0 4.9819e+06 0.5; - result_node[38]~77 0xc0 4.80769e+06 0.5; - result_node[39]~78 0xc0 4.94902e+06 0.5; - result_node[39]~79 0xc0 4.88234e+06 0.5; - result_node[40]~84 0xc0 4.75224e+06 0.5; - result_node[40]~85 0xc0 4.27912e+06 0.5; - result_node[41]~82 0xc0 5.31314e+06 0.5; - result_node[41]~83 0xc0 5.39399e+06 0.5; - result_node[42]~80 0xc0 4.9819e+06 0.5; - result_node[42]~81 0xc0 4.80769e+06 0.5; - result_node[43]~86 0xc0 5.31314e+06 0.5; - result_node[43]~87 0xc0 5.39399e+06 0.5; - result_node[44]~90 0xc0 4.75224e+06 0.5; - result_node[44]~91 0xc0 3.97088e+06 0.5; - result_node[45]~88 0xc0 4.75224e+06 0.5; - result_node[45]~89 0xc0 3.97088e+06 0.5; - result_node[46]~92 0xc0 5.29523e+06 0.5; - result_node[46]~93 0xc0 5.13463e+06 0.5; - result_node[47]~94 0xc0 5.35412e+06 0.5; - result_node[47]~95 0xc0 5.74492e+06 0.5; - result_node[48]~138 0xc0 5.31314e+06 0.5; - result_node[48]~139 0xc0 5.39399e+06 0.5; - result_node[49]~136 0xc0 4.60796e+06 0.5; - result_node[49]~137 0xc0 3.33642e+06 0.5; - result_node[50]~134 0xc0 4.94902e+06 0.5; - result_node[50]~135 0xc0 4.61575e+06 0.5; - result_node[51]~132 0xc0 5.13943e+06 0.5; - result_node[51]~133 0xc0 5.60015e+06 0.5; - result_node[52]~130 0xc0 5.31314e+06 0.5; - result_node[52]~131 0xc0 5.10992e+06 0.5; - result_node[53]~128 0xc0 4.94902e+06 0.5; - result_node[53]~129 0xc0 4.78714e+06 0.5; - result_node[54]~126 0xc0 4.73261e+06 0.5; - result_node[54]~127 0xc0 4.5346e+06 0.5; - result_node[55]~124 0xc0 5.35412e+06 0.5; - result_node[55]~125 0xc0 5.75076e+06 0.5; - result_node[56]~122 0xc0 4.99024e+06 0.5; - result_node[56]~123 0xc0 4.5219e+06 0.5; - result_node[57]~120 0xc0 4.9819e+06 0.5; - result_node[57]~121 0xc0 3.83993e+06 0.5; - result_node[58]~118 0xc0 5.13943e+06 0.5; - result_node[58]~119 0xc0 5.60015e+06 0.5; - result_node[59]~116 0xc0 5.13943e+06 0.5; - result_node[59]~117 0xc0 5.65489e+06 0.5; - result_node[60]~114 0xc0 5.13943e+06 0.5; - result_node[60]~115 0xc0 5.60015e+06 0.5; - result_node[61]~112 0xc0 4.9819e+06 0.5; - result_node[61]~113 0xc0 3.19663e+06 0.5; - result_node[62]~110 0xc0 4.94902e+06 0.5; - result_node[62]~111 0xc0 5.14216e+06 0.5; - result_node[63]~108 0xc0 4.99024e+06 0.5; - result_node[63]~109 0xc0 4.80782e+06 0.5; - result_node[64]~106 0xc0 4.85726e+06 0.5; - result_node[64]~107 0xc0 3.29863e+06 0.5; - result_node[65]~104 0xc0 5.35412e+06 0.5; - result_node[65]~105 0xc0 5.15735e+06 0.5; - result_node[66]~102 0xc0 5.31314e+06 0.5; - result_node[66]~103 0xc0 5.10992e+06 0.5; - result_node[67]~100 0xc0 5.23954e+06 0.5; - result_node[67]~101 0xc0 5.06391e+06 0.5; - result_node[68]~98 0xc0 5.35412e+06 0.5; - result_node[68]~99 0xc0 5.74492e+06 0.5; - result_node[69]~96 0xc0 4.73261e+06 0.5; - result_node[69]~97 0xc0 4.5346e+06 0.5; - result_node[70]~140 0xc0 4.60796e+06 0.5; - result_node[70]~141 0xc0 4.96755e+06 0.5; - result_node[71]~142 0xc0 4.60796e+06 0.5; - result_node[71]~143 0xc0 3.33642e+06 0.5; - result_node[72]~148 0xc0 4.75224e+06 0.5; - result_node[72]~149 0xc0 4.96926e+06 0.5; - result_node[73]~146 0xc0 5.31314e+06 0.5; - result_node[73]~147 0xc0 4.69946e+06 0.5; - result_node[74]~144 0xc0 4.9819e+06 0.5; - result_node[74]~145 0xc0 3.19663e+06 0.5; - result_node[75]~150 0xc0 5.31314e+06 0.5; - result_node[75]~151 0xc0 5.39399e+06 0.5; - result_node[76]~154 0xc0 5.23954e+06 0.5; - result_node[76]~155 0xc0 5.67053e+06 0.5; - result_node[77]~152 0xc0 4.94902e+06 0.5; - result_node[77]~153 0xc0 5.62514e+06 0.5; - result_node[78]~156 0xc0 4.94902e+06 0.5; - result_node[78]~157 0xc0 4.61575e+06 0.5; - result_node[79]~158 0xc0 4.9819e+06 0.5; - result_node[79]~159 0xc0 4.90289e+06 0.5; - result_node[80]~170 0xc0 4.75224e+06 0.5; - result_node[80]~171 0xc0 4.91344e+06 0.5; - result_node[81]~168 0xc0 4.99024e+06 0.5; - result_node[81]~169 0xc0 4.80782e+06 0.5; - result_node[82]~166 0xc0 4.73261e+06 0.5; - result_node[82]~167 0xc0 4.5346e+06 0.5; - result_node[83]~164 0xc0 5.13943e+06 0.5; - result_node[83]~165 0xc0 5.60015e+06 0.5; - result_node[84]~162 0xc0 4.60796e+06 0.5; - result_node[84]~163 0xc0 5.0979e+06 0.5; - result_node[85]~160 0xc0 5.31314e+06 0.5; - result_node[85]~161 0xc0 5.25594e+06 0.5; - ram_block[0] 0xc0 8.04014e+06 0.5; - ram_block[0]~feeder 0xc0 8.04014e+06 0.5; - ram_block[1] 0xc0 8.04014e+06 0.5; - ram_block[1]~feeder 0xc0 8.04014e+06 0.5; - ram_block[2] 0xc0 8.04014e+06 0.5; - ram_block[2]~feeder 0xc0 8.04014e+06 0.5; - ram_block[3] 0xc0 8.04014e+06 0.5; - ram_block[4] 0xc0 8.04014e+06 0.5; - ram_block[4]~feeder 0xc0 8.04014e+06 0.5; - ram_block[5] 0xc0 8.04014e+06 0.5; - ram_block[5]~feeder 0xc0 8.04014e+06 0.5; - ram_block[6] 0xc0 8.04014e+06 0.5; - ram_block[6]~feeder 0xc0 8.04014e+06 0.5; - ram_block[7] 0xc0 8.04014e+06 0.5; - ram_block[8] 0xc0 8.04014e+06 0.5; - ram_block[8]~feeder 0xc0 8.04014e+06 0.5; - ram_block[9] 0xc0 8.04014e+06 0.5; - ram_block[9]~feeder 0xc0 8.04014e+06 0.5; - ram_block[10] 0xc0 8.04014e+06 0.5; - ram_block[11] 0xc0 8.04014e+06 0.5; - ram_block[11]~feeder 0xc0 8.04014e+06 0.5; - ram_block[12] 0xc0 8.04014e+06 0.5; - ram_block[12]~feeder 0xc0 8.04014e+06 0.5; - ram_block[13] 0xc0 8.04014e+06 0.5; - ram_block[13]~feeder 0xc0 8.04014e+06 0.5; - ram_block[14] 0xc0 8.04014e+06 0.5; - ram_block[14]~feeder 0xc0 8.04014e+06 0.5; - ram_block[15] 0xc0 8.04014e+06 0.5; - ram_block[16] 0xc0 8.04014e+06 0.5; - ram_block[17] 0xc0 8.04014e+06 0.5; - ram_block[17]~feeder 0xc0 8.04014e+06 0.5; - ram_block[18] 0xc0 8.04014e+06 0.5; - ram_block[19] 0xc0 8.04014e+06 0.5; - ram_block[19]~feeder 0xc0 8.04014e+06 0.5; - ram_block[20] 0xc0 8.04014e+06 0.5; - ram_block[20]~feeder 0xc0 8.04014e+06 0.5; - ram_block[21] 0xc0 8.04014e+06 0.5; - ram_block[22] 0xc0 8.04014e+06 0.5; - ram_block[23] 0xc0 8.04014e+06 0.5; - ram_block[23]~feeder 0xc0 8.04014e+06 0.5; - ram_block[24] 0xc0 8.04014e+06 0.5; - ram_block[24]~feeder 0xc0 8.04014e+06 0.5; - ram_block[25] 0xc0 8.04014e+06 0.5; - ram_block[26] 0xc0 8.04014e+06 0.5; - ram_block[27] 0xc0 8.04014e+06 0.5; - ram_block[27]~feeder 0xc0 8.04014e+06 0.5; - ram_block[28] 0xc0 8.04014e+06 0.5; - ram_block[28]~feeder 0xc0 8.04014e+06 0.5; - ram_block[29] 0xc0 8.04014e+06 0.5; - ram_block[29]~feeder 0xc0 8.04014e+06 0.5; - ram_block[30] 0xc0 8.04014e+06 0.5; - ram_block[30]~feeder 0xc0 8.04014e+06 0.5; - ram_block[31] 0xc0 8.04014e+06 0.5; - ram_block[31]~feeder 0xc0 8.04014e+06 0.5; - ram_block[32] 0xc0 8.04014e+06 0.5; - ram_block[32]~feeder 0xc0 8.04014e+06 0.5; - ram_block[33] 0xc0 8.04014e+06 0.5; - ram_block[33]~feeder 0xc0 8.04014e+06 0.5; - ram_block[34] 0xc0 8.04014e+06 0.5; - ram_block[34]~feeder 0xc0 8.04014e+06 0.5; - ram_block[35] 0xc0 8.04014e+06 0.5; - ram_block[35]~feeder 0xc0 8.04014e+06 0.5; - ram_block[36] 0xc0 8.04014e+06 0.5; - ram_block[36]~feeder 0xc0 8.04014e+06 0.5; - ram_block[37] 0xc0 8.04014e+06 0.5; - ram_block[38] 0xc0 8.04014e+06 0.5; - ram_block[38]~feeder 0xc0 8.04014e+06 0.5; - ram_block[39] 0xc0 8.04014e+06 0.5; - ram_block[39]~feeder 0xc0 8.04014e+06 0.5; - ram_block[40] 0xc0 8.04014e+06 0.5; - ram_block[41] 0xc0 8.04014e+06 0.5; - ram_block[41]~feeder 0xc0 8.04014e+06 0.5; - ram_block[42] 0xc0 8.04014e+06 0.5; - ram_block[42]~feeder 0xc0 8.04014e+06 0.5; - ram_block[43] 0xc0 8.04014e+06 0.5; - ram_block[43]~feeder 0xc0 8.04014e+06 0.5; - ram_block[44] 0xc0 8.04014e+06 0.5; - ram_block[45] 0xc0 8.04014e+06 0.5; - ram_block[46] 0xc0 8.04014e+06 0.5; - ram_block[47] 0xc0 8.04014e+06 0.5; - ram_block[48] 0xc0 8.04014e+06 0.5; - ram_block[48]~feeder 0xc0 8.04014e+06 0.5; - ram_block[49] 0xc0 8.04014e+06 0.5; - ram_block[50] 0xc0 8.04014e+06 0.5; - ram_block[50]~feeder 0xc0 8.04014e+06 0.5; - ram_block[51] 0xc0 8.04014e+06 0.5; - ram_block[52] 0xc0 8.04014e+06 0.5; - ram_block[52]~feeder 0xc0 8.04014e+06 0.5; - ram_block[53] 0xc0 8.04014e+06 0.5; - ram_block[53]~feeder 0xc0 8.04014e+06 0.5; - ram_block[54] 0xc0 8.04014e+06 0.5; - ram_block[55] 0xc0 8.04014e+06 0.5; - ram_block[56] 0xc0 8.04014e+06 0.5; - ram_block[57] 0xc0 8.04014e+06 0.5; - ram_block[57]~feeder 0xc0 8.04014e+06 0.5; - ram_block[58] 0xc0 8.04014e+06 0.5; - ram_block[58]~feeder 0xc0 8.04014e+06 0.5; - ram_block[59] 0xc0 8.04014e+06 0.5; - ram_block[59]~feeder 0xc0 8.04014e+06 0.5; - ram_block[60] 0xc0 8.04014e+06 0.5; - ram_block[61] 0xc0 8.04014e+06 0.5; - ram_block[61]~feeder 0xc0 8.04014e+06 0.5; - ram_block[62] 0xc0 8.04014e+06 0.5; - ram_block[62]~feeder 0xc0 8.04014e+06 0.5; - ram_block[63] 0xc0 8.04014e+06 0.5; - ram_block[64] 0xc0 8.04014e+06 0.5; - ram_block[65] 0xc0 8.04014e+06 0.5; - ram_block[66] 0xc0 8.04014e+06 0.5; - ram_block[66]~feeder 0xc0 8.04014e+06 0.5; - ram_block[67] 0xc0 8.04014e+06 0.5; - ram_block[67]~feeder 0xc0 8.04014e+06 0.5; - ram_block[68] 0xc0 8.04014e+06 0.5; - ram_block[69] 0xc0 8.04014e+06 0.5; - ram_block[69]~feeder 0xc0 8.04014e+06 0.5; - ram_block[70] 0xc0 8.04014e+06 0.5; - ram_block[71] 0xc0 8.04014e+06 0.5; - ram_block[72] 0xc0 8.04014e+06 0.5; - ram_block[73] 0xc0 8.04014e+06 0.5; - ram_block[74] 0xc0 8.04014e+06 0.5; - ram_block[74]~feeder 0xc0 8.04014e+06 0.5; - ram_block[75] 0xc0 8.04014e+06 0.5; - ram_block[75]~feeder 0xc0 8.04014e+06 0.5; - ram_block[76] 0xc0 8.04014e+06 0.5; - ram_block[77] 0xc0 8.04014e+06 0.5; - ram_block[77]~feeder 0xc0 8.04014e+06 0.5; - ram_block[78] 0xc0 8.04014e+06 0.5; - ram_block[78]~feeder 0xc0 8.04014e+06 0.5; - ram_block[79] 0xc0 8.04014e+06 0.5; - ram_block[79]~feeder 0xc0 8.04014e+06 0.5; - ram_block[80] 0xc0 8.04014e+06 0.5; - ram_block[81] 0xc0 8.04014e+06 0.5; - ram_block[82] 0xc0 8.04014e+06 0.5; - ram_block[82]~feeder 0xc0 8.04014e+06 0.5; - ram_block[83] 0xc0 8.04014e+06 0.5; - ram_block[83]~feeder 0xc0 8.04014e+06 0.5; - ram_block[84] 0xc0 8.04014e+06 0.5; - ram_block[85] 0xc0 8.04014e+06 0.5; - ram_block[85]~feeder 0xc0 8.04014e+06 0.5; - ram_block[86] 0xc0 8.04014e+06 0.5; - ram_block[86]~feeder 0xc0 8.04014e+06 0.5; - ram_block[87] 0xc0 8.04014e+06 0.5; - ram_block[87]~feeder 0xc0 8.04014e+06 0.5; - ram_block[88] 0xc0 8.04014e+06 0.5; - ram_block[88]~feeder 0xc0 8.04014e+06 0.5; - ram_block[89] 0xc0 8.04014e+06 0.5; - ram_block[89]~feeder 0xc0 8.04014e+06 0.5; - ram_block[90] 0xc0 8.04014e+06 0.5; - ram_block[90]~feeder 0xc0 8.04014e+06 0.5; - ram_block[91] 0xc0 8.04014e+06 0.5; - ram_block[91]~feeder 0xc0 8.04014e+06 0.5; - ram_block[92] 0xc0 8.04014e+06 0.5; - ram_block[92]~feeder 0xc0 8.04014e+06 0.5; - ram_block[93] 0xc0 8.04014e+06 0.5; - ram_block[94] 0xc0 8.04014e+06 0.5; - ram_block[94]~feeder 0xc0 8.04014e+06 0.5; - ram_block[95] 0xc0 8.04014e+06 0.5; - ram_block[95]~feeder 0xc0 8.04014e+06 0.5; - ram_block[96] 0xc0 8.04014e+06 0.5; - ram_block[96]~feeder 0xc0 8.04014e+06 0.5; - ram_block[97] 0xc0 8.04014e+06 0.5; - ram_block[97]~feeder 0xc0 8.04014e+06 0.5; - ram_block[98] 0xc0 8.04014e+06 0.5; - ram_block[98]~feeder 0xc0 8.04014e+06 0.5; - ram_block[99] 0xc0 8.04014e+06 0.5; - ram_block[99]~feeder 0xc0 8.04014e+06 0.5; - ram_block[100] 0xc0 8.04014e+06 0.5; - ram_block[100]~feeder 0xc0 8.04014e+06 0.5; - ram_block[101] 0xc0 8.04014e+06 0.5; - ram_block[101]~feeder 0xc0 8.04014e+06 0.5; - ram_block[102] 0xc0 8.04014e+06 0.5; - ram_block[102]~feeder 0xc0 8.04014e+06 0.5; - ram_block[103] 0xc0 8.04014e+06 0.5; - ram_block[103]~feeder 0xc0 8.04014e+06 0.5; - ram_block[104] 0xc0 8.04014e+06 0.5; - ram_block[104]~feeder 0xc0 8.04014e+06 0.5; - ram_block[105] 0xc0 8.04014e+06 0.5; - ram_block[106] 0xc0 8.04014e+06 0.5; - ram_block[106]~feeder 0xc0 8.04014e+06 0.5; - ram_block[107] 0xc0 8.04014e+06 0.5; - ram_block[107]~feeder 0xc0 8.04014e+06 0.5; - ram_block[108] 0xc0 8.04014e+06 0.5; - ram_block[108]~feeder 0xc0 8.04014e+06 0.5; - ram_block[109] 0xc0 8.04014e+06 0.5; - ram_block[109]~feeder 0xc0 8.04014e+06 0.5; - ram_block[110] 0xc0 8.04014e+06 0.5; - ram_block[110]~feeder 0xc0 8.04014e+06 0.5; - ram_block[111] 0xc0 8.04014e+06 0.5; - ram_block[111]~feeder 0xc0 8.04014e+06 0.5; - ram_block[112] 0xc0 8.04014e+06 0.5; - ram_block[112]~feeder 0xc0 8.04014e+06 0.5; - ram_block[113] 0xc0 8.04014e+06 0.5; - ram_block[114] 0xc0 8.04014e+06 0.5; - ram_block[114]~feeder 0xc0 8.04014e+06 0.5; - ram_block[115] 0xc0 8.04014e+06 0.5; - ram_block[115]~feeder 0xc0 8.04014e+06 0.5; - ram_block[116] 0xc0 8.04014e+06 0.5; - ram_block[116]~feeder 0xc0 8.04014e+06 0.5; - ram_block[117] 0xc0 8.04014e+06 0.5; - ram_block[117]~feeder 0xc0 8.04014e+06 0.5; - ram_block[118] 0xc0 8.04014e+06 0.5; - ram_block[118]~feeder 0xc0 8.04014e+06 0.5; - ram_block[119] 0xc0 8.04014e+06 0.5; - ram_block[120] 0xc0 8.04014e+06 0.5; - ram_block[120]~feeder 0xc0 8.04014e+06 0.5; - ram_block[121] 0xc0 8.04014e+06 0.5; - ram_block[121]~feeder 0xc0 8.04014e+06 0.5; - ram_block[122] 0xc0 8.04014e+06 0.5; - ram_block[122]~feeder 0xc0 8.04014e+06 0.5; - ram_block[123] 0xc0 8.04014e+06 0.5; - ram_block[124] 0xc0 8.04014e+06 0.5; - ram_block[125] 0xc0 8.04014e+06 0.5; - ram_block[125]~feeder 0xc0 8.04014e+06 0.5; - ram_block[126] 0xc0 8.04014e+06 0.5; - ram_block[126]~feeder 0xc0 8.04014e+06 0.5; - ram_block[127] 0xc0 8.04014e+06 0.5; - ram_block[127]~feeder 0xc0 8.04014e+06 0.5; - ram_block[128] 0xc0 8.04014e+06 0.5; - ram_block[128]~feeder 0xc0 8.04014e+06 0.5; - ram_block[129] 0xc0 8.04014e+06 0.5; - ram_block[129]~feeder 0xc0 8.04014e+06 0.5; - ram_block[130] 0xc0 8.04014e+06 0.5; - ram_block[130]~feeder 0xc0 8.04014e+06 0.5; - ram_block[131] 0xc0 8.04014e+06 0.5; - ram_block[131]~feeder 0xc0 8.04014e+06 0.5; - ram_block[132] 0xc0 8.04014e+06 0.5; - ram_block[132]~feeder 0xc0 8.04014e+06 0.5; - ram_block[133] 0xc0 8.04014e+06 0.5; - ram_block[133]~feeder 0xc0 8.04014e+06 0.5; - ram_block[134] 0xc0 8.04014e+06 0.5; - ram_block[134]~feeder 0xc0 8.04014e+06 0.5; - ram_block[135] 0xc0 8.04014e+06 0.5; - ram_block[135]~feeder 0xc0 8.04014e+06 0.5; - ram_block[136] 0xc0 8.04014e+06 0.5; - ram_block[136]~feeder 0xc0 8.04014e+06 0.5; - ram_block[137] 0xc0 8.04014e+06 0.5; - ram_block[138] 0xc0 8.04014e+06 0.5; - ram_block[138]~feeder 0xc0 8.04014e+06 0.5; - ram_block[139] 0xc0 8.04014e+06 0.5; - ram_block[139]~feeder 0xc0 8.04014e+06 0.5; - ram_block[140] 0xc0 8.04014e+06 0.5; - ram_block[140]~feeder 0xc0 8.04014e+06 0.5; - ram_block[141] 0xc0 8.04014e+06 0.5; - ram_block[141]~feeder 0xc0 8.04014e+06 0.5; - ram_block[142] 0xc0 8.04014e+06 0.5; - ram_block[143] 0xc0 8.04014e+06 0.5; - ram_block[143]~feeder 0xc0 8.04014e+06 0.5; - ram_block[144] 0xc0 8.04014e+06 0.5; - ram_block[145] 0xc0 8.04014e+06 0.5; - ram_block[146] 0xc0 8.04014e+06 0.5; - ram_block[147] 0xc0 8.04014e+06 0.5; - ram_block[148] 0xc0 8.04014e+06 0.5; - ram_block[148]~feeder 0xc0 8.04014e+06 0.5; - ram_block[149] 0xc0 8.04014e+06 0.5; - ram_block[149]~feeder 0xc0 8.04014e+06 0.5; - ram_block[150] 0xc0 8.04014e+06 0.5; - ram_block[150]~feeder 0xc0 8.04014e+06 0.5; - ram_block[151] 0xc0 8.04014e+06 0.5; - ram_block[151]~feeder 0xc0 8.04014e+06 0.5; - ram_block[152] 0xc0 8.04014e+06 0.5; - ram_block[153] 0xc0 8.04014e+06 0.5; - ram_block[153]~feeder 0xc0 8.04014e+06 0.5; - ram_block[154] 0xc0 8.04014e+06 0.5; - ram_block[154]~feeder 0xc0 8.04014e+06 0.5; - ram_block[155] 0xc0 8.04014e+06 0.5; - ram_block[155]~feeder 0xc0 8.04014e+06 0.5; - ram_block[156] 0xc0 8.04014e+06 0.5; - ram_block[156]~feeder 0xc0 8.04014e+06 0.5; - ram_block[157] 0xc0 8.04014e+06 0.5; - ram_block[157]~feeder 0xc0 8.04014e+06 0.5; - ram_block[158] 0xc0 8.04014e+06 0.5; - ram_block[159] 0xc0 8.04014e+06 0.5; - ram_block[159]~feeder 0xc0 8.04014e+06 0.5; - ram_block[160] 0xc0 8.04014e+06 0.5; - ram_block[161] 0xc0 8.04014e+06 0.5; - ram_block[162] 0xc0 8.04014e+06 0.5; - ram_block[162]~feeder 0xc0 8.04014e+06 0.5; - ram_block[163] 0xc0 8.04014e+06 0.5; - ram_block[163]~feeder 0xc0 8.04014e+06 0.5; - ram_block[164] 0xc0 8.04014e+06 0.5; - ram_block[164]~feeder 0xc0 8.04014e+06 0.5; - ram_block[165] 0xc0 8.04014e+06 0.5; - ram_block[166] 0xc0 8.04014e+06 0.5; - ram_block[166]~feeder 0xc0 8.04014e+06 0.5; - ram_block[167] 0xc0 8.04014e+06 0.5; - ram_block[167]~feeder 0xc0 8.04014e+06 0.5; - ram_block[168] 0xc0 8.04014e+06 0.5; - ram_block[169] 0xc0 8.04014e+06 0.5; - ram_block[170] 0xc0 8.04014e+06 0.5; - ram_block[170]~feeder 0xc0 8.04014e+06 0.5; - ram_block[171] 0xc0 8.04014e+06 0.5; - ram_block[171]~feeder 0xc0 8.04014e+06 0.5; - ram_block[172] 0xc0 8.04014e+06 0.5; - ram_block[173] 0xc0 8.04014e+06 0.5; - ram_block[174] 0xc0 8.04014e+06 0.5; - ram_block[175] 0xc0 8.04014e+06 0.5; - ram_block[175]~feeder 0xc0 8.04014e+06 0.5; - ram_block[176] 0xc0 8.04014e+06 0.5; - ram_block[177] 0xc0 8.04014e+06 0.5; - ram_block[178] 0xc0 8.04014e+06 0.5; - ram_block[179] 0xc0 8.04014e+06 0.5; - ram_block[179]~feeder 0xc0 8.04014e+06 0.5; - ram_block[180] 0xc0 8.04014e+06 0.5; - ram_block[181] 0xc0 8.04014e+06 0.5; - ram_block[182] 0xc0 8.04014e+06 0.5; - ram_block[183] 0xc0 8.04014e+06 0.5; - ram_block[184] 0xc0 8.04014e+06 0.5; - ram_block[185] 0xc0 8.04014e+06 0.5; - ram_block[186] 0xc0 8.04014e+06 0.5; - ram_block[186]~feeder 0xc0 8.04014e+06 0.5; - ram_block[187] 0xc0 8.04014e+06 0.5; - ram_block[188] 0xc0 8.04014e+06 0.5; - ram_block[189] 0xc0 8.04014e+06 0.5; - ram_block[190] 0xc0 8.04014e+06 0.5; - ram_block[191] 0xc0 8.04014e+06 0.5; - ram_block[191]~feeder 0xc0 8.04014e+06 0.5; - ram_block[192] 0xc0 8.04014e+06 0.5; - ram_block[193] 0xc0 8.04014e+06 0.5; - ram_block[194] 0xc0 8.04014e+06 0.5; - ram_block[194]~feeder 0xc0 8.04014e+06 0.5; - ram_block[195] 0xc0 8.04014e+06 0.5; - ram_block[195]~feeder 0xc0 8.04014e+06 0.5; - ram_block[196] 0xc0 8.04014e+06 0.5; - ram_block[196]~feeder 0xc0 8.04014e+06 0.5; - ram_block[197] 0xc0 8.04014e+06 0.5; - ram_block[198] 0xc0 8.04014e+06 0.5; - ram_block[198]~feeder 0xc0 8.04014e+06 0.5; - ram_block[199] 0xc0 8.04014e+06 0.5; - ram_block[199]~feeder 0xc0 8.04014e+06 0.5; - ram_block[200] 0xc0 8.04014e+06 0.5; - ram_block[200]~feeder 0xc0 8.04014e+06 0.5; - ram_block[201] 0xc0 8.04014e+06 0.5; - ram_block[202] 0xc0 8.04014e+06 0.5; - ram_block[202]~feeder 0xc0 8.04014e+06 0.5; - ram_block[203] 0xc0 8.04014e+06 0.5; - ram_block[204] 0xc0 8.04014e+06 0.5; - ram_block[204]~feeder 0xc0 8.04014e+06 0.5; - ram_block[205] 0xc0 8.04014e+06 0.5; - ram_block[205]~feeder 0xc0 8.04014e+06 0.5; - ram_block[206] 0xc0 8.04014e+06 0.5; - ram_block[206]~feeder 0xc0 8.04014e+06 0.5; - ram_block[207] 0xc0 8.04014e+06 0.5; - ram_block[208] 0xc0 8.04014e+06 0.5; - ram_block[208]~feeder 0xc0 8.04014e+06 0.5; - ram_block[209] 0xc0 8.04014e+06 0.5; - ram_block[209]~feeder 0xc0 8.04014e+06 0.5; - ram_block[210] 0xc0 8.04014e+06 0.5; - ram_block[211] 0xc0 8.04014e+06 0.5; - ram_block[212] 0xc0 8.04014e+06 0.5; - ram_block[212]~feeder 0xc0 8.04014e+06 0.5; - ram_block[213] 0xc0 8.04014e+06 0.5; - ram_block[214] 0xc0 8.04014e+06 0.5; - ram_block[214]~feeder 0xc0 8.04014e+06 0.5; - ram_block[215] 0xc0 8.04014e+06 0.5; - ram_block[216] 0xc0 8.04014e+06 0.5; - ram_block[217] 0xc0 8.04014e+06 0.5; - ram_block[217]~feeder 0xc0 8.04014e+06 0.5; - ram_block[218] 0xc0 8.04014e+06 0.5; - ram_block[218]~feeder 0xc0 8.04014e+06 0.5; - ram_block[219] 0xc0 8.04014e+06 0.5; - ram_block[219]~feeder 0xc0 8.04014e+06 0.5; - ram_block[220] 0xc0 8.04014e+06 0.5; - ram_block[220]~feeder 0xc0 8.04014e+06 0.5; - ram_block[221] 0xc0 8.04014e+06 0.5; - ram_block[221]~feeder 0xc0 8.04014e+06 0.5; - ram_block[222] 0xc0 8.04014e+06 0.5; - ram_block[223] 0xc0 8.04014e+06 0.5; - ram_block[223]~feeder 0xc0 8.04014e+06 0.5; - ram_block[224] 0xc0 8.04014e+06 0.5; - ram_block[224]~feeder 0xc0 8.04014e+06 0.5; - ram_block[225] 0xc0 8.04014e+06 0.5; - ram_block[226] 0xc0 8.04014e+06 0.5; - ram_block[226]~feeder 0xc0 8.04014e+06 0.5; - ram_block[227] 0xc0 8.04014e+06 0.5; - ram_block[227]~feeder 0xc0 8.04014e+06 0.5; - ram_block[228] 0xc0 8.04014e+06 0.5; - ram_block[229] 0xc0 8.04014e+06 0.5; - ram_block[229]~feeder 0xc0 8.04014e+06 0.5; - ram_block[230] 0xc0 8.04014e+06 0.5; - ram_block[230]~feeder 0xc0 8.04014e+06 0.5; - ram_block[231] 0xc0 8.04014e+06 0.5; - ram_block[232] 0xc0 8.04014e+06 0.5; - ram_block[232]~feeder 0xc0 8.04014e+06 0.5; - ram_block[233] 0xc0 8.04014e+06 0.5; - ram_block[234] 0xc0 8.04014e+06 0.5; - ram_block[235] 0xc0 8.04014e+06 0.5; - ram_block[236] 0xc0 8.04014e+06 0.5; - ram_block[236]~feeder 0xc0 8.04014e+06 0.5; - ram_block[237] 0xc0 8.04014e+06 0.5; - ram_block[238] 0xc0 8.04014e+06 0.5; - ram_block[238]~feeder 0xc0 8.04014e+06 0.5; - ram_block[239] 0xc0 8.04014e+06 0.5; - ram_block[239]~feeder 0xc0 8.04014e+06 0.5; - ram_block[240] 0xc0 8.04014e+06 0.5; - ram_block[240]~feeder 0xc0 8.04014e+06 0.5; - ram_block[241] 0xc0 8.04014e+06 0.5; - ram_block[241]~feeder 0xc0 8.04014e+06 0.5; - ram_block[242] 0xc0 8.04014e+06 0.5; - ram_block[242]~feeder 0xc0 8.04014e+06 0.5; - ram_block[243] 0xc0 8.04014e+06 0.5; - ram_block[243]~feeder 0xc0 8.04014e+06 0.5; - ram_block[244] 0xc0 8.04014e+06 0.5; - ram_block[244]~feeder 0xc0 8.04014e+06 0.5; - ram_block[245] 0xc0 8.04014e+06 0.5; - ram_block[245]~feeder 0xc0 8.04014e+06 0.5; - ram_block[246] 0xc0 8.04014e+06 0.5; - ram_block[246]~feeder 0xc0 8.04014e+06 0.5; - ram_block[247] 0xc0 8.04014e+06 0.5; - ram_block[247]~feeder 0xc0 8.04014e+06 0.5; - ram_block[248] 0xc0 8.04014e+06 0.5; - ram_block[249] 0xc0 8.04014e+06 0.5; - ram_block[249]~feeder 0xc0 8.04014e+06 0.5; - ram_block[250] 0xc0 8.04014e+06 0.5; - ram_block[251] 0xc0 8.04014e+06 0.5; - ram_block[252] 0xc0 8.04014e+06 0.5; - ram_block[252]~feeder 0xc0 8.04014e+06 0.5; - ram_block[253] 0xc0 8.04014e+06 0.5; - ram_block[253]~feeder 0xc0 8.04014e+06 0.5; - ram_block[254] 0xc0 8.04014e+06 0.5; - ram_block[254]~feeder 0xc0 8.04014e+06 0.5; - ram_block[255] 0xc0 8.04014e+06 0.5; - ram_block[255]~feeder 0xc0 8.04014e+06 0.5; - ram_block[256] 0xc0 8.04014e+06 0.5; - ram_block[256]~feeder 0xc0 8.04014e+06 0.5; - ram_block[257] 0xc0 8.04014e+06 0.5; - ram_block[258] 0xc0 8.04014e+06 0.5; - ram_block[259] 0xc0 8.04014e+06 0.5; - ram_block[260] 0xc0 8.04014e+06 0.5; - ram_block[260]~feeder 0xc0 8.04014e+06 0.5; - ram_block[261] 0xc0 8.04014e+06 0.5; - ram_block[261]~feeder 0xc0 8.04014e+06 0.5; - ram_block[262] 0xc0 8.04014e+06 0.5; - ram_block[262]~feeder 0xc0 8.04014e+06 0.5; - ram_block[263] 0xc0 8.04014e+06 0.5; - ram_block[263]~feeder 0xc0 8.04014e+06 0.5; - ram_block[264] 0xc0 8.04014e+06 0.5; - ram_block[264]~feeder 0xc0 8.04014e+06 0.5; - ram_block[265] 0xc0 8.04014e+06 0.5; - ram_block[266] 0xc0 8.04014e+06 0.5; - ram_block[266]~feeder 0xc0 8.04014e+06 0.5; - ram_block[267] 0xc0 8.04014e+06 0.5; - ram_block[268] 0xc0 8.04014e+06 0.5; - ram_block[268]~feeder 0xc0 8.04014e+06 0.5; - ram_block[269] 0xc0 8.04014e+06 0.5; - ram_block[269]~feeder 0xc0 8.04014e+06 0.5; - ram_block[270] 0xc0 8.04014e+06 0.5; - ram_block[270]~feeder 0xc0 8.04014e+06 0.5; - ram_block[271] 0xc0 8.04014e+06 0.5; - ram_block[271]~feeder 0xc0 8.04014e+06 0.5; - ram_block[272] 0xc0 8.04014e+06 0.5; - ram_block[273] 0xc0 8.04014e+06 0.5; - ram_block[273]~feeder 0xc0 8.04014e+06 0.5; - ram_block[274] 0xc0 8.04014e+06 0.5; - ram_block[274]~feeder 0xc0 8.04014e+06 0.5; - ram_block[275] 0xc0 8.04014e+06 0.5; - ram_block[275]~feeder 0xc0 8.04014e+06 0.5; - ram_block[276] 0xc0 8.04014e+06 0.5; - ram_block[276]~feeder 0xc0 8.04014e+06 0.5; - ram_block[277] 0xc0 8.04014e+06 0.5; - ram_block[277]~feeder 0xc0 8.04014e+06 0.5; - ram_block[278] 0xc0 8.04014e+06 0.5; - ram_block[278]~feeder 0xc0 8.04014e+06 0.5; - ram_block[279] 0xc0 8.04014e+06 0.5; - ram_block[280] 0xc0 8.04014e+06 0.5; - ram_block[280]~feeder 0xc0 8.04014e+06 0.5; - ram_block[281] 0xc0 8.04014e+06 0.5; - ram_block[282] 0xc0 8.04014e+06 0.5; - ram_block[283] 0xc0 8.04014e+06 0.5; - ram_block[283]~feeder 0xc0 8.04014e+06 0.5; - ram_block[284] 0xc0 8.04014e+06 0.5; - ram_block[284]~feeder 0xc0 8.04014e+06 0.5; - ram_block[285] 0xc0 8.04014e+06 0.5; - ram_block[286] 0xc0 8.04014e+06 0.5; - ram_block[287] 0xc0 8.04014e+06 0.5; - ram_block[287]~feeder 0xc0 8.04014e+06 0.5; - ram_block[288] 0xc0 8.04014e+06 0.5; - ram_block[289] 0xc0 8.04014e+06 0.5; - ram_block[290] 0xc0 8.04014e+06 0.5; - ram_block[291] 0xc0 8.04014e+06 0.5; - ram_block[291]~feeder 0xc0 8.04014e+06 0.5; - ram_block[292] 0xc0 8.04014e+06 0.5; - ram_block[293] 0xc0 8.04014e+06 0.5; - ram_block[293]~feeder 0xc0 8.04014e+06 0.5; - ram_block[294] 0xc0 8.04014e+06 0.5; - ram_block[295] 0xc0 8.04014e+06 0.5; - ram_block[295]~feeder 0xc0 8.04014e+06 0.5; - ram_block[296] 0xc0 8.04014e+06 0.5; - ram_block[297] 0xc0 8.04014e+06 0.5; - ram_block[297]~feeder 0xc0 8.04014e+06 0.5; - ram_block[298] 0xc0 8.04014e+06 0.5; - ram_block[298]~feeder 0xc0 8.04014e+06 0.5; - ram_block[299] 0xc0 8.04014e+06 0.5; - ram_block[299]~feeder 0xc0 8.04014e+06 0.5; - ram_block[300] 0xc0 8.04014e+06 0.5; - ram_block[301] 0xc0 8.04014e+06 0.5; - ram_block[302] 0xc0 8.04014e+06 0.5; - ram_block[302]~feeder 0xc0 8.04014e+06 0.5; - ram_block[303] 0xc0 8.04014e+06 0.5; - ram_block[303]~feeder 0xc0 8.04014e+06 0.5; - ram_block[304] 0xc0 8.04014e+06 0.5; - ram_block[304]~feeder 0xc0 8.04014e+06 0.5; - ram_block[305] 0xc0 8.04014e+06 0.5; - ram_block[305]~feeder 0xc0 8.04014e+06 0.5; - ram_block[306] 0xc0 8.04014e+06 0.5; - ram_block[307] 0xc0 8.04014e+06 0.5; - ram_block[307]~feeder 0xc0 8.04014e+06 0.5; - ram_block[308] 0xc0 8.04014e+06 0.5; - ram_block[308]~feeder 0xc0 8.04014e+06 0.5; - ram_block[309] 0xc0 8.04014e+06 0.5; - ram_block[309]~feeder 0xc0 8.04014e+06 0.5; - ram_block[310] 0xc0 8.04014e+06 0.5; - ram_block[311] 0xc0 8.04014e+06 0.5; - ram_block[311]~feeder 0xc0 8.04014e+06 0.5; - ram_block[312] 0xc0 8.04014e+06 0.5; - ram_block[312]~feeder 0xc0 8.04014e+06 0.5; - ram_block[313] 0xc0 8.04014e+06 0.5; - ram_block[314] 0xc0 8.04014e+06 0.5; - ram_block[315] 0xc0 8.04014e+06 0.5; - ram_block[316] 0xc0 8.04014e+06 0.5; - ram_block[316]~feeder 0xc0 8.04014e+06 0.5; - ram_block[317] 0xc0 8.04014e+06 0.5; - ram_block[317]~feeder 0xc0 8.04014e+06 0.5; - ram_block[318] 0xc0 8.04014e+06 0.5; - ram_block[319] 0xc0 8.04014e+06 0.5; - ram_block[319]~feeder 0xc0 8.04014e+06 0.5; - ram_block[320] 0xc0 8.04014e+06 0.5; - ram_block[320]~feeder 0xc0 8.04014e+06 0.5; - ram_block[321] 0xc0 8.04014e+06 0.5; - ram_block[321]~feeder 0xc0 8.04014e+06 0.5; - ram_block[322] 0xc0 8.04014e+06 0.5; - ram_block[322]~feeder 0xc0 8.04014e+06 0.5; - ram_block[323] 0xc0 8.04014e+06 0.5; - ram_block[324] 0xc0 8.04014e+06 0.5; - ram_block[325] 0xc0 8.04014e+06 0.5; - ram_block[326] 0xc0 8.04014e+06 0.5; - ram_block[326]~feeder 0xc0 8.04014e+06 0.5; - ram_block[327] 0xc0 8.04014e+06 0.5; - ram_block[328] 0xc0 8.04014e+06 0.5; - ram_block[328]~feeder 0xc0 8.04014e+06 0.5; - ram_block[329] 0xc0 8.04014e+06 0.5; - ram_block[329]~feeder 0xc0 8.04014e+06 0.5; - ram_block[330] 0xc0 8.04014e+06 0.5; - ram_block[330]~feeder 0xc0 8.04014e+06 0.5; - ram_block[331] 0xc0 8.04014e+06 0.5; - ram_block[331]~feeder 0xc0 8.04014e+06 0.5; - ram_block[332] 0xc0 8.04014e+06 0.5; - ram_block[332]~feeder 0xc0 8.04014e+06 0.5; - ram_block[333] 0xc0 8.04014e+06 0.5; - ram_block[334] 0xc0 8.04014e+06 0.5; - ram_block[334]~feeder 0xc0 8.04014e+06 0.5; - ram_block[335] 0xc0 8.04014e+06 0.5; - ram_block[336] 0xc0 8.04014e+06 0.5; - ram_block[336]~feeder 0xc0 8.04014e+06 0.5; - ram_block[337] 0xc0 8.04014e+06 0.5; - ram_block[337]~feeder 0xc0 8.04014e+06 0.5; - ram_block[338] 0xc0 8.04014e+06 0.5; - ram_block[338]~feeder 0xc0 8.04014e+06 0.5; - ram_block[339] 0xc0 8.04014e+06 0.5; - ram_block[339]~feeder 0xc0 8.04014e+06 0.5; - ram_block[340] 0xc0 8.04014e+06 0.5; - ram_block[341] 0xc0 8.04014e+06 0.5; - ram_block[341]~feeder 0xc0 8.04014e+06 0.5; - ram_block[342] 0xc0 8.04014e+06 0.5; - ram_block[342]~feeder 0xc0 8.04014e+06 0.5; - ram_block[343] 0xc0 8.04014e+06 0.5; - ram_block[343]~feeder 0xc0 8.04014e+06 0.5; - rd_data_out_latch[0] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[1] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[2] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[3] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[4] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[5] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[6] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[7] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[8] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[9] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[10] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[11] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[12] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[13] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[14] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[15] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[16] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[17] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[18] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[19] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[20] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[21] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[22] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[23] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[24] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[25] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[26] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[27] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[28] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[29] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[30] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[31] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[32] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[33] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[34] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[35] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[36] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[37] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[38] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[39] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[40] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[41] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[42] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[43] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[44] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[45] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[46] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[47] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[48] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[49] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[50] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[51] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[52] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[53] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[54] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[55] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[56] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[57] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[58] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[59] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[60] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[61] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[62] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[63] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[64] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[65] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[66] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[67] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[68] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[69] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[70] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[71] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[72] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[73] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[74] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[75] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[76] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[77] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[78] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[79] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[80] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[81] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[82] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[83] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[84] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[85] 0xc0 8.04014e+06 0.5; - wren_reg 0xc0 8.04014e+06 0.5; - wren_reg~feeder 0xc0 8.03821e+06 6.10352e-05; - full_dff 0xc0 8.04014e+06 0.5; - low_addressa[0] 0xc0 8.04014e+06 0.5; - low_addressa[0]~1 0xc0 4.85235e+06 0.25; - low_addressa[1] 0xc0 8.04014e+06 0.5; - low_addressa[1]~0 0xc0 7.0783e+06 0.25; - ram_read_address[0]~1 0xc0 5.26064e+06 0.5; - ram_read_address[1]~0 0xc0 4.26347e+06 0.5; - rd_ptr_lsb 0xc0 8.04014e+06 0.5; - rd_ptr_lsb~0 0xc0 6.0301e+06 0.25; - rd_ptr_lsb~1 0xc0 7.16075e+06 0.5625; - cntr_q9b:rd_ptr_msb; - _~0 0xc0 8.07154e+06 0.53125; - counter_comb_bita0 0xc0 8.04014e+06 0.5; - counter_reg_bit[0] 0xc0 8.04014e+06 0.5; - cntr_7a7:usedw_counter; - _~0 0xc0 1.23713e+07 0.562523; - counter_comb_bita0 0xc0 8.04014e+06 0.5; - counter_comb_bita0~COUT 0xc0 1.20583e+07 0.5; - counter_comb_bita1 0xc0 1.00492e+07 0.5; - counter_reg_bit[0] 0xc0 8.04014e+06 0.5; - counter_reg_bit[1] 0xc0 8.04014e+06 0.5; - usedw_is_0_dff 0xc0 8.04014e+06 0.5; - usedw_is_1_dff 0xc0 8.04014e+06 0.5; - usedw_will_be_1~0 0xc0 4.7963e+06 0.0312748; - usedw_will_be_1~1 0xc0 9.80382e+06 0.227535; - valid_rreq 0xc0 3.51756e+06 0.125; - valid_rreq~0 0xc0 6.0301e+06 0.25; - valid_wreq~0 0xc0 1.60175e+06 0.0625; - valid_wreq~1 0xc0 989314 0.0625; - valid_wreq~2 0xc0 135.308 0.00012207; - valid_wreq~3 0xc0 8.03821e+06 6.10352e-05; - cntr_r9b:wr_ptr; - _~0 0xc0 1.20573e+07 0.500031; - counter_comb_bita0 0xc0 8.04014e+06 0.5; - counter_comb_bita0~COUT 0xc0 8.04014e+06 0.5; - counter_comb_bita1 0xc0 8.04014e+06 0.5; - counter_reg_bit[0] 0xc0 8.04014e+06 0.5; - counter_reg_bit[1] 0xc0 8.04014e+06 0.5; - auk_dspip_integrator:integrator[0].integration; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 4.52258e+06 0.25; - \register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 6.78387e+06 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 3.74526e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 5.74155e+06 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 6.671e+06 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 6.26099e+06 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 5.11697e+06 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 5.81949e+06 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 5.71476e+06 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 5.95568e+06 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 5.35151e+06 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 5.86156e+06 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 5.5146e+06 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 5.9015e+06 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 5.42812e+06 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 5.87967e+06 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 5.47008e+06 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 5.89012e+06 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 5.44878e+06 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 5.88478e+06 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 5.45935e+06 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 5.88741e+06 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 5.45404e+06 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 5.88609e+06 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 5.45669e+06 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 5.88675e+06 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 5.45537e+06 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 5.88642e+06 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 5.45603e+06 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 5.88658e+06 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 5.4557e+06 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 5.8865e+06 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 5.45586e+06 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 5.88654e+06 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 5.45578e+06 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 5.88652e+06 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 5.45582e+06 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 5.4558e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 7.39405e+06 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 7.7171e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 7.95938e+06 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 7.99976e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 8.03004e+06 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 8.03509e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 8.03888e+06 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 8.03951e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 8.03998e+06 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 8.04006e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 8.04012e+06 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 8.04013e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 8.04013e+06 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][74]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][75]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][75]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][76]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][76]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][77]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][77]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][79]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][79]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][80]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][80]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][81]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][81]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][82]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][82]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][84]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][84]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][85]~1 0xc0 8.04014e+06 0.5; - auk_dspip_integrator:integrator[1].integration; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 4.52258e+06 0.25; - \register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 6.78387e+06 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 3.74526e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 5.74155e+06 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 6.671e+06 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 6.26099e+06 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 5.11697e+06 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 5.81949e+06 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 5.71476e+06 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 5.95568e+06 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 5.35151e+06 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 5.86156e+06 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 5.5146e+06 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 5.9015e+06 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 5.42812e+06 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 5.87967e+06 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 5.47008e+06 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 5.89012e+06 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 5.44878e+06 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 5.88478e+06 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 5.45935e+06 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 5.88741e+06 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 5.45404e+06 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 5.88609e+06 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 5.45669e+06 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 5.88675e+06 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 5.45537e+06 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 5.88642e+06 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 5.45603e+06 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 5.88658e+06 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 5.4557e+06 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 5.8865e+06 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 5.45586e+06 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 5.88654e+06 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 5.45578e+06 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 5.88652e+06 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 5.45582e+06 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 5.4558e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][74]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][75]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][75]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][76]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][76]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][77]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][77]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][78]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][79]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][79]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][80]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][80]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][81]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][81]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][82]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][82]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][83]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][84]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][84]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][85]~1 0xc0 7.39405e+06 0.5; - auk_dspip_integrator:integrator[2].integration; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 4.52258e+06 0.25; - \register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 6.78387e+06 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 3.74526e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 5.74155e+06 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 6.671e+06 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 6.26099e+06 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 5.11697e+06 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 5.81949e+06 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 5.71476e+06 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 5.95568e+06 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 5.35151e+06 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 5.86156e+06 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 5.5146e+06 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 5.9015e+06 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 5.42812e+06 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 5.87967e+06 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 5.47008e+06 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 5.89012e+06 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 5.44878e+06 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 5.88478e+06 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 5.45935e+06 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 5.88741e+06 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 5.45404e+06 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 5.88609e+06 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 5.45669e+06 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 5.88675e+06 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 5.45537e+06 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 5.88642e+06 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 5.45603e+06 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 5.88658e+06 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 5.4557e+06 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 5.8865e+06 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 5.45586e+06 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 5.88654e+06 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 5.45578e+06 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 5.88652e+06 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 5.45582e+06 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 5.4558e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][74]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][75]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][75]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][76]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][76]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][77]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][77]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][78]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][79]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][79]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][80]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][80]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][81]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][81]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][82]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][82]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][83]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][84]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][84]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][85]~1 0xc0 7.39405e+06 0.5; - auk_dspip_integrator:integrator[3].integration; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 4.52258e+06 0.25; - \register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 6.78387e+06 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 3.74526e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 5.74155e+06 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 6.671e+06 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 6.26099e+06 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 5.11697e+06 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 5.81949e+06 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 5.71476e+06 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 5.95568e+06 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 5.35151e+06 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 5.86156e+06 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 5.5146e+06 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 5.9015e+06 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 5.42812e+06 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 5.87967e+06 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 5.47008e+06 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 5.89012e+06 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 5.44878e+06 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 5.88478e+06 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 5.45935e+06 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 5.88741e+06 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 5.45404e+06 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 5.88609e+06 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 5.45669e+06 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 5.88675e+06 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 5.45537e+06 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 5.88642e+06 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 5.45603e+06 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 5.88658e+06 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 5.4557e+06 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 5.8865e+06 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 5.45586e+06 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 5.88654e+06 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 5.45578e+06 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 5.88652e+06 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 5.45582e+06 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 5.4558e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][74]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][75]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][75]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][76]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][76]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][77]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][77]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][78]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][79]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][79]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][80]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][80]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][81]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][81]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][82]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][82]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][83]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][84]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][84]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][85]~1 0xc0 7.39405e+06 0.5; - auk_dspip_integrator:integrator[4].integration; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 4.52258e+06 0.25; - \register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 6.78387e+06 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 3.74526e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 5.74155e+06 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 6.671e+06 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 6.26099e+06 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 5.11697e+06 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 5.81949e+06 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 5.71476e+06 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 5.95568e+06 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 5.35151e+06 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 5.86156e+06 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 5.5146e+06 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 5.9015e+06 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 5.42812e+06 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 5.87967e+06 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 5.47008e+06 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 5.89012e+06 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 5.44878e+06 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 5.88478e+06 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 5.45935e+06 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 5.88741e+06 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 5.45404e+06 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 5.88609e+06 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 5.45669e+06 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 5.88675e+06 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 5.45537e+06 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 5.88642e+06 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 5.45603e+06 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 5.88658e+06 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 5.4557e+06 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 5.8865e+06 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 5.45586e+06 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 5.88654e+06 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 5.45578e+06 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 5.88652e+06 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 5.45582e+06 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 5.4558e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][74]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][75]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][75]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][76]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][76]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][77]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][77]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][78]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][79]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][79]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][80]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][80]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][81]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][81]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][82]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][82]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][83]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][84]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][84]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][85]~1 0xc0 5.88653e+06 0.5; - auk_dspip_integrator:integrator[5].integration; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 4.52258e+06 0.25; - \register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 6.78387e+06 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 3.74526e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 5.74155e+06 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 6.671e+06 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 6.26099e+06 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 5.11697e+06 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 5.81949e+06 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 5.71476e+06 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 5.95568e+06 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 5.35151e+06 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 5.86156e+06 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 5.5146e+06 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 5.9015e+06 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 5.42812e+06 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 5.87967e+06 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 5.47008e+06 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 5.89012e+06 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 5.44878e+06 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 5.88478e+06 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 5.45935e+06 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 5.88741e+06 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 5.45404e+06 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 5.88609e+06 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 5.45669e+06 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 5.88675e+06 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 5.45537e+06 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 5.88642e+06 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 5.45603e+06 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 5.88658e+06 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 5.4557e+06 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 5.8865e+06 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 5.45586e+06 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 5.88654e+06 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 5.45578e+06 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 5.88652e+06 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 5.45582e+06 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 5.4558e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][74]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][75]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][75]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][76]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][76]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][77]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][77]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][78]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][79]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][79]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][80]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][80]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][81]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][81]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][82]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][82]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][83]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][84]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][84]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][85]~1 0xc0 7.39405e+06 0.5; - counter_module:latency_cnt_inst; - Add0~0 0xc0 4.52258e+06 0.25; - Add0~1 0xc0 1.91581e+06 0.125; - count[0] 0xc0 8.04014e+06 0.5; - count[1] 0xc0 8.04014e+06 0.5; - count[1]~4 0xc0 9.13937e+06 0.46875; - count[2] 0xc0 8.04014e+06 0.5; - count[2]~1 0xc0 5.30044e+06 0.397217; - count[3] 0xc0 8.04014e+06 0.5; - count[3]~0 0xc0 5.65322e+06 0.4375; - count[3]~2 0xc0 5.5621e+06 0.38269; - count~3 0xc0 8.22073e+06 0.46875; - sample_state[0] 0xc0 8.04014e+06 0.5; - sample_state~0 0xc0 3.48615e+06 0.625; - sample_state~1 0xc0 5.63052e+06 0.671875; - state[0] 0xc0 8.04014e+06 0.5; - state~0 0xc0 8.97559e+06 0.515625; - auk_dspip_downsample:vrc_en_0.first_dsample; - counter_module:counter_fs_inst; - Add0~0 0xc0 8.04014e+06 0.5; - Add0~1 0xc0 8.04014e+06 0.5; - Add0~2 0xc0 8.04014e+06 0.5; - Add0~3 0xc0 4.52258e+06 0.75; - Add0~4 0xc0 7.28637e+06 0.5; - Add0~5 0xc0 6.15573e+06 0.125; - Add0~6 0xc0 9.35922e+06 0.5; - Add0~7 0xc0 1.79019e+06 0.9375; - Add0~8 0xc0 7.99303e+06 0.5; - Add0~9 0xc0 7.54548e+06 0.03125; - Add0~10 0xc0 1.13261e+07 0.5; - Add0~11 0xc0 1.90207e+06 0.984375; - Add0~12 0xc0 8.74385e+06 0.5; - Add0~13 0xc0 8.26833e+06 0.0078125; - Add0~14 0xc0 1.20497e+07 0.5; - Add0~15 0xc0 2.06806e+06 0.996094; - Add0~16 0xc0 9.0116e+06 0.5; - Add0~17 0xc0 8.49458e+06 0.00195313; - Add0~18 0xc0 1.22561e+07 0.5; - Add0~19 0xc0 2.12371e+06 0.999023; - Add0~20 0xc0 9.0863e+06 0.5; - Equal0~0 0xc0 989314 0.0625; - Equal0~1 0xc0 724319 0.0625; - Equal0~2 0xc0 4.52258e+06 0.25; - Equal0~3 0xc0 692.767 0.000488281; - count[0] 0xc0 8.04014e+06 0.5; - count[1] 0xc0 8.04014e+06 0.5; - count[2] 0xc0 8.04014e+06 0.5; - count[3] 0xc0 8.04014e+06 0.5; - count[4] 0xc0 8.04014e+06 0.5; - count[5] 0xc0 8.04014e+06 0.5; - count[6] 0xc0 8.04014e+06 0.5; - count[7] 0xc0 8.04014e+06 0.5; - count[8] 0xc0 8.04014e+06 0.5; - count[9] 0xc0 8.04014e+06 0.5; - count[10] 0xc0 8.04014e+06 0.5; - count~0 0xc0 1.45587e+07 0.499756; - count~1 0xc0 5.27634e+06 0.625; - count~2 0xc0 1.87003e+07 0.499756; - count~3 0xc0 1.59706e+07 0.499756; - count~4 0xc0 2.26302e+07 0.499756; - count~5 0xc0 1.80058e+07 0.499756; - count~6 0xc0 1.8155e+07 0.499756; - auk_dspip_avalon_streaming_sink:input_sink; - scfifo:sink_FIFO; - scfifo_ef71:auto_generated; - dffe_nae 0xc0 8.04014e+06 0.5; - dffe_nae~0 0xc0 1.68026e+06 0.125; - dffe_nae~1 0xc0 4.54125e+06 0.524597; - a_dpfifo_vkv:dpfifo; - _~0 0xc0 818539 0.0625; - _~1 0xc0 5.27619e+06 0.502975; - _~2 0xc0 9.51032e+06 0.276611; - _~3 0xc0 285535 0.0199585; - empty_dff 0xc0 8.04014e+06 0.5; - empty_dff~0 0xc0 1.60382e+07 0.312783; - empty_dff~1 0xc0 1.17739e+07 0.295563; - altsyncram_h7h1:FIFOram; - q_b[0] 0xc0 8.04014e+06 0.5; - q_b[1] 0xc0 8.04014e+06 0.5; - q_b[2] 0xc0 8.04014e+06 0.5; - q_b[3] 0xc0 8.04014e+06 0.5; - q_b[4] 0xc0 8.04014e+06 0.5; - q_b[5] 0xc0 8.04014e+06 0.5; - q_b[6] 0xc0 8.04014e+06 0.5; - q_b[7] 0xc0 8.04014e+06 0.5; - q_b[8] 0xc0 8.04014e+06 0.5; - q_b[9] 0xc0 8.04014e+06 0.5; - q_b[10] 0xc0 8.04014e+06 0.5; - q_b[11] 0xc0 8.04014e+06 0.5; - q_b[12] 0xc0 8.04014e+06 0.5; - q_b[13] 0xc0 8.04014e+06 0.5; - q_b[14] 0xc0 8.04014e+06 0.5; - q_b[15] 0xc0 8.04014e+06 0.5; - q_b[16] 0xc0 8.04014e+06 0.5; - q_b[17] 0xc0 8.04014e+06 0.5; - q_b[18] 0xc0 8.04014e+06 0.5; - q_b[19] 0xc0 8.04014e+06 0.5; - q_b[20] 0xc0 8.04014e+06 0.5; - q_b[21] 0xc0 8.04014e+06 0.5; - q_b[22] 0xc0 8.04014e+06 0.5; - full_dff 0xc0 8.04014e+06 0.5; - low_addressa[0] 0xc0 8.04014e+06 0.5; - low_addressa[0]~0 0xc0 4.27789e+06 0.25; - low_addressa[1] 0xc0 8.04014e+06 0.5; - low_addressa[1]~1 0xc0 5.86684e+06 0.25; - low_addressa[2] 0xc0 8.04014e+06 0.5; - low_addressa[2]~2 0xc0 4.95569e+06 0.25; - ram_read_address[0]~0 0xc0 3.30885e+06 0.5; - ram_read_address[1]~1 0xc0 3.30885e+06 0.5; - ram_read_address[2]~2 0xc0 6.10818e+06 0.5; - rd_ptr_lsb 0xc0 8.04014e+06 0.5; - rd_ptr_lsb~0 0xc0 6.0301e+06 0.25; - rd_ptr_lsb~1 0xc0 8.32707e+06 0.526611; - cntr_r9b:rd_ptr_msb; - _~0 0xc0 6.03916e+06 0.513306; - counter_comb_bita0 0xc0 8.04014e+06 0.5; - counter_comb_bita0~COUT 0xc0 8.04014e+06 0.5; - counter_comb_bita1 0xc0 8.04014e+06 0.5; - counter_reg_bit[0] 0xc0 8.04014e+06 0.5; - counter_reg_bit[1] 0xc0 8.04014e+06 0.5; - cntr_8a7:usedw_counter; - _~0 0xc0 9.45619e+06 0.638306; - counter_comb_bita0 0xc0 8.04014e+06 0.5; - counter_comb_bita0~COUT 0xc0 8.04014e+06 0.5; - counter_comb_bita1 0xc0 8.04014e+06 0.5; - counter_comb_bita1~COUT 0xc0 5.0879e+06 0.75; - counter_comb_bita2 0xc0 7.56904e+06 0.5; - counter_reg_bit[0] 0xc0 8.04014e+06 0.5; - counter_reg_bit[1] 0xc0 8.04014e+06 0.5; - counter_reg_bit[2] 0xc0 8.04014e+06 0.5; - usedw_is_0_dff 0xc0 8.04014e+06 0.5; - usedw_is_1_dff 0xc0 8.04014e+06 0.5; - usedw_will_be_1~0 0xc0 1.35442e+06 0.09375; - usedw_will_be_1~1 0xc0 2.7638e+06 0.125; - usedw_will_be_1~2 0xc0 1.50179e+06 0.123337; - usedw_will_be_1~3 0xc0 9.63232e+06 0.22021; - valid_rreq 0xc0 562938 0.0532227; - valid_wreq 0xc0 6.0301e+06 0.25; - cntr_s9b:wr_ptr; - _~0 0xc0 7.53763e+06 0.625; - counter_comb_bita0 0xc0 8.04014e+06 0.5; - counter_comb_bita0~COUT 0xc0 8.04014e+06 0.5; - counter_comb_bita1 0xc0 8.04014e+06 0.5; - counter_comb_bita1~COUT 0xc0 4.52258e+06 0.75; - counter_comb_bita2 0xc0 7.28637e+06 0.5; - counter_reg_bit[0] 0xc0 8.04014e+06 0.5; - counter_reg_bit[1] 0xc0 8.04014e+06 0.5; - counter_reg_bit[2] 0xc0 8.04014e+06 0.5; - auk_dspip_avalon_streaming_source:output_source_0; - scfifo:source_FIFO; - scfifo_ji71:auto_generated; - a_dpfifo_gqv:dpfifo; - _~0 0xc0 873501 0.03125; - _~1 0xc0 8.6778e+06 0.250488; - empty_dff 0xc0 8.04014e+06 0.5; - empty_dff~2 0xc0 1.25671e+07 0.515625; - empty_dff~3 0xc0 7.41703e+06 0.257813; - empty_dff~4 0xc0 8.39292e+06 0.255586; - altsyncram_vah1:FIFOram; - q_b[0] 0xc0 8.04014e+06 0.5; - q_b[1] 0xc0 8.04014e+06 0.5; - q_b[2] 0xc0 8.04014e+06 0.5; - q_b[3] 0xc0 8.04014e+06 0.5; - q_b[4] 0xc0 8.04014e+06 0.5; - q_b[5] 0xc0 8.04014e+06 0.5; - q_b[6] 0xc0 8.04014e+06 0.5; - q_b[7] 0xc0 8.04014e+06 0.5; - q_b[8] 0xc0 8.04014e+06 0.5; - q_b[9] 0xc0 8.04014e+06 0.5; - q_b[10] 0xc0 8.04014e+06 0.5; - q_b[11] 0xc0 8.04014e+06 0.5; - q_b[12] 0xc0 8.04014e+06 0.5; - q_b[13] 0xc0 8.04014e+06 0.5; - q_b[14] 0xc0 8.04014e+06 0.5; - q_b[15] 0xc0 8.04014e+06 0.5; - q_b[16] 0xc0 8.04014e+06 0.5; - q_b[17] 0xc0 8.04014e+06 0.5; - q_b[18] 0xc0 8.04014e+06 0.5; - q_b[19] 0xc0 8.04014e+06 0.5; - q_b[20] 0xc0 8.04014e+06 0.5; - q_b[21] 0xc0 8.04014e+06 0.5; - q_b[22] 0xc0 8.04014e+06 0.5; - q_b[23] 0xc0 8.04014e+06 0.5; - q_b[24] 0xc0 8.04014e+06 0.5; - q_b[25] 0xc0 8.04014e+06 0.5; - q_b[26] 0xc0 8.04014e+06 0.5; - q_b[27] 0xc0 8.04014e+06 0.5; - q_b[28] 0xc0 8.04014e+06 0.5; - q_b[29] 0xc0 8.04014e+06 0.5; - q_b[30] 0xc0 8.04014e+06 0.5; - q_b[31] 0xc0 8.04014e+06 0.5; - q_b[32] 0xc0 8.04014e+06 0.5; - q_b[33] 0xc0 8.04014e+06 0.5; - q_b[34] 0xc0 8.04014e+06 0.5; - q_b[35] 0xc0 8.04014e+06 0.5; - q_b[36] 0xc0 8.04014e+06 0.5; - q_b[37] 0xc0 8.04014e+06 0.5; - q_b[38] 0xc0 8.04014e+06 0.5; - q_b[39] 0xc0 8.04014e+06 0.5; - q_b[40] 0xc0 8.04014e+06 0.5; - q_b[41] 0xc0 8.04014e+06 0.5; - q_b[42] 0xc0 8.04014e+06 0.5; - q_b[43] 0xc0 8.04014e+06 0.5; - q_b[44] 0xc0 8.04014e+06 0.5; - q_b[45] 0xc0 8.04014e+06 0.5; - q_b[46] 0xc0 8.04014e+06 0.5; - q_b[47] 0xc0 8.04014e+06 0.5; - q_b[48] 0xc0 8.04014e+06 0.5; - q_b[49] 0xc0 8.04014e+06 0.5; - q_b[50] 0xc0 8.04014e+06 0.5; - q_b[51] 0xc0 8.04014e+06 0.5; - q_b[52] 0xc0 8.04014e+06 0.5; - q_b[53] 0xc0 8.04014e+06 0.5; - q_b[54] 0xc0 8.04014e+06 0.5; - q_b[55] 0xc0 8.04014e+06 0.5; - q_b[56] 0xc0 8.04014e+06 0.5; - q_b[57] 0xc0 8.04014e+06 0.5; - q_b[58] 0xc0 8.04014e+06 0.5; - q_b[59] 0xc0 8.04014e+06 0.5; - q_b[60] 0xc0 8.04014e+06 0.5; - q_b[61] 0xc0 8.04014e+06 0.5; - q_b[62] 0xc0 8.04014e+06 0.5; - q_b[63] 0xc0 8.04014e+06 0.5; - q_b[64] 0xc0 8.04014e+06 0.5; - q_b[65] 0xc0 8.04014e+06 0.5; - q_b[66] 0xc0 8.04014e+06 0.5; - q_b[67] 0xc0 8.04014e+06 0.5; - q_b[68] 0xc0 8.04014e+06 0.5; - q_b[69] 0xc0 8.04014e+06 0.5; - q_b[70] 0xc0 8.04014e+06 0.5; - q_b[71] 0xc0 8.04014e+06 0.5; - q_b[72] 0xc0 8.04014e+06 0.5; - q_b[73] 0xc0 8.04014e+06 0.5; - q_b[74] 0xc0 8.04014e+06 0.5; - q_b[75] 0xc0 8.04014e+06 0.5; - q_b[76] 0xc0 8.04014e+06 0.5; - q_b[77] 0xc0 8.04014e+06 0.5; - q_b[78] 0xc0 8.04014e+06 0.5; - q_b[79] 0xc0 8.04014e+06 0.5; - q_b[80] 0xc0 8.04014e+06 0.5; - q_b[81] 0xc0 8.04014e+06 0.5; - q_b[82] 0xc0 8.04014e+06 0.5; - q_b[83] 0xc0 8.04014e+06 0.5; - q_b[84] 0xc0 8.04014e+06 0.5; - q_b[85] 0xc0 8.04014e+06 0.5; - full_dff 0xc0 8.04014e+06 0.5; - low_addressa[0] 0xc0 8.04014e+06 0.5; - low_addressa[0]~0 0xc0 5.65322e+06 0.25; - low_addressa[1] 0xc0 8.04014e+06 0.5; - low_addressa[1]~1 0xc0 5.65322e+06 0.25; - low_addressa[2] 0xc0 8.04014e+06 0.5; - low_addressa[2]~2 0xc0 5.27634e+06 0.25; - low_addressa[3] 0xc0 8.04014e+06 0.5; - low_addressa[3]~3 0xc0 5.27634e+06 0.25; - low_addressa[4] 0xc0 8.04014e+06 0.5; - low_addressa[4]~4 0xc0 5.65322e+06 0.25; - ram_read_address[0]~0 0xc0 8.04014e+06 0.5; - ram_read_address[1]~1 0xc0 6.53261e+06 0.5; - ram_read_address[2]~2 0xc0 5.77885e+06 0.5; - ram_read_address[3]~3 0xc0 6.53261e+06 0.5; - ram_read_address[4]~4 0xc0 8.04014e+06 0.5; - rd_ptr_lsb 0xc0 8.04014e+06 0.5; - rd_ptr_lsb~0 0xc0 6.0301e+06 0.25; - rd_ptr_lsb~1 0xc0 6.0301e+06 0.75; - cntr_t9b:rd_ptr_msb; - _~0 0xc0 7.53763e+06 0.625; - counter_comb_bita0 0xc0 8.04014e+06 0.5; - counter_comb_bita0~COUT 0xc0 8.04014e+06 0.5; - counter_comb_bita1 0xc0 8.04014e+06 0.5; - counter_comb_bita1~COUT 0xc0 4.52258e+06 0.75; - counter_comb_bita2 0xc0 7.28637e+06 0.5; - counter_comb_bita2~COUT 0xc0 6.15573e+06 0.125; - counter_comb_bita3 0xc0 9.35922e+06 0.5; - counter_reg_bit[0] 0xc0 8.04014e+06 0.5; - counter_reg_bit[1] 0xc0 8.04014e+06 0.5; - counter_reg_bit[2] 0xc0 8.04014e+06 0.5; - counter_reg_bit[3] 0xc0 8.04014e+06 0.5; - cntr_aa7:usedw_counter; - _~0 0xc0 5.72978e+06 0.75; - counter_comb_bita0 0xc0 8.04014e+06 0.5; - counter_comb_bita0~COUT 0xc0 7.6397e+06 0.5; - counter_comb_bita1 0xc0 7.83992e+06 0.5; - counter_comb_bita1~COUT 0xc0 4.28844e+06 0.75; - counter_comb_bita2 0xc0 7.16931e+06 0.5; - counter_comb_bita2~COUT 0xc0 5.48426e+06 0.125; - counter_comb_bita3 0xc0 9.02349e+06 0.5; - counter_comb_bita3~COUT 0xc0 1.57467e+06 0.9375; - counter_comb_bita4 0xc0 7.88527e+06 0.5; - counter_reg_bit[0] 0xc0 8.04014e+06 0.5; - counter_reg_bit[1] 0xc0 8.04014e+06 0.5; - counter_reg_bit[2] 0xc0 8.04014e+06 0.5; - counter_reg_bit[3] 0xc0 8.04014e+06 0.5; - counter_reg_bit[4] 0xc0 8.04014e+06 0.5; - usedw_is_0_dff 0xc0 8.04014e+06 0.5; - usedw_is_1_dff 0xc0 8.04014e+06 0.5; - usedw_will_be_1~0 0xc0 989314 0.0625; - usedw_will_be_1~1 0xc0 144516 0.0146484; - usedw_will_be_1~2 0xc0 1.16787e+07 0.265625; - usedw_will_be_1~3 0xc0 6.80705e+06 0.138191; - usedw_will_be_1~4 0xc0 6.0301e+06 0.25; - valid_wreq~0 0xc0 1.08353e+06 0.0625; - cntr_u9b:wr_ptr; - _~0 0xc0 7.36882e+06 0.53125; - counter_comb_bita0 0xc0 8.04014e+06 0.5; - counter_comb_bita0~COUT 0xc0 8.04014e+06 0.5; - counter_comb_bita1 0xc0 8.04014e+06 0.5; - counter_comb_bita1~COUT 0xc0 4.52258e+06 0.75; - counter_comb_bita2 0xc0 7.28637e+06 0.5; - counter_comb_bita2~COUT 0xc0 6.15573e+06 0.125; - counter_comb_bita3 0xc0 9.35922e+06 0.5; - counter_comb_bita3~COUT 0xc0 1.79019e+06 0.9375; - counter_comb_bita4 0xc0 7.99303e+06 0.5; - counter_reg_bit[0] 0xc0 8.04014e+06 0.5; - counter_reg_bit[1] 0xc0 8.04014e+06 0.5; - counter_reg_bit[2] 0xc0 8.04014e+06 0.5; - counter_reg_bit[3] 0xc0 8.04014e+06 0.5; - counter_reg_bit[4] 0xc0 8.04014e+06 0.5; - source_valid_s 0xc0 8.04014e+06 0.5; - source_valid_s~0 0xc0 6.0301e+06 0.25; - rx_cic:RX_CIC_Q; - rx_cic_cic_ii_0:cic_ii_0; - alt_cic_core:core; - auk_dspip_avalon_streaming_controller:avalon_controller; - auk_dspip_avalon_streaming_small_fifo:ready_FIFO; - Decoder0~0 0xc0 380202 0.0512695; - Decoder0~1 0xc0 380202 0.0512695; - Decoder0~2 0xc0 380202 0.0512695; - Equal2~0 0xc0 2.38692e+06 0.125; - Equal3~0 0xc0 2.38692e+06 0.125; - fifo_array[0][0] 0xc0 8.04014e+06 0.5; - fifo_array[1][0] 0xc0 8.04014e+06 0.5; - fifo_array[2][0] 0xc0 8.04014e+06 0.5; - fifo_array[3][0] 0xc0 8.04014e+06 0.5; - fifo_array[4][0] 0xc0 8.04014e+06 0.5; - fifo_array[5][0] 0xc0 8.04014e+06 0.5; - fifo_array~0 0xc0 4.72502e+06 0.512817; - fifo_array~1 0xc0 1.08975e+07 0.512817; - fifo_array~2 0xc0 4.72502e+06 0.512817; - fifo_array~3 0xc0 4.72502e+06 0.512817; - fifo_array~4 0xc0 1.08975e+07 0.512817; - fifo_array~5 0xc0 4.72502e+06 0.512817; - fifo_usedw[0] 0xc0 8.04014e+06 0.5; - fifo_usedw[1] 0xc0 8.04014e+06 0.5; - fifo_usedw[2] 0xc0 8.04014e+06 0.5; - fifo_usedw~0 0xc0 3.4891e+06 0.28125; - fifo_usedw~1 0xc0 6.56016e+06 0.437378; - fifo_usedw~2 0xc0 5.00228e+06 0.5; - fifo_usedw~3 0xc0 2.0038e+06 0.123047; - fifo_usedw~4 0xc0 9.27777e+06 0.5; - Mux0~0 0xc0 3.37623e+06 0.5; - Mux0~1 0xc0 1.9903e+06 0.5; - rd_addr_ptr[0] 0xc0 8.04014e+06 0.5; - rd_addr_ptr[1] 0xc0 8.04014e+06 0.5; - rd_addr_ptr[2] 0xc0 8.04014e+06 0.5; - rd_addr_ptr~0 0xc0 2.8026e+06 0.472656; - rd_addr_ptr~1 0xc0 3.95609e+06 0.5; - rd_addr_ptr~2 0xc0 3.74075e+06 0.472656; - usedw_process~0 0xc0 1.53964e+06 0.109375; - usedw_process~1 0xc0 3.72338e+06 0.794922; - wr_addr_ptr[0] 0xc0 8.04014e+06 0.5; - wr_addr_ptr[1] 0xc0 8.04014e+06 0.5; - wr_addr_ptr[2] 0xc0 8.04014e+06 0.5; - wr_addr_ptr~0 0xc0 5.18682e+06 0.5; - wr_addr_ptr~1 0xc0 2.55718e+06 0.474365; - wr_addr_ptr~2 0xc0 2.55718e+06 0.474365; - ready_fifo_wrreq~0 0xc0 2.31935e+06 0.234375; - sink_ready_ctrl_process~0 0xc0 1.34755e+06 0.78125; - sink_ready_ctrl~0 0xc0 4.52258e+06 0.25; - sink_ready_ctrl~1 0xc0 4.08288e+06 0.5; - sink_ready_ctrl~2 0xc0 3.406e+06 0.234375; - sink_ready_ctrl~3 0xc0 893552 0.106445; - stall_reg 0xc0 8.04014e+06 0.5; - stall_reg~0 0xc0 1.91581e+06 0.875; - alt_cic_dec_siso:dec_one; - Equal2~0 0xc0 724319 0.0625; - Equal2~1 0xc0 4.52258e+06 0.25; - comb~0 0xc0 8.97498e+06 0.53125; - auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][2]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][3]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][5]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][6]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][7]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][8]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][9]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][10]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][11]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][12]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][15]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][16]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][17]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][19]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][22]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][23]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][25]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][26]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][27]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][28]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][29]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][30]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][31]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][35]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][36]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][38]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][40]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][41]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][42]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][47]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][52]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][53]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][54]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][55]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][56]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][57]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][58]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][61]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][62]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][64]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][65]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][66]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][69]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][70]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][74]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][75]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][76]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][79]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][80]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][81]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][82]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][84]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5; - dout[0] 0xc0 8.04014e+06 0.5; - dout[0]~86 0xc0 8.04014e+06 0.5; - dout[0]~87 0xc0 4.52258e+06 0.75; - dout[1] 0xc0 8.04014e+06 0.5; - dout[1]~88 0xc0 6.78387e+06 0.5; - dout[1]~89 0xc0 3.74526e+06 0.375; - dout[2] 0xc0 8.04014e+06 0.5; - dout[2]~90 0xc0 5.74155e+06 0.5; - dout[2]~91 0xc0 4.40971e+06 0.5625; - dout[3] 0xc0 8.04014e+06 0.5; - dout[3]~92 0xc0 5.69567e+06 0.5; - dout[3]~93 0xc0 5.96496e+06 0.46875; - dout[4] 0xc0 8.04014e+06 0.5; - dout[4]~94 0xc0 6.03148e+06 0.5; - dout[4]~95 0xc0 5.25544e+06 0.515625; - dout[5] 0xc0 8.04014e+06 0.5; - dout[5]~96 0xc0 5.84085e+06 0.5; - dout[5]~97 0xc0 5.57675e+06 0.492188; - dout[6] 0xc0 8.04014e+06 0.5; - dout[6]~98 0xc0 5.91787e+06 0.5; - dout[6]~99 0xc0 5.40143e+06 0.503906; - dout[7] 0xc0 8.04014e+06 0.5; - dout[7]~100 0xc0 5.87321e+06 0.5; - dout[7]~101 0xc0 5.48463e+06 0.498047; - dout[8] 0xc0 8.04014e+06 0.5; - dout[8]~102 0xc0 5.8938e+06 0.5; - dout[8]~103 0xc0 5.44182e+06 0.500977; - dout[9] 0xc0 8.04014e+06 0.5; - dout[9]~104 0xc0 5.88305e+06 0.5; - dout[9]~105 0xc0 5.46291e+06 0.499512; - dout[10] 0xc0 8.04014e+06 0.5; - dout[10]~106 0xc0 5.88831e+06 0.5; - dout[10]~107 0xc0 5.45228e+06 0.500244; - dout[11] 0xc0 8.04014e+06 0.5; - dout[11]~109 0xc0 5.88565e+06 0.5; - dout[11]~110 0xc0 5.45758e+06 0.499878; - dout[12] 0xc0 8.04014e+06 0.5; - dout[12]~111 0xc0 5.88697e+06 0.5; - dout[12]~112 0xc0 5.45492e+06 0.500061; - dout[13] 0xc0 8.04014e+06 0.5; - dout[13]~113 0xc0 5.88631e+06 0.5; - dout[13]~114 0xc0 5.45625e+06 0.499969; - dout[14] 0xc0 8.04014e+06 0.5; - dout[14]~115 0xc0 5.88664e+06 0.5; - dout[14]~116 0xc0 5.45559e+06 0.500015; - dout[15] 0xc0 8.04014e+06 0.5; - dout[15]~117 0xc0 5.88647e+06 0.5; - dout[15]~118 0xc0 5.45592e+06 0.499992; - dout[16] 0xc0 8.04014e+06 0.5; - dout[16]~119 0xc0 5.88656e+06 0.5; - dout[16]~120 0xc0 5.45575e+06 0.500004; - dout[17] 0xc0 8.04014e+06 0.5; - dout[17]~121 0xc0 5.88651e+06 0.5; - dout[17]~122 0xc0 5.45583e+06 0.499998; - dout[18] 0xc0 8.04014e+06 0.5; - dout[18]~123 0xc0 5.88654e+06 0.5; - dout[18]~124 0xc0 5.45579e+06 0.500001; - dout[19] 0xc0 8.04014e+06 0.5; - dout[19]~125 0xc0 5.88653e+06 0.5; - dout[19]~126 0xc0 5.45581e+06 0.5; - dout[20] 0xc0 8.04014e+06 0.5; - dout[20]~127 0xc0 5.88653e+06 0.5; - dout[20]~128 0xc0 5.4558e+06 0.5; - dout[21] 0xc0 8.04014e+06 0.5; - dout[21]~129 0xc0 5.88653e+06 0.5; - dout[21]~130 0xc0 5.45581e+06 0.5; - dout[22] 0xc0 8.04014e+06 0.5; - dout[22]~131 0xc0 5.88653e+06 0.5; - dout[22]~132 0xc0 5.45581e+06 0.5; - dout[23] 0xc0 8.04014e+06 0.5; - dout[23]~133 0xc0 5.88653e+06 0.5; - dout[23]~134 0xc0 5.45581e+06 0.5; - dout[24] 0xc0 8.04014e+06 0.5; - dout[24]~135 0xc0 5.88653e+06 0.5; - dout[24]~136 0xc0 5.45581e+06 0.5; - dout[25] 0xc0 8.04014e+06 0.5; - dout[25]~137 0xc0 5.88653e+06 0.5; - dout[25]~138 0xc0 5.45581e+06 0.5; - dout[26] 0xc0 8.04014e+06 0.5; - dout[26]~139 0xc0 5.88653e+06 0.5; - dout[26]~140 0xc0 5.45581e+06 0.5; - dout[27] 0xc0 8.04014e+06 0.5; - dout[27]~141 0xc0 5.88653e+06 0.5; - dout[27]~142 0xc0 5.45581e+06 0.5; - dout[28] 0xc0 8.04014e+06 0.5; - dout[28]~143 0xc0 5.88653e+06 0.5; - dout[28]~144 0xc0 5.45581e+06 0.5; - dout[29] 0xc0 8.04014e+06 0.5; - dout[29]~145 0xc0 5.88653e+06 0.5; - dout[29]~146 0xc0 5.45581e+06 0.5; - dout[30] 0xc0 8.04014e+06 0.5; - dout[30]~147 0xc0 5.88653e+06 0.5; - dout[30]~148 0xc0 5.45581e+06 0.5; - dout[31] 0xc0 8.04014e+06 0.5; - dout[31]~149 0xc0 5.88653e+06 0.5; - dout[31]~150 0xc0 5.45581e+06 0.5; - dout[32] 0xc0 8.04014e+06 0.5; - dout[32]~151 0xc0 5.88653e+06 0.5; - dout[32]~152 0xc0 5.45581e+06 0.5; - dout[33] 0xc0 8.04014e+06 0.5; - dout[33]~153 0xc0 5.88653e+06 0.5; - dout[33]~154 0xc0 5.45581e+06 0.5; - dout[34] 0xc0 8.04014e+06 0.5; - dout[34]~155 0xc0 5.88653e+06 0.5; - dout[34]~156 0xc0 5.45581e+06 0.5; - dout[35] 0xc0 8.04014e+06 0.5; - dout[35]~157 0xc0 5.88653e+06 0.5; - dout[35]~158 0xc0 5.45581e+06 0.5; - dout[36] 0xc0 8.04014e+06 0.5; - dout[36]~159 0xc0 5.88653e+06 0.5; - dout[36]~160 0xc0 5.45581e+06 0.5; - dout[37] 0xc0 8.04014e+06 0.5; - dout[37]~161 0xc0 5.88653e+06 0.5; - dout[37]~162 0xc0 5.45581e+06 0.5; - dout[38] 0xc0 8.04014e+06 0.5; - dout[38]~163 0xc0 5.88653e+06 0.5; - dout[38]~164 0xc0 5.45581e+06 0.5; - dout[39] 0xc0 8.04014e+06 0.5; - dout[39]~165 0xc0 5.88653e+06 0.5; - dout[39]~166 0xc0 5.45581e+06 0.5; - dout[40] 0xc0 8.04014e+06 0.5; - dout[40]~167 0xc0 5.88653e+06 0.5; - dout[40]~168 0xc0 5.45581e+06 0.5; - dout[41] 0xc0 8.04014e+06 0.5; - dout[41]~169 0xc0 5.88653e+06 0.5; - dout[41]~170 0xc0 5.45581e+06 0.5; - dout[42] 0xc0 8.04014e+06 0.5; - dout[42]~171 0xc0 5.88653e+06 0.5; - dout[42]~172 0xc0 5.45581e+06 0.5; - dout[43] 0xc0 8.04014e+06 0.5; - dout[43]~173 0xc0 5.88653e+06 0.5; - dout[43]~174 0xc0 5.45581e+06 0.5; - dout[44] 0xc0 8.04014e+06 0.5; - dout[44]~175 0xc0 5.88653e+06 0.5; - dout[44]~176 0xc0 5.45581e+06 0.5; - dout[45] 0xc0 8.04014e+06 0.5; - dout[45]~177 0xc0 5.88653e+06 0.5; - dout[45]~178 0xc0 5.45581e+06 0.5; - dout[46] 0xc0 8.04014e+06 0.5; - dout[46]~179 0xc0 5.88653e+06 0.5; - dout[46]~180 0xc0 5.45581e+06 0.5; - dout[47] 0xc0 8.04014e+06 0.5; - dout[47]~181 0xc0 5.88653e+06 0.5; - dout[47]~182 0xc0 5.45581e+06 0.5; - dout[48] 0xc0 8.04014e+06 0.5; - dout[48]~183 0xc0 5.88653e+06 0.5; - dout[48]~184 0xc0 5.45581e+06 0.5; - dout[49] 0xc0 8.04014e+06 0.5; - dout[49]~185 0xc0 5.88653e+06 0.5; - dout[49]~186 0xc0 5.45581e+06 0.5; - dout[50] 0xc0 8.04014e+06 0.5; - dout[50]~187 0xc0 5.88653e+06 0.5; - dout[50]~188 0xc0 5.45581e+06 0.5; - dout[51] 0xc0 8.04014e+06 0.5; - dout[51]~189 0xc0 5.88653e+06 0.5; - dout[51]~190 0xc0 5.45581e+06 0.5; - dout[52] 0xc0 8.04014e+06 0.5; - dout[52]~191 0xc0 5.88653e+06 0.5; - dout[52]~192 0xc0 5.45581e+06 0.5; - dout[53] 0xc0 8.04014e+06 0.5; - dout[53]~193 0xc0 5.88653e+06 0.5; - dout[53]~194 0xc0 5.45581e+06 0.5; - dout[54] 0xc0 8.04014e+06 0.5; - dout[54]~195 0xc0 5.88653e+06 0.5; - dout[54]~196 0xc0 5.45581e+06 0.5; - dout[55] 0xc0 8.04014e+06 0.5; - dout[55]~197 0xc0 5.88653e+06 0.5; - dout[55]~198 0xc0 5.45581e+06 0.5; - dout[56] 0xc0 8.04014e+06 0.5; - dout[56]~199 0xc0 5.88653e+06 0.5; - dout[56]~200 0xc0 5.45581e+06 0.5; - dout[57] 0xc0 8.04014e+06 0.5; - dout[57]~201 0xc0 5.88653e+06 0.5; - dout[57]~202 0xc0 5.45581e+06 0.5; - dout[58] 0xc0 8.04014e+06 0.5; - dout[58]~203 0xc0 5.88653e+06 0.5; - dout[58]~204 0xc0 5.45581e+06 0.5; - dout[59] 0xc0 8.04014e+06 0.5; - dout[59]~205 0xc0 5.88653e+06 0.5; - dout[59]~206 0xc0 5.45581e+06 0.5; - dout[60] 0xc0 8.04014e+06 0.5; - dout[60]~207 0xc0 5.88653e+06 0.5; - dout[60]~208 0xc0 5.45581e+06 0.5; - dout[61] 0xc0 8.04014e+06 0.5; - dout[61]~209 0xc0 5.88653e+06 0.5; - dout[61]~210 0xc0 5.45581e+06 0.5; - dout[62] 0xc0 8.04014e+06 0.5; - dout[62]~211 0xc0 5.88653e+06 0.5; - dout[62]~212 0xc0 5.45581e+06 0.5; - dout[63] 0xc0 8.04014e+06 0.5; - dout[63]~213 0xc0 5.88653e+06 0.5; - dout[63]~214 0xc0 5.45581e+06 0.5; - dout[64] 0xc0 8.04014e+06 0.5; - dout[64]~215 0xc0 5.88653e+06 0.5; - dout[64]~216 0xc0 5.45581e+06 0.5; - dout[65] 0xc0 8.04014e+06 0.5; - dout[65]~217 0xc0 5.88653e+06 0.5; - dout[65]~218 0xc0 5.45581e+06 0.5; - dout[66] 0xc0 8.04014e+06 0.5; - dout[66]~219 0xc0 5.88653e+06 0.5; - dout[66]~220 0xc0 5.45581e+06 0.5; - dout[67] 0xc0 8.04014e+06 0.5; - dout[67]~221 0xc0 5.88653e+06 0.5; - dout[67]~222 0xc0 5.45581e+06 0.5; - dout[68] 0xc0 8.04014e+06 0.5; - dout[68]~223 0xc0 5.88653e+06 0.5; - dout[68]~224 0xc0 5.45581e+06 0.5; - dout[69] 0xc0 8.04014e+06 0.5; - dout[69]~225 0xc0 5.88653e+06 0.5; - dout[69]~226 0xc0 5.45581e+06 0.5; - dout[70] 0xc0 8.04014e+06 0.5; - dout[70]~227 0xc0 5.88653e+06 0.5; - dout[70]~228 0xc0 5.45581e+06 0.5; - dout[71] 0xc0 8.04014e+06 0.5; - dout[71]~229 0xc0 5.88653e+06 0.5; - dout[71]~230 0xc0 5.45581e+06 0.5; - dout[72] 0xc0 8.04014e+06 0.5; - dout[72]~231 0xc0 5.88653e+06 0.5; - dout[72]~232 0xc0 5.45581e+06 0.5; - dout[73] 0xc0 8.04014e+06 0.5; - dout[73]~233 0xc0 5.88653e+06 0.5; - dout[73]~234 0xc0 5.45581e+06 0.5; - dout[74] 0xc0 8.04014e+06 0.5; - dout[74]~235 0xc0 5.88653e+06 0.5; - dout[74]~236 0xc0 5.45581e+06 0.5; - dout[75] 0xc0 8.04014e+06 0.5; - dout[75]~237 0xc0 5.88653e+06 0.5; - dout[75]~238 0xc0 5.45581e+06 0.5; - dout[76] 0xc0 8.04014e+06 0.5; - dout[76]~239 0xc0 5.88653e+06 0.5; - dout[76]~240 0xc0 5.45581e+06 0.5; - dout[77] 0xc0 8.04014e+06 0.5; - dout[77]~241 0xc0 5.88653e+06 0.5; - dout[77]~242 0xc0 5.45581e+06 0.5; - dout[78] 0xc0 8.04014e+06 0.5; - dout[78]~243 0xc0 5.88653e+06 0.5; - dout[78]~244 0xc0 5.45581e+06 0.5; - dout[79] 0xc0 8.04014e+06 0.5; - dout[79]~245 0xc0 5.88653e+06 0.5; - dout[79]~246 0xc0 5.45581e+06 0.5; - dout[80] 0xc0 8.04014e+06 0.5; - dout[80]~247 0xc0 5.88653e+06 0.5; - dout[80]~248 0xc0 5.45581e+06 0.5; - dout[81] 0xc0 8.04014e+06 0.5; - dout[81]~249 0xc0 5.88653e+06 0.5; - dout[81]~250 0xc0 5.45581e+06 0.5; - dout[82] 0xc0 8.04014e+06 0.5; - dout[82]~251 0xc0 5.88653e+06 0.5; - dout[82]~252 0xc0 5.45581e+06 0.5; - dout[83] 0xc0 8.04014e+06 0.5; - dout[83]~253 0xc0 5.88653e+06 0.5; - dout[83]~254 0xc0 5.45581e+06 0.5; - dout[84] 0xc0 8.04014e+06 0.5; - dout[84]~255 0xc0 5.88653e+06 0.5; - dout[84]~256 0xc0 5.45581e+06 0.5; - dout[85] 0xc0 8.04014e+06 0.5; - dout[85]~257 0xc0 5.88653e+06 0.5; - dout_valid 0xc0 8.04014e+06 0.5; - dout_valid~0 0xc0 6.0301e+06 0.25; - dout~108 0xc0 7.53763e+06 0.625; - dout~259 0xc0 6.0301e+06 0.25; - auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][2]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][3]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][4]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][6]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][7]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][8]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][9]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][13]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][14]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][16]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][17]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][18]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][21]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][22]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][23]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][26]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][29]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][31]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][35]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][37]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][38]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][39]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][41]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][44]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][47]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][48]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][49]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][50]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][52]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][53]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][56]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][57]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][59]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][60]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][62]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][64]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][65]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][66]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][67]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][71]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][73]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][75]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][76]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][79]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][80]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][81]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][82]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][85]~feeder 0xc0 8.04014e+06 0.5; - dout[0] 0xc0 8.04014e+06 0.5; - dout[0]~86 0xc0 8.04014e+06 0.5; - dout[0]~87 0xc0 4.52258e+06 0.75; - dout[1] 0xc0 8.04014e+06 0.5; - dout[1]~88 0xc0 6.78387e+06 0.5; - dout[1]~89 0xc0 3.74526e+06 0.375; - dout[2] 0xc0 8.04014e+06 0.5; - dout[2]~90 0xc0 5.74155e+06 0.5; - dout[2]~91 0xc0 4.40971e+06 0.5625; - dout[3] 0xc0 8.04014e+06 0.5; - dout[3]~92 0xc0 5.69567e+06 0.5; - dout[3]~93 0xc0 5.96496e+06 0.46875; - dout[4] 0xc0 8.04014e+06 0.5; - dout[4]~94 0xc0 6.03148e+06 0.5; - dout[4]~95 0xc0 5.25544e+06 0.515625; - dout[5] 0xc0 8.04014e+06 0.5; - dout[5]~96 0xc0 5.84085e+06 0.5; - dout[5]~97 0xc0 5.57675e+06 0.492188; - dout[6] 0xc0 8.04014e+06 0.5; - dout[6]~98 0xc0 5.91787e+06 0.5; - dout[6]~99 0xc0 5.40143e+06 0.503906; - dout[7] 0xc0 8.04014e+06 0.5; - dout[7]~100 0xc0 5.87321e+06 0.5; - dout[7]~101 0xc0 5.48463e+06 0.498047; - dout[8] 0xc0 8.04014e+06 0.5; - dout[8]~102 0xc0 5.8938e+06 0.5; - dout[8]~103 0xc0 5.44182e+06 0.500977; - dout[9] 0xc0 8.04014e+06 0.5; - dout[9]~104 0xc0 5.88305e+06 0.5; - dout[9]~105 0xc0 5.46291e+06 0.499512; - dout[10] 0xc0 8.04014e+06 0.5; - dout[10]~106 0xc0 5.88831e+06 0.5; - dout[10]~107 0xc0 5.45228e+06 0.500244; - dout[11] 0xc0 8.04014e+06 0.5; - dout[11]~109 0xc0 5.88565e+06 0.5; - dout[11]~110 0xc0 5.45758e+06 0.499878; - dout[12] 0xc0 8.04014e+06 0.5; - dout[12]~111 0xc0 5.88697e+06 0.5; - dout[12]~112 0xc0 5.45492e+06 0.500061; - dout[13] 0xc0 8.04014e+06 0.5; - dout[13]~113 0xc0 5.88631e+06 0.5; - dout[13]~114 0xc0 5.45625e+06 0.499969; - dout[14] 0xc0 8.04014e+06 0.5; - dout[14]~115 0xc0 5.88664e+06 0.5; - dout[14]~116 0xc0 5.45559e+06 0.500015; - dout[15] 0xc0 8.04014e+06 0.5; - dout[15]~117 0xc0 5.88647e+06 0.5; - dout[15]~118 0xc0 5.45592e+06 0.499992; - dout[16] 0xc0 8.04014e+06 0.5; - dout[16]~119 0xc0 5.88656e+06 0.5; - dout[16]~120 0xc0 5.45575e+06 0.500004; - dout[17] 0xc0 8.04014e+06 0.5; - dout[17]~121 0xc0 5.88651e+06 0.5; - dout[17]~122 0xc0 5.45583e+06 0.499998; - dout[18] 0xc0 8.04014e+06 0.5; - dout[18]~123 0xc0 5.88654e+06 0.5; - dout[18]~124 0xc0 5.45579e+06 0.500001; - dout[19] 0xc0 8.04014e+06 0.5; - dout[19]~125 0xc0 5.88653e+06 0.5; - dout[19]~126 0xc0 5.45581e+06 0.5; - dout[20] 0xc0 8.04014e+06 0.5; - dout[20]~127 0xc0 5.88653e+06 0.5; - dout[20]~128 0xc0 5.4558e+06 0.5; - dout[21] 0xc0 8.04014e+06 0.5; - dout[21]~129 0xc0 5.88653e+06 0.5; - dout[21]~130 0xc0 5.45581e+06 0.5; - dout[22] 0xc0 8.04014e+06 0.5; - dout[22]~131 0xc0 5.88653e+06 0.5; - dout[22]~132 0xc0 5.45581e+06 0.5; - dout[23] 0xc0 8.04014e+06 0.5; - dout[23]~133 0xc0 5.88653e+06 0.5; - dout[23]~134 0xc0 5.45581e+06 0.5; - dout[24] 0xc0 8.04014e+06 0.5; - dout[24]~135 0xc0 5.88653e+06 0.5; - dout[24]~136 0xc0 5.45581e+06 0.5; - dout[25] 0xc0 8.04014e+06 0.5; - dout[25]~137 0xc0 5.88653e+06 0.5; - dout[25]~138 0xc0 5.45581e+06 0.5; - dout[26] 0xc0 8.04014e+06 0.5; - dout[26]~139 0xc0 5.88653e+06 0.5; - dout[26]~140 0xc0 5.45581e+06 0.5; - dout[27] 0xc0 8.04014e+06 0.5; - dout[27]~141 0xc0 5.88653e+06 0.5; - dout[27]~142 0xc0 5.45581e+06 0.5; - dout[28] 0xc0 8.04014e+06 0.5; - dout[28]~143 0xc0 5.88653e+06 0.5; - dout[28]~144 0xc0 5.45581e+06 0.5; - dout[29] 0xc0 8.04014e+06 0.5; - dout[29]~145 0xc0 5.88653e+06 0.5; - dout[29]~146 0xc0 5.45581e+06 0.5; - dout[30] 0xc0 8.04014e+06 0.5; - dout[30]~147 0xc0 5.88653e+06 0.5; - dout[30]~148 0xc0 5.45581e+06 0.5; - dout[31] 0xc0 8.04014e+06 0.5; - dout[31]~149 0xc0 5.88653e+06 0.5; - dout[31]~150 0xc0 5.45581e+06 0.5; - dout[32] 0xc0 8.04014e+06 0.5; - dout[32]~151 0xc0 5.88653e+06 0.5; - dout[32]~152 0xc0 5.45581e+06 0.5; - dout[33] 0xc0 8.04014e+06 0.5; - dout[33]~153 0xc0 5.88653e+06 0.5; - dout[33]~154 0xc0 5.45581e+06 0.5; - dout[34] 0xc0 8.04014e+06 0.5; - dout[34]~155 0xc0 5.88653e+06 0.5; - dout[34]~156 0xc0 5.45581e+06 0.5; - dout[35] 0xc0 8.04014e+06 0.5; - dout[35]~157 0xc0 5.88653e+06 0.5; - dout[35]~158 0xc0 5.45581e+06 0.5; - dout[36] 0xc0 8.04014e+06 0.5; - dout[36]~159 0xc0 5.88653e+06 0.5; - dout[36]~160 0xc0 5.45581e+06 0.5; - dout[37] 0xc0 8.04014e+06 0.5; - dout[37]~161 0xc0 5.88653e+06 0.5; - dout[37]~162 0xc0 5.45581e+06 0.5; - dout[38] 0xc0 8.04014e+06 0.5; - dout[38]~163 0xc0 5.88653e+06 0.5; - dout[38]~164 0xc0 5.45581e+06 0.5; - dout[39] 0xc0 8.04014e+06 0.5; - dout[39]~165 0xc0 5.88653e+06 0.5; - dout[39]~166 0xc0 5.45581e+06 0.5; - dout[40] 0xc0 8.04014e+06 0.5; - dout[40]~167 0xc0 5.88653e+06 0.5; - dout[40]~168 0xc0 5.45581e+06 0.5; - dout[41] 0xc0 8.04014e+06 0.5; - dout[41]~169 0xc0 5.88653e+06 0.5; - dout[41]~170 0xc0 5.45581e+06 0.5; - dout[42] 0xc0 8.04014e+06 0.5; - dout[42]~171 0xc0 5.88653e+06 0.5; - dout[42]~172 0xc0 5.45581e+06 0.5; - dout[43] 0xc0 8.04014e+06 0.5; - dout[43]~173 0xc0 5.88653e+06 0.5; - dout[43]~174 0xc0 5.45581e+06 0.5; - dout[44] 0xc0 8.04014e+06 0.5; - dout[44]~175 0xc0 5.88653e+06 0.5; - dout[44]~176 0xc0 5.45581e+06 0.5; - dout[45] 0xc0 8.04014e+06 0.5; - dout[45]~177 0xc0 5.88653e+06 0.5; - dout[45]~178 0xc0 5.45581e+06 0.5; - dout[46] 0xc0 8.04014e+06 0.5; - dout[46]~179 0xc0 5.88653e+06 0.5; - dout[46]~180 0xc0 5.45581e+06 0.5; - dout[47] 0xc0 8.04014e+06 0.5; - dout[47]~181 0xc0 5.88653e+06 0.5; - dout[47]~182 0xc0 5.45581e+06 0.5; - dout[48] 0xc0 8.04014e+06 0.5; - dout[48]~183 0xc0 5.88653e+06 0.5; - dout[48]~184 0xc0 5.45581e+06 0.5; - dout[49] 0xc0 8.04014e+06 0.5; - dout[49]~185 0xc0 5.88653e+06 0.5; - dout[49]~186 0xc0 5.45581e+06 0.5; - dout[50] 0xc0 8.04014e+06 0.5; - dout[50]~187 0xc0 5.88653e+06 0.5; - dout[50]~188 0xc0 5.45581e+06 0.5; - dout[51] 0xc0 8.04014e+06 0.5; - dout[51]~189 0xc0 5.88653e+06 0.5; - dout[51]~190 0xc0 5.45581e+06 0.5; - dout[52] 0xc0 8.04014e+06 0.5; - dout[52]~191 0xc0 5.88653e+06 0.5; - dout[52]~192 0xc0 5.45581e+06 0.5; - dout[53] 0xc0 8.04014e+06 0.5; - dout[53]~193 0xc0 5.88653e+06 0.5; - dout[53]~194 0xc0 5.45581e+06 0.5; - dout[54] 0xc0 8.04014e+06 0.5; - dout[54]~195 0xc0 5.88653e+06 0.5; - dout[54]~196 0xc0 5.45581e+06 0.5; - dout[55] 0xc0 8.04014e+06 0.5; - dout[55]~197 0xc0 5.88653e+06 0.5; - dout[55]~198 0xc0 5.45581e+06 0.5; - dout[56] 0xc0 8.04014e+06 0.5; - dout[56]~199 0xc0 5.88653e+06 0.5; - dout[56]~200 0xc0 5.45581e+06 0.5; - dout[57] 0xc0 8.04014e+06 0.5; - dout[57]~201 0xc0 5.88653e+06 0.5; - dout[57]~202 0xc0 5.45581e+06 0.5; - dout[58] 0xc0 8.04014e+06 0.5; - dout[58]~203 0xc0 5.88653e+06 0.5; - dout[58]~204 0xc0 5.45581e+06 0.5; - dout[59] 0xc0 8.04014e+06 0.5; - dout[59]~205 0xc0 5.88653e+06 0.5; - dout[59]~206 0xc0 5.45581e+06 0.5; - dout[60] 0xc0 8.04014e+06 0.5; - dout[60]~207 0xc0 5.88653e+06 0.5; - dout[60]~208 0xc0 5.45581e+06 0.5; - dout[61] 0xc0 8.04014e+06 0.5; - dout[61]~209 0xc0 5.88653e+06 0.5; - dout[61]~210 0xc0 5.45581e+06 0.5; - dout[62] 0xc0 8.04014e+06 0.5; - dout[62]~211 0xc0 5.88653e+06 0.5; - dout[62]~212 0xc0 5.45581e+06 0.5; - dout[63] 0xc0 8.04014e+06 0.5; - dout[63]~213 0xc0 5.88653e+06 0.5; - dout[63]~214 0xc0 5.45581e+06 0.5; - dout[64] 0xc0 8.04014e+06 0.5; - dout[64]~215 0xc0 5.88653e+06 0.5; - dout[64]~216 0xc0 5.45581e+06 0.5; - dout[65] 0xc0 8.04014e+06 0.5; - dout[65]~217 0xc0 5.88653e+06 0.5; - dout[65]~218 0xc0 5.45581e+06 0.5; - dout[66] 0xc0 8.04014e+06 0.5; - dout[66]~219 0xc0 5.88653e+06 0.5; - dout[66]~220 0xc0 5.45581e+06 0.5; - dout[67] 0xc0 8.04014e+06 0.5; - dout[67]~221 0xc0 5.88653e+06 0.5; - dout[67]~222 0xc0 5.45581e+06 0.5; - dout[68] 0xc0 8.04014e+06 0.5; - dout[68]~223 0xc0 5.88653e+06 0.5; - dout[68]~224 0xc0 5.45581e+06 0.5; - dout[69] 0xc0 8.04014e+06 0.5; - dout[69]~225 0xc0 5.88653e+06 0.5; - dout[69]~226 0xc0 5.45581e+06 0.5; - dout[70] 0xc0 8.04014e+06 0.5; - dout[70]~227 0xc0 5.88653e+06 0.5; - dout[70]~228 0xc0 5.45581e+06 0.5; - dout[71] 0xc0 8.04014e+06 0.5; - dout[71]~229 0xc0 5.88653e+06 0.5; - dout[71]~230 0xc0 5.45581e+06 0.5; - dout[72] 0xc0 8.04014e+06 0.5; - dout[72]~231 0xc0 5.88653e+06 0.5; - dout[72]~232 0xc0 5.45581e+06 0.5; - dout[73] 0xc0 8.04014e+06 0.5; - dout[73]~233 0xc0 5.88653e+06 0.5; - dout[73]~234 0xc0 5.45581e+06 0.5; - dout[74] 0xc0 8.04014e+06 0.5; - dout[74]~235 0xc0 5.88653e+06 0.5; - dout[74]~236 0xc0 5.45581e+06 0.5; - dout[75] 0xc0 8.04014e+06 0.5; - dout[75]~237 0xc0 5.88653e+06 0.5; - dout[75]~238 0xc0 5.45581e+06 0.5; - dout[76] 0xc0 8.04014e+06 0.5; - dout[76]~239 0xc0 5.88653e+06 0.5; - dout[76]~240 0xc0 5.45581e+06 0.5; - dout[77] 0xc0 8.04014e+06 0.5; - dout[77]~241 0xc0 5.88653e+06 0.5; - dout[77]~242 0xc0 5.45581e+06 0.5; - dout[78] 0xc0 8.04014e+06 0.5; - dout[78]~243 0xc0 5.88653e+06 0.5; - dout[78]~244 0xc0 5.45581e+06 0.5; - dout[79] 0xc0 8.04014e+06 0.5; - dout[79]~245 0xc0 5.88653e+06 0.5; - dout[79]~246 0xc0 5.45581e+06 0.5; - dout[80] 0xc0 8.04014e+06 0.5; - dout[80]~247 0xc0 5.88653e+06 0.5; - dout[80]~248 0xc0 5.45581e+06 0.5; - dout[81] 0xc0 8.04014e+06 0.5; - dout[81]~249 0xc0 5.88653e+06 0.5; - dout[81]~250 0xc0 5.45581e+06 0.5; - dout[82] 0xc0 8.04014e+06 0.5; - dout[82]~251 0xc0 5.88653e+06 0.5; - dout[82]~252 0xc0 5.45581e+06 0.5; - dout[83] 0xc0 8.04014e+06 0.5; - dout[83]~253 0xc0 5.88653e+06 0.5; - dout[83]~254 0xc0 5.45581e+06 0.5; - dout[84] 0xc0 8.04014e+06 0.5; - dout[84]~255 0xc0 5.88653e+06 0.5; - dout[84]~256 0xc0 5.45581e+06 0.5; - dout[85] 0xc0 8.04014e+06 0.5; - dout[85]~257 0xc0 7.39405e+06 0.5; - dout_valid 0xc0 8.04014e+06 0.5; - dout_valid~0 0xc0 6.0301e+06 0.25; - dout~108 0xc0 7.53763e+06 0.625; - dout~259 0xc0 6.0301e+06 0.25; - auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][2]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][3]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][4]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][5]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][7]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][8]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][10]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][12]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][14]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][19]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][20]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][21]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][22]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][23]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][24]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][25]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][28]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][29]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][30]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][31]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][34]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][36]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][37]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][38]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][39]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][42]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][45]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][47]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][49]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][50]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][51]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][55]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][56]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][58]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][60]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][62]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][64]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][65]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][66]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][67]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][68]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][69]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][71]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][73]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][74]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][75]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][76]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][77]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][79]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][80]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][84]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][85]~feeder 0xc0 8.04014e+06 0.5; - dout[0] 0xc0 8.04014e+06 0.5; - dout[0]~86 0xc0 8.04014e+06 0.5; - dout[0]~87 0xc0 4.52258e+06 0.75; - dout[1] 0xc0 8.04014e+06 0.5; - dout[1]~88 0xc0 6.78387e+06 0.5; - dout[1]~89 0xc0 3.74526e+06 0.375; - dout[2] 0xc0 8.04014e+06 0.5; - dout[2]~90 0xc0 5.74155e+06 0.5; - dout[2]~91 0xc0 4.40971e+06 0.5625; - dout[3] 0xc0 8.04014e+06 0.5; - dout[3]~92 0xc0 5.69567e+06 0.5; - dout[3]~93 0xc0 5.96496e+06 0.46875; - dout[4] 0xc0 8.04014e+06 0.5; - dout[4]~94 0xc0 6.03148e+06 0.5; - dout[4]~95 0xc0 5.25544e+06 0.515625; - dout[5] 0xc0 8.04014e+06 0.5; - dout[5]~96 0xc0 5.84085e+06 0.5; - dout[5]~97 0xc0 5.57675e+06 0.492188; - dout[6] 0xc0 8.04014e+06 0.5; - dout[6]~98 0xc0 5.91787e+06 0.5; - dout[6]~99 0xc0 5.40143e+06 0.503906; - dout[7] 0xc0 8.04014e+06 0.5; - dout[7]~100 0xc0 5.87321e+06 0.5; - dout[7]~101 0xc0 5.48463e+06 0.498047; - dout[8] 0xc0 8.04014e+06 0.5; - dout[8]~102 0xc0 5.8938e+06 0.5; - dout[8]~103 0xc0 5.44182e+06 0.500977; - dout[9] 0xc0 8.04014e+06 0.5; - dout[9]~104 0xc0 5.88305e+06 0.5; - dout[9]~105 0xc0 5.46291e+06 0.499512; - dout[10] 0xc0 8.04014e+06 0.5; - dout[10]~106 0xc0 5.88831e+06 0.5; - dout[10]~107 0xc0 5.45228e+06 0.500244; - dout[11] 0xc0 8.04014e+06 0.5; - dout[11]~109 0xc0 5.88565e+06 0.5; - dout[11]~110 0xc0 5.45758e+06 0.499878; - dout[12] 0xc0 8.04014e+06 0.5; - dout[12]~111 0xc0 5.88697e+06 0.5; - dout[12]~112 0xc0 5.45492e+06 0.500061; - dout[13] 0xc0 8.04014e+06 0.5; - dout[13]~113 0xc0 5.88631e+06 0.5; - dout[13]~114 0xc0 5.45625e+06 0.499969; - dout[14] 0xc0 8.04014e+06 0.5; - dout[14]~115 0xc0 5.88664e+06 0.5; - dout[14]~116 0xc0 5.45559e+06 0.500015; - dout[15] 0xc0 8.04014e+06 0.5; - dout[15]~117 0xc0 5.88647e+06 0.5; - dout[15]~118 0xc0 5.45592e+06 0.499992; - dout[16] 0xc0 8.04014e+06 0.5; - dout[16]~119 0xc0 5.88656e+06 0.5; - dout[16]~120 0xc0 5.45575e+06 0.500004; - dout[17] 0xc0 8.04014e+06 0.5; - dout[17]~121 0xc0 5.88651e+06 0.5; - dout[17]~122 0xc0 5.45583e+06 0.499998; - dout[18] 0xc0 8.04014e+06 0.5; - dout[18]~123 0xc0 5.88654e+06 0.5; - dout[18]~124 0xc0 5.45579e+06 0.500001; - dout[19] 0xc0 8.04014e+06 0.5; - dout[19]~125 0xc0 5.88653e+06 0.5; - dout[19]~126 0xc0 5.45581e+06 0.5; - dout[20] 0xc0 8.04014e+06 0.5; - dout[20]~127 0xc0 5.88653e+06 0.5; - dout[20]~128 0xc0 5.4558e+06 0.5; - dout[21] 0xc0 8.04014e+06 0.5; - dout[21]~129 0xc0 5.88653e+06 0.5; - dout[21]~130 0xc0 5.45581e+06 0.5; - dout[22] 0xc0 8.04014e+06 0.5; - dout[22]~131 0xc0 5.88653e+06 0.5; - dout[22]~132 0xc0 5.45581e+06 0.5; - dout[23] 0xc0 8.04014e+06 0.5; - dout[23]~133 0xc0 5.88653e+06 0.5; - dout[23]~134 0xc0 5.45581e+06 0.5; - dout[24] 0xc0 8.04014e+06 0.5; - dout[24]~135 0xc0 5.88653e+06 0.5; - dout[24]~136 0xc0 5.45581e+06 0.5; - dout[25] 0xc0 8.04014e+06 0.5; - dout[25]~137 0xc0 5.88653e+06 0.5; - dout[25]~138 0xc0 5.45581e+06 0.5; - dout[26] 0xc0 8.04014e+06 0.5; - dout[26]~139 0xc0 5.88653e+06 0.5; - dout[26]~140 0xc0 5.45581e+06 0.5; - dout[27] 0xc0 8.04014e+06 0.5; - dout[27]~141 0xc0 5.88653e+06 0.5; - dout[27]~142 0xc0 5.45581e+06 0.5; - dout[28] 0xc0 8.04014e+06 0.5; - dout[28]~143 0xc0 5.88653e+06 0.5; - dout[28]~144 0xc0 5.45581e+06 0.5; - dout[29] 0xc0 8.04014e+06 0.5; - dout[29]~145 0xc0 5.88653e+06 0.5; - dout[29]~146 0xc0 5.45581e+06 0.5; - dout[30] 0xc0 8.04014e+06 0.5; - dout[30]~147 0xc0 5.88653e+06 0.5; - dout[30]~148 0xc0 5.45581e+06 0.5; - dout[31] 0xc0 8.04014e+06 0.5; - dout[31]~149 0xc0 5.88653e+06 0.5; - dout[31]~150 0xc0 5.45581e+06 0.5; - dout[32] 0xc0 8.04014e+06 0.5; - dout[32]~151 0xc0 5.88653e+06 0.5; - dout[32]~152 0xc0 5.45581e+06 0.5; - dout[33] 0xc0 8.04014e+06 0.5; - dout[33]~153 0xc0 5.88653e+06 0.5; - dout[33]~154 0xc0 5.45581e+06 0.5; - dout[34] 0xc0 8.04014e+06 0.5; - dout[34]~155 0xc0 5.88653e+06 0.5; - dout[34]~156 0xc0 5.45581e+06 0.5; - dout[35] 0xc0 8.04014e+06 0.5; - dout[35]~157 0xc0 5.88653e+06 0.5; - dout[35]~158 0xc0 5.45581e+06 0.5; - dout[36] 0xc0 8.04014e+06 0.5; - dout[36]~159 0xc0 5.88653e+06 0.5; - dout[36]~160 0xc0 5.45581e+06 0.5; - dout[37] 0xc0 8.04014e+06 0.5; - dout[37]~161 0xc0 5.88653e+06 0.5; - dout[37]~162 0xc0 5.45581e+06 0.5; - dout[38] 0xc0 8.04014e+06 0.5; - dout[38]~163 0xc0 5.88653e+06 0.5; - dout[38]~164 0xc0 5.45581e+06 0.5; - dout[39] 0xc0 8.04014e+06 0.5; - dout[39]~165 0xc0 5.88653e+06 0.5; - dout[39]~166 0xc0 5.45581e+06 0.5; - dout[40] 0xc0 8.04014e+06 0.5; - dout[40]~167 0xc0 5.88653e+06 0.5; - dout[40]~168 0xc0 5.45581e+06 0.5; - dout[41] 0xc0 8.04014e+06 0.5; - dout[41]~169 0xc0 5.88653e+06 0.5; - dout[41]~170 0xc0 5.45581e+06 0.5; - dout[42] 0xc0 8.04014e+06 0.5; - dout[42]~171 0xc0 5.88653e+06 0.5; - dout[42]~172 0xc0 5.45581e+06 0.5; - dout[43] 0xc0 8.04014e+06 0.5; - dout[43]~173 0xc0 5.88653e+06 0.5; - dout[43]~174 0xc0 5.45581e+06 0.5; - dout[44] 0xc0 8.04014e+06 0.5; - dout[44]~175 0xc0 5.88653e+06 0.5; - dout[44]~176 0xc0 5.45581e+06 0.5; - dout[45] 0xc0 8.04014e+06 0.5; - dout[45]~177 0xc0 5.88653e+06 0.5; - dout[45]~178 0xc0 5.45581e+06 0.5; - dout[46] 0xc0 8.04014e+06 0.5; - dout[46]~179 0xc0 5.88653e+06 0.5; - dout[46]~180 0xc0 5.45581e+06 0.5; - dout[47] 0xc0 8.04014e+06 0.5; - dout[47]~181 0xc0 5.88653e+06 0.5; - dout[47]~182 0xc0 5.45581e+06 0.5; - dout[48] 0xc0 8.04014e+06 0.5; - dout[48]~183 0xc0 5.88653e+06 0.5; - dout[48]~184 0xc0 5.45581e+06 0.5; - dout[49] 0xc0 8.04014e+06 0.5; - dout[49]~185 0xc0 5.88653e+06 0.5; - dout[49]~186 0xc0 5.45581e+06 0.5; - dout[50] 0xc0 8.04014e+06 0.5; - dout[50]~187 0xc0 5.88653e+06 0.5; - dout[50]~188 0xc0 5.45581e+06 0.5; - dout[51] 0xc0 8.04014e+06 0.5; - dout[51]~189 0xc0 5.88653e+06 0.5; - dout[51]~190 0xc0 5.45581e+06 0.5; - dout[52] 0xc0 8.04014e+06 0.5; - dout[52]~191 0xc0 5.88653e+06 0.5; - dout[52]~192 0xc0 5.45581e+06 0.5; - dout[53] 0xc0 8.04014e+06 0.5; - dout[53]~193 0xc0 5.88653e+06 0.5; - dout[53]~194 0xc0 5.45581e+06 0.5; - dout[54] 0xc0 8.04014e+06 0.5; - dout[54]~195 0xc0 5.88653e+06 0.5; - dout[54]~196 0xc0 5.45581e+06 0.5; - dout[55] 0xc0 8.04014e+06 0.5; - dout[55]~197 0xc0 5.88653e+06 0.5; - dout[55]~198 0xc0 5.45581e+06 0.5; - dout[56] 0xc0 8.04014e+06 0.5; - dout[56]~199 0xc0 5.88653e+06 0.5; - dout[56]~200 0xc0 5.45581e+06 0.5; - dout[57] 0xc0 8.04014e+06 0.5; - dout[57]~201 0xc0 5.88653e+06 0.5; - dout[57]~202 0xc0 5.45581e+06 0.5; - dout[58] 0xc0 8.04014e+06 0.5; - dout[58]~203 0xc0 5.88653e+06 0.5; - dout[58]~204 0xc0 5.45581e+06 0.5; - dout[59] 0xc0 8.04014e+06 0.5; - dout[59]~205 0xc0 5.88653e+06 0.5; - dout[59]~206 0xc0 5.45581e+06 0.5; - dout[60] 0xc0 8.04014e+06 0.5; - dout[60]~207 0xc0 5.88653e+06 0.5; - dout[60]~208 0xc0 5.45581e+06 0.5; - dout[61] 0xc0 8.04014e+06 0.5; - dout[61]~209 0xc0 5.88653e+06 0.5; - dout[61]~210 0xc0 5.45581e+06 0.5; - dout[62] 0xc0 8.04014e+06 0.5; - dout[62]~211 0xc0 5.88653e+06 0.5; - dout[62]~212 0xc0 5.45581e+06 0.5; - dout[63] 0xc0 8.04014e+06 0.5; - dout[63]~213 0xc0 5.88653e+06 0.5; - dout[63]~214 0xc0 5.45581e+06 0.5; - dout[64] 0xc0 8.04014e+06 0.5; - dout[64]~215 0xc0 5.88653e+06 0.5; - dout[64]~216 0xc0 5.45581e+06 0.5; - dout[65] 0xc0 8.04014e+06 0.5; - dout[65]~217 0xc0 5.88653e+06 0.5; - dout[65]~218 0xc0 5.45581e+06 0.5; - dout[66] 0xc0 8.04014e+06 0.5; - dout[66]~219 0xc0 5.88653e+06 0.5; - dout[66]~220 0xc0 5.45581e+06 0.5; - dout[67] 0xc0 8.04014e+06 0.5; - dout[67]~221 0xc0 5.88653e+06 0.5; - dout[67]~222 0xc0 5.45581e+06 0.5; - dout[68] 0xc0 8.04014e+06 0.5; - dout[68]~223 0xc0 5.88653e+06 0.5; - dout[68]~224 0xc0 5.45581e+06 0.5; - dout[69] 0xc0 8.04014e+06 0.5; - dout[69]~225 0xc0 5.88653e+06 0.5; - dout[69]~226 0xc0 5.45581e+06 0.5; - dout[70] 0xc0 8.04014e+06 0.5; - dout[70]~227 0xc0 5.88653e+06 0.5; - dout[70]~228 0xc0 5.45581e+06 0.5; - dout[71] 0xc0 8.04014e+06 0.5; - dout[71]~229 0xc0 5.88653e+06 0.5; - dout[71]~230 0xc0 5.45581e+06 0.5; - dout[72] 0xc0 8.04014e+06 0.5; - dout[72]~231 0xc0 5.88653e+06 0.5; - dout[72]~232 0xc0 5.45581e+06 0.5; - dout[73] 0xc0 8.04014e+06 0.5; - dout[73]~233 0xc0 5.88653e+06 0.5; - dout[73]~234 0xc0 5.45581e+06 0.5; - dout[74] 0xc0 8.04014e+06 0.5; - dout[74]~235 0xc0 5.88653e+06 0.5; - dout[74]~236 0xc0 5.45581e+06 0.5; - dout[75] 0xc0 8.04014e+06 0.5; - dout[75]~237 0xc0 5.88653e+06 0.5; - dout[75]~238 0xc0 5.45581e+06 0.5; - dout[76] 0xc0 8.04014e+06 0.5; - dout[76]~239 0xc0 5.88653e+06 0.5; - dout[76]~240 0xc0 5.45581e+06 0.5; - dout[77] 0xc0 8.04014e+06 0.5; - dout[77]~241 0xc0 5.88653e+06 0.5; - dout[77]~242 0xc0 5.45581e+06 0.5; - dout[78] 0xc0 8.04014e+06 0.5; - dout[78]~243 0xc0 5.88653e+06 0.5; - dout[78]~244 0xc0 5.45581e+06 0.5; - dout[79] 0xc0 8.04014e+06 0.5; - dout[79]~245 0xc0 5.88653e+06 0.5; - dout[79]~246 0xc0 5.45581e+06 0.5; - dout[80] 0xc0 8.04014e+06 0.5; - dout[80]~247 0xc0 5.88653e+06 0.5; - dout[80]~248 0xc0 5.45581e+06 0.5; - dout[81] 0xc0 8.04014e+06 0.5; - dout[81]~249 0xc0 5.88653e+06 0.5; - dout[81]~250 0xc0 5.45581e+06 0.5; - dout[82] 0xc0 8.04014e+06 0.5; - dout[82]~251 0xc0 5.88653e+06 0.5; - dout[82]~252 0xc0 5.45581e+06 0.5; - dout[83] 0xc0 8.04014e+06 0.5; - dout[83]~253 0xc0 5.88653e+06 0.5; - dout[83]~254 0xc0 5.45581e+06 0.5; - dout[84] 0xc0 8.04014e+06 0.5; - dout[84]~255 0xc0 5.88653e+06 0.5; - dout[84]~256 0xc0 5.45581e+06 0.5; - dout[85] 0xc0 8.04014e+06 0.5; - dout[85]~257 0xc0 5.88653e+06 0.5; - dout_valid 0xc0 8.04014e+06 0.5; - dout_valid~0 0xc0 6.0301e+06 0.25; - dout~108 0xc0 5.27634e+06 0.625; - dout~259 0xc0 6.0301e+06 0.25; - auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][2]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][3]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][4]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][5]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][6]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][8]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][10]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][13]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][16]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][19]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][21]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][23]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][25]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][26]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][28]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][29]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][30]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][34]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][36]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][37]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][39]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][40]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][47]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][48]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][49]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][50]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][52]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][53]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][54]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][56]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][57]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][61]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][66]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][67]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][69]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][70]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][71]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][73]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][74]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][75]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][76]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][77]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][79]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][80]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][82]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][84]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][85]~feeder 0xc0 8.04014e+06 0.5; - dout[0] 0xc0 8.04014e+06 0.5; - dout[0]~86 0xc0 8.04014e+06 0.5; - dout[0]~87 0xc0 4.52258e+06 0.75; - dout[1] 0xc0 8.04014e+06 0.5; - dout[1]~88 0xc0 6.78387e+06 0.5; - dout[1]~89 0xc0 3.74526e+06 0.375; - dout[2] 0xc0 8.04014e+06 0.5; - dout[2]~90 0xc0 5.74155e+06 0.5; - dout[2]~91 0xc0 4.40971e+06 0.5625; - dout[3] 0xc0 8.04014e+06 0.5; - dout[3]~92 0xc0 5.69567e+06 0.5; - dout[3]~93 0xc0 5.96496e+06 0.46875; - dout[4] 0xc0 8.04014e+06 0.5; - dout[4]~94 0xc0 6.03148e+06 0.5; - dout[4]~95 0xc0 5.25544e+06 0.515625; - dout[5] 0xc0 8.04014e+06 0.5; - dout[5]~96 0xc0 5.84085e+06 0.5; - dout[5]~97 0xc0 5.57675e+06 0.492188; - dout[6] 0xc0 8.04014e+06 0.5; - dout[6]~98 0xc0 5.91787e+06 0.5; - dout[6]~99 0xc0 5.40143e+06 0.503906; - dout[7] 0xc0 8.04014e+06 0.5; - dout[7]~100 0xc0 5.87321e+06 0.5; - dout[7]~101 0xc0 5.48463e+06 0.498047; - dout[8] 0xc0 8.04014e+06 0.5; - dout[8]~102 0xc0 5.8938e+06 0.5; - dout[8]~103 0xc0 5.44182e+06 0.500977; - dout[9] 0xc0 8.04014e+06 0.5; - dout[9]~104 0xc0 5.88305e+06 0.5; - dout[9]~105 0xc0 5.46291e+06 0.499512; - dout[10] 0xc0 8.04014e+06 0.5; - dout[10]~106 0xc0 5.88831e+06 0.5; - dout[10]~107 0xc0 5.45228e+06 0.500244; - dout[11] 0xc0 8.04014e+06 0.5; - dout[11]~109 0xc0 5.88565e+06 0.5; - dout[11]~110 0xc0 5.45758e+06 0.499878; - dout[12] 0xc0 8.04014e+06 0.5; - dout[12]~111 0xc0 5.88697e+06 0.5; - dout[12]~112 0xc0 5.45492e+06 0.500061; - dout[13] 0xc0 8.04014e+06 0.5; - dout[13]~113 0xc0 5.88631e+06 0.5; - dout[13]~114 0xc0 5.45625e+06 0.499969; - dout[14] 0xc0 8.04014e+06 0.5; - dout[14]~115 0xc0 5.88664e+06 0.5; - dout[14]~116 0xc0 5.45559e+06 0.500015; - dout[15] 0xc0 8.04014e+06 0.5; - dout[15]~117 0xc0 5.88647e+06 0.5; - dout[15]~118 0xc0 5.45592e+06 0.499992; - dout[16] 0xc0 8.04014e+06 0.5; - dout[16]~119 0xc0 5.88656e+06 0.5; - dout[16]~120 0xc0 5.45575e+06 0.500004; - dout[17] 0xc0 8.04014e+06 0.5; - dout[17]~121 0xc0 5.88651e+06 0.5; - dout[17]~122 0xc0 5.45583e+06 0.499998; - dout[18] 0xc0 8.04014e+06 0.5; - dout[18]~123 0xc0 5.88654e+06 0.5; - dout[18]~124 0xc0 5.45579e+06 0.500001; - dout[19] 0xc0 8.04014e+06 0.5; - dout[19]~125 0xc0 5.88653e+06 0.5; - dout[19]~126 0xc0 5.45581e+06 0.5; - dout[20] 0xc0 8.04014e+06 0.5; - dout[20]~127 0xc0 5.88653e+06 0.5; - dout[20]~128 0xc0 5.4558e+06 0.5; - dout[21] 0xc0 8.04014e+06 0.5; - dout[21]~129 0xc0 5.88653e+06 0.5; - dout[21]~130 0xc0 5.45581e+06 0.5; - dout[22] 0xc0 8.04014e+06 0.5; - dout[22]~131 0xc0 5.88653e+06 0.5; - dout[22]~132 0xc0 5.45581e+06 0.5; - dout[23] 0xc0 8.04014e+06 0.5; - dout[23]~133 0xc0 5.88653e+06 0.5; - dout[23]~134 0xc0 5.45581e+06 0.5; - dout[24] 0xc0 8.04014e+06 0.5; - dout[24]~135 0xc0 5.88653e+06 0.5; - dout[24]~136 0xc0 5.45581e+06 0.5; - dout[25] 0xc0 8.04014e+06 0.5; - dout[25]~137 0xc0 5.88653e+06 0.5; - dout[25]~138 0xc0 5.45581e+06 0.5; - dout[26] 0xc0 8.04014e+06 0.5; - dout[26]~139 0xc0 5.88653e+06 0.5; - dout[26]~140 0xc0 5.45581e+06 0.5; - dout[27] 0xc0 8.04014e+06 0.5; - dout[27]~141 0xc0 5.88653e+06 0.5; - dout[27]~142 0xc0 5.45581e+06 0.5; - dout[28] 0xc0 8.04014e+06 0.5; - dout[28]~143 0xc0 5.88653e+06 0.5; - dout[28]~144 0xc0 5.45581e+06 0.5; - dout[29] 0xc0 8.04014e+06 0.5; - dout[29]~145 0xc0 5.88653e+06 0.5; - dout[29]~146 0xc0 5.45581e+06 0.5; - dout[30] 0xc0 8.04014e+06 0.5; - dout[30]~147 0xc0 5.88653e+06 0.5; - dout[30]~148 0xc0 5.45581e+06 0.5; - dout[31] 0xc0 8.04014e+06 0.5; - dout[31]~149 0xc0 5.88653e+06 0.5; - dout[31]~150 0xc0 5.45581e+06 0.5; - dout[32] 0xc0 8.04014e+06 0.5; - dout[32]~151 0xc0 5.88653e+06 0.5; - dout[32]~152 0xc0 5.45581e+06 0.5; - dout[33] 0xc0 8.04014e+06 0.5; - dout[33]~153 0xc0 5.88653e+06 0.5; - dout[33]~154 0xc0 5.45581e+06 0.5; - dout[34] 0xc0 8.04014e+06 0.5; - dout[34]~155 0xc0 5.88653e+06 0.5; - dout[34]~156 0xc0 5.45581e+06 0.5; - dout[35] 0xc0 8.04014e+06 0.5; - dout[35]~157 0xc0 5.88653e+06 0.5; - dout[35]~158 0xc0 5.45581e+06 0.5; - dout[36] 0xc0 8.04014e+06 0.5; - dout[36]~159 0xc0 5.88653e+06 0.5; - dout[36]~160 0xc0 5.45581e+06 0.5; - dout[37] 0xc0 8.04014e+06 0.5; - dout[37]~161 0xc0 5.88653e+06 0.5; - dout[37]~162 0xc0 5.45581e+06 0.5; - dout[38] 0xc0 8.04014e+06 0.5; - dout[38]~163 0xc0 5.88653e+06 0.5; - dout[38]~164 0xc0 5.45581e+06 0.5; - dout[39] 0xc0 8.04014e+06 0.5; - dout[39]~165 0xc0 5.88653e+06 0.5; - dout[39]~166 0xc0 5.45581e+06 0.5; - dout[40] 0xc0 8.04014e+06 0.5; - dout[40]~167 0xc0 5.88653e+06 0.5; - dout[40]~168 0xc0 5.45581e+06 0.5; - dout[41] 0xc0 8.04014e+06 0.5; - dout[41]~169 0xc0 5.88653e+06 0.5; - dout[41]~170 0xc0 5.45581e+06 0.5; - dout[42] 0xc0 8.04014e+06 0.5; - dout[42]~171 0xc0 5.88653e+06 0.5; - dout[42]~172 0xc0 5.45581e+06 0.5; - dout[43] 0xc0 8.04014e+06 0.5; - dout[43]~173 0xc0 5.88653e+06 0.5; - dout[43]~174 0xc0 5.45581e+06 0.5; - dout[44] 0xc0 8.04014e+06 0.5; - dout[44]~175 0xc0 5.88653e+06 0.5; - dout[44]~176 0xc0 5.45581e+06 0.5; - dout[45] 0xc0 8.04014e+06 0.5; - dout[45]~177 0xc0 5.88653e+06 0.5; - dout[45]~178 0xc0 5.45581e+06 0.5; - dout[46] 0xc0 8.04014e+06 0.5; - dout[46]~179 0xc0 5.88653e+06 0.5; - dout[46]~180 0xc0 5.45581e+06 0.5; - dout[47] 0xc0 8.04014e+06 0.5; - dout[47]~181 0xc0 5.88653e+06 0.5; - dout[47]~182 0xc0 5.45581e+06 0.5; - dout[48] 0xc0 8.04014e+06 0.5; - dout[48]~183 0xc0 5.88653e+06 0.5; - dout[48]~184 0xc0 5.45581e+06 0.5; - dout[49] 0xc0 8.04014e+06 0.5; - dout[49]~185 0xc0 5.88653e+06 0.5; - dout[49]~186 0xc0 5.45581e+06 0.5; - dout[50] 0xc0 8.04014e+06 0.5; - dout[50]~187 0xc0 5.88653e+06 0.5; - dout[50]~188 0xc0 5.45581e+06 0.5; - dout[51] 0xc0 8.04014e+06 0.5; - dout[51]~189 0xc0 5.88653e+06 0.5; - dout[51]~190 0xc0 5.45581e+06 0.5; - dout[52] 0xc0 8.04014e+06 0.5; - dout[52]~191 0xc0 5.88653e+06 0.5; - dout[52]~192 0xc0 5.45581e+06 0.5; - dout[53] 0xc0 8.04014e+06 0.5; - dout[53]~193 0xc0 5.88653e+06 0.5; - dout[53]~194 0xc0 5.45581e+06 0.5; - dout[54] 0xc0 8.04014e+06 0.5; - dout[54]~195 0xc0 5.88653e+06 0.5; - dout[54]~196 0xc0 5.45581e+06 0.5; - dout[55] 0xc0 8.04014e+06 0.5; - dout[55]~197 0xc0 5.88653e+06 0.5; - dout[55]~198 0xc0 5.45581e+06 0.5; - dout[56] 0xc0 8.04014e+06 0.5; - dout[56]~199 0xc0 5.88653e+06 0.5; - dout[56]~200 0xc0 5.45581e+06 0.5; - dout[57] 0xc0 8.04014e+06 0.5; - dout[57]~201 0xc0 5.88653e+06 0.5; - dout[57]~202 0xc0 5.45581e+06 0.5; - dout[58] 0xc0 8.04014e+06 0.5; - dout[58]~203 0xc0 5.88653e+06 0.5; - dout[58]~204 0xc0 5.45581e+06 0.5; - dout[59] 0xc0 8.04014e+06 0.5; - dout[59]~205 0xc0 5.88653e+06 0.5; - dout[59]~206 0xc0 5.45581e+06 0.5; - dout[60] 0xc0 8.04014e+06 0.5; - dout[60]~207 0xc0 5.88653e+06 0.5; - dout[60]~208 0xc0 5.45581e+06 0.5; - dout[61] 0xc0 8.04014e+06 0.5; - dout[61]~209 0xc0 5.88653e+06 0.5; - dout[61]~210 0xc0 5.45581e+06 0.5; - dout[62] 0xc0 8.04014e+06 0.5; - dout[62]~211 0xc0 5.88653e+06 0.5; - dout[62]~212 0xc0 5.45581e+06 0.5; - dout[63] 0xc0 8.04014e+06 0.5; - dout[63]~213 0xc0 5.88653e+06 0.5; - dout[63]~214 0xc0 5.45581e+06 0.5; - dout[64] 0xc0 8.04014e+06 0.5; - dout[64]~215 0xc0 5.88653e+06 0.5; - dout[64]~216 0xc0 5.45581e+06 0.5; - dout[65] 0xc0 8.04014e+06 0.5; - dout[65]~217 0xc0 5.88653e+06 0.5; - dout[65]~218 0xc0 5.45581e+06 0.5; - dout[66] 0xc0 8.04014e+06 0.5; - dout[66]~219 0xc0 5.88653e+06 0.5; - dout[66]~220 0xc0 5.45581e+06 0.5; - dout[67] 0xc0 8.04014e+06 0.5; - dout[67]~221 0xc0 5.88653e+06 0.5; - dout[67]~222 0xc0 5.45581e+06 0.5; - dout[68] 0xc0 8.04014e+06 0.5; - dout[68]~223 0xc0 5.88653e+06 0.5; - dout[68]~224 0xc0 5.45581e+06 0.5; - dout[69] 0xc0 8.04014e+06 0.5; - dout[69]~225 0xc0 5.88653e+06 0.5; - dout[69]~226 0xc0 5.45581e+06 0.5; - dout[70] 0xc0 8.04014e+06 0.5; - dout[70]~227 0xc0 5.88653e+06 0.5; - dout[70]~228 0xc0 5.45581e+06 0.5; - dout[71] 0xc0 8.04014e+06 0.5; - dout[71]~229 0xc0 5.88653e+06 0.5; - dout[71]~230 0xc0 5.45581e+06 0.5; - dout[72] 0xc0 8.04014e+06 0.5; - dout[72]~231 0xc0 5.88653e+06 0.5; - dout[72]~232 0xc0 5.45581e+06 0.5; - dout[73] 0xc0 8.04014e+06 0.5; - dout[73]~233 0xc0 5.88653e+06 0.5; - dout[73]~234 0xc0 5.45581e+06 0.5; - dout[74] 0xc0 8.04014e+06 0.5; - dout[74]~235 0xc0 5.88653e+06 0.5; - dout[74]~236 0xc0 5.45581e+06 0.5; - dout[75] 0xc0 8.04014e+06 0.5; - dout[75]~237 0xc0 5.88653e+06 0.5; - dout[75]~238 0xc0 5.45581e+06 0.5; - dout[76] 0xc0 8.04014e+06 0.5; - dout[76]~239 0xc0 5.88653e+06 0.5; - dout[76]~240 0xc0 5.45581e+06 0.5; - dout[77] 0xc0 8.04014e+06 0.5; - dout[77]~241 0xc0 5.88653e+06 0.5; - dout[77]~242 0xc0 5.45581e+06 0.5; - dout[78] 0xc0 8.04014e+06 0.5; - dout[78]~243 0xc0 5.88653e+06 0.5; - dout[78]~244 0xc0 5.45581e+06 0.5; - dout[79] 0xc0 8.04014e+06 0.5; - dout[79]~245 0xc0 5.88653e+06 0.5; - dout[79]~246 0xc0 5.45581e+06 0.5; - dout[80] 0xc0 8.04014e+06 0.5; - dout[80]~247 0xc0 5.88653e+06 0.5; - dout[80]~248 0xc0 5.45581e+06 0.5; - dout[81] 0xc0 8.04014e+06 0.5; - dout[81]~249 0xc0 5.88653e+06 0.5; - dout[81]~250 0xc0 5.45581e+06 0.5; - dout[82] 0xc0 8.04014e+06 0.5; - dout[82]~251 0xc0 5.88653e+06 0.5; - dout[82]~252 0xc0 5.45581e+06 0.5; - dout[83] 0xc0 8.04014e+06 0.5; - dout[83]~253 0xc0 5.88653e+06 0.5; - dout[83]~254 0xc0 5.45581e+06 0.5; - dout[84] 0xc0 8.04014e+06 0.5; - dout[84]~255 0xc0 5.88653e+06 0.5; - dout[84]~256 0xc0 5.45581e+06 0.5; - dout[85] 0xc0 8.04014e+06 0.5; - dout[85]~257 0xc0 7.39405e+06 0.5; - dout_valid 0xc0 8.04014e+06 0.5; - dout_valid~0 0xc0 4.52258e+06 0.75; - dout_valid~1 0xc0 6.0301e+06 0.25; - dout~108 0xc0 5.27634e+06 0.625; - dout~259 0xc0 6.0301e+06 0.25; - auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][2]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][3]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][4]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][5]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][7]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][10]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][14]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][15]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][17]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][19]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][20]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][25]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][26]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][29]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][30]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][34]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][35]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][36]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][39]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][40]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][41]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][42]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][44]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][47]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][48]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][49]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][50]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][53]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][54]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][56]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][57]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][58]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][60]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][61]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][62]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][64]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][66]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][67]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][68]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][69]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][70]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][71]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][72]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][73]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][74]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][76]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][77]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][79]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][80]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][81]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][82]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][85]~feeder 0xc0 8.04014e+06 0.5; - dout[0] 0xc0 8.04014e+06 0.5; - dout[0]~86 0xc0 8.04014e+06 0.5; - dout[0]~87 0xc0 4.52258e+06 0.75; - dout[1] 0xc0 8.04014e+06 0.5; - dout[1]~88 0xc0 6.78387e+06 0.5; - dout[1]~89 0xc0 3.74526e+06 0.375; - dout[2] 0xc0 8.04014e+06 0.5; - dout[2]~90 0xc0 5.74155e+06 0.5; - dout[2]~91 0xc0 4.40971e+06 0.5625; - dout[3] 0xc0 8.04014e+06 0.5; - dout[3]~92 0xc0 5.69567e+06 0.5; - dout[3]~93 0xc0 5.96496e+06 0.46875; - dout[4] 0xc0 8.04014e+06 0.5; - dout[4]~94 0xc0 6.03148e+06 0.5; - dout[4]~95 0xc0 5.25544e+06 0.515625; - dout[5] 0xc0 8.04014e+06 0.5; - dout[5]~96 0xc0 5.84085e+06 0.5; - dout[5]~97 0xc0 5.57675e+06 0.492188; - dout[6] 0xc0 8.04014e+06 0.5; - dout[6]~98 0xc0 5.91787e+06 0.5; - dout[6]~99 0xc0 5.40143e+06 0.503906; - dout[7] 0xc0 8.04014e+06 0.5; - dout[7]~100 0xc0 5.87321e+06 0.5; - dout[7]~101 0xc0 5.48463e+06 0.498047; - dout[8] 0xc0 8.04014e+06 0.5; - dout[8]~102 0xc0 5.8938e+06 0.5; - dout[8]~103 0xc0 5.44182e+06 0.500977; - dout[9] 0xc0 8.04014e+06 0.5; - dout[9]~104 0xc0 5.88305e+06 0.5; - dout[9]~105 0xc0 5.46291e+06 0.499512; - dout[10] 0xc0 8.04014e+06 0.5; - dout[10]~106 0xc0 5.88831e+06 0.5; - dout[10]~107 0xc0 5.45228e+06 0.500244; - dout[11] 0xc0 8.04014e+06 0.5; - dout[11]~109 0xc0 5.88565e+06 0.5; - dout[11]~110 0xc0 5.45758e+06 0.499878; - dout[12] 0xc0 8.04014e+06 0.5; - dout[12]~111 0xc0 5.88697e+06 0.5; - dout[12]~112 0xc0 5.45492e+06 0.500061; - dout[13] 0xc0 8.04014e+06 0.5; - dout[13]~113 0xc0 5.88631e+06 0.5; - dout[13]~114 0xc0 5.45625e+06 0.499969; - dout[14] 0xc0 8.04014e+06 0.5; - dout[14]~115 0xc0 5.88664e+06 0.5; - dout[14]~116 0xc0 5.45559e+06 0.500015; - dout[15] 0xc0 8.04014e+06 0.5; - dout[15]~117 0xc0 5.88647e+06 0.5; - dout[15]~118 0xc0 5.45592e+06 0.499992; - dout[16] 0xc0 8.04014e+06 0.5; - dout[16]~119 0xc0 5.88656e+06 0.5; - dout[16]~120 0xc0 5.45575e+06 0.500004; - dout[17] 0xc0 8.04014e+06 0.5; - dout[17]~121 0xc0 5.88651e+06 0.5; - dout[17]~122 0xc0 5.45583e+06 0.499998; - dout[18] 0xc0 8.04014e+06 0.5; - dout[18]~123 0xc0 5.88654e+06 0.5; - dout[18]~124 0xc0 5.45579e+06 0.500001; - dout[19] 0xc0 8.04014e+06 0.5; - dout[19]~125 0xc0 5.88653e+06 0.5; - dout[19]~126 0xc0 5.45581e+06 0.5; - dout[20] 0xc0 8.04014e+06 0.5; - dout[20]~127 0xc0 5.88653e+06 0.5; - dout[20]~128 0xc0 5.4558e+06 0.5; - dout[21] 0xc0 8.04014e+06 0.5; - dout[21]~129 0xc0 5.88653e+06 0.5; - dout[21]~130 0xc0 5.45581e+06 0.5; - dout[22] 0xc0 8.04014e+06 0.5; - dout[22]~131 0xc0 5.88653e+06 0.5; - dout[22]~132 0xc0 5.45581e+06 0.5; - dout[23] 0xc0 8.04014e+06 0.5; - dout[23]~133 0xc0 5.88653e+06 0.5; - dout[23]~134 0xc0 5.45581e+06 0.5; - dout[24] 0xc0 8.04014e+06 0.5; - dout[24]~135 0xc0 5.88653e+06 0.5; - dout[24]~136 0xc0 5.45581e+06 0.5; - dout[25] 0xc0 8.04014e+06 0.5; - dout[25]~137 0xc0 5.88653e+06 0.5; - dout[25]~138 0xc0 5.45581e+06 0.5; - dout[26] 0xc0 8.04014e+06 0.5; - dout[26]~139 0xc0 5.88653e+06 0.5; - dout[26]~140 0xc0 5.45581e+06 0.5; - dout[27] 0xc0 8.04014e+06 0.5; - dout[27]~141 0xc0 5.88653e+06 0.5; - dout[27]~142 0xc0 5.45581e+06 0.5; - dout[28] 0xc0 8.04014e+06 0.5; - dout[28]~143 0xc0 5.88653e+06 0.5; - dout[28]~144 0xc0 5.45581e+06 0.5; - dout[29] 0xc0 8.04014e+06 0.5; - dout[29]~145 0xc0 5.88653e+06 0.5; - dout[29]~146 0xc0 5.45581e+06 0.5; - dout[30] 0xc0 8.04014e+06 0.5; - dout[30]~147 0xc0 5.88653e+06 0.5; - dout[30]~148 0xc0 5.45581e+06 0.5; - dout[31] 0xc0 8.04014e+06 0.5; - dout[31]~149 0xc0 5.88653e+06 0.5; - dout[31]~150 0xc0 5.45581e+06 0.5; - dout[32] 0xc0 8.04014e+06 0.5; - dout[32]~151 0xc0 5.88653e+06 0.5; - dout[32]~152 0xc0 5.45581e+06 0.5; - dout[33] 0xc0 8.04014e+06 0.5; - dout[33]~153 0xc0 5.88653e+06 0.5; - dout[33]~154 0xc0 5.45581e+06 0.5; - dout[34] 0xc0 8.04014e+06 0.5; - dout[34]~155 0xc0 5.88653e+06 0.5; - dout[34]~156 0xc0 5.45581e+06 0.5; - dout[35] 0xc0 8.04014e+06 0.5; - dout[35]~157 0xc0 5.88653e+06 0.5; - dout[35]~158 0xc0 5.45581e+06 0.5; - dout[36] 0xc0 8.04014e+06 0.5; - dout[36]~159 0xc0 5.88653e+06 0.5; - dout[36]~160 0xc0 5.45581e+06 0.5; - dout[37] 0xc0 8.04014e+06 0.5; - dout[37]~161 0xc0 5.88653e+06 0.5; - dout[37]~162 0xc0 5.45581e+06 0.5; - dout[38] 0xc0 8.04014e+06 0.5; - dout[38]~163 0xc0 5.88653e+06 0.5; - dout[38]~164 0xc0 5.45581e+06 0.5; - dout[39] 0xc0 8.04014e+06 0.5; - dout[39]~165 0xc0 5.88653e+06 0.5; - dout[39]~166 0xc0 5.45581e+06 0.5; - dout[40] 0xc0 8.04014e+06 0.5; - dout[40]~167 0xc0 5.88653e+06 0.5; - dout[40]~168 0xc0 5.45581e+06 0.5; - dout[41] 0xc0 8.04014e+06 0.5; - dout[41]~169 0xc0 5.88653e+06 0.5; - dout[41]~170 0xc0 5.45581e+06 0.5; - dout[42] 0xc0 8.04014e+06 0.5; - dout[42]~171 0xc0 5.88653e+06 0.5; - dout[42]~172 0xc0 5.45581e+06 0.5; - dout[43] 0xc0 8.04014e+06 0.5; - dout[43]~173 0xc0 5.88653e+06 0.5; - dout[43]~174 0xc0 5.45581e+06 0.5; - dout[44] 0xc0 8.04014e+06 0.5; - dout[44]~175 0xc0 5.88653e+06 0.5; - dout[44]~176 0xc0 5.45581e+06 0.5; - dout[45] 0xc0 8.04014e+06 0.5; - dout[45]~177 0xc0 5.88653e+06 0.5; - dout[45]~178 0xc0 5.45581e+06 0.5; - dout[46] 0xc0 8.04014e+06 0.5; - dout[46]~179 0xc0 5.88653e+06 0.5; - dout[46]~180 0xc0 5.45581e+06 0.5; - dout[47] 0xc0 8.04014e+06 0.5; - dout[47]~181 0xc0 5.88653e+06 0.5; - dout[47]~182 0xc0 5.45581e+06 0.5; - dout[48] 0xc0 8.04014e+06 0.5; - dout[48]~183 0xc0 5.88653e+06 0.5; - dout[48]~184 0xc0 5.45581e+06 0.5; - dout[49] 0xc0 8.04014e+06 0.5; - dout[49]~185 0xc0 5.88653e+06 0.5; - dout[49]~186 0xc0 5.45581e+06 0.5; - dout[50] 0xc0 8.04014e+06 0.5; - dout[50]~187 0xc0 5.88653e+06 0.5; - dout[50]~188 0xc0 5.45581e+06 0.5; - dout[51] 0xc0 8.04014e+06 0.5; - dout[51]~189 0xc0 5.88653e+06 0.5; - dout[51]~190 0xc0 5.45581e+06 0.5; - dout[52] 0xc0 8.04014e+06 0.5; - dout[52]~191 0xc0 5.88653e+06 0.5; - dout[52]~192 0xc0 5.45581e+06 0.5; - dout[53] 0xc0 8.04014e+06 0.5; - dout[53]~193 0xc0 5.88653e+06 0.5; - dout[53]~194 0xc0 5.45581e+06 0.5; - dout[54] 0xc0 8.04014e+06 0.5; - dout[54]~195 0xc0 5.88653e+06 0.5; - dout[54]~196 0xc0 5.45581e+06 0.5; - dout[55] 0xc0 8.04014e+06 0.5; - dout[55]~197 0xc0 5.88653e+06 0.5; - dout[55]~198 0xc0 5.45581e+06 0.5; - dout[56] 0xc0 8.04014e+06 0.5; - dout[56]~199 0xc0 5.88653e+06 0.5; - dout[56]~200 0xc0 5.45581e+06 0.5; - dout[57] 0xc0 8.04014e+06 0.5; - dout[57]~201 0xc0 5.88653e+06 0.5; - dout[57]~202 0xc0 5.45581e+06 0.5; - dout[58] 0xc0 8.04014e+06 0.5; - dout[58]~203 0xc0 5.88653e+06 0.5; - dout[58]~204 0xc0 5.45581e+06 0.5; - dout[59] 0xc0 8.04014e+06 0.5; - dout[59]~205 0xc0 5.88653e+06 0.5; - dout[59]~206 0xc0 5.45581e+06 0.5; - dout[60] 0xc0 8.04014e+06 0.5; - dout[60]~207 0xc0 5.88653e+06 0.5; - dout[60]~208 0xc0 5.45581e+06 0.5; - dout[61] 0xc0 8.04014e+06 0.5; - dout[61]~209 0xc0 5.88653e+06 0.5; - dout[61]~210 0xc0 5.45581e+06 0.5; - dout[62] 0xc0 8.04014e+06 0.5; - dout[62]~211 0xc0 5.88653e+06 0.5; - dout[62]~212 0xc0 5.45581e+06 0.5; - dout[63] 0xc0 8.04014e+06 0.5; - dout[63]~213 0xc0 5.88653e+06 0.5; - dout[63]~214 0xc0 5.45581e+06 0.5; - dout[64] 0xc0 8.04014e+06 0.5; - dout[64]~215 0xc0 5.88653e+06 0.5; - dout[64]~216 0xc0 5.45581e+06 0.5; - dout[65] 0xc0 8.04014e+06 0.5; - dout[65]~217 0xc0 5.88653e+06 0.5; - dout[65]~218 0xc0 5.45581e+06 0.5; - dout[66] 0xc0 8.04014e+06 0.5; - dout[66]~219 0xc0 5.88653e+06 0.5; - dout[66]~220 0xc0 5.45581e+06 0.5; - dout[67] 0xc0 8.04014e+06 0.5; - dout[67]~221 0xc0 5.88653e+06 0.5; - dout[67]~222 0xc0 5.45581e+06 0.5; - dout[68] 0xc0 8.04014e+06 0.5; - dout[68]~223 0xc0 5.88653e+06 0.5; - dout[68]~224 0xc0 5.45581e+06 0.5; - dout[69] 0xc0 8.04014e+06 0.5; - dout[69]~225 0xc0 5.88653e+06 0.5; - dout[69]~226 0xc0 5.45581e+06 0.5; - dout[70] 0xc0 8.04014e+06 0.5; - dout[70]~227 0xc0 5.88653e+06 0.5; - dout[70]~228 0xc0 5.45581e+06 0.5; - dout[71] 0xc0 8.04014e+06 0.5; - dout[71]~229 0xc0 5.88653e+06 0.5; - dout[71]~230 0xc0 5.45581e+06 0.5; - dout[72] 0xc0 8.04014e+06 0.5; - dout[72]~231 0xc0 5.88653e+06 0.5; - dout[72]~232 0xc0 5.45581e+06 0.5; - dout[73] 0xc0 8.04014e+06 0.5; - dout[73]~233 0xc0 5.88653e+06 0.5; - dout[73]~234 0xc0 5.45581e+06 0.5; - dout[74] 0xc0 8.04014e+06 0.5; - dout[74]~235 0xc0 5.88653e+06 0.5; - dout[74]~236 0xc0 5.45581e+06 0.5; - dout[75] 0xc0 8.04014e+06 0.5; - dout[75]~237 0xc0 5.88653e+06 0.5; - dout[75]~238 0xc0 5.45581e+06 0.5; - dout[76] 0xc0 8.04014e+06 0.5; - dout[76]~239 0xc0 5.88653e+06 0.5; - dout[76]~240 0xc0 5.45581e+06 0.5; - dout[77] 0xc0 8.04014e+06 0.5; - dout[77]~241 0xc0 5.88653e+06 0.5; - dout[77]~242 0xc0 5.45581e+06 0.5; - dout[78] 0xc0 8.04014e+06 0.5; - dout[78]~243 0xc0 5.88653e+06 0.5; - dout[78]~244 0xc0 5.45581e+06 0.5; - dout[79] 0xc0 8.04014e+06 0.5; - dout[79]~245 0xc0 5.88653e+06 0.5; - dout[79]~246 0xc0 5.45581e+06 0.5; - dout[80] 0xc0 8.04014e+06 0.5; - dout[80]~247 0xc0 5.88653e+06 0.5; - dout[80]~248 0xc0 5.45581e+06 0.5; - dout[81] 0xc0 8.04014e+06 0.5; - dout[81]~249 0xc0 5.88653e+06 0.5; - dout[81]~250 0xc0 5.45581e+06 0.5; - dout[82] 0xc0 8.04014e+06 0.5; - dout[82]~251 0xc0 5.88653e+06 0.5; - dout[82]~252 0xc0 5.45581e+06 0.5; - dout[83] 0xc0 8.04014e+06 0.5; - dout[83]~253 0xc0 5.88653e+06 0.5; - dout[83]~254 0xc0 5.45581e+06 0.5; - dout[84] 0xc0 8.04014e+06 0.5; - dout[84]~255 0xc0 5.88653e+06 0.5; - dout[84]~256 0xc0 5.45581e+06 0.5; - dout[85] 0xc0 8.04014e+06 0.5; - dout[85]~257 0xc0 7.39405e+06 0.5; - dout_valid 0xc0 8.04014e+06 0.5; - dout_valid~0 0xc0 6.0301e+06 0.25; - dout~108 0xc0 5.27634e+06 0.625; - dout~259 0xc0 6.0301e+06 0.25; - auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][2]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][3]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][4]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][5]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][6]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][7]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][8]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][9]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][11]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][12]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][13]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][15]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][16]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][17]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][19]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][23]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][24]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][26]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][29]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][31]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][34]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][35]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][39]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][41]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][42]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][43]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][44]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][46]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][47]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][48]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][54]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][55]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][56]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][57]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][58]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][59]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][62]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][68]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][71]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][72]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][74]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][75]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][76]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][80]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][82]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][84]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5; - dout[0] 0xc0 8.04014e+06 0.5; - dout[0]~86 0xc0 8.04014e+06 0.5; - dout[0]~87 0xc0 4.52258e+06 0.75; - dout[1] 0xc0 8.04014e+06 0.5; - dout[1]~88 0xc0 6.78387e+06 0.5; - dout[1]~89 0xc0 3.74526e+06 0.375; - dout[2] 0xc0 8.04014e+06 0.5; - dout[2]~90 0xc0 5.74155e+06 0.5; - dout[2]~91 0xc0 4.40971e+06 0.5625; - dout[3] 0xc0 8.04014e+06 0.5; - dout[3]~92 0xc0 5.69567e+06 0.5; - dout[3]~93 0xc0 5.96496e+06 0.46875; - dout[4] 0xc0 8.04014e+06 0.5; - dout[4]~94 0xc0 6.03148e+06 0.5; - dout[4]~95 0xc0 5.25544e+06 0.515625; - dout[5] 0xc0 8.04014e+06 0.5; - dout[5]~96 0xc0 5.84085e+06 0.5; - dout[5]~97 0xc0 5.57675e+06 0.492188; - dout[6] 0xc0 8.04014e+06 0.5; - dout[6]~98 0xc0 5.91787e+06 0.5; - dout[6]~99 0xc0 5.40143e+06 0.503906; - dout[7] 0xc0 8.04014e+06 0.5; - dout[7]~100 0xc0 5.87321e+06 0.5; - dout[7]~101 0xc0 5.48463e+06 0.498047; - dout[8] 0xc0 8.04014e+06 0.5; - dout[8]~102 0xc0 5.8938e+06 0.5; - dout[8]~103 0xc0 5.44182e+06 0.500977; - dout[9] 0xc0 8.04014e+06 0.5; - dout[9]~104 0xc0 5.88305e+06 0.5; - dout[9]~105 0xc0 5.46291e+06 0.499512; - dout[10] 0xc0 8.04014e+06 0.5; - dout[10]~106 0xc0 5.88831e+06 0.5; - dout[10]~107 0xc0 5.45228e+06 0.500244; - dout[11] 0xc0 8.04014e+06 0.5; - dout[11]~109 0xc0 5.88565e+06 0.5; - dout[11]~110 0xc0 5.45758e+06 0.499878; - dout[12] 0xc0 8.04014e+06 0.5; - dout[12]~111 0xc0 5.88697e+06 0.5; - dout[12]~112 0xc0 5.45492e+06 0.500061; - dout[13] 0xc0 8.04014e+06 0.5; - dout[13]~113 0xc0 5.88631e+06 0.5; - dout[13]~114 0xc0 5.45625e+06 0.499969; - dout[14] 0xc0 8.04014e+06 0.5; - dout[14]~115 0xc0 5.88664e+06 0.5; - dout[14]~116 0xc0 5.45559e+06 0.500015; - dout[15] 0xc0 8.04014e+06 0.5; - dout[15]~117 0xc0 5.88647e+06 0.5; - dout[15]~118 0xc0 5.45592e+06 0.499992; - dout[16] 0xc0 8.04014e+06 0.5; - dout[16]~119 0xc0 5.88656e+06 0.5; - dout[16]~120 0xc0 5.45575e+06 0.500004; - dout[17] 0xc0 8.04014e+06 0.5; - dout[17]~121 0xc0 5.88651e+06 0.5; - dout[17]~122 0xc0 5.45583e+06 0.499998; - dout[18] 0xc0 8.04014e+06 0.5; - dout[18]~123 0xc0 5.88654e+06 0.5; - dout[18]~124 0xc0 5.45579e+06 0.500001; - dout[19] 0xc0 8.04014e+06 0.5; - dout[19]~125 0xc0 5.88653e+06 0.5; - dout[19]~126 0xc0 5.45581e+06 0.5; - dout[20] 0xc0 8.04014e+06 0.5; - dout[20]~127 0xc0 5.88653e+06 0.5; - dout[20]~128 0xc0 5.4558e+06 0.5; - dout[21] 0xc0 8.04014e+06 0.5; - dout[21]~129 0xc0 5.88653e+06 0.5; - dout[21]~130 0xc0 5.45581e+06 0.5; - dout[22] 0xc0 8.04014e+06 0.5; - dout[22]~131 0xc0 5.88653e+06 0.5; - dout[22]~132 0xc0 5.45581e+06 0.5; - dout[23] 0xc0 8.04014e+06 0.5; - dout[23]~133 0xc0 5.88653e+06 0.5; - dout[23]~134 0xc0 5.45581e+06 0.5; - dout[24] 0xc0 8.04014e+06 0.5; - dout[24]~135 0xc0 5.88653e+06 0.5; - dout[24]~136 0xc0 5.45581e+06 0.5; - dout[25] 0xc0 8.04014e+06 0.5; - dout[25]~137 0xc0 5.88653e+06 0.5; - dout[25]~138 0xc0 5.45581e+06 0.5; - dout[26] 0xc0 8.04014e+06 0.5; - dout[26]~139 0xc0 5.88653e+06 0.5; - dout[26]~140 0xc0 5.45581e+06 0.5; - dout[27] 0xc0 8.04014e+06 0.5; - dout[27]~141 0xc0 5.88653e+06 0.5; - dout[27]~142 0xc0 5.45581e+06 0.5; - dout[28] 0xc0 8.04014e+06 0.5; - dout[28]~143 0xc0 5.88653e+06 0.5; - dout[28]~144 0xc0 5.45581e+06 0.5; - dout[29] 0xc0 8.04014e+06 0.5; - dout[29]~145 0xc0 5.88653e+06 0.5; - dout[29]~146 0xc0 5.45581e+06 0.5; - dout[30] 0xc0 8.04014e+06 0.5; - dout[30]~147 0xc0 5.88653e+06 0.5; - dout[30]~148 0xc0 5.45581e+06 0.5; - dout[31] 0xc0 8.04014e+06 0.5; - dout[31]~149 0xc0 5.88653e+06 0.5; - dout[31]~150 0xc0 5.45581e+06 0.5; - dout[32] 0xc0 8.04014e+06 0.5; - dout[32]~151 0xc0 5.88653e+06 0.5; - dout[32]~152 0xc0 5.45581e+06 0.5; - dout[33] 0xc0 8.04014e+06 0.5; - dout[33]~153 0xc0 5.88653e+06 0.5; - dout[33]~154 0xc0 5.45581e+06 0.5; - dout[34] 0xc0 8.04014e+06 0.5; - dout[34]~155 0xc0 5.88653e+06 0.5; - dout[34]~156 0xc0 5.45581e+06 0.5; - dout[35] 0xc0 8.04014e+06 0.5; - dout[35]~157 0xc0 5.88653e+06 0.5; - dout[35]~158 0xc0 5.45581e+06 0.5; - dout[36] 0xc0 8.04014e+06 0.5; - dout[36]~159 0xc0 5.88653e+06 0.5; - dout[36]~160 0xc0 5.45581e+06 0.5; - dout[37] 0xc0 8.04014e+06 0.5; - dout[37]~161 0xc0 5.88653e+06 0.5; - dout[37]~162 0xc0 5.45581e+06 0.5; - dout[38] 0xc0 8.04014e+06 0.5; - dout[38]~163 0xc0 5.88653e+06 0.5; - dout[38]~164 0xc0 5.45581e+06 0.5; - dout[39] 0xc0 8.04014e+06 0.5; - dout[39]~165 0xc0 5.88653e+06 0.5; - dout[39]~166 0xc0 5.45581e+06 0.5; - dout[40] 0xc0 8.04014e+06 0.5; - dout[40]~167 0xc0 5.88653e+06 0.5; - dout[40]~168 0xc0 5.45581e+06 0.5; - dout[41] 0xc0 8.04014e+06 0.5; - dout[41]~169 0xc0 5.88653e+06 0.5; - dout[41]~170 0xc0 5.45581e+06 0.5; - dout[42] 0xc0 8.04014e+06 0.5; - dout[42]~171 0xc0 5.88653e+06 0.5; - dout[42]~172 0xc0 5.45581e+06 0.5; - dout[43] 0xc0 8.04014e+06 0.5; - dout[43]~173 0xc0 5.88653e+06 0.5; - dout[43]~174 0xc0 5.45581e+06 0.5; - dout[44] 0xc0 8.04014e+06 0.5; - dout[44]~175 0xc0 5.88653e+06 0.5; - dout[44]~176 0xc0 5.45581e+06 0.5; - dout[45] 0xc0 8.04014e+06 0.5; - dout[45]~177 0xc0 5.88653e+06 0.5; - dout[45]~178 0xc0 5.45581e+06 0.5; - dout[46] 0xc0 8.04014e+06 0.5; - dout[46]~179 0xc0 5.88653e+06 0.5; - dout[46]~180 0xc0 5.45581e+06 0.5; - dout[47] 0xc0 8.04014e+06 0.5; - dout[47]~181 0xc0 5.88653e+06 0.5; - dout[47]~182 0xc0 5.45581e+06 0.5; - dout[48] 0xc0 8.04014e+06 0.5; - dout[48]~183 0xc0 5.88653e+06 0.5; - dout[48]~184 0xc0 5.45581e+06 0.5; - dout[49] 0xc0 8.04014e+06 0.5; - dout[49]~185 0xc0 5.88653e+06 0.5; - dout[49]~186 0xc0 5.45581e+06 0.5; - dout[50] 0xc0 8.04014e+06 0.5; - dout[50]~187 0xc0 5.88653e+06 0.5; - dout[50]~188 0xc0 5.45581e+06 0.5; - dout[51] 0xc0 8.04014e+06 0.5; - dout[51]~189 0xc0 5.88653e+06 0.5; - dout[51]~190 0xc0 5.45581e+06 0.5; - dout[52] 0xc0 8.04014e+06 0.5; - dout[52]~191 0xc0 5.88653e+06 0.5; - dout[52]~192 0xc0 5.45581e+06 0.5; - dout[53] 0xc0 8.04014e+06 0.5; - dout[53]~193 0xc0 5.88653e+06 0.5; - dout[53]~194 0xc0 5.45581e+06 0.5; - dout[54] 0xc0 8.04014e+06 0.5; - dout[54]~195 0xc0 5.88653e+06 0.5; - dout[54]~196 0xc0 5.45581e+06 0.5; - dout[55] 0xc0 8.04014e+06 0.5; - dout[55]~197 0xc0 5.88653e+06 0.5; - dout[55]~198 0xc0 5.45581e+06 0.5; - dout[56] 0xc0 8.04014e+06 0.5; - dout[56]~199 0xc0 5.88653e+06 0.5; - dout[56]~200 0xc0 5.45581e+06 0.5; - dout[57] 0xc0 8.04014e+06 0.5; - dout[57]~201 0xc0 5.88653e+06 0.5; - dout[57]~202 0xc0 5.45581e+06 0.5; - dout[58] 0xc0 8.04014e+06 0.5; - dout[58]~203 0xc0 5.88653e+06 0.5; - dout[58]~204 0xc0 5.45581e+06 0.5; - dout[59] 0xc0 8.04014e+06 0.5; - dout[59]~205 0xc0 5.88653e+06 0.5; - dout[59]~206 0xc0 5.45581e+06 0.5; - dout[60] 0xc0 8.04014e+06 0.5; - dout[60]~207 0xc0 5.88653e+06 0.5; - dout[60]~208 0xc0 5.45581e+06 0.5; - dout[61] 0xc0 8.04014e+06 0.5; - dout[61]~209 0xc0 5.88653e+06 0.5; - dout[61]~210 0xc0 5.45581e+06 0.5; - dout[62] 0xc0 8.04014e+06 0.5; - dout[62]~211 0xc0 5.88653e+06 0.5; - dout[62]~212 0xc0 5.45581e+06 0.5; - dout[63] 0xc0 8.04014e+06 0.5; - dout[63]~213 0xc0 5.88653e+06 0.5; - dout[63]~214 0xc0 5.45581e+06 0.5; - dout[64] 0xc0 8.04014e+06 0.5; - dout[64]~215 0xc0 5.88653e+06 0.5; - dout[64]~216 0xc0 5.45581e+06 0.5; - dout[65] 0xc0 8.04014e+06 0.5; - dout[65]~217 0xc0 5.88653e+06 0.5; - dout[65]~218 0xc0 5.45581e+06 0.5; - dout[66] 0xc0 8.04014e+06 0.5; - dout[66]~219 0xc0 5.88653e+06 0.5; - dout[66]~220 0xc0 5.45581e+06 0.5; - dout[67] 0xc0 8.04014e+06 0.5; - dout[67]~221 0xc0 5.88653e+06 0.5; - dout[67]~222 0xc0 5.45581e+06 0.5; - dout[68] 0xc0 8.04014e+06 0.5; - dout[68]~223 0xc0 5.88653e+06 0.5; - dout[68]~224 0xc0 5.45581e+06 0.5; - dout[69] 0xc0 8.04014e+06 0.5; - dout[69]~225 0xc0 5.88653e+06 0.5; - dout[69]~226 0xc0 5.45581e+06 0.5; - dout[70] 0xc0 8.04014e+06 0.5; - dout[70]~227 0xc0 5.88653e+06 0.5; - dout[70]~228 0xc0 5.45581e+06 0.5; - dout[71] 0xc0 8.04014e+06 0.5; - dout[71]~229 0xc0 5.88653e+06 0.5; - dout[71]~230 0xc0 5.45581e+06 0.5; - dout[72] 0xc0 8.04014e+06 0.5; - dout[72]~231 0xc0 5.88653e+06 0.5; - dout[72]~232 0xc0 5.45581e+06 0.5; - dout[73] 0xc0 8.04014e+06 0.5; - dout[73]~233 0xc0 5.88653e+06 0.5; - dout[73]~234 0xc0 5.45581e+06 0.5; - dout[74] 0xc0 8.04014e+06 0.5; - dout[74]~235 0xc0 5.88653e+06 0.5; - dout[74]~236 0xc0 5.45581e+06 0.5; - dout[75] 0xc0 8.04014e+06 0.5; - dout[75]~237 0xc0 5.88653e+06 0.5; - dout[75]~238 0xc0 5.45581e+06 0.5; - dout[76] 0xc0 8.04014e+06 0.5; - dout[76]~239 0xc0 5.88653e+06 0.5; - dout[76]~240 0xc0 5.45581e+06 0.5; - dout[77] 0xc0 8.04014e+06 0.5; - dout[77]~241 0xc0 5.88653e+06 0.5; - dout[77]~242 0xc0 5.45581e+06 0.5; - dout[78] 0xc0 8.04014e+06 0.5; - dout[78]~243 0xc0 5.88653e+06 0.5; - dout[78]~244 0xc0 5.45581e+06 0.5; - dout[79] 0xc0 8.04014e+06 0.5; - dout[79]~245 0xc0 5.88653e+06 0.5; - dout[79]~246 0xc0 5.45581e+06 0.5; - dout[80] 0xc0 8.04014e+06 0.5; - dout[80]~247 0xc0 5.88653e+06 0.5; - dout[80]~248 0xc0 5.45581e+06 0.5; - dout[81] 0xc0 8.04014e+06 0.5; - dout[81]~249 0xc0 5.88653e+06 0.5; - dout[81]~250 0xc0 5.45581e+06 0.5; - dout[82] 0xc0 8.04014e+06 0.5; - dout[82]~251 0xc0 5.88653e+06 0.5; - dout[82]~252 0xc0 5.45581e+06 0.5; - dout[83] 0xc0 8.04014e+06 0.5; - dout[83]~253 0xc0 5.88653e+06 0.5; - dout[83]~254 0xc0 5.45581e+06 0.5; - dout[84] 0xc0 8.04014e+06 0.5; - dout[84]~255 0xc0 5.88653e+06 0.5; - dout[84]~256 0xc0 5.45581e+06 0.5; - dout[85] 0xc0 8.04014e+06 0.5; - dout[85]~257 0xc0 7.39405e+06 0.5; - dout_valid 0xc0 8.04014e+06 0.5; - dout_valid~0 0xc0 6.0301e+06 0.25; - dout~108 0xc0 5.27634e+06 0.625; - dout~259 0xc0 6.0301e+06 0.25; - ena_diff_s[0] 0xc0 8.04014e+06 0.5; - ena_diff_s[1] 0xc0 8.04014e+06 0.5; - ena_diff_s[1]~feeder 0xc0 6.0301e+06 0.25; - ena_diff_s~0 0xc0 1.40668e+07 0.375076; - fifo_rdreq 0xc0 8.04014e+06 0.5; - fifo_rdreq~0 0xc0 6.0301e+06 0.25; - auk_dspip_channel_buffer:fifo_regulator; - scfifo:buffer_FIFO; - scfifo_qm51:auto_generated; - a_dpfifo_5ku:dpfifo; - _~0 0xc0 3.32835e+06 0.125046; - _~1 0xc0 6.97065e+06 0.0624962; - _~2 0xc0 6.0301e+06 0.25; - _~3 0xc0 1.18748e+07 0.437513; - empty_dff 0xc0 8.04014e+06 0.5; - empty_dff~0 0xc0 8.73562e+06 0.263684; - empty_dff~1 0xc0 1.13269e+07 0.26368; - altsyncram_m7h1:FIFOram; - altsyncram:ram_block1a0; - altsyncram_nci3:auto_generated; - decode_msa:address_decoder; - w_anode19w[2]~0 0xc0 2.38692e+06 0.125; - w_anode32w[2]~0 0xc0 1.91581e+06 0.125; - w_anode40w[2]~0 0xc0 1.91581e+06 0.125; - w_anode48w[2]~0 0xc0 1.91581e+06 0.125; - address_reg[0] 0xc0 8.04014e+06 0.5; - address_reg[0]~feeder 0xc0 8.04014e+06 0.5; - address_reg[1] 0xc0 8.04014e+06 0.5; - address_reg[1]~feeder 0xc0 8.04014e+06 0.5; - data_reg[0] 0xc0 8.04014e+06 0.5; - data_reg[1] 0xc0 8.04014e+06 0.5; - data_reg[2] 0xc0 8.04014e+06 0.5; - data_reg[3] 0xc0 8.04014e+06 0.5; - data_reg[3]~feeder 0xc0 8.04014e+06 0.5; - data_reg[4] 0xc0 8.04014e+06 0.5; - data_reg[4]~feeder 0xc0 8.04014e+06 0.5; - data_reg[5] 0xc0 8.04014e+06 0.5; - data_reg[5]~feeder 0xc0 8.04014e+06 0.5; - data_reg[6] 0xc0 8.04014e+06 0.5; - data_reg[7] 0xc0 8.04014e+06 0.5; - data_reg[8] 0xc0 8.04014e+06 0.5; - data_reg[8]~feeder 0xc0 8.04014e+06 0.5; - data_reg[9] 0xc0 8.04014e+06 0.5; - data_reg[9]~feeder 0xc0 8.04014e+06 0.5; - data_reg[10] 0xc0 8.04014e+06 0.5; - data_reg[11] 0xc0 8.04014e+06 0.5; - data_reg[11]~feeder 0xc0 8.04014e+06 0.5; - data_reg[12] 0xc0 8.04014e+06 0.5; - data_reg[12]~feeder 0xc0 8.04014e+06 0.5; - data_reg[13] 0xc0 8.04014e+06 0.5; - data_reg[13]~feeder 0xc0 8.04014e+06 0.5; - data_reg[14] 0xc0 8.04014e+06 0.5; - data_reg[14]~feeder 0xc0 8.04014e+06 0.5; - data_reg[15] 0xc0 8.04014e+06 0.5; - data_reg[16] 0xc0 8.04014e+06 0.5; - data_reg[16]~feeder 0xc0 8.04014e+06 0.5; - data_reg[17] 0xc0 8.04014e+06 0.5; - data_reg[17]~feeder 0xc0 8.04014e+06 0.5; - data_reg[18] 0xc0 8.04014e+06 0.5; - data_reg[19] 0xc0 8.04014e+06 0.5; - data_reg[19]~feeder 0xc0 8.04014e+06 0.5; - data_reg[20] 0xc0 8.04014e+06 0.5; - data_reg[20]~feeder 0xc0 8.04014e+06 0.5; - data_reg[21] 0xc0 8.04014e+06 0.5; - data_reg[21]~feeder 0xc0 8.04014e+06 0.5; - data_reg[22] 0xc0 8.04014e+06 0.5; - data_reg[23] 0xc0 8.04014e+06 0.5; - data_reg[23]~feeder 0xc0 8.04014e+06 0.5; - data_reg[24] 0xc0 8.04014e+06 0.5; - data_reg[24]~feeder 0xc0 8.04014e+06 0.5; - data_reg[25] 0xc0 8.04014e+06 0.5; - data_reg[25]~feeder 0xc0 8.04014e+06 0.5; - data_reg[26] 0xc0 8.04014e+06 0.5; - data_reg[27] 0xc0 8.04014e+06 0.5; - data_reg[28] 0xc0 8.04014e+06 0.5; - data_reg[28]~feeder 0xc0 8.04014e+06 0.5; - data_reg[29] 0xc0 8.04014e+06 0.5; - data_reg[29]~feeder 0xc0 8.04014e+06 0.5; - data_reg[30] 0xc0 8.04014e+06 0.5; - data_reg[30]~feeder 0xc0 8.04014e+06 0.5; - data_reg[31] 0xc0 8.04014e+06 0.5; - data_reg[32] 0xc0 8.04014e+06 0.5; - data_reg[33] 0xc0 8.04014e+06 0.5; - data_reg[33]~feeder 0xc0 8.04014e+06 0.5; - data_reg[34] 0xc0 8.04014e+06 0.5; - data_reg[34]~feeder 0xc0 8.04014e+06 0.5; - data_reg[35] 0xc0 8.04014e+06 0.5; - data_reg[36] 0xc0 8.04014e+06 0.5; - data_reg[36]~feeder 0xc0 8.04014e+06 0.5; - data_reg[37] 0xc0 8.04014e+06 0.5; - data_reg[37]~feeder 0xc0 8.04014e+06 0.5; - data_reg[38] 0xc0 8.04014e+06 0.5; - data_reg[38]~feeder 0xc0 8.04014e+06 0.5; - data_reg[39] 0xc0 8.04014e+06 0.5; - data_reg[39]~feeder 0xc0 8.04014e+06 0.5; - data_reg[40] 0xc0 8.04014e+06 0.5; - data_reg[40]~feeder 0xc0 8.04014e+06 0.5; - data_reg[41] 0xc0 8.04014e+06 0.5; - data_reg[41]~feeder 0xc0 8.04014e+06 0.5; - data_reg[42] 0xc0 8.04014e+06 0.5; - data_reg[43] 0xc0 8.04014e+06 0.5; - data_reg[44] 0xc0 8.04014e+06 0.5; - data_reg[44]~feeder 0xc0 8.04014e+06 0.5; - data_reg[45] 0xc0 8.04014e+06 0.5; - data_reg[45]~feeder 0xc0 8.04014e+06 0.5; - data_reg[46] 0xc0 8.04014e+06 0.5; - data_reg[46]~feeder 0xc0 8.04014e+06 0.5; - data_reg[47] 0xc0 8.04014e+06 0.5; - data_reg[48] 0xc0 8.04014e+06 0.5; - data_reg[49] 0xc0 8.04014e+06 0.5; - data_reg[49]~feeder 0xc0 8.04014e+06 0.5; - data_reg[50] 0xc0 8.04014e+06 0.5; - data_reg[50]~feeder 0xc0 8.04014e+06 0.5; - data_reg[51] 0xc0 8.04014e+06 0.5; - data_reg[51]~feeder 0xc0 8.04014e+06 0.5; - data_reg[52] 0xc0 8.04014e+06 0.5; - data_reg[52]~feeder 0xc0 8.04014e+06 0.5; - data_reg[53] 0xc0 8.04014e+06 0.5; - data_reg[54] 0xc0 8.04014e+06 0.5; - data_reg[54]~feeder 0xc0 8.04014e+06 0.5; - data_reg[55] 0xc0 8.04014e+06 0.5; - data_reg[55]~feeder 0xc0 8.04014e+06 0.5; - data_reg[56] 0xc0 8.04014e+06 0.5; - data_reg[57] 0xc0 8.04014e+06 0.5; - data_reg[57]~feeder 0xc0 8.04014e+06 0.5; - data_reg[58] 0xc0 8.04014e+06 0.5; - data_reg[59] 0xc0 8.04014e+06 0.5; - data_reg[60] 0xc0 8.04014e+06 0.5; - data_reg[60]~feeder 0xc0 8.04014e+06 0.5; - data_reg[61] 0xc0 8.04014e+06 0.5; - data_reg[61]~feeder 0xc0 8.04014e+06 0.5; - data_reg[62] 0xc0 8.04014e+06 0.5; - data_reg[62]~feeder 0xc0 8.04014e+06 0.5; - data_reg[63] 0xc0 8.04014e+06 0.5; - data_reg[64] 0xc0 8.04014e+06 0.5; - data_reg[64]~feeder 0xc0 8.04014e+06 0.5; - data_reg[65] 0xc0 8.04014e+06 0.5; - data_reg[65]~feeder 0xc0 8.04014e+06 0.5; - data_reg[66] 0xc0 8.04014e+06 0.5; - data_reg[67] 0xc0 8.04014e+06 0.5; - data_reg[67]~feeder 0xc0 8.04014e+06 0.5; - data_reg[68] 0xc0 8.04014e+06 0.5; - data_reg[68]~feeder 0xc0 8.04014e+06 0.5; - data_reg[69] 0xc0 8.04014e+06 0.5; - data_reg[70] 0xc0 8.04014e+06 0.5; - data_reg[70]~feeder 0xc0 8.04014e+06 0.5; - data_reg[71] 0xc0 8.04014e+06 0.5; - data_reg[71]~feeder 0xc0 8.04014e+06 0.5; - data_reg[72] 0xc0 8.04014e+06 0.5; - data_reg[72]~feeder 0xc0 8.04014e+06 0.5; - data_reg[73] 0xc0 8.04014e+06 0.5; - data_reg[73]~feeder 0xc0 8.04014e+06 0.5; - data_reg[74] 0xc0 8.04014e+06 0.5; - data_reg[74]~feeder 0xc0 8.04014e+06 0.5; - data_reg[75] 0xc0 8.04014e+06 0.5; - data_reg[75]~feeder 0xc0 8.04014e+06 0.5; - data_reg[76] 0xc0 8.04014e+06 0.5; - data_reg[76]~feeder 0xc0 8.04014e+06 0.5; - data_reg[77] 0xc0 8.04014e+06 0.5; - data_reg[78] 0xc0 8.04014e+06 0.5; - data_reg[79] 0xc0 8.04014e+06 0.5; - data_reg[79]~feeder 0xc0 8.04014e+06 0.5; - data_reg[80] 0xc0 8.04014e+06 0.5; - data_reg[81] 0xc0 8.04014e+06 0.5; - data_reg[81]~feeder 0xc0 8.04014e+06 0.5; - data_reg[82] 0xc0 8.04014e+06 0.5; - data_reg[83] 0xc0 8.04014e+06 0.5; - data_reg[83]~feeder 0xc0 8.04014e+06 0.5; - data_reg[84] 0xc0 8.04014e+06 0.5; - data_reg[84]~feeder 0xc0 8.04014e+06 0.5; - data_reg[85] 0xc0 8.04014e+06 0.5; - outdata_reg[0] 0xc0 8.04014e+06 0.5; - outdata_reg[1] 0xc0 8.04014e+06 0.5; - outdata_reg[2] 0xc0 8.04014e+06 0.5; - outdata_reg[3] 0xc0 8.04014e+06 0.5; - outdata_reg[3]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[4] 0xc0 8.04014e+06 0.5; - outdata_reg[4]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[5] 0xc0 8.04014e+06 0.5; - outdata_reg[5]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[6] 0xc0 8.04014e+06 0.5; - outdata_reg[6]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[7] 0xc0 8.04014e+06 0.5; - outdata_reg[7]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[8] 0xc0 8.04014e+06 0.5; - outdata_reg[9] 0xc0 8.04014e+06 0.5; - outdata_reg[10] 0xc0 8.04014e+06 0.5; - outdata_reg[11] 0xc0 8.04014e+06 0.5; - outdata_reg[11]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[12] 0xc0 8.04014e+06 0.5; - outdata_reg[12]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[13] 0xc0 8.04014e+06 0.5; - outdata_reg[14] 0xc0 8.04014e+06 0.5; - outdata_reg[14]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[15] 0xc0 8.04014e+06 0.5; - outdata_reg[16] 0xc0 8.04014e+06 0.5; - outdata_reg[16]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[17] 0xc0 8.04014e+06 0.5; - outdata_reg[17]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[18] 0xc0 8.04014e+06 0.5; - outdata_reg[18]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[19] 0xc0 8.04014e+06 0.5; - outdata_reg[20] 0xc0 8.04014e+06 0.5; - outdata_reg[21] 0xc0 8.04014e+06 0.5; - outdata_reg[22] 0xc0 8.04014e+06 0.5; - outdata_reg[22]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[23] 0xc0 8.04014e+06 0.5; - outdata_reg[24] 0xc0 8.04014e+06 0.5; - outdata_reg[24]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[25] 0xc0 8.04014e+06 0.5; - outdata_reg[25]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[26] 0xc0 8.04014e+06 0.5; - outdata_reg[26]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[27] 0xc0 8.04014e+06 0.5; - outdata_reg[28] 0xc0 8.04014e+06 0.5; - outdata_reg[28]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[29] 0xc0 8.04014e+06 0.5; - outdata_reg[29]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[30] 0xc0 8.04014e+06 0.5; - outdata_reg[30]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[31] 0xc0 8.04014e+06 0.5; - outdata_reg[31]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[32] 0xc0 8.04014e+06 0.5; - outdata_reg[33] 0xc0 8.04014e+06 0.5; - outdata_reg[33]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[34] 0xc0 8.04014e+06 0.5; - outdata_reg[35] 0xc0 8.04014e+06 0.5; - outdata_reg[36] 0xc0 8.04014e+06 0.5; - outdata_reg[36]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[37] 0xc0 8.04014e+06 0.5; - outdata_reg[37]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[38] 0xc0 8.04014e+06 0.5; - outdata_reg[39] 0xc0 8.04014e+06 0.5; - outdata_reg[39]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[40] 0xc0 8.04014e+06 0.5; - outdata_reg[40]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[41] 0xc0 8.04014e+06 0.5; - outdata_reg[42] 0xc0 8.04014e+06 0.5; - outdata_reg[42]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[43] 0xc0 8.04014e+06 0.5; - outdata_reg[43]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[44] 0xc0 8.04014e+06 0.5; - outdata_reg[45] 0xc0 8.04014e+06 0.5; - outdata_reg[45]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[46] 0xc0 8.04014e+06 0.5; - outdata_reg[47] 0xc0 8.04014e+06 0.5; - outdata_reg[48] 0xc0 8.04014e+06 0.5; - outdata_reg[49] 0xc0 8.04014e+06 0.5; - outdata_reg[49]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[50] 0xc0 8.04014e+06 0.5; - outdata_reg[50]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[51] 0xc0 8.04014e+06 0.5; - outdata_reg[52] 0xc0 8.04014e+06 0.5; - outdata_reg[52]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[53] 0xc0 8.04014e+06 0.5; - outdata_reg[54] 0xc0 8.04014e+06 0.5; - outdata_reg[55] 0xc0 8.04014e+06 0.5; - outdata_reg[55]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[56] 0xc0 8.04014e+06 0.5; - outdata_reg[57] 0xc0 8.04014e+06 0.5; - outdata_reg[57]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[58] 0xc0 8.04014e+06 0.5; - outdata_reg[58]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[59] 0xc0 8.04014e+06 0.5; - outdata_reg[60] 0xc0 8.04014e+06 0.5; - outdata_reg[61] 0xc0 8.04014e+06 0.5; - outdata_reg[61]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[62] 0xc0 8.04014e+06 0.5; - outdata_reg[63] 0xc0 8.04014e+06 0.5; - outdata_reg[63]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[64] 0xc0 8.04014e+06 0.5; - outdata_reg[64]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[65] 0xc0 8.04014e+06 0.5; - outdata_reg[65]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[66] 0xc0 8.04014e+06 0.5; - outdata_reg[67] 0xc0 8.04014e+06 0.5; - outdata_reg[68] 0xc0 8.04014e+06 0.5; - outdata_reg[68]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[69] 0xc0 8.04014e+06 0.5; - outdata_reg[69]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[70] 0xc0 8.04014e+06 0.5; - outdata_reg[70]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[71] 0xc0 8.04014e+06 0.5; - outdata_reg[71]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[72] 0xc0 8.04014e+06 0.5; - outdata_reg[72]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[73] 0xc0 8.04014e+06 0.5; - outdata_reg[74] 0xc0 8.04014e+06 0.5; - outdata_reg[74]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[75] 0xc0 8.04014e+06 0.5; - outdata_reg[76] 0xc0 8.04014e+06 0.5; - outdata_reg[76]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[77] 0xc0 8.04014e+06 0.5; - outdata_reg[77]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[78] 0xc0 8.04014e+06 0.5; - outdata_reg[79] 0xc0 8.04014e+06 0.5; - outdata_reg[79]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[80] 0xc0 8.04014e+06 0.5; - outdata_reg[81] 0xc0 8.04014e+06 0.5; - outdata_reg[82] 0xc0 8.04014e+06 0.5; - outdata_reg[82]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[83] 0xc0 8.04014e+06 0.5; - outdata_reg[83]~feeder 0xc0 8.04014e+06 0.5; - outdata_reg[84] 0xc0 8.04014e+06 0.5; - outdata_reg[85] 0xc0 8.04014e+06 0.5; - mux_sob:output_mux; - result_node[0]~20 0xc0 5.14998e+06 0.5; - result_node[0]~21 0xc0 4.87577e+06 0.5; - result_node[1]~18 0xc0 5.05796e+06 0.5; - result_node[1]~19 0xc0 4.85523e+06 0.5; - result_node[2]~16 0xc0 5.06361e+06 0.5; - result_node[2]~17 0xc0 5.64506e+06 0.5; - result_node[3]~14 0xc0 4.56674e+06 0.5; - result_node[3]~15 0xc0 3.16618e+06 0.5; - result_node[4]~12 0xc0 4.95e+06 0.5; - result_node[4]~13 0xc0 4.6594e+06 0.5; - result_node[5]~10 0xc0 5.06361e+06 0.5; - result_node[5]~11 0xc0 4.86679e+06 0.5; - result_node[6]~8 0xc0 5.06361e+06 0.5; - result_node[6]~9 0xc0 4.85957e+06 0.5; - result_node[7]~6 0xc0 4.71593e+06 0.5; - result_node[7]~7 0xc0 4.73641e+06 0.5; - result_node[8]~4 0xc0 4.95393e+06 0.5; - result_node[8]~5 0xc0 4.13865e+06 0.5; - result_node[9]~2 0xc0 4.61876e+06 0.5; - result_node[9]~3 0xc0 3.15287e+06 0.5; - result_node[10]~0 0xc0 5.06361e+06 0.5; - result_node[10]~1 0xc0 4.85957e+06 0.5; - result_node[11]~22 0xc0 4.89455e+06 0.5; - result_node[11]~23 0xc0 5.57719e+06 0.5; - result_node[12]~26 0xc0 5.05796e+06 0.5; - result_node[12]~27 0xc0 5.36795e+06 0.5; - result_node[13]~24 0xc0 5.05796e+06 0.5; - result_node[13]~25 0xc0 4.94016e+06 0.5; - result_node[14]~28 0xc0 4.56674e+06 0.5; - result_node[14]~29 0xc0 3.6369e+06 0.5; - result_node[15]~30 0xc0 4.56674e+06 0.5; - result_node[15]~31 0xc0 4.69544e+06 0.5; - result_node[16]~84 0xc0 4.51473e+06 0.5; - result_node[16]~85 0xc0 4.61091e+06 0.5; - result_node[17]~82 0xc0 4.56674e+06 0.5; - result_node[17]~83 0xc0 4.69544e+06 0.5; - result_node[18]~80 0xc0 4.51473e+06 0.5; - result_node[18]~81 0xc0 3.42121e+06 0.5; - result_node[19]~78 0xc0 4.51473e+06 0.5; - result_node[19]~79 0xc0 3.42121e+06 0.5; - result_node[20]~76 0xc0 4.56674e+06 0.5; - result_node[20]~77 0xc0 3.6369e+06 0.5; - result_node[21]~74 0xc0 4.56674e+06 0.5; - result_node[21]~75 0xc0 5.0487e+06 0.5; - result_node[22]~72 0xc0 4.71593e+06 0.5; - result_node[22]~73 0xc0 3.79603e+06 0.5; - result_node[23]~70 0xc0 4.51473e+06 0.5; - result_node[23]~71 0xc0 3.11177e+06 0.5; - result_node[24]~68 0xc0 4.56674e+06 0.5; - result_node[24]~69 0xc0 4.68047e+06 0.5; - result_node[25]~66 0xc0 4.46271e+06 0.5; - result_node[25]~67 0xc0 3.93544e+06 0.5; - result_node[26]~64 0xc0 4.95393e+06 0.5; - result_node[26]~65 0xc0 4.71986e+06 0.5; - result_node[27]~62 0xc0 4.56674e+06 0.5; - result_node[27]~63 0xc0 4.83867e+06 0.5; - result_node[28]~60 0xc0 4.56674e+06 0.5; - result_node[28]~61 0xc0 3.6369e+06 0.5; - result_node[29]~58 0xc0 4.51473e+06 0.5; - result_node[29]~59 0xc0 4.45289e+06 0.5; - result_node[30]~56 0xc0 4.56674e+06 0.5; - result_node[30]~57 0xc0 4.65225e+06 0.5; - result_node[31]~54 0xc0 4.56674e+06 0.5; - result_node[31]~55 0xc0 4.90547e+06 0.5; - result_node[32]~52 0xc0 4.56674e+06 0.5; - result_node[32]~53 0xc0 3.6369e+06 0.5; - result_node[33]~50 0xc0 4.56674e+06 0.5; - result_node[33]~51 0xc0 3.958e+06 0.5; - result_node[34]~48 0xc0 4.51473e+06 0.5; - result_node[34]~49 0xc0 4.7704e+06 0.5; - result_node[35]~46 0xc0 4.46271e+06 0.5; - result_node[35]~47 0xc0 4.64146e+06 0.5; - result_node[36]~44 0xc0 4.51473e+06 0.5; - result_node[36]~45 0xc0 3.42121e+06 0.5; - result_node[37]~42 0xc0 4.95393e+06 0.5; - result_node[37]~43 0xc0 5.14746e+06 0.5; - result_node[38]~40 0xc0 4.46271e+06 0.5; - result_node[38]~41 0xc0 3.14078e+06 0.5; - result_node[39]~38 0xc0 4.56674e+06 0.5; - result_node[39]~39 0xc0 5.0487e+06 0.5; - result_node[40]~36 0xc0 5.14998e+06 0.5; - result_node[40]~37 0xc0 5.01677e+06 0.5; - result_node[41]~34 0xc0 4.95393e+06 0.5; - result_node[41]~35 0xc0 4.95966e+06 0.5; - result_node[42]~32 0xc0 5.05796e+06 0.5; - result_node[42]~33 0xc0 4.03179e+06 0.5; - result_node[43]~86 0xc0 4.89455e+06 0.5; - result_node[43]~87 0xc0 4.88909e+06 0.5; - result_node[44]~90 0xc0 4.56674e+06 0.5; - result_node[44]~91 0xc0 3.958e+06 0.5; - result_node[45]~88 0xc0 5.06361e+06 0.5; - result_node[45]~89 0xc0 5.13862e+06 0.5; - result_node[46]~92 0xc0 4.56674e+06 0.5; - result_node[46]~93 0xc0 3.6369e+06 0.5; - result_node[47]~94 0xc0 4.56674e+06 0.5; - result_node[47]~95 0xc0 3.6369e+06 0.5; - result_node[48]~148 0xc0 4.95393e+06 0.5; - result_node[48]~149 0xc0 3.84931e+06 0.5; - result_node[49]~146 0xc0 4.95393e+06 0.5; - result_node[49]~147 0xc0 4.82566e+06 0.5; - result_node[50]~144 0xc0 5.14998e+06 0.5; - result_node[50]~145 0xc0 4.87577e+06 0.5; - result_node[51]~142 0xc0 5.14998e+06 0.5; - result_node[51]~143 0xc0 5.01677e+06 0.5; - result_node[52]~140 0xc0 4.56674e+06 0.5; - result_node[52]~141 0xc0 3.16618e+06 0.5; - result_node[53]~138 0xc0 5.14998e+06 0.5; - result_node[53]~139 0xc0 5.05996e+06 0.5; - result_node[54]~136 0xc0 4.56674e+06 0.5; - result_node[54]~137 0xc0 4.83867e+06 0.5; - result_node[55]~134 0xc0 4.56674e+06 0.5; - result_node[55]~135 0xc0 4.90547e+06 0.5; - result_node[56]~132 0xc0 5.14998e+06 0.5; - result_node[56]~133 0xc0 4.96213e+06 0.5; - result_node[57]~130 0xc0 4.56674e+06 0.5; - result_node[57]~131 0xc0 3.6369e+06 0.5; - result_node[58]~128 0xc0 5.14998e+06 0.5; - result_node[58]~129 0xc0 5.02093e+06 0.5; - result_node[59]~126 0xc0 4.56674e+06 0.5; - result_node[59]~127 0xc0 4.65225e+06 0.5; - result_node[60]~124 0xc0 4.71593e+06 0.5; - result_node[60]~125 0xc0 4.99871e+06 0.5; - result_node[61]~122 0xc0 4.56674e+06 0.5; - result_node[61]~123 0xc0 3.6369e+06 0.5; - result_node[62]~120 0xc0 4.56674e+06 0.5; - result_node[62]~121 0xc0 3.6369e+06 0.5; - result_node[63]~118 0xc0 4.51473e+06 0.5; - result_node[63]~119 0xc0 4.45289e+06 0.5; - result_node[64]~116 0xc0 4.56674e+06 0.5; - result_node[64]~117 0xc0 3.6369e+06 0.5; - result_node[65]~114 0xc0 4.51473e+06 0.5; - result_node[65]~115 0xc0 3.73865e+06 0.5; - result_node[66]~112 0xc0 4.51473e+06 0.5; - result_node[66]~113 0xc0 4.61091e+06 0.5; - result_node[67]~110 0xc0 4.56674e+06 0.5; - result_node[67]~111 0xc0 4.69544e+06 0.5; - result_node[68]~108 0xc0 4.95393e+06 0.5; - result_node[68]~109 0xc0 4.89424e+06 0.5; - result_node[69]~106 0xc0 5.14998e+06 0.5; - result_node[69]~107 0xc0 4.89918e+06 0.5; - result_node[70]~104 0xc0 4.56674e+06 0.5; - result_node[70]~105 0xc0 3.6369e+06 0.5; - result_node[71]~102 0xc0 4.95393e+06 0.5; - result_node[71]~103 0xc0 5.65192e+06 0.5; - result_node[72]~100 0xc0 5.06361e+06 0.5; - result_node[72]~101 0xc0 4.86679e+06 0.5; - result_node[73]~98 0xc0 4.51473e+06 0.5; - result_node[73]~99 0xc0 4.45289e+06 0.5; - result_node[74]~96 0xc0 4.56674e+06 0.5; - result_node[74]~97 0xc0 4.68047e+06 0.5; - result_node[75]~150 0xc0 4.56674e+06 0.5; - result_node[75]~151 0xc0 3.17324e+06 0.5; - result_node[76]~154 0xc0 5.14998e+06 0.5; - result_node[76]~155 0xc0 4.87577e+06 0.5; - result_node[77]~152 0xc0 4.56674e+06 0.5; - result_node[77]~153 0xc0 3.958e+06 0.5; - result_node[78]~156 0xc0 5.14998e+06 0.5; - result_node[78]~157 0xc0 5.05996e+06 0.5; - result_node[79]~158 0xc0 5.14998e+06 0.5; - result_node[79]~159 0xc0 5.26999e+06 0.5; - result_node[80]~170 0xc0 4.71593e+06 0.5; - result_node[80]~171 0xc0 4.78868e+06 0.5; - result_node[81]~168 0xc0 4.56674e+06 0.5; - result_node[81]~169 0xc0 3.16618e+06 0.5; - result_node[82]~166 0xc0 4.71593e+06 0.5; - result_node[82]~167 0xc0 3.79603e+06 0.5; - result_node[83]~164 0xc0 5.06361e+06 0.5; - result_node[83]~165 0xc0 5.64506e+06 0.5; - result_node[84]~162 0xc0 5.06361e+06 0.5; - result_node[84]~163 0xc0 5.22499e+06 0.5; - result_node[85]~160 0xc0 4.56674e+06 0.5; - result_node[85]~161 0xc0 3.958e+06 0.5; - ram_block[0] 0xc0 8.04014e+06 0.5; - ram_block[1] 0xc0 8.04014e+06 0.5; - ram_block[2] 0xc0 8.04014e+06 0.5; - ram_block[2]~feeder 0xc0 8.04014e+06 0.5; - ram_block[3] 0xc0 8.04014e+06 0.5; - ram_block[3]~feeder 0xc0 8.04014e+06 0.5; - ram_block[4] 0xc0 8.04014e+06 0.5; - ram_block[5] 0xc0 8.04014e+06 0.5; - ram_block[5]~feeder 0xc0 8.04014e+06 0.5; - ram_block[6] 0xc0 8.04014e+06 0.5; - ram_block[7] 0xc0 8.04014e+06 0.5; - ram_block[8] 0xc0 8.04014e+06 0.5; - ram_block[8]~feeder 0xc0 8.04014e+06 0.5; - ram_block[9] 0xc0 8.04014e+06 0.5; - ram_block[10] 0xc0 8.04014e+06 0.5; - ram_block[11] 0xc0 8.04014e+06 0.5; - ram_block[12] 0xc0 8.04014e+06 0.5; - ram_block[13] 0xc0 8.04014e+06 0.5; - ram_block[14] 0xc0 8.04014e+06 0.5; - ram_block[14]~feeder 0xc0 8.04014e+06 0.5; - ram_block[15] 0xc0 8.04014e+06 0.5; - ram_block[15]~feeder 0xc0 8.04014e+06 0.5; - ram_block[16] 0xc0 8.04014e+06 0.5; - ram_block[17] 0xc0 8.04014e+06 0.5; - ram_block[17]~feeder 0xc0 8.04014e+06 0.5; - ram_block[18] 0xc0 8.04014e+06 0.5; - ram_block[19] 0xc0 8.04014e+06 0.5; - ram_block[20] 0xc0 8.04014e+06 0.5; - ram_block[21] 0xc0 8.04014e+06 0.5; - ram_block[21]~feeder 0xc0 8.04014e+06 0.5; - ram_block[22] 0xc0 8.04014e+06 0.5; - ram_block[22]~feeder 0xc0 8.04014e+06 0.5; - ram_block[23] 0xc0 8.04014e+06 0.5; - ram_block[24] 0xc0 8.04014e+06 0.5; - ram_block[24]~feeder 0xc0 8.04014e+06 0.5; - ram_block[25] 0xc0 8.04014e+06 0.5; - ram_block[26] 0xc0 8.04014e+06 0.5; - ram_block[26]~feeder 0xc0 8.04014e+06 0.5; - ram_block[27] 0xc0 8.04014e+06 0.5; - ram_block[27]~feeder 0xc0 8.04014e+06 0.5; - ram_block[28] 0xc0 8.04014e+06 0.5; - ram_block[28]~feeder 0xc0 8.04014e+06 0.5; - ram_block[29] 0xc0 8.04014e+06 0.5; - ram_block[30] 0xc0 8.04014e+06 0.5; - ram_block[30]~feeder 0xc0 8.04014e+06 0.5; - ram_block[31] 0xc0 8.04014e+06 0.5; - ram_block[31]~feeder 0xc0 8.04014e+06 0.5; - ram_block[32] 0xc0 8.04014e+06 0.5; - ram_block[32]~feeder 0xc0 8.04014e+06 0.5; - ram_block[33] 0xc0 8.04014e+06 0.5; - ram_block[33]~feeder 0xc0 8.04014e+06 0.5; - ram_block[34] 0xc0 8.04014e+06 0.5; - ram_block[35] 0xc0 8.04014e+06 0.5; - ram_block[36] 0xc0 8.04014e+06 0.5; - ram_block[37] 0xc0 8.04014e+06 0.5; - ram_block[37]~feeder 0xc0 8.04014e+06 0.5; - ram_block[38] 0xc0 8.04014e+06 0.5; - ram_block[39] 0xc0 8.04014e+06 0.5; - ram_block[39]~feeder 0xc0 8.04014e+06 0.5; - ram_block[40] 0xc0 8.04014e+06 0.5; - ram_block[40]~feeder 0xc0 8.04014e+06 0.5; - ram_block[41] 0xc0 8.04014e+06 0.5; - ram_block[41]~feeder 0xc0 8.04014e+06 0.5; - ram_block[42] 0xc0 8.04014e+06 0.5; - ram_block[43] 0xc0 8.04014e+06 0.5; - ram_block[44] 0xc0 8.04014e+06 0.5; - ram_block[44]~feeder 0xc0 8.04014e+06 0.5; - ram_block[45] 0xc0 8.04014e+06 0.5; - ram_block[45]~feeder 0xc0 8.04014e+06 0.5; - ram_block[46] 0xc0 8.04014e+06 0.5; - ram_block[46]~feeder 0xc0 8.04014e+06 0.5; - ram_block[47] 0xc0 8.04014e+06 0.5; - ram_block[47]~feeder 0xc0 8.04014e+06 0.5; - ram_block[48] 0xc0 8.04014e+06 0.5; - ram_block[48]~feeder 0xc0 8.04014e+06 0.5; - ram_block[49] 0xc0 8.04014e+06 0.5; - ram_block[49]~feeder 0xc0 8.04014e+06 0.5; - ram_block[50] 0xc0 8.04014e+06 0.5; - ram_block[50]~feeder 0xc0 8.04014e+06 0.5; - ram_block[51] 0xc0 8.04014e+06 0.5; - ram_block[51]~feeder 0xc0 8.04014e+06 0.5; - ram_block[52] 0xc0 8.04014e+06 0.5; - ram_block[52]~feeder 0xc0 8.04014e+06 0.5; - ram_block[53] 0xc0 8.04014e+06 0.5; - ram_block[53]~feeder 0xc0 8.04014e+06 0.5; - ram_block[54] 0xc0 8.04014e+06 0.5; - ram_block[55] 0xc0 8.04014e+06 0.5; - ram_block[55]~feeder 0xc0 8.04014e+06 0.5; - ram_block[56] 0xc0 8.04014e+06 0.5; - ram_block[56]~feeder 0xc0 8.04014e+06 0.5; - ram_block[57] 0xc0 8.04014e+06 0.5; - ram_block[57]~feeder 0xc0 8.04014e+06 0.5; - ram_block[58] 0xc0 8.04014e+06 0.5; - ram_block[58]~feeder 0xc0 8.04014e+06 0.5; - ram_block[59] 0xc0 8.04014e+06 0.5; - ram_block[59]~feeder 0xc0 8.04014e+06 0.5; - ram_block[60] 0xc0 8.04014e+06 0.5; - ram_block[60]~feeder 0xc0 8.04014e+06 0.5; - ram_block[61] 0xc0 8.04014e+06 0.5; - ram_block[61]~feeder 0xc0 8.04014e+06 0.5; - ram_block[62] 0xc0 8.04014e+06 0.5; - ram_block[63] 0xc0 8.04014e+06 0.5; - ram_block[64] 0xc0 8.04014e+06 0.5; - ram_block[64]~feeder 0xc0 8.04014e+06 0.5; - ram_block[65] 0xc0 8.04014e+06 0.5; - ram_block[66] 0xc0 8.04014e+06 0.5; - ram_block[67] 0xc0 8.04014e+06 0.5; - ram_block[67]~feeder 0xc0 8.04014e+06 0.5; - ram_block[68] 0xc0 8.04014e+06 0.5; - ram_block[68]~feeder 0xc0 8.04014e+06 0.5; - ram_block[69] 0xc0 8.04014e+06 0.5; - ram_block[69]~feeder 0xc0 8.04014e+06 0.5; - ram_block[70] 0xc0 8.04014e+06 0.5; - ram_block[70]~feeder 0xc0 8.04014e+06 0.5; - ram_block[71] 0xc0 8.04014e+06 0.5; - ram_block[72] 0xc0 8.04014e+06 0.5; - ram_block[72]~feeder 0xc0 8.04014e+06 0.5; - ram_block[73] 0xc0 8.04014e+06 0.5; - ram_block[74] 0xc0 8.04014e+06 0.5; - ram_block[74]~feeder 0xc0 8.04014e+06 0.5; - ram_block[75] 0xc0 8.04014e+06 0.5; - ram_block[75]~feeder 0xc0 8.04014e+06 0.5; - ram_block[76] 0xc0 8.04014e+06 0.5; - ram_block[76]~feeder 0xc0 8.04014e+06 0.5; - ram_block[77] 0xc0 8.04014e+06 0.5; - ram_block[77]~feeder 0xc0 8.04014e+06 0.5; - ram_block[78] 0xc0 8.04014e+06 0.5; - ram_block[78]~feeder 0xc0 8.04014e+06 0.5; - ram_block[79] 0xc0 8.04014e+06 0.5; - ram_block[80] 0xc0 8.04014e+06 0.5; - ram_block[80]~feeder 0xc0 8.04014e+06 0.5; - ram_block[81] 0xc0 8.04014e+06 0.5; - ram_block[81]~feeder 0xc0 8.04014e+06 0.5; - ram_block[82] 0xc0 8.04014e+06 0.5; - ram_block[82]~feeder 0xc0 8.04014e+06 0.5; - ram_block[83] 0xc0 8.04014e+06 0.5; - ram_block[83]~feeder 0xc0 8.04014e+06 0.5; - ram_block[84] 0xc0 8.04014e+06 0.5; - ram_block[84]~feeder 0xc0 8.04014e+06 0.5; - ram_block[85] 0xc0 8.04014e+06 0.5; - ram_block[86] 0xc0 8.04014e+06 0.5; - ram_block[86]~feeder 0xc0 8.04014e+06 0.5; - ram_block[87] 0xc0 8.04014e+06 0.5; - ram_block[87]~feeder 0xc0 8.04014e+06 0.5; - ram_block[88] 0xc0 8.04014e+06 0.5; - ram_block[89] 0xc0 8.04014e+06 0.5; - ram_block[89]~feeder 0xc0 8.04014e+06 0.5; - ram_block[90] 0xc0 8.04014e+06 0.5; - ram_block[90]~feeder 0xc0 8.04014e+06 0.5; - ram_block[91] 0xc0 8.04014e+06 0.5; - ram_block[92] 0xc0 8.04014e+06 0.5; - ram_block[92]~feeder 0xc0 8.04014e+06 0.5; - ram_block[93] 0xc0 8.04014e+06 0.5; - ram_block[93]~feeder 0xc0 8.04014e+06 0.5; - ram_block[94] 0xc0 8.04014e+06 0.5; - ram_block[95] 0xc0 8.04014e+06 0.5; - ram_block[95]~feeder 0xc0 8.04014e+06 0.5; - ram_block[96] 0xc0 8.04014e+06 0.5; - ram_block[96]~feeder 0xc0 8.04014e+06 0.5; - ram_block[97] 0xc0 8.04014e+06 0.5; - ram_block[97]~feeder 0xc0 8.04014e+06 0.5; - ram_block[98] 0xc0 8.04014e+06 0.5; - ram_block[98]~feeder 0xc0 8.04014e+06 0.5; - ram_block[99] 0xc0 8.04014e+06 0.5; - ram_block[99]~feeder 0xc0 8.04014e+06 0.5; - ram_block[100] 0xc0 8.04014e+06 0.5; - ram_block[100]~feeder 0xc0 8.04014e+06 0.5; - ram_block[101] 0xc0 8.04014e+06 0.5; - ram_block[101]~feeder 0xc0 8.04014e+06 0.5; - ram_block[102] 0xc0 8.04014e+06 0.5; - ram_block[102]~feeder 0xc0 8.04014e+06 0.5; - ram_block[103] 0xc0 8.04014e+06 0.5; - ram_block[103]~feeder 0xc0 8.04014e+06 0.5; - ram_block[104] 0xc0 8.04014e+06 0.5; - ram_block[104]~feeder 0xc0 8.04014e+06 0.5; - ram_block[105] 0xc0 8.04014e+06 0.5; - ram_block[105]~feeder 0xc0 8.04014e+06 0.5; - ram_block[106] 0xc0 8.04014e+06 0.5; - ram_block[106]~feeder 0xc0 8.04014e+06 0.5; - ram_block[107] 0xc0 8.04014e+06 0.5; - ram_block[108] 0xc0 8.04014e+06 0.5; - ram_block[108]~feeder 0xc0 8.04014e+06 0.5; - ram_block[109] 0xc0 8.04014e+06 0.5; - ram_block[109]~feeder 0xc0 8.04014e+06 0.5; - ram_block[110] 0xc0 8.04014e+06 0.5; - ram_block[110]~feeder 0xc0 8.04014e+06 0.5; - ram_block[111] 0xc0 8.04014e+06 0.5; - ram_block[111]~feeder 0xc0 8.04014e+06 0.5; - ram_block[112] 0xc0 8.04014e+06 0.5; - ram_block[112]~feeder 0xc0 8.04014e+06 0.5; - ram_block[113] 0xc0 8.04014e+06 0.5; - ram_block[113]~feeder 0xc0 8.04014e+06 0.5; - ram_block[114] 0xc0 8.04014e+06 0.5; - ram_block[115] 0xc0 8.04014e+06 0.5; - ram_block[115]~feeder 0xc0 8.04014e+06 0.5; - ram_block[116] 0xc0 8.04014e+06 0.5; - ram_block[116]~feeder 0xc0 8.04014e+06 0.5; - ram_block[117] 0xc0 8.04014e+06 0.5; - ram_block[117]~feeder 0xc0 8.04014e+06 0.5; - ram_block[118] 0xc0 8.04014e+06 0.5; - ram_block[118]~feeder 0xc0 8.04014e+06 0.5; - ram_block[119] 0xc0 8.04014e+06 0.5; - ram_block[119]~feeder 0xc0 8.04014e+06 0.5; - ram_block[120] 0xc0 8.04014e+06 0.5; - ram_block[121] 0xc0 8.04014e+06 0.5; - ram_block[121]~feeder 0xc0 8.04014e+06 0.5; - ram_block[122] 0xc0 8.04014e+06 0.5; - ram_block[122]~feeder 0xc0 8.04014e+06 0.5; - ram_block[123] 0xc0 8.04014e+06 0.5; - ram_block[124] 0xc0 8.04014e+06 0.5; - ram_block[124]~feeder 0xc0 8.04014e+06 0.5; - ram_block[125] 0xc0 8.04014e+06 0.5; - ram_block[125]~feeder 0xc0 8.04014e+06 0.5; - ram_block[126] 0xc0 8.04014e+06 0.5; - ram_block[127] 0xc0 8.04014e+06 0.5; - ram_block[127]~feeder 0xc0 8.04014e+06 0.5; - ram_block[128] 0xc0 8.04014e+06 0.5; - ram_block[128]~feeder 0xc0 8.04014e+06 0.5; - ram_block[129] 0xc0 8.04014e+06 0.5; - ram_block[129]~feeder 0xc0 8.04014e+06 0.5; - ram_block[130] 0xc0 8.04014e+06 0.5; - ram_block[130]~feeder 0xc0 8.04014e+06 0.5; - ram_block[131] 0xc0 8.04014e+06 0.5; - ram_block[131]~feeder 0xc0 8.04014e+06 0.5; - ram_block[132] 0xc0 8.04014e+06 0.5; - ram_block[133] 0xc0 8.04014e+06 0.5; - ram_block[134] 0xc0 8.04014e+06 0.5; - ram_block[134]~feeder 0xc0 8.04014e+06 0.5; - ram_block[135] 0xc0 8.04014e+06 0.5; - ram_block[135]~feeder 0xc0 8.04014e+06 0.5; - ram_block[136] 0xc0 8.04014e+06 0.5; - ram_block[136]~feeder 0xc0 8.04014e+06 0.5; - ram_block[137] 0xc0 8.04014e+06 0.5; - ram_block[137]~feeder 0xc0 8.04014e+06 0.5; - ram_block[138] 0xc0 8.04014e+06 0.5; - ram_block[138]~feeder 0xc0 8.04014e+06 0.5; - ram_block[139] 0xc0 8.04014e+06 0.5; - ram_block[139]~feeder 0xc0 8.04014e+06 0.5; - ram_block[140] 0xc0 8.04014e+06 0.5; - ram_block[140]~feeder 0xc0 8.04014e+06 0.5; - ram_block[141] 0xc0 8.04014e+06 0.5; - ram_block[141]~feeder 0xc0 8.04014e+06 0.5; - ram_block[142] 0xc0 8.04014e+06 0.5; - ram_block[142]~feeder 0xc0 8.04014e+06 0.5; - ram_block[143] 0xc0 8.04014e+06 0.5; - ram_block[143]~feeder 0xc0 8.04014e+06 0.5; - ram_block[144] 0xc0 8.04014e+06 0.5; - ram_block[144]~feeder 0xc0 8.04014e+06 0.5; - ram_block[145] 0xc0 8.04014e+06 0.5; - ram_block[145]~feeder 0xc0 8.04014e+06 0.5; - ram_block[146] 0xc0 8.04014e+06 0.5; - ram_block[146]~feeder 0xc0 8.04014e+06 0.5; - ram_block[147] 0xc0 8.04014e+06 0.5; - ram_block[148] 0xc0 8.04014e+06 0.5; - ram_block[148]~feeder 0xc0 8.04014e+06 0.5; - ram_block[149] 0xc0 8.04014e+06 0.5; - ram_block[149]~feeder 0xc0 8.04014e+06 0.5; - ram_block[150] 0xc0 8.04014e+06 0.5; - ram_block[150]~feeder 0xc0 8.04014e+06 0.5; - ram_block[151] 0xc0 8.04014e+06 0.5; - ram_block[152] 0xc0 8.04014e+06 0.5; - ram_block[152]~feeder 0xc0 8.04014e+06 0.5; - ram_block[153] 0xc0 8.04014e+06 0.5; - ram_block[153]~feeder 0xc0 8.04014e+06 0.5; - ram_block[154] 0xc0 8.04014e+06 0.5; - ram_block[154]~feeder 0xc0 8.04014e+06 0.5; - ram_block[155] 0xc0 8.04014e+06 0.5; - ram_block[156] 0xc0 8.04014e+06 0.5; - ram_block[156]~feeder 0xc0 8.04014e+06 0.5; - ram_block[157] 0xc0 8.04014e+06 0.5; - ram_block[158] 0xc0 8.04014e+06 0.5; - ram_block[159] 0xc0 8.04014e+06 0.5; - ram_block[159]~feeder 0xc0 8.04014e+06 0.5; - ram_block[160] 0xc0 8.04014e+06 0.5; - ram_block[160]~feeder 0xc0 8.04014e+06 0.5; - ram_block[161] 0xc0 8.04014e+06 0.5; - ram_block[161]~feeder 0xc0 8.04014e+06 0.5; - ram_block[162] 0xc0 8.04014e+06 0.5; - ram_block[162]~feeder 0xc0 8.04014e+06 0.5; - ram_block[163] 0xc0 8.04014e+06 0.5; - ram_block[164] 0xc0 8.04014e+06 0.5; - ram_block[164]~feeder 0xc0 8.04014e+06 0.5; - ram_block[165] 0xc0 8.04014e+06 0.5; - ram_block[165]~feeder 0xc0 8.04014e+06 0.5; - ram_block[166] 0xc0 8.04014e+06 0.5; - ram_block[166]~feeder 0xc0 8.04014e+06 0.5; - ram_block[167] 0xc0 8.04014e+06 0.5; - ram_block[168] 0xc0 8.04014e+06 0.5; - ram_block[168]~feeder 0xc0 8.04014e+06 0.5; - ram_block[169] 0xc0 8.04014e+06 0.5; - ram_block[169]~feeder 0xc0 8.04014e+06 0.5; - ram_block[170] 0xc0 8.04014e+06 0.5; - ram_block[171] 0xc0 8.04014e+06 0.5; - ram_block[171]~feeder 0xc0 8.04014e+06 0.5; - ram_block[172] 0xc0 8.04014e+06 0.5; - ram_block[172]~feeder 0xc0 8.04014e+06 0.5; - ram_block[173] 0xc0 8.04014e+06 0.5; - ram_block[173]~feeder 0xc0 8.04014e+06 0.5; - ram_block[174] 0xc0 8.04014e+06 0.5; - ram_block[174]~feeder 0xc0 8.04014e+06 0.5; - ram_block[175] 0xc0 8.04014e+06 0.5; - ram_block[176] 0xc0 8.04014e+06 0.5; - ram_block[176]~feeder 0xc0 8.04014e+06 0.5; - ram_block[177] 0xc0 8.04014e+06 0.5; - ram_block[177]~feeder 0xc0 8.04014e+06 0.5; - ram_block[178] 0xc0 8.04014e+06 0.5; - ram_block[178]~feeder 0xc0 8.04014e+06 0.5; - ram_block[179] 0xc0 8.04014e+06 0.5; - ram_block[179]~feeder 0xc0 8.04014e+06 0.5; - ram_block[180] 0xc0 8.04014e+06 0.5; - ram_block[180]~feeder 0xc0 8.04014e+06 0.5; - ram_block[181] 0xc0 8.04014e+06 0.5; - ram_block[181]~feeder 0xc0 8.04014e+06 0.5; - ram_block[182] 0xc0 8.04014e+06 0.5; - ram_block[182]~feeder 0xc0 8.04014e+06 0.5; - ram_block[183] 0xc0 8.04014e+06 0.5; - ram_block[183]~feeder 0xc0 8.04014e+06 0.5; - ram_block[184] 0xc0 8.04014e+06 0.5; - ram_block[184]~feeder 0xc0 8.04014e+06 0.5; - ram_block[185] 0xc0 8.04014e+06 0.5; - ram_block[186] 0xc0 8.04014e+06 0.5; - ram_block[187] 0xc0 8.04014e+06 0.5; - ram_block[187]~feeder 0xc0 8.04014e+06 0.5; - ram_block[188] 0xc0 8.04014e+06 0.5; - ram_block[188]~feeder 0xc0 8.04014e+06 0.5; - ram_block[189] 0xc0 8.04014e+06 0.5; - ram_block[189]~feeder 0xc0 8.04014e+06 0.5; - ram_block[190] 0xc0 8.04014e+06 0.5; - ram_block[190]~feeder 0xc0 8.04014e+06 0.5; - ram_block[191] 0xc0 8.04014e+06 0.5; - ram_block[191]~feeder 0xc0 8.04014e+06 0.5; - ram_block[192] 0xc0 8.04014e+06 0.5; - ram_block[193] 0xc0 8.04014e+06 0.5; - ram_block[193]~feeder 0xc0 8.04014e+06 0.5; - ram_block[194] 0xc0 8.04014e+06 0.5; - ram_block[195] 0xc0 8.04014e+06 0.5; - ram_block[195]~feeder 0xc0 8.04014e+06 0.5; - ram_block[196] 0xc0 8.04014e+06 0.5; - ram_block[197] 0xc0 8.04014e+06 0.5; - ram_block[197]~feeder 0xc0 8.04014e+06 0.5; - ram_block[198] 0xc0 8.04014e+06 0.5; - ram_block[198]~feeder 0xc0 8.04014e+06 0.5; - ram_block[199] 0xc0 8.04014e+06 0.5; - ram_block[199]~feeder 0xc0 8.04014e+06 0.5; - ram_block[200] 0xc0 8.04014e+06 0.5; - ram_block[200]~feeder 0xc0 8.04014e+06 0.5; - ram_block[201] 0xc0 8.04014e+06 0.5; - ram_block[201]~feeder 0xc0 8.04014e+06 0.5; - ram_block[202] 0xc0 8.04014e+06 0.5; - ram_block[202]~feeder 0xc0 8.04014e+06 0.5; - ram_block[203] 0xc0 8.04014e+06 0.5; - ram_block[204] 0xc0 8.04014e+06 0.5; - ram_block[205] 0xc0 8.04014e+06 0.5; - ram_block[205]~feeder 0xc0 8.04014e+06 0.5; - ram_block[206] 0xc0 8.04014e+06 0.5; - ram_block[207] 0xc0 8.04014e+06 0.5; - ram_block[207]~feeder 0xc0 8.04014e+06 0.5; - ram_block[208] 0xc0 8.04014e+06 0.5; - ram_block[208]~feeder 0xc0 8.04014e+06 0.5; - ram_block[209] 0xc0 8.04014e+06 0.5; - ram_block[210] 0xc0 8.04014e+06 0.5; - ram_block[210]~feeder 0xc0 8.04014e+06 0.5; - ram_block[211] 0xc0 8.04014e+06 0.5; - ram_block[211]~feeder 0xc0 8.04014e+06 0.5; - ram_block[212] 0xc0 8.04014e+06 0.5; - ram_block[212]~feeder 0xc0 8.04014e+06 0.5; - ram_block[213] 0xc0 8.04014e+06 0.5; - ram_block[214] 0xc0 8.04014e+06 0.5; - ram_block[215] 0xc0 8.04014e+06 0.5; - ram_block[215]~feeder 0xc0 8.04014e+06 0.5; - ram_block[216] 0xc0 8.04014e+06 0.5; - ram_block[216]~feeder 0xc0 8.04014e+06 0.5; - ram_block[217] 0xc0 8.04014e+06 0.5; - ram_block[218] 0xc0 8.04014e+06 0.5; - ram_block[218]~feeder 0xc0 8.04014e+06 0.5; - ram_block[219] 0xc0 8.04014e+06 0.5; - ram_block[219]~feeder 0xc0 8.04014e+06 0.5; - ram_block[220] 0xc0 8.04014e+06 0.5; - ram_block[221] 0xc0 8.04014e+06 0.5; - ram_block[222] 0xc0 8.04014e+06 0.5; - ram_block[222]~feeder 0xc0 8.04014e+06 0.5; - ram_block[223] 0xc0 8.04014e+06 0.5; - ram_block[223]~feeder 0xc0 8.04014e+06 0.5; - ram_block[224] 0xc0 8.04014e+06 0.5; - ram_block[225] 0xc0 8.04014e+06 0.5; - ram_block[225]~feeder 0xc0 8.04014e+06 0.5; - ram_block[226] 0xc0 8.04014e+06 0.5; - ram_block[226]~feeder 0xc0 8.04014e+06 0.5; - ram_block[227] 0xc0 8.04014e+06 0.5; - ram_block[228] 0xc0 8.04014e+06 0.5; - ram_block[228]~feeder 0xc0 8.04014e+06 0.5; - ram_block[229] 0xc0 8.04014e+06 0.5; - ram_block[230] 0xc0 8.04014e+06 0.5; - ram_block[230]~feeder 0xc0 8.04014e+06 0.5; - ram_block[231] 0xc0 8.04014e+06 0.5; - ram_block[231]~feeder 0xc0 8.04014e+06 0.5; - ram_block[232] 0xc0 8.04014e+06 0.5; - ram_block[233] 0xc0 8.04014e+06 0.5; - ram_block[234] 0xc0 8.04014e+06 0.5; - ram_block[235] 0xc0 8.04014e+06 0.5; - ram_block[235]~feeder 0xc0 8.04014e+06 0.5; - ram_block[236] 0xc0 8.04014e+06 0.5; - ram_block[236]~feeder 0xc0 8.04014e+06 0.5; - ram_block[237] 0xc0 8.04014e+06 0.5; - ram_block[238] 0xc0 8.04014e+06 0.5; - ram_block[238]~feeder 0xc0 8.04014e+06 0.5; - ram_block[239] 0xc0 8.04014e+06 0.5; - ram_block[239]~feeder 0xc0 8.04014e+06 0.5; - ram_block[240] 0xc0 8.04014e+06 0.5; - ram_block[240]~feeder 0xc0 8.04014e+06 0.5; - ram_block[241] 0xc0 8.04014e+06 0.5; - ram_block[241]~feeder 0xc0 8.04014e+06 0.5; - ram_block[242] 0xc0 8.04014e+06 0.5; - ram_block[242]~feeder 0xc0 8.04014e+06 0.5; - ram_block[243] 0xc0 8.04014e+06 0.5; - ram_block[243]~feeder 0xc0 8.04014e+06 0.5; - ram_block[244] 0xc0 8.04014e+06 0.5; - ram_block[244]~feeder 0xc0 8.04014e+06 0.5; - ram_block[245] 0xc0 8.04014e+06 0.5; - ram_block[245]~feeder 0xc0 8.04014e+06 0.5; - ram_block[246] 0xc0 8.04014e+06 0.5; - ram_block[247] 0xc0 8.04014e+06 0.5; - ram_block[248] 0xc0 8.04014e+06 0.5; - ram_block[248]~feeder 0xc0 8.04014e+06 0.5; - ram_block[249] 0xc0 8.04014e+06 0.5; - ram_block[249]~feeder 0xc0 8.04014e+06 0.5; - ram_block[250] 0xc0 8.04014e+06 0.5; - ram_block[250]~feeder 0xc0 8.04014e+06 0.5; - ram_block[251] 0xc0 8.04014e+06 0.5; - ram_block[251]~feeder 0xc0 8.04014e+06 0.5; - ram_block[252] 0xc0 8.04014e+06 0.5; - ram_block[252]~feeder 0xc0 8.04014e+06 0.5; - ram_block[253] 0xc0 8.04014e+06 0.5; - ram_block[253]~feeder 0xc0 8.04014e+06 0.5; - ram_block[254] 0xc0 8.04014e+06 0.5; - ram_block[255] 0xc0 8.04014e+06 0.5; - ram_block[255]~feeder 0xc0 8.04014e+06 0.5; - ram_block[256] 0xc0 8.04014e+06 0.5; - ram_block[257] 0xc0 8.04014e+06 0.5; - ram_block[257]~feeder 0xc0 8.04014e+06 0.5; - ram_block[258] 0xc0 8.04014e+06 0.5; - ram_block[258]~feeder 0xc0 8.04014e+06 0.5; - ram_block[259] 0xc0 8.04014e+06 0.5; - ram_block[259]~feeder 0xc0 8.04014e+06 0.5; - ram_block[260] 0xc0 8.04014e+06 0.5; - ram_block[260]~feeder 0xc0 8.04014e+06 0.5; - ram_block[261] 0xc0 8.04014e+06 0.5; - ram_block[261]~feeder 0xc0 8.04014e+06 0.5; - ram_block[262] 0xc0 8.04014e+06 0.5; - ram_block[262]~feeder 0xc0 8.04014e+06 0.5; - ram_block[263] 0xc0 8.04014e+06 0.5; - ram_block[263]~feeder 0xc0 8.04014e+06 0.5; - ram_block[264] 0xc0 8.04014e+06 0.5; - ram_block[264]~feeder 0xc0 8.04014e+06 0.5; - ram_block[265] 0xc0 8.04014e+06 0.5; - ram_block[266] 0xc0 8.04014e+06 0.5; - ram_block[266]~feeder 0xc0 8.04014e+06 0.5; - ram_block[267] 0xc0 8.04014e+06 0.5; - ram_block[267]~feeder 0xc0 8.04014e+06 0.5; - ram_block[268] 0xc0 8.04014e+06 0.5; - ram_block[268]~feeder 0xc0 8.04014e+06 0.5; - ram_block[269] 0xc0 8.04014e+06 0.5; - ram_block[269]~feeder 0xc0 8.04014e+06 0.5; - ram_block[270] 0xc0 8.04014e+06 0.5; - ram_block[270]~feeder 0xc0 8.04014e+06 0.5; - ram_block[271] 0xc0 8.04014e+06 0.5; - ram_block[271]~feeder 0xc0 8.04014e+06 0.5; - ram_block[272] 0xc0 8.04014e+06 0.5; - ram_block[272]~feeder 0xc0 8.04014e+06 0.5; - ram_block[273] 0xc0 8.04014e+06 0.5; - ram_block[274] 0xc0 8.04014e+06 0.5; - ram_block[274]~feeder 0xc0 8.04014e+06 0.5; - ram_block[275] 0xc0 8.04014e+06 0.5; - ram_block[276] 0xc0 8.04014e+06 0.5; - ram_block[276]~feeder 0xc0 8.04014e+06 0.5; - ram_block[277] 0xc0 8.04014e+06 0.5; - ram_block[277]~feeder 0xc0 8.04014e+06 0.5; - ram_block[278] 0xc0 8.04014e+06 0.5; - ram_block[278]~feeder 0xc0 8.04014e+06 0.5; - ram_block[279] 0xc0 8.04014e+06 0.5; - ram_block[279]~feeder 0xc0 8.04014e+06 0.5; - ram_block[280] 0xc0 8.04014e+06 0.5; - ram_block[280]~feeder 0xc0 8.04014e+06 0.5; - ram_block[281] 0xc0 8.04014e+06 0.5; - ram_block[281]~feeder 0xc0 8.04014e+06 0.5; - ram_block[282] 0xc0 8.04014e+06 0.5; - ram_block[282]~feeder 0xc0 8.04014e+06 0.5; - ram_block[283] 0xc0 8.04014e+06 0.5; - ram_block[283]~feeder 0xc0 8.04014e+06 0.5; - ram_block[284] 0xc0 8.04014e+06 0.5; - ram_block[285] 0xc0 8.04014e+06 0.5; - ram_block[286] 0xc0 8.04014e+06 0.5; - ram_block[286]~feeder 0xc0 8.04014e+06 0.5; - ram_block[287] 0xc0 8.04014e+06 0.5; - ram_block[287]~feeder 0xc0 8.04014e+06 0.5; - ram_block[288] 0xc0 8.04014e+06 0.5; - ram_block[289] 0xc0 8.04014e+06 0.5; - ram_block[289]~feeder 0xc0 8.04014e+06 0.5; - ram_block[290] 0xc0 8.04014e+06 0.5; - ram_block[290]~feeder 0xc0 8.04014e+06 0.5; - ram_block[291] 0xc0 8.04014e+06 0.5; - ram_block[292] 0xc0 8.04014e+06 0.5; - ram_block[292]~feeder 0xc0 8.04014e+06 0.5; - ram_block[293] 0xc0 8.04014e+06 0.5; - ram_block[293]~feeder 0xc0 8.04014e+06 0.5; - ram_block[294] 0xc0 8.04014e+06 0.5; - ram_block[294]~feeder 0xc0 8.04014e+06 0.5; - ram_block[295] 0xc0 8.04014e+06 0.5; - ram_block[295]~feeder 0xc0 8.04014e+06 0.5; - ram_block[296] 0xc0 8.04014e+06 0.5; - ram_block[296]~feeder 0xc0 8.04014e+06 0.5; - ram_block[297] 0xc0 8.04014e+06 0.5; - ram_block[298] 0xc0 8.04014e+06 0.5; - ram_block[298]~feeder 0xc0 8.04014e+06 0.5; - ram_block[299] 0xc0 8.04014e+06 0.5; - ram_block[299]~feeder 0xc0 8.04014e+06 0.5; - ram_block[300] 0xc0 8.04014e+06 0.5; - ram_block[300]~feeder 0xc0 8.04014e+06 0.5; - ram_block[301] 0xc0 8.04014e+06 0.5; - ram_block[301]~feeder 0xc0 8.04014e+06 0.5; - ram_block[302] 0xc0 8.04014e+06 0.5; - ram_block[303] 0xc0 8.04014e+06 0.5; - ram_block[303]~feeder 0xc0 8.04014e+06 0.5; - ram_block[304] 0xc0 8.04014e+06 0.5; - ram_block[305] 0xc0 8.04014e+06 0.5; - ram_block[305]~feeder 0xc0 8.04014e+06 0.5; - ram_block[306] 0xc0 8.04014e+06 0.5; - ram_block[306]~feeder 0xc0 8.04014e+06 0.5; - ram_block[307] 0xc0 8.04014e+06 0.5; - ram_block[307]~feeder 0xc0 8.04014e+06 0.5; - ram_block[308] 0xc0 8.04014e+06 0.5; - ram_block[309] 0xc0 8.04014e+06 0.5; - ram_block[310] 0xc0 8.04014e+06 0.5; - ram_block[310]~feeder 0xc0 8.04014e+06 0.5; - ram_block[311] 0xc0 8.04014e+06 0.5; - ram_block[312] 0xc0 8.04014e+06 0.5; - ram_block[312]~feeder 0xc0 8.04014e+06 0.5; - ram_block[313] 0xc0 8.04014e+06 0.5; - ram_block[313]~feeder 0xc0 8.04014e+06 0.5; - ram_block[314] 0xc0 8.04014e+06 0.5; - ram_block[315] 0xc0 8.04014e+06 0.5; - ram_block[315]~feeder 0xc0 8.04014e+06 0.5; - ram_block[316] 0xc0 8.04014e+06 0.5; - ram_block[317] 0xc0 8.04014e+06 0.5; - ram_block[318] 0xc0 8.04014e+06 0.5; - ram_block[318]~feeder 0xc0 8.04014e+06 0.5; - ram_block[319] 0xc0 8.04014e+06 0.5; - ram_block[320] 0xc0 8.04014e+06 0.5; - ram_block[320]~feeder 0xc0 8.04014e+06 0.5; - ram_block[321] 0xc0 8.04014e+06 0.5; - ram_block[321]~feeder 0xc0 8.04014e+06 0.5; - ram_block[322] 0xc0 8.04014e+06 0.5; - ram_block[323] 0xc0 8.04014e+06 0.5; - ram_block[323]~feeder 0xc0 8.04014e+06 0.5; - ram_block[324] 0xc0 8.04014e+06 0.5; - ram_block[324]~feeder 0xc0 8.04014e+06 0.5; - ram_block[325] 0xc0 8.04014e+06 0.5; - ram_block[326] 0xc0 8.04014e+06 0.5; - ram_block[327] 0xc0 8.04014e+06 0.5; - ram_block[327]~feeder 0xc0 8.04014e+06 0.5; - ram_block[328] 0xc0 8.04014e+06 0.5; - ram_block[329] 0xc0 8.04014e+06 0.5; - ram_block[330] 0xc0 8.04014e+06 0.5; - ram_block[330]~feeder 0xc0 8.04014e+06 0.5; - ram_block[331] 0xc0 8.04014e+06 0.5; - ram_block[331]~feeder 0xc0 8.04014e+06 0.5; - ram_block[332] 0xc0 8.04014e+06 0.5; - ram_block[332]~feeder 0xc0 8.04014e+06 0.5; - ram_block[333] 0xc0 8.04014e+06 0.5; - ram_block[333]~feeder 0xc0 8.04014e+06 0.5; - ram_block[334] 0xc0 8.04014e+06 0.5; - ram_block[335] 0xc0 8.04014e+06 0.5; - ram_block[335]~feeder 0xc0 8.04014e+06 0.5; - ram_block[336] 0xc0 8.04014e+06 0.5; - ram_block[337] 0xc0 8.04014e+06 0.5; - ram_block[338] 0xc0 8.04014e+06 0.5; - ram_block[339] 0xc0 8.04014e+06 0.5; - ram_block[339]~feeder 0xc0 8.04014e+06 0.5; - ram_block[340] 0xc0 8.04014e+06 0.5; - ram_block[340]~feeder 0xc0 8.04014e+06 0.5; - ram_block[341] 0xc0 8.04014e+06 0.5; - ram_block[342] 0xc0 8.04014e+06 0.5; - ram_block[342]~feeder 0xc0 8.04014e+06 0.5; - ram_block[343] 0xc0 8.04014e+06 0.5; - ram_block[343]~feeder 0xc0 8.04014e+06 0.5; - rd_data_out_latch[0] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[1] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[2] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[3] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[4] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[5] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[6] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[7] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[8] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[9] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[10] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[11] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[12] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[13] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[14] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[15] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[16] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[17] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[18] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[19] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[20] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[21] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[22] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[23] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[24] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[25] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[26] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[27] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[28] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[29] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[30] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[31] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[32] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[33] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[34] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[35] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[36] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[37] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[38] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[39] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[40] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[41] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[42] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[43] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[44] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[45] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[46] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[47] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[48] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[49] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[50] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[51] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[52] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[53] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[54] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[55] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[56] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[57] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[58] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[59] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[60] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[61] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[62] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[63] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[64] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[65] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[66] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[67] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[68] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[69] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[70] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[71] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[72] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[73] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[74] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[75] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[76] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[77] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[78] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[79] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[80] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[81] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[82] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[83] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[84] 0xc0 8.04014e+06 0.5; - rd_data_out_latch[85] 0xc0 8.04014e+06 0.5; - wren_reg 0xc0 8.04014e+06 0.5; - wren_reg~feeder 0xc0 40.9032 6.10352e-05; - full_dff 0xc0 8.04014e+06 0.5; - low_addressa[0] 0xc0 8.04014e+06 0.5; - low_addressa[0]~1 0xc0 4.56969e+06 0.25; - low_addressa[1] 0xc0 8.04014e+06 0.5; - low_addressa[1]~0 0xc0 4.56969e+06 0.25; - ram_read_address[0]~1 0xc0 4.26347e+06 0.5; - ram_read_address[1]~0 0xc0 4.67961e+06 0.5; - rd_ptr_lsb 0xc0 8.04014e+06 0.5; - rd_ptr_lsb~0 0xc0 6.0301e+06 0.25; - rd_ptr_lsb~1 0xc0 4.52258e+06 0.5625; - cntr_q9b:rd_ptr_msb; - _~0 0xc0 9.40633e+06 0.53125; - counter_comb_bita0 0xc0 8.04014e+06 0.5; - counter_reg_bit[0] 0xc0 8.04014e+06 0.5; - cntr_7a7:usedw_counter; - _~0 0xc0 1.51344e+07 0.562523; - counter_comb_bita0 0xc0 8.04014e+06 0.5; - counter_comb_bita0~COUT 0xc0 8.03918e+06 0.5; - counter_comb_bita1 0xc0 8.03966e+06 0.5; - counter_reg_bit[0] 0xc0 8.04014e+06 0.5; - counter_reg_bit[1] 0xc0 8.04014e+06 0.5; - usedw_is_0_dff 0xc0 8.04014e+06 0.5; - usedw_is_1_dff 0xc0 8.04014e+06 0.5; - usedw_will_be_1~0 0xc0 5.60936e+06 0.0312748; - usedw_will_be_1~1 0xc0 1.23842e+07 0.227535; - valid_rreq 0xc0 2.7638e+06 0.125; - valid_rreq~0 0xc0 6.0301e+06 0.25; - valid_wreq~0 0xc0 1.48397e+06 0.0625; - valid_wreq~1 0xc0 989314 0.0625; - valid_wreq~2 0xc0 81.5667 0.00012207; - valid_wreq~3 0xc0 40.9032 6.10352e-05; - cntr_r9b:wr_ptr; - _~0 0xc0 8.03822e+06 0.500031; - counter_comb_bita0 0xc0 8.04014e+06 0.5; - counter_comb_bita0~COUT 0xc0 8.04014e+06 0.5; - counter_comb_bita1 0xc0 8.04014e+06 0.5; - counter_reg_bit[0] 0xc0 8.04014e+06 0.5; - counter_reg_bit[1] 0xc0 8.04014e+06 0.5; - auk_dspip_integrator:integrator[0].integration; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 4.52258e+06 0.25; - \register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 6.78387e+06 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 3.74526e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 5.74155e+06 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 6.671e+06 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 6.26099e+06 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 5.11697e+06 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 5.81949e+06 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 5.71476e+06 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 5.95568e+06 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 5.35151e+06 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 5.86156e+06 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 5.5146e+06 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 5.9015e+06 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 5.42812e+06 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 5.87967e+06 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 5.47008e+06 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 5.89012e+06 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 5.44878e+06 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 5.88478e+06 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 5.45935e+06 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 5.88741e+06 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 5.45404e+06 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 5.88609e+06 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 5.45669e+06 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 5.88675e+06 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 5.45537e+06 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 5.88642e+06 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 5.45603e+06 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 5.88658e+06 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 5.4557e+06 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 5.8865e+06 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 5.45586e+06 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 5.88654e+06 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 5.45578e+06 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 5.88652e+06 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 5.45582e+06 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 5.4558e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 7.39405e+06 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 7.7171e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 7.95938e+06 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 7.99976e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 8.03004e+06 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 8.03509e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 8.03888e+06 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 8.03951e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 8.03998e+06 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 8.04006e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 8.04012e+06 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 8.04013e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 8.04013e+06 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][74]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][75]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][75]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][76]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][76]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][77]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][77]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][79]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][79]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][80]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][80]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][81]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][81]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][82]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][82]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][84]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][84]~2 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][85]~1 0xc0 8.04014e+06 0.5; - auk_dspip_integrator:integrator[1].integration; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 4.52258e+06 0.25; - \register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 6.78387e+06 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 3.74526e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 5.74155e+06 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 6.671e+06 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 6.26099e+06 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 5.11697e+06 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 5.81949e+06 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 5.71476e+06 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 5.95568e+06 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 5.35151e+06 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 5.86156e+06 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 5.5146e+06 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 5.9015e+06 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 5.42812e+06 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 5.87967e+06 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 5.47008e+06 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 5.89012e+06 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 5.44878e+06 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 5.88478e+06 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 5.45935e+06 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 5.88741e+06 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 5.45404e+06 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 5.88609e+06 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 5.45669e+06 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 5.88675e+06 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 5.45537e+06 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 5.88642e+06 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 5.45603e+06 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 5.88658e+06 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 5.4557e+06 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 5.8865e+06 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 5.45586e+06 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 5.88654e+06 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 5.45578e+06 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 5.88652e+06 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 5.45582e+06 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 5.4558e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][74]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][75]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][75]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][76]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][76]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][77]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][77]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][78]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][79]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][79]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][80]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][80]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][81]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][81]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][82]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][82]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][83]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][84]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][84]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][85]~1 0xc0 7.39405e+06 0.5; - auk_dspip_integrator:integrator[2].integration; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 4.52258e+06 0.25; - \register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 6.78387e+06 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 3.74526e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 5.74155e+06 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 6.671e+06 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 6.26099e+06 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 5.11697e+06 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 5.81949e+06 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 5.71476e+06 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 5.95568e+06 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 5.35151e+06 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 5.86156e+06 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 5.5146e+06 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 5.9015e+06 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 5.42812e+06 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 5.87967e+06 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 5.47008e+06 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 5.89012e+06 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 5.44878e+06 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 5.88478e+06 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 5.45935e+06 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 5.88741e+06 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 5.45404e+06 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 5.88609e+06 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 5.45669e+06 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 5.88675e+06 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 5.45537e+06 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 5.88642e+06 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 5.45603e+06 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 5.88658e+06 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 5.4557e+06 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 5.8865e+06 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 5.45586e+06 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 5.88654e+06 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 5.45578e+06 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 5.88652e+06 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 5.45582e+06 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 5.4558e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][74]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][75]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][75]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][76]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][76]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][77]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][77]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][78]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][79]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][79]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][80]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][80]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][81]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][81]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][82]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][82]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][83]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][84]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][84]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][85]~1 0xc0 7.39405e+06 0.5; - auk_dspip_integrator:integrator[3].integration; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 4.52258e+06 0.25; - \register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 6.78387e+06 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 3.74526e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 5.74155e+06 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 6.671e+06 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 6.26099e+06 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 5.11697e+06 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 5.81949e+06 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 5.71476e+06 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 5.95568e+06 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 5.35151e+06 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 5.86156e+06 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 5.5146e+06 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 5.9015e+06 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 5.42812e+06 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 5.87967e+06 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 5.47008e+06 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 5.89012e+06 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 5.44878e+06 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 5.88478e+06 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 5.45935e+06 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 5.88741e+06 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 5.45404e+06 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 5.88609e+06 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 5.45669e+06 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 5.88675e+06 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 5.45537e+06 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 5.88642e+06 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 5.45603e+06 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 5.88658e+06 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 5.4557e+06 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 5.8865e+06 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 5.45586e+06 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 5.88654e+06 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 5.45578e+06 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 5.88652e+06 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 5.45582e+06 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 5.4558e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][74]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][75]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][75]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][76]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][76]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][77]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][77]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][78]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][79]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][79]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][80]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][80]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][81]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][81]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][82]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][82]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][83]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][84]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][84]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][85]~1 0xc0 7.39405e+06 0.5; - auk_dspip_integrator:integrator[4].integration; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 4.52258e+06 0.25; - \register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 6.78387e+06 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 3.74526e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 5.74155e+06 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 6.671e+06 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 6.26099e+06 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 5.11697e+06 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 5.81949e+06 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 5.71476e+06 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 5.95568e+06 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 5.35151e+06 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 5.86156e+06 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 5.5146e+06 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 5.9015e+06 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 5.42812e+06 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 5.87967e+06 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 5.47008e+06 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 5.89012e+06 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 5.44878e+06 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 5.88478e+06 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 5.45935e+06 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 5.88741e+06 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 5.45404e+06 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 5.88609e+06 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 5.45669e+06 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 5.88675e+06 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 5.45537e+06 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 5.88642e+06 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 5.45603e+06 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 5.88658e+06 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 5.4557e+06 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 5.8865e+06 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 5.45586e+06 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 5.88654e+06 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 5.45578e+06 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 5.88652e+06 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 5.45582e+06 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 5.4558e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][74]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][75]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][75]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][76]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][76]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][77]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][77]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][78]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][79]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][79]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][80]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][80]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][81]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][81]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][82]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][82]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][83]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][84]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][84]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][85]~1 0xc0 5.88653e+06 0.5; - auk_dspip_integrator:integrator[5].integration; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 4.52258e+06 0.25; - \register_fifo:fifo_data[0][0]~feeder 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 6.78387e+06 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 3.74526e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 5.74155e+06 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 6.671e+06 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 6.26099e+06 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 5.11697e+06 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 5.81949e+06 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 5.71476e+06 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 5.95568e+06 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 5.35151e+06 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 5.86156e+06 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 5.5146e+06 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 5.9015e+06 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 5.42812e+06 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 5.87967e+06 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 5.47008e+06 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 5.89012e+06 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 5.44878e+06 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 5.88478e+06 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 5.45935e+06 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 5.88741e+06 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 5.45404e+06 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 5.88609e+06 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 5.45669e+06 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 5.88675e+06 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 5.45537e+06 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 5.88642e+06 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 5.45603e+06 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 5.88658e+06 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 5.4557e+06 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 5.8865e+06 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 5.45586e+06 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 5.88654e+06 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 5.45578e+06 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 5.88652e+06 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 5.45582e+06 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 5.4558e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][74]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][75]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][75]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][76]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][76]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][77]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][77]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][78]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][78]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][79]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][79]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][80]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][80]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][81]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][81]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][82]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][82]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][83]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][83]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][84]~1 0xc0 5.88653e+06 0.5; - \register_fifo:fifo_data[0][84]~2 0xc0 5.45581e+06 0.5; - \register_fifo:fifo_data[0][85] 0xc0 8.04014e+06 0.5; - \register_fifo:fifo_data[0][85]~1 0xc0 5.88653e+06 0.5; - counter_module:latency_cnt_inst; - Add0~0 0xc0 4.52258e+06 0.25; - Add0~1 0xc0 1.91581e+06 0.125; - count[0] 0xc0 8.04014e+06 0.5; - count[1] 0xc0 8.04014e+06 0.5; - count[1]~4 0xc0 6.08899e+06 0.46875; - count[2] 0xc0 8.04014e+06 0.5; - count[2]~1 0xc0 6.95638e+06 0.397217; - count[3] 0xc0 8.04014e+06 0.5; - count[3]~0 0xc0 7.63185e+06 0.4375; - count[3]~2 0xc0 9.19288e+06 0.38269; - count~3 0xc0 6.33632e+06 0.46875; - sample_state[0] 0xc0 8.04014e+06 0.5; - sample_state~0 0xc0 4.1457e+06 0.625; - sample_state~1 0xc0 5.05846e+06 0.671875; - state[0] 0xc0 8.04014e+06 0.5; - state~0 0xc0 8.95949e+06 0.515625; - auk_dspip_downsample:vrc_en_0.first_dsample; - counter_module:counter_fs_inst; - Add0~0 0xc0 8.04014e+06 0.5; - Add0~1 0xc0 8.04014e+06 0.5; - Add0~2 0xc0 8.04014e+06 0.5; - Add0~3 0xc0 4.52258e+06 0.75; - Add0~4 0xc0 7.28637e+06 0.5; - Add0~5 0xc0 6.15573e+06 0.125; - Add0~6 0xc0 9.35922e+06 0.5; - Add0~7 0xc0 1.79019e+06 0.9375; - Add0~8 0xc0 7.99303e+06 0.5; - Add0~9 0xc0 7.54548e+06 0.03125; - Add0~10 0xc0 1.13261e+07 0.5; - Add0~11 0xc0 1.90207e+06 0.984375; - Add0~12 0xc0 8.74385e+06 0.5; - Add0~13 0xc0 8.26833e+06 0.0078125; - Add0~14 0xc0 1.20497e+07 0.5; - Add0~15 0xc0 2.06806e+06 0.996094; - Add0~16 0xc0 9.0116e+06 0.5; - Add0~17 0xc0 8.49458e+06 0.00195313; - Add0~18 0xc0 1.22561e+07 0.5; - Add0~19 0xc0 2.12371e+06 0.999023; - Add0~20 0xc0 9.0863e+06 0.5; - Equal0~0 0xc0 989314 0.0625; - Equal0~1 0xc0 724319 0.0625; - Equal0~2 0xc0 4.52258e+06 0.25; - Equal0~3 0xc0 452.073 0.000488281; - count[0] 0xc0 8.04014e+06 0.5; - count[1] 0xc0 8.04014e+06 0.5; - count[2] 0xc0 8.04014e+06 0.5; - count[3] 0xc0 8.04014e+06 0.5; - count[4] 0xc0 8.04014e+06 0.5; - count[5] 0xc0 8.04014e+06 0.5; - count[6] 0xc0 8.04014e+06 0.5; - count[7] 0xc0 8.04014e+06 0.5; - count[8] 0xc0 8.04014e+06 0.5; - count[9] 0xc0 8.04014e+06 0.5; - count[10] 0xc0 8.04014e+06 0.5; - count~0 0xc0 7.27949e+06 0.499756; - count~1 0xc0 6.40698e+06 0.625; - count~2 0xc0 9.35031e+06 0.499756; - count~3 0xc0 7.98545e+06 0.499756; - count~4 0xc0 1.13152e+07 0.499756; - count~5 0xc0 9.00303e+06 0.499756; - count~6 0xc0 1.8155e+07 0.499756; - auk_dspip_avalon_streaming_sink:input_sink; - scfifo:sink_FIFO; - scfifo_ef71:auto_generated; - dffe_nae 0xc0 8.04014e+06 0.5; - dffe_nae~0 0xc0 1.44471e+06 0.125; - dffe_nae~1 0xc0 6.89282e+06 0.524597; - a_dpfifo_vkv:dpfifo; - _~0 0xc0 724319 0.0625; - _~1 0xc0 1.10184e+07 0.502975; - _~2 0xc0 5.82471e+06 0.276611; - empty_dff 0xc0 8.04014e+06 0.5; - empty_dff~0 0xc0 4.36554e+06 0.3125; - empty_dff~1 0xc0 5.99977e+06 0.859583; - empty_dff~2 0xc0 1.28965e+07 0.593491; - empty_dff~3 0xc0 6.32758e+06 0.242495; - empty_dff~4 0xc0 8.60291e+06 0.441508; - empty_dff~5 0xc0 9.03419e+06 0.40721; - altsyncram_h7h1:FIFOram; - q_b[0] 0xc0 8.04014e+06 0.5; - q_b[1] 0xc0 8.04014e+06 0.5; - q_b[2] 0xc0 8.04014e+06 0.5; - q_b[3] 0xc0 8.04014e+06 0.5; - q_b[4] 0xc0 8.04014e+06 0.5; - q_b[5] 0xc0 8.04014e+06 0.5; - q_b[6] 0xc0 8.04014e+06 0.5; - q_b[7] 0xc0 8.04014e+06 0.5; - q_b[8] 0xc0 8.04014e+06 0.5; - q_b[9] 0xc0 8.04014e+06 0.5; - q_b[10] 0xc0 8.04014e+06 0.5; - q_b[11] 0xc0 8.04014e+06 0.5; - q_b[12] 0xc0 8.04014e+06 0.5; - q_b[13] 0xc0 8.04014e+06 0.5; - q_b[14] 0xc0 8.04014e+06 0.5; - q_b[15] 0xc0 8.04014e+06 0.5; - q_b[16] 0xc0 8.04014e+06 0.5; - q_b[17] 0xc0 8.04014e+06 0.5; - q_b[18] 0xc0 8.04014e+06 0.5; - q_b[19] 0xc0 8.04014e+06 0.5; - q_b[20] 0xc0 8.04014e+06 0.5; - q_b[21] 0xc0 8.04014e+06 0.5; - q_b[22] 0xc0 8.04014e+06 0.5; - full_dff 0xc0 8.04014e+06 0.5; - low_addressa[0] 0xc0 8.04014e+06 0.5; - low_addressa[0]~0 0xc0 9.20127e+06 0.25; - low_addressa[1] 0xc0 8.04014e+06 0.5; - low_addressa[1]~1 0xc0 9.20127e+06 0.25; - low_addressa[2] 0xc0 8.04014e+06 0.5; - low_addressa[2]~2 0xc0 9.20127e+06 0.25; - ram_read_address[0]~0 0xc0 5.40481e+06 0.5; - ram_read_address[1]~1 0xc0 5.40481e+06 0.5; - ram_read_address[2]~2 0xc0 5.40481e+06 0.5; - rd_ptr_lsb 0xc0 8.04014e+06 0.5; - rd_ptr_lsb~0 0xc0 1.30316e+07 0.526611; - rd_ptr_lsb~1 0xc0 6.0301e+06 0.25; - cntr_r9b:rd_ptr_msb; - _~0 0xc0 1.23232e+07 0.513306; - counter_comb_bita0 0xc0 8.04014e+06 0.5; - counter_comb_bita0~COUT 0xc0 8.04014e+06 0.5; - counter_comb_bita1 0xc0 8.04014e+06 0.5; - counter_reg_bit[0] 0xc0 8.04014e+06 0.5; - counter_reg_bit[1] 0xc0 8.04014e+06 0.5; - cntr_8a7:usedw_counter; - _~0 0xc0 9.13938e+06 0.638306; - counter_comb_bita0 0xc0 8.04014e+06 0.5; - counter_comb_bita0~COUT 0xc0 7.28637e+06 0.5; - counter_comb_bita1 0xc0 7.66326e+06 0.5; - counter_comb_bita1~COUT 0xc0 5.60071e+06 0.75; - counter_comb_bita2 0xc0 7.82544e+06 0.5; - counter_reg_bit[0] 0xc0 8.04014e+06 0.5; - counter_reg_bit[1] 0xc0 8.04014e+06 0.5; - counter_reg_bit[2] 0xc0 8.04014e+06 0.5; - usedw_is_0_dff 0xc0 8.04014e+06 0.5; - usedw_is_1_dff 0xc0 8.04014e+06 0.5; - usedw_will_be_1~0 0xc0 1.8373e+06 0.09375; - usedw_will_be_1~1 0xc0 3.14068e+06 0.125; - usedw_will_be_1~2 0xc0 1.51986e+07 0.123337; - usedw_will_be_1~3 0xc0 9.84881e+06 0.22021; - valid_rreq 0xc0 6.73405e+06 0.0532227; - valid_wreq 0xc0 4.52258e+06 0.25; - cntr_s9b:wr_ptr; - _~0 0xc0 7.53763e+06 0.625; - counter_comb_bita0 0xc0 8.04014e+06 0.5; - counter_comb_bita0~COUT 0xc0 8.04014e+06 0.5; - counter_comb_bita1 0xc0 8.04014e+06 0.5; - counter_comb_bita1~COUT 0xc0 4.52258e+06 0.75; - counter_comb_bita2 0xc0 7.28637e+06 0.5; - counter_reg_bit[0] 0xc0 8.04014e+06 0.5; - counter_reg_bit[1] 0xc0 8.04014e+06 0.5; - counter_reg_bit[2] 0xc0 8.04014e+06 0.5; - auk_dspip_avalon_streaming_source:output_source_0; - scfifo:source_FIFO; - scfifo_ji71:auto_generated; - a_dpfifo_gqv:dpfifo; - _~0 0xc0 257756 0.03125; - _~1 0xc0 6.95965e+06 0.250488; - empty_dff 0xc0 8.04014e+06 0.5; - empty_dff~2 0xc0 1.03875e+07 0.515625; - empty_dff~3 0xc0 6.87214e+06 0.257813; - empty_dff~4 0xc0 7.46117e+06 0.255586; - altsyncram_vah1:FIFOram; - q_b[0] 0xc0 8.04014e+06 0.5; - q_b[1] 0xc0 8.04014e+06 0.5; - q_b[2] 0xc0 8.04014e+06 0.5; - q_b[3] 0xc0 8.04014e+06 0.5; - q_b[4] 0xc0 8.04014e+06 0.5; - q_b[5] 0xc0 8.04014e+06 0.5; - q_b[6] 0xc0 8.04014e+06 0.5; - q_b[7] 0xc0 8.04014e+06 0.5; - q_b[8] 0xc0 8.04014e+06 0.5; - q_b[9] 0xc0 8.04014e+06 0.5; - q_b[10] 0xc0 8.04014e+06 0.5; - q_b[11] 0xc0 8.04014e+06 0.5; - q_b[12] 0xc0 8.04014e+06 0.5; - q_b[13] 0xc0 8.04014e+06 0.5; - q_b[14] 0xc0 8.04014e+06 0.5; - q_b[15] 0xc0 8.04014e+06 0.5; - q_b[16] 0xc0 8.04014e+06 0.5; - q_b[17] 0xc0 8.04014e+06 0.5; - q_b[18] 0xc0 8.04014e+06 0.5; - q_b[19] 0xc0 8.04014e+06 0.5; - q_b[20] 0xc0 8.04014e+06 0.5; - q_b[21] 0xc0 8.04014e+06 0.5; - q_b[22] 0xc0 8.04014e+06 0.5; - q_b[23] 0xc0 8.04014e+06 0.5; - q_b[24] 0xc0 8.04014e+06 0.5; - q_b[25] 0xc0 8.04014e+06 0.5; - q_b[26] 0xc0 8.04014e+06 0.5; - q_b[27] 0xc0 8.04014e+06 0.5; - q_b[28] 0xc0 8.04014e+06 0.5; - q_b[29] 0xc0 8.04014e+06 0.5; - q_b[30] 0xc0 8.04014e+06 0.5; - q_b[31] 0xc0 8.04014e+06 0.5; - q_b[32] 0xc0 8.04014e+06 0.5; - q_b[33] 0xc0 8.04014e+06 0.5; - q_b[34] 0xc0 8.04014e+06 0.5; - q_b[35] 0xc0 8.04014e+06 0.5; - q_b[36] 0xc0 8.04014e+06 0.5; - q_b[37] 0xc0 8.04014e+06 0.5; - q_b[38] 0xc0 8.04014e+06 0.5; - q_b[39] 0xc0 8.04014e+06 0.5; - q_b[40] 0xc0 8.04014e+06 0.5; - q_b[41] 0xc0 8.04014e+06 0.5; - q_b[42] 0xc0 8.04014e+06 0.5; - q_b[43] 0xc0 8.04014e+06 0.5; - q_b[44] 0xc0 8.04014e+06 0.5; - q_b[45] 0xc0 8.04014e+06 0.5; - q_b[46] 0xc0 8.04014e+06 0.5; - q_b[47] 0xc0 8.04014e+06 0.5; - q_b[48] 0xc0 8.04014e+06 0.5; - q_b[49] 0xc0 8.04014e+06 0.5; - q_b[50] 0xc0 8.04014e+06 0.5; - q_b[51] 0xc0 8.04014e+06 0.5; - q_b[52] 0xc0 8.04014e+06 0.5; - q_b[53] 0xc0 8.04014e+06 0.5; - q_b[54] 0xc0 8.04014e+06 0.5; - q_b[55] 0xc0 8.04014e+06 0.5; - q_b[56] 0xc0 8.04014e+06 0.5; - q_b[57] 0xc0 8.04014e+06 0.5; - q_b[58] 0xc0 8.04014e+06 0.5; - q_b[59] 0xc0 8.04014e+06 0.5; - q_b[60] 0xc0 8.04014e+06 0.5; - q_b[61] 0xc0 8.04014e+06 0.5; - q_b[62] 0xc0 8.04014e+06 0.5; - q_b[63] 0xc0 8.04014e+06 0.5; - q_b[64] 0xc0 8.04014e+06 0.5; - q_b[65] 0xc0 8.04014e+06 0.5; - q_b[66] 0xc0 8.04014e+06 0.5; - q_b[67] 0xc0 8.04014e+06 0.5; - q_b[68] 0xc0 8.04014e+06 0.5; - q_b[69] 0xc0 8.04014e+06 0.5; - q_b[70] 0xc0 8.04014e+06 0.5; - q_b[71] 0xc0 8.04014e+06 0.5; - q_b[72] 0xc0 8.04014e+06 0.5; - q_b[73] 0xc0 8.04014e+06 0.5; - q_b[74] 0xc0 8.04014e+06 0.5; - q_b[75] 0xc0 8.04014e+06 0.5; - q_b[76] 0xc0 8.04014e+06 0.5; - q_b[77] 0xc0 8.04014e+06 0.5; - q_b[78] 0xc0 8.04014e+06 0.5; - q_b[79] 0xc0 8.04014e+06 0.5; - q_b[80] 0xc0 8.04014e+06 0.5; - q_b[81] 0xc0 8.04014e+06 0.5; - q_b[82] 0xc0 8.04014e+06 0.5; - q_b[83] 0xc0 8.04014e+06 0.5; - q_b[84] 0xc0 8.04014e+06 0.5; - q_b[85] 0xc0 8.04014e+06 0.5; - full_dff 0xc0 8.04014e+06 0.5; - low_addressa[0] 0xc0 8.04014e+06 0.5; - low_addressa[0]~0 0xc0 5.27634e+06 0.25; - low_addressa[1] 0xc0 8.04014e+06 0.5; - low_addressa[1]~1 0xc0 5.65322e+06 0.25; - low_addressa[2] 0xc0 8.04014e+06 0.5; - low_addressa[2]~2 0xc0 5.65322e+06 0.25; - low_addressa[3] 0xc0 8.04014e+06 0.5; - low_addressa[3]~3 0xc0 4.52258e+06 0.25; - low_addressa[4] 0xc0 8.04014e+06 0.5; - low_addressa[4]~4 0xc0 5.27634e+06 0.25; - ram_read_address[0]~0 0xc0 4.08288e+06 0.5; - ram_read_address[1]~1 0xc0 4.83664e+06 0.5; - ram_read_address[2]~2 0xc0 4.83664e+06 0.5; - ram_read_address[3]~3 0xc0 6.53261e+06 0.5; - ram_read_address[4]~4 0xc0 6.53261e+06 0.5; - rd_ptr_lsb 0xc0 8.04014e+06 0.5; - rd_ptr_lsb~0 0xc0 6.0301e+06 0.25; - rd_ptr_lsb~1 0xc0 6.0301e+06 0.75; - cntr_t9b:rd_ptr_msb; - _~0 0xc0 7.53763e+06 0.625; - counter_comb_bita0 0xc0 8.04014e+06 0.5; - counter_comb_bita0~COUT 0xc0 8.04014e+06 0.5; - counter_comb_bita1 0xc0 8.04014e+06 0.5; - counter_comb_bita1~COUT 0xc0 4.52258e+06 0.75; - counter_comb_bita2 0xc0 7.28637e+06 0.5; - counter_comb_bita2~COUT 0xc0 6.15573e+06 0.125; - counter_comb_bita3 0xc0 9.35922e+06 0.5; - counter_reg_bit[0] 0xc0 8.04014e+06 0.5; - counter_reg_bit[1] 0xc0 8.04014e+06 0.5; - counter_reg_bit[2] 0xc0 8.04014e+06 0.5; - counter_reg_bit[3] 0xc0 8.04014e+06 0.5; - cntr_aa7:usedw_counter; - _~0 0xc0 7.28196e+06 0.75; - counter_comb_bita0 0xc0 8.04014e+06 0.5; - counter_comb_bita0~COUT 0xc0 7.68681e+06 0.5; - counter_comb_bita1 0xc0 7.86347e+06 0.5; - counter_comb_bita1~COUT 0xc0 5.46306e+06 0.75; - counter_comb_bita2 0xc0 7.75662e+06 0.5; - counter_comb_bita2~COUT 0xc0 1.00026e+07 0.125; - counter_comb_bita3 0xc0 1.12826e+07 0.5; - counter_comb_bita3~COUT 0xc0 2.45701e+06 0.9375; - counter_comb_bita4 0xc0 8.32644e+06 0.5; - counter_reg_bit[0] 0xc0 8.04014e+06 0.5; - counter_reg_bit[1] 0xc0 8.04014e+06 0.5; - counter_reg_bit[2] 0xc0 8.04014e+06 0.5; - counter_reg_bit[3] 0xc0 8.04014e+06 0.5; - counter_reg_bit[4] 0xc0 8.04014e+06 0.5; - usedw_is_0_dff 0xc0 8.04014e+06 0.5; - usedw_is_1_dff 0xc0 8.04014e+06 0.5; - usedw_will_be_1~0 0xc0 989314 0.0625; - usedw_will_be_1~1 0xc0 110300 0.0146484; - usedw_will_be_1~2 0xc0 8.41947e+06 0.265625; - usedw_will_be_1~3 0xc0 7.25177e+06 0.138191; - usedw_will_be_1~4 0xc0 4.52258e+06 0.25; - valid_wreq~0 0xc0 1.17775e+06 0.0625; - cntr_u9b:wr_ptr; - _~0 0xc0 7.39237e+06 0.53125; - counter_comb_bita0 0xc0 8.04014e+06 0.5; - counter_comb_bita0~COUT 0xc0 8.04014e+06 0.5; - counter_comb_bita1 0xc0 8.04014e+06 0.5; - counter_comb_bita1~COUT 0xc0 4.52258e+06 0.75; - counter_comb_bita2 0xc0 7.28637e+06 0.5; - counter_comb_bita2~COUT 0xc0 6.15573e+06 0.125; - counter_comb_bita3 0xc0 9.35922e+06 0.5; - counter_comb_bita3~COUT 0xc0 1.79019e+06 0.9375; - counter_comb_bita4 0xc0 7.99303e+06 0.5; - counter_reg_bit[0] 0xc0 8.04014e+06 0.5; - counter_reg_bit[1] 0xc0 8.04014e+06 0.5; - counter_reg_bit[2] 0xc0 8.04014e+06 0.5; - counter_reg_bit[3] 0xc0 8.04014e+06 0.5; - counter_reg_bit[4] 0xc0 8.04014e+06 0.5; - source_valid_s 0xc0 8.04014e+06 0.5; - source_valid_s~0 0xc0 6.0301e+06 0.25; - rx_ciccomp:RX_CICCOMP_I; - rx_ciccomp_0002:rx_ciccomp_inst; - rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst; - rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0]~1 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0]~2 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1]~1 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1]~2 0xc0 4.52258e+06 0.25; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2]~1 0xc0 7.28637e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2]~2 0xc0 2.13566e+06 0.875; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3]~1 0xc0 7.34919e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3]~2 0xc0 6.81527e+06 0.0625; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4]~1 0xc0 1.05056e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4]~2 0xc0 1.76663e+06 0.96875; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5]~1 0xc0 8.43665e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5]~2 0xc0 7.99499e+06 0.015625; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6]~1 0xc0 1.17903e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6]~2 0xc0 2.00267e+06 0.992188; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7]~1 0xc0 8.91683e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7]~2 0xc0 8.41616e+06 0.00390625; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[8] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[8]~1 0xc0 1.21856e+07 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[0] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[1] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[2] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[3] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[4] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[5] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[6] 0xc0 8.04014e+06 0.5; - Add0~0 0xc0 8.04014e+06 0.5; - Add0~1 0xc0 8.04014e+06 0.5; - Add0~2 0xc0 8.04014e+06 0.5; - Add0~3 0xc0 4.52258e+06 0.25; - Add0~4 0xc0 7.28637e+06 0.5; - Add0~5 0xc0 2.13566e+06 0.875; - Add0~6 0xc0 7.34919e+06 0.5; - Add0~7 0xc0 6.81527e+06 0.0625; - Add0~8 0xc0 1.05056e+07 0.5; - Add0~9 0xc0 1.76663e+06 0.96875; - Add0~10 0xc0 8.43665e+06 0.5; - Add0~11 0xc0 7.99499e+06 0.015625; - Add0~12 0xc0 1.17903e+07 0.5; - Add1~0 0xc0 8.04014e+06 0.5; - Add1~1 0xc0 8.04014e+06 0.5; - Add1~2 0xc0 8.04014e+06 0.5; - Add1~3 0xc0 4.52258e+06 0.25; - Add1~4 0xc0 7.28637e+06 0.5; - Add1~5 0xc0 2.13566e+06 0.875; - Add1~6 0xc0 7.34919e+06 0.5; - Add1~7 0xc0 6.81527e+06 0.0625; - Add1~8 0xc0 1.05056e+07 0.5; - Add1~9 0xc0 1.76663e+06 0.96875; - Add1~10 0xc0 8.43665e+06 0.5; - Add1~11 0xc0 7.99499e+06 0.015625; - Add1~12 0xc0 1.17903e+07 0.5; - Add1~14 0xc0 7.41573e+06 0.5; - Add1~15 0xc0 6.68252e+06 0.5; - Add1~16 0xc0 7.54471e+06 0.5; - Add1~17 0xc0 7.52192e+06 0.5; - Add1~18 0xc0 7.47481e+06 0.5; - Add1~19 0xc0 5.77885e+06 0.5; - Add1~20 0xc0 5.77885e+06 0.5; - Add2~0 0xc0 4.68746e+06 0.4375; - Add3~0 0xc0 8.04014e+06 0.5; - Add3~1 0xc0 8.04014e+06 0.5; - Add3~2 0xc0 8.04014e+06 0.5; - Add3~3 0xc0 4.52258e+06 0.25; - Add3~4 0xc0 7.28637e+06 0.5; - Add3~5 0xc0 2.13566e+06 0.875; - Add3~6 0xc0 7.34919e+06 0.5; - Add3~7 0xc0 6.81527e+06 0.0625; - Add3~8 0xc0 1.05056e+07 0.5; - Add3~9 0xc0 1.76663e+06 0.96875; - Add3~10 0xc0 8.43665e+06 0.5; - Add3~11 0xc0 7.99499e+06 0.015625; - Add3~12 0xc0 1.17903e+07 0.5; - Add3~13 0xc0 9.79156e+06 0.492188; - Add3~14 0xc0 8.91683e+06 0.5; - Add3~15 0xc0 6.34331e+06 0.753906; - Add3~16 0xc0 8.22839e+06 0.5; - Add6~0 0xc0 8.04014e+06 0.5; - Add6~1 0xc0 8.04014e+06 0.5; - Add6~2 0xc0 8.04014e+06 0.5; - Add6~2_wirecell 0xc0 8.04014e+06 0.5; - Add6~3 0xc0 4.52258e+06 0.25; - Add6~4 0xc0 7.28637e+06 0.5; - Add6~4_wirecell 0xc0 7.28637e+06 0.5; - Add6~5 0xc0 2.13566e+06 0.875; - Add6~6 0xc0 7.34919e+06 0.5; - Add6~6_wirecell 0xc0 7.34919e+06 0.5; - Add6~7 0xc0 6.81527e+06 0.0625; - Add6~8 0xc0 1.05056e+07 0.5; - Add6~8_wirecell 0xc0 1.05056e+07 0.5; - Add6~9 0xc0 1.76663e+06 0.96875; - Add6~10 0xc0 8.43665e+06 0.5; - Add6~10_wirecell 0xc0 8.43665e+06 0.5; - Add6~11 0xc0 7.99499e+06 0.015625; - Add6~12 0xc0 1.17903e+07 0.5; - dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13; - delay_signals[0][0] 0xc0 8.04014e+06 0.5; - delay_signals[1][0] 0xc0 8.04014e+06 0.5; - delay_signals[2][0] 0xc0 8.04014e+06 0.5; - delay_signals[2][0]~feeder 0xc0 4.52258e+06 0.25; - dspba_delay:d_u0_m0_wo0_compute_q_14; - delay_signals[0][0] 0xc0 8.04014e+06 0.5; - delay_signals[0][0]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[1][0] 0xc0 8.04014e+06 0.5; - delay_signals[1][0]~feeder 0xc0 8.04014e+06 0.5; - dspba_delay:d_u0_m0_wo0_compute_q_15; - delay_signals[0][0] 0xc0 8.04014e+06 0.5; - delay_signals[0][0]~feeder 0xc0 8.04014e+06 0.5; - dspba_delay:d_xIn_0_13; - delay_signals[0][0] 0xc0 8.04014e+06 0.5; - delay_signals[0][1] 0xc0 8.04014e+06 0.5; - delay_signals[0][2] 0xc0 8.04014e+06 0.5; - delay_signals[0][3] 0xc0 8.04014e+06 0.5; - delay_signals[0][3]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[0][4] 0xc0 8.04014e+06 0.5; - delay_signals[0][5] 0xc0 8.04014e+06 0.5; - delay_signals[0][5]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[0][6] 0xc0 8.04014e+06 0.5; - delay_signals[0][7] 0xc0 8.04014e+06 0.5; - delay_signals[0][7]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[0][8] 0xc0 8.04014e+06 0.5; - delay_signals[0][9] 0xc0 8.04014e+06 0.5; - delay_signals[0][9]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[0][10] 0xc0 8.04014e+06 0.5; - delay_signals[0][10]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[0][11] 0xc0 8.04014e+06 0.5; - delay_signals[0][11]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[0][12] 0xc0 8.04014e+06 0.5; - delay_signals[0][12]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[0][13] 0xc0 8.04014e+06 0.5; - delay_signals[0][14] 0xc0 8.04014e+06 0.5; - delay_signals[0][14]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[0][15] 0xc0 8.04014e+06 0.5; - delay_signals[0][15]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[1][0] 0xc0 8.04014e+06 0.5; - delay_signals[1][1] 0xc0 8.04014e+06 0.5; - delay_signals[1][2] 0xc0 8.04014e+06 0.5; - delay_signals[1][2]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[1][3] 0xc0 8.04014e+06 0.5; - delay_signals[1][3]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[1][4] 0xc0 8.04014e+06 0.5; - delay_signals[1][5] 0xc0 8.04014e+06 0.5; - delay_signals[1][5]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[1][6] 0xc0 8.04014e+06 0.5; - delay_signals[1][7] 0xc0 8.04014e+06 0.5; - delay_signals[1][7]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[1][8] 0xc0 8.04014e+06 0.5; - delay_signals[1][8]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[1][9] 0xc0 8.04014e+06 0.5; - delay_signals[1][9]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[1][10] 0xc0 8.04014e+06 0.5; - delay_signals[1][10]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[1][11] 0xc0 8.04014e+06 0.5; - delay_signals[1][11]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[1][12] 0xc0 8.04014e+06 0.5; - delay_signals[1][12]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[1][13] 0xc0 8.04014e+06 0.5; - delay_signals[1][13]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[1][14] 0xc0 8.04014e+06 0.5; - delay_signals[1][14]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[1][15] 0xc0 8.04014e+06 0.5; - delay_signals[1][15]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[2][0] 0xc0 8.04014e+06 0.5; - delay_signals[2][1] 0xc0 8.04014e+06 0.5; - delay_signals[2][2] 0xc0 8.04014e+06 0.5; - delay_signals[2][3] 0xc0 8.04014e+06 0.5; - delay_signals[2][4] 0xc0 8.04014e+06 0.5; - delay_signals[2][5] 0xc0 8.04014e+06 0.5; - delay_signals[2][6] 0xc0 8.04014e+06 0.5; - delay_signals[2][7] 0xc0 8.04014e+06 0.5; - delay_signals[2][8] 0xc0 8.04014e+06 0.5; - delay_signals[2][9] 0xc0 8.04014e+06 0.5; - delay_signals[2][10] 0xc0 8.04014e+06 0.5; - delay_signals[2][11] 0xc0 8.04014e+06 0.5; - delay_signals[2][12] 0xc0 8.04014e+06 0.5; - delay_signals[2][13] 0xc0 8.04014e+06 0.5; - delay_signals[2][14] 0xc0 8.04014e+06 0.5; - delay_signals[2][15] 0xc0 8.04014e+06 0.5; - Mux2~0 0xc0 6.51706e+06 0.125; - Mux2~1 0xc0 8.06419e+06 0.28125; - Mux3~0 0xc0 3.02094e+06 0.4375; - Mux3~1 0xc0 3.02094e+06 0.4375; - Mux3~2 0xc0 5.36327e+06 0.40625; - Mux3~3 0xc0 3.74371e+06 0.382813; - Mux4~0 0xc0 3.03468e+06 0.5; - Mux4~1 0xc0 3.03468e+06 0.5; - Mux4~2 0xc0 5.70088e+06 0.390625; - Mux4~3 0xc0 1.13766e+07 0.359863; - Mux5~0 0xc0 2.95616e+06 0.625; - Mux5~1 0xc0 2.95616e+06 0.625; - Mux5~2 0xc0 5.7303e+06 0.359375; - Mux5~3 0xc0 3.79016e+06 0.311035; - Mux6~0 0xc0 2.82857e+06 0.3125; - Mux6~1 0xc0 4.08288e+06 0.6875; - Mux6~2 0xc0 6.1159e+06 0.4375; - Mux6~3 0xc0 6.18084e+06 0.425781; - Mux7~0 0xc0 3.15246e+06 0.5; - Mux7~1 0xc0 3.15246e+06 0.5; - Mux7~2 0xc0 5.36141e+06 0.390625; - Mux7~3 0xc0 3.68591e+06 0.359863; - Mux8~0 0xc0 4.50687e+06 0.5; - Mux8~1 0xc0 3.918e+06 0.5; - Mux8~2 0xc0 4.18352e+06 0.40625; - Mux8~3 0xc0 5.02732e+06 0.376953; - Mux9~0 0xc0 3.61178e+06 0.3125; - Mux9~1 0xc0 2.7108e+06 0.6875; - Mux9~2 0xc0 724319 0.0625; - Mux9~3 0xc0 1.51021e+07 0.34375; - Mux9~4 0xc0 724319 0.0625; - Mux9~5 0xc0 1.10883e+07 0.285156; - Mux9~6 0xc0 1.91581e+06 0.125; - Mux9~7 0xc0 2.7638e+06 0.125; - u0_m0_wo0_accum_o[0] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[0]~30 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[0]~31 0xc0 4.52258e+06 0.25; - u0_m0_wo0_accum_o[1] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[1]~32 0xc0 6.78387e+06 0.5; - u0_m0_wo0_accum_o[1]~33 0xc0 3.74526e+06 0.625; - u0_m0_wo0_accum_o[2] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[2]~34 0xc0 5.74155e+06 0.5; - u0_m0_wo0_accum_o[2]~35 0xc0 6.671e+06 0.4375; - u0_m0_wo0_accum_o[3] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[3]~36 0xc0 6.26099e+06 0.5; - u0_m0_wo0_accum_o[3]~37 0xc0 5.11697e+06 0.53125; - u0_m0_wo0_accum_o[4] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[4]~38 0xc0 5.81949e+06 0.5; - u0_m0_wo0_accum_o[4]~39 0xc0 5.71476e+06 0.484375; - u0_m0_wo0_accum_o[5] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[5]~40 0xc0 5.95568e+06 0.5; - u0_m0_wo0_accum_o[5]~41 0xc0 5.35151e+06 0.507813; - u0_m0_wo0_accum_o[6] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[6]~42 0xc0 5.86156e+06 0.5; - u0_m0_wo0_accum_o[6]~43 0xc0 5.5146e+06 0.496094; - u0_m0_wo0_accum_o[7] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[7]~44 0xc0 5.9015e+06 0.5; - u0_m0_wo0_accum_o[7]~45 0xc0 5.42812e+06 0.501953; - u0_m0_wo0_accum_o[8] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[8]~46 0xc0 5.87967e+06 0.5; - u0_m0_wo0_accum_o[8]~47 0xc0 5.47008e+06 0.499023; - u0_m0_wo0_accum_o[9] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[9]~48 0xc0 5.89012e+06 0.5; - u0_m0_wo0_accum_o[9]~49 0xc0 5.44878e+06 0.500488; - u0_m0_wo0_accum_o[10] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[10]~50 0xc0 5.88478e+06 0.5; - u0_m0_wo0_accum_o[10]~51 0xc0 5.45935e+06 0.499756; - u0_m0_wo0_accum_o[11] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[11]~52 0xc0 5.88741e+06 0.5; - u0_m0_wo0_accum_o[11]~53 0xc0 5.45404e+06 0.500122; - u0_m0_wo0_accum_o[12] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[12]~54 0xc0 5.88609e+06 0.5; - u0_m0_wo0_accum_o[12]~55 0xc0 5.45669e+06 0.499939; - u0_m0_wo0_accum_o[13] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[13]~56 0xc0 5.88675e+06 0.5; - u0_m0_wo0_accum_o[13]~57 0xc0 5.45537e+06 0.500031; - u0_m0_wo0_accum_o[14] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[14]~58 0xc0 5.88642e+06 0.5; - u0_m0_wo0_accum_o[14]~59 0xc0 5.45603e+06 0.499985; - u0_m0_wo0_accum_o[15] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[15]~60 0xc0 5.88658e+06 0.5; - u0_m0_wo0_accum_o[15]~61 0xc0 5.4557e+06 0.500008; - u0_m0_wo0_accum_o[16] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[16]~62 0xc0 5.8865e+06 0.5; - u0_m0_wo0_accum_o[16]~63 0xc0 5.45586e+06 0.499996; - u0_m0_wo0_accum_o[17] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[17]~64 0xc0 5.88654e+06 0.5; - u0_m0_wo0_accum_o[17]~65 0xc0 5.45578e+06 0.500002; - u0_m0_wo0_accum_o[18] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[18]~66 0xc0 5.88652e+06 0.5; - u0_m0_wo0_accum_o[18]~67 0xc0 5.45582e+06 0.499999; - u0_m0_wo0_accum_o[19] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[19]~68 0xc0 5.88653e+06 0.5; - u0_m0_wo0_accum_o[19]~69 0xc0 5.4558e+06 0.5; - u0_m0_wo0_accum_o[20] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[20]~70 0xc0 5.88653e+06 0.5; - u0_m0_wo0_accum_o[20]~71 0xc0 5.45581e+06 0.5; - u0_m0_wo0_accum_o[21] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[21]~72 0xc0 5.88653e+06 0.5; - u0_m0_wo0_accum_o[21]~73 0xc0 5.45581e+06 0.5; - u0_m0_wo0_accum_o[22] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[22]~74 0xc0 5.88653e+06 0.5; - u0_m0_wo0_accum_o[22]~75 0xc0 5.45581e+06 0.5; - u0_m0_wo0_accum_o[23] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[23]~76 0xc0 7.39406e+06 0.5; - u0_m0_wo0_accum_o[23]~77 0xc0 7.7171e+06 0.5; - u0_m0_wo0_accum_o[24] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[24]~78 0xc0 7.95938e+06 0.5; - u0_m0_wo0_accum_o[24]~79 0xc0 7.99976e+06 0.5; - u0_m0_wo0_accum_o[25] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[25]~80 0xc0 8.03004e+06 0.5; - u0_m0_wo0_accum_o[25]~81 0xc0 8.03509e+06 0.5; - u0_m0_wo0_accum_o[26] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[26]~82 0xc0 8.03887e+06 0.5; - u0_m0_wo0_accum_o[26]~83 0xc0 8.03951e+06 0.5; - u0_m0_wo0_accum_o[27] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[27]~84 0xc0 8.03998e+06 0.5; - u0_m0_wo0_accum_o[27]~85 0xc0 8.04006e+06 0.5; - u0_m0_wo0_accum_o[28] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[28]~86 0xc0 8.04012e+06 0.5; - u0_m0_wo0_accum_o[28]~87 0xc0 8.04013e+06 0.5; - u0_m0_wo0_accum_o[29] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[29]~88 0xc0 8.04013e+06 0.5; - u0_m0_wo0_aseq_eq 0xc0 8.04014e+06 0.5; - u0_m0_wo0_aseq_eq~0 0xc0 1.50753e+06 0.0625; - u0_m0_wo0_aseq_eq~1 0xc0 989314 0.0625; - u0_m0_wo0_aseq_eq~2 0xc0 178135 0.015625; - u0_m0_wo0_aseq_eq~3 0xc0 3.98455e+06 0.250732; - u0_m0_wo0_ca0_i[0] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_ca0_i[0]~5 0xc0 8.04014e+06 0.5; - u0_m0_wo0_ca0_i[1] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_ca0_i[1]~6 0xc0 8.04014e+06 0.5; - u0_m0_wo0_ca0_i[1]~7 0xc0 4.52258e+06 0.25; - u0_m0_wo0_ca0_i[2] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_ca0_i[2]~8 0xc0 7.28637e+06 0.5; - u0_m0_wo0_ca0_i[2]~9 0xc0 1.91581e+06 0.875; - u0_m0_wo0_ca0_i[3] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_ca0_i[3]~10 0xc0 7.23926e+06 0.5; - u0_m0_wo0_ca0_i[3]~11 0xc0 6.76031e+06 0.0625; - u0_m0_wo0_ca0_i[4] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_ca0_i[4]~12 0xc0 1.04781e+07 0.5; - u0_m0_wo0_ca0_i[4]~13 0xc0 1.75289e+06 0.96875; - u0_m0_wo0_ca0_i[5] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_ca0_i[5]~14 0xc0 8.42978e+06 0.5; - u0_m0_wo0_cm0_q[0] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_cm0_q[1] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_cm0_q[2] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_cm0_q[3] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_cm0_q[4] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_cm0_q[5] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_cm0_q[6] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_cm0_q[7] 0xc0 8.04014e+06 0.5; - dspba_delay:u0_m0_wo0_compute; - delay_signals[0][0] 0xc0 8.04014e+06 0.5; - delay_signals[0][0]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[1][0] 0xc0 8.04014e+06 0.5; - dspba_delay:u0_m0_wo0_memread; - delay_signals[0][0] 0xc0 8.04014e+06 0.5; - lpm_mult:u0_m0_wo0_mtree_mult1_0_component; - mult_ncu:auto_generated; - mac_mult1 0xc0 3.21605e+07 0.5; - mac_mult1~12 0xc0 0 0; - mac_mult1~13 0xc0 0 0; - mac_mult1~14 0xc0 0 0; - mac_mult1~15 0xc0 0 0; - mac_mult1~16 0xc0 0 0; - mac_mult1~17 0xc0 0 0; - mac_mult1~18 0xc0 0 0; - mac_mult1~19 0xc0 0 0; - mac_mult1~20 0xc0 0 0; - mac_mult1~21 0xc0 0 0; - mac_mult1~22 0xc0 0 0; - mac_mult1~23 0xc0 0 0; - mac_mult1~DATAOUT1 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT2 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT3 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT4 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT5 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT6 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT7 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT8 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT9 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT10 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT11 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT12 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT13 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT14 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT15 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT16 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT17 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT18 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT19 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT20 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT21 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT22 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT23 0xc0 3.21605e+07 0.5; - result[0] 0xc0 8.04014e+06 0.5; - result[1] 0xc0 8.04014e+06 0.5; - result[2] 0xc0 8.04014e+06 0.5; - result[3] 0xc0 8.04014e+06 0.5; - result[4] 0xc0 8.04014e+06 0.5; - result[5] 0xc0 8.04014e+06 0.5; - result[6] 0xc0 8.04014e+06 0.5; - result[7] 0xc0 8.04014e+06 0.5; - result[8] 0xc0 8.04014e+06 0.5; - result[9] 0xc0 8.04014e+06 0.5; - result[10] 0xc0 8.04014e+06 0.5; - result[11] 0xc0 8.04014e+06 0.5; - result[12] 0xc0 8.04014e+06 0.5; - result[13] 0xc0 8.04014e+06 0.5; - result[14] 0xc0 8.04014e+06 0.5; - result[15] 0xc0 8.04014e+06 0.5; - result[16] 0xc0 8.04014e+06 0.5; - result[17] 0xc0 8.04014e+06 0.5; - result[18] 0xc0 8.04014e+06 0.5; - result[19] 0xc0 8.04014e+06 0.5; - result[20] 0xc0 8.04014e+06 0.5; - result[21] 0xc0 8.04014e+06 0.5; - result[22] 0xc0 8.04014e+06 0.5; - result[23] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_run_count[0] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_run_count[0]~0 0xc0 4.48381e+06 0.5; - u0_m0_wo0_run_count[1] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_run_enableQ[0] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_run_enableQ~0 0xc0 3.73092e+06 0.25; - u0_m0_wo0_run_q[0] 0xc0 8.04014e+06 0.5; - altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem; - altsyncram_0mn3:auto_generated; - q_b[0] 0xc0 8.04014e+06 0.5; - q_b[1] 0xc0 8.04014e+06 0.5; - q_b[2] 0xc0 8.04014e+06 0.5; - q_b[3] 0xc0 8.04014e+06 0.5; - q_b[4] 0xc0 8.04014e+06 0.5; - q_b[5] 0xc0 8.04014e+06 0.5; - q_b[6] 0xc0 8.04014e+06 0.5; - q_b[7] 0xc0 8.04014e+06 0.5; - q_b[8] 0xc0 8.04014e+06 0.5; - q_b[9] 0xc0 8.04014e+06 0.5; - q_b[10] 0xc0 8.04014e+06 0.5; - q_b[11] 0xc0 8.04014e+06 0.5; - q_b[12] 0xc0 8.04014e+06 0.5; - q_b[13] 0xc0 8.04014e+06 0.5; - q_b[14] 0xc0 8.04014e+06 0.5; - q_b[15] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0]~6 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0]~7 0xc0 4.52258e+06 0.25; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1]~8 0xc0 6.78387e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1]~9 0xc0 3.74526e+06 0.625; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2]~10 0xc0 5.74155e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2]~11 0xc0 6.671e+06 0.4375; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3]~12 0xc0 6.26099e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3]~13 0xc0 5.11697e+06 0.53125; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4]~14 0xc0 5.81949e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4]~15 0xc0 5.71476e+06 0.484375; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5]~16 0xc0 7.46468e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_clkproc~0 0xc0 6.0301e+06 0.25; - u0_m0_wo0_wi0_r0_ra0_count0_i[0] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[0]~5 0xc0 4.83664e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[1] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[1]~6 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[1]~7 0xc0 4.52258e+06 0.25; - u0_m0_wo0_wi0_r0_ra0_count0_i[2] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[2]~8 0xc0 7.28637e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[2]~9 0xc0 1.91581e+06 0.875; - u0_m0_wo0_wi0_r0_ra0_count0_i[3] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[3]~10 0xc0 7.23926e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[3]~11 0xc0 6.76031e+06 0.0625; - u0_m0_wo0_wi0_r0_ra0_count0_i[4] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[4]~12 0xc0 1.04781e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[4]~13 0xc0 1.75289e+06 0.96875; - u0_m0_wo0_wi0_r0_ra0_count0_i[5] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[5]~14 0xc0 8.42978e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0]~7 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0]~8 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1]~9 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1]~10 0xc0 4.52258e+06 0.25; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2]~11 0xc0 7.28637e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2]~12 0xc0 2.13566e+06 0.875; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3]~13 0xc0 7.34919e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3]~14 0xc0 6.81527e+06 0.0625; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4]~15 0xc0 1.05056e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4]~16 0xc0 1.76663e+06 0.96875; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5]~17 0xc0 8.43665e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5]~18 0xc0 7.99499e+06 0.015625; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6]~19 0xc0 1.17903e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[0] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[0]~5 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[1] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[1]~6 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[1]~7 0xc0 4.52258e+06 0.25; - u0_m0_wo0_wi0_r0_ra0_count1_i[2] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[2]~8 0xc0 7.28637e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[2]~9 0xc0 1.91581e+06 0.875; - u0_m0_wo0_wi0_r0_ra0_count1_i[3] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[3]~10 0xc0 7.23926e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[3]~11 0xc0 6.76031e+06 0.0625; - u0_m0_wo0_wi0_r0_ra0_count1_i[4] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[4]~12 0xc0 1.04781e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[4]~13 0xc0 1.75289e+06 0.96875; - u0_m0_wo0_wi0_r0_ra0_count1_i[5] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[5]~14 0xc0 8.42978e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[0] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[0]~5 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[0]~_wirecell 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[1] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[1]~6 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[1]~7 0xc0 4.52258e+06 0.25; - u0_m0_wo0_wi0_r0_wa0_i[1]~_wirecell 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[2] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[2]~8 0xc0 7.28637e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[2]~9 0xc0 1.91581e+06 0.875; - u0_m0_wo0_wi0_r0_wa0_i[2]~_wirecell 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[3] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[3]~10 0xc0 7.23926e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[3]~11 0xc0 6.76031e+06 0.0625; - u0_m0_wo0_wi0_r0_wa0_i[3]~_wirecell 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[4] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[4]~12 0xc0 1.04781e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[4]~13 0xc0 1.75289e+06 0.96875; - u0_m0_wo0_wi0_r0_wa0_i[4]~_wirecell 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[5] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[5]~14 0xc0 8.42978e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[5]~_wirecell 0xc0 8.04014e+06 0.5; - auk_dspip_avalon_streaming_sink_hpfir:sink; - auk_dspip_avalon_streaming_source_hpfir:source; - data_out[0] 0xc0 8.04014e+06 0.5; - data_out[1] 0xc0 8.04014e+06 0.5; - data_out[2] 0xc0 8.04014e+06 0.5; - data_out[3] 0xc0 8.04014e+06 0.5; - data_out[4] 0xc0 8.04014e+06 0.5; - data_out[5] 0xc0 8.04014e+06 0.5; - data_out[6] 0xc0 8.04014e+06 0.5; - data_out[7] 0xc0 8.04014e+06 0.5; - data_out[8] 0xc0 8.04014e+06 0.5; - data_out[9] 0xc0 8.04014e+06 0.5; - data_out[9]~feeder 0xc0 8.04014e+06 0.5; - data_out[10] 0xc0 8.04014e+06 0.5; - data_out[10]~feeder 0xc0 8.04014e+06 0.5; - data_out[11] 0xc0 8.04014e+06 0.5; - data_out[11]~feeder 0xc0 8.04014e+06 0.5; - data_out[12] 0xc0 8.04014e+06 0.5; - data_out[13] 0xc0 8.04014e+06 0.5; - data_out[14] 0xc0 8.04014e+06 0.5; - data_out[15] 0xc0 8.04014e+06 0.5; - data_out[16] 0xc0 8.04014e+06 0.5; - data_out[17] 0xc0 8.04014e+06 0.5; - data_out[18] 0xc0 8.04014e+06 0.5; - data_out[19] 0xc0 8.04014e+06 0.5; - data_out[20] 0xc0 8.04014e+06 0.5; - data_out[21] 0xc0 8.04014e+06 0.5; - data_out[22] 0xc0 8.04014e+06 0.5; - data_out[23] 0xc0 8.04014e+06 0.5; - data_out[24] 0xc0 8.04014e+06 0.5; - data_out[24]~feeder 0xc0 8.04014e+06 0.5; - data_out[25] 0xc0 8.04014e+06 0.5; - data_out[26] 0xc0 8.04014e+06 0.5; - data_out[27] 0xc0 8.04014e+06 0.5; - data_out[28] 0xc0 8.04014e+06 0.5; - data_out[28]~feeder 0xc0 8.04014e+06 0.5; - data_out[29] 0xc0 8.04014e+06 0.5; - data_shifter:RX_CICFIR_GAINER; - Mux0~0 0xc0 2.78735e+06 0.5; - Mux0~1 0xc0 1.88966e+06 0.5; - Mux0~2 0xc0 1.6741e+06 0.5; - Mux0~3 0xc0 4.08288e+06 0.5; - Mux0~4 0xc0 1.92066e+06 0.375; - Mux0~5 0xc0 1.07052e+06 0.46875; - Mux0~6 0xc0 1.67198e+06 0.46875; - Mux0~7 0xc0 896021 0.484375; - Mux0~8 0xc0 1.31845e+06 0.476563; - Mux1~0 0xc0 2.78735e+06 0.5; - Mux1~1 0xc0 1.88966e+06 0.5; - Mux1~2 0xc0 1.6741e+06 0.5; - Mux1~3 0xc0 2.78735e+06 0.5; - Mux1~4 0xc0 1.88966e+06 0.5; - Mux1~5 0xc0 893593 0.5; - Mux1~6 0xc0 1.86418e+06 0.4375; - Mux1~7 0xc0 927652 0.46875; - Mux1~8 0xc0 1.30131e+06 0.484375; - Mux2~0 0xc0 2.78735e+06 0.5; - Mux2~1 0xc0 1.88966e+06 0.5; - Mux2~2 0xc0 1.6741e+06 0.5; - Mux2~3 0xc0 2.78735e+06 0.5; - Mux2~4 0xc0 1.88966e+06 0.5; - Mux2~5 0xc0 893593 0.5; - Mux2~6 0xc0 2.29662e+06 0.25; - Mux2~7 0xc0 1.88785e+06 0.4375; - Mux2~8 0xc0 930555 0.46875; - Mux2~9 0xc0 1.30178e+06 0.484375; - Mux3~0 0xc0 2.78735e+06 0.5; - Mux3~1 0xc0 1.88966e+06 0.5; - Mux3~2 0xc0 1.6741e+06 0.5; - Mux3~3 0xc0 2.78735e+06 0.5; - Mux3~4 0xc0 1.88966e+06 0.5; - Mux3~5 0xc0 893593 0.5; - Mux3~6 0xc0 1.91581e+06 0.125; - Mux3~7 0xc0 2.23315e+06 0.40625; - Mux3~8 0xc0 986334 0.453125; - Mux3~9 0xc0 1.31652e+06 0.476563; - Mux4~0 0xc0 1.6741e+06 0.5; - Mux4~1 0xc0 893593 0.5; - Mux4~2 0xc0 1.91581e+06 0.125; - Mux4~3 0xc0 2.32723e+06 0.375; - Mux4~4 0xc0 1.01669e+06 0.4375; - Mux4~5 0xc0 1.32933e+06 0.46875; - Mux5~0 0xc0 1.6741e+06 0.5; - Mux5~1 0xc0 893593 0.5; - Mux5~2 0xc0 1.91581e+06 0.125; - Mux5~3 0xc0 2.67938e+06 0.34375; - Mux5~4 0xc0 1.08407e+06 0.421875; - Mux5~5 0xc0 1.35044e+06 0.460938; - Mux6~0 0xc0 1.6741e+06 0.5; - Mux6~1 0xc0 893593 0.5; - Mux6~2 0xc0 1.91581e+06 0.125; - Mux6~3 0xc0 3.26483e+06 0.3125; - Mux6~4 0xc0 1.18542e+06 0.40625; - Mux6~5 0xc0 1.37937e+06 0.453125; - Mux7~0 0xc0 1.45851e+06 0.296875; - Mux7~1 0xc0 1.6741e+06 0.5; - Mux7~2 0xc0 893593 0.5; - Mux7~3 0xc0 1.04889e+06 0.273438; - Mux7~4 0xc0 1.55175e+06 0.386719; - Mux8~0 0xc0 2.78735e+06 0.5; - Mux8~1 0xc0 1.88966e+06 0.5; - Mux8~2 0xc0 2.78735e+06 0.5; - Mux8~3 0xc0 1.88966e+06 0.5; - Mux8~4 0xc0 2.78735e+06 0.5; - Mux8~5 0xc0 1.88966e+06 0.5; - Mux8~6 0xc0 2.78735e+06 0.5; - Mux8~7 0xc0 1.88966e+06 0.5; - Mux8~8 0xc0 4.08288e+06 0.5; - Mux8~9 0xc0 1.92066e+06 0.375; - Mux8~10 0xc0 2.78735e+06 0.5; - Mux8~11 0xc0 1.88966e+06 0.5; - Mux8~12 0xc0 1.6741e+06 0.5; - Mux8~13 0xc0 893593 0.5; - Mux8~14 0xc0 1.06992e+06 0.238281; - Mux8~15 0xc0 1.03472e+06 0.244141; - Mux8~16 0xc0 1.61413e+06 0.37207; - Mux9~0 0xc0 2.78735e+06 0.5; - Mux9~1 0xc0 1.88966e+06 0.5; - Mux9~2 0xc0 2.78735e+06 0.5; - Mux9~3 0xc0 1.88966e+06 0.5; - Mux9~4 0xc0 2.78735e+06 0.5; - Mux9~5 0xc0 1.88966e+06 0.5; - Mux9~6 0xc0 2.78735e+06 0.5; - Mux9~7 0xc0 1.88966e+06 0.5; - Mux9~8 0xc0 2.00218e+06 0.25; - Mux9~9 0xc0 2.78735e+06 0.5; - Mux9~10 0xc0 1.88966e+06 0.5; - Mux9~11 0xc0 1.6741e+06 0.5; - Mux9~12 0xc0 893593 0.5; - Mux9~13 0xc0 834124 0.179688; - Mux9~14 0xc0 1.06509e+06 0.214844; - Mux9~15 0xc0 1.69169e+06 0.357422; - Mux10~0 0xc0 2.78735e+06 0.5; - Mux10~1 0xc0 1.88966e+06 0.5; - Mux10~2 0xc0 2.78735e+06 0.5; - Mux10~3 0xc0 1.88966e+06 0.5; - Mux10~4 0xc0 2.78735e+06 0.5; - Mux10~5 0xc0 1.88966e+06 0.5; - Mux10~6 0xc0 1.6741e+06 0.5; - Mux10~7 0xc0 2.78735e+06 0.5; - Mux10~8 0xc0 1.88966e+06 0.5; - Mux10~9 0xc0 893593 0.5; - Mux10~10 0xc0 2.78735e+06 0.5; - Mux10~11 0xc0 1.88966e+06 0.5; - Mux10~12 0xc0 1.91581e+06 0.125; - Mux10~13 0xc0 716417 0.121094; - Mux10~14 0xc0 1.13605e+06 0.185547; - Mux10~15 0xc0 1.78376e+06 0.342773; - Mux11~0 0xc0 2.78735e+06 0.5; - Mux11~1 0xc0 1.88966e+06 0.5; - Mux11~2 0xc0 2.78735e+06 0.5; - Mux11~3 0xc0 1.88966e+06 0.5; - Mux11~4 0xc0 2.78735e+06 0.5; - Mux11~5 0xc0 1.88966e+06 0.5; - Mux11~6 0xc0 2.78735e+06 0.5; - Mux11~7 0xc0 1.88966e+06 0.5; - Mux11~8 0xc0 2.78735e+06 0.5; - Mux11~9 0xc0 1.88966e+06 0.5; - Mux11~10 0xc0 1.45851e+06 0.296875; - Mux11~11 0xc0 1.6741e+06 0.5; - Mux11~12 0xc0 893593 0.5; - Mux11~13 0xc0 1.02225e+06 0.305664; - Mux12~0 0xc0 1.06992e+06 0.238281; - Mux12~1 0xc0 1.6741e+06 0.5; - Mux12~2 0xc0 893593 0.5; - Mux12~3 0xc0 1.07195e+06 0.294678; - Mux13~0 0xc0 834124 0.179688; - Mux13~1 0xc0 1.6741e+06 0.5; - Mux13~2 0xc0 893593 0.5; - Mux13~3 0xc0 1.15365e+06 0.283691; - Mux14~0 0xc0 716417 0.121094; - Mux14~1 0xc0 1.6741e+06 0.5; - Mux14~2 0xc0 893593 0.5; - Mux14~3 0xc0 1.26587e+06 0.272705; - Mux15~0 0xc0 744629 0.125; - Mux15~1 0xc0 1.91581e+06 0.125; - Mux15~2 0xc0 1.91581e+06 0.125; - Mux15~3 0xc0 1.6741e+06 0.5; - Mux15~4 0xc0 893593 0.5; - Mux15~5 0xc0 1.52343e+06 0.261719; - Mux16~0 0xc0 2.78735e+06 0.5; - Mux16~1 0xc0 1.88966e+06 0.5; - Mux16~2 0xc0 2.78735e+06 0.5; - Mux16~3 0xc0 1.88966e+06 0.5; - Mux16~4 0xc0 2.78735e+06 0.5; - Mux16~5 0xc0 1.88966e+06 0.5; - Mux16~6 0xc0 1.6741e+06 0.5; - Mux16~7 0xc0 4.08288e+06 0.5; - Mux16~8 0xc0 1.92066e+06 0.375; - Mux16~9 0xc0 1.07052e+06 0.46875; - Mux16~10 0xc0 2.78735e+06 0.5; - Mux16~11 0xc0 1.88966e+06 0.5; - Mux16~12 0xc0 2.78735e+06 0.5; - Mux16~13 0xc0 1.88966e+06 0.5; - Mux16~14 0xc0 4.08288e+06 0.5; - Mux16~15 0xc0 1.92066e+06 0.375; - Mux16~16 0xc0 1.67198e+06 0.46875; - Mux16~17 0xc0 2.78735e+06 0.5; - Mux16~18 0xc0 1.88966e+06 0.5; - Mux16~19 0xc0 896021 0.484375; - Mux16~20 0xc0 1.31845e+06 0.476563; - Mux17~0 0xc0 2.78735e+06 0.5; - Mux17~1 0xc0 1.88966e+06 0.5; - Mux17~2 0xc0 2.78735e+06 0.5; - Mux17~3 0xc0 1.88966e+06 0.5; - Mux17~4 0xc0 2.78735e+06 0.5; - Mux17~5 0xc0 1.88966e+06 0.5; - Mux17~6 0xc0 1.6741e+06 0.5; - Mux17~7 0xc0 2.78735e+06 0.5; - Mux17~8 0xc0 1.88966e+06 0.5; - Mux17~9 0xc0 893593 0.5; - Mux17~10 0xc0 2.78735e+06 0.5; - Mux17~11 0xc0 1.88966e+06 0.5; - Mux17~12 0xc0 2.78735e+06 0.5; - Mux17~13 0xc0 1.88966e+06 0.5; - Mux17~14 0xc0 2.00218e+06 0.25; - Mux17~15 0xc0 1.86418e+06 0.4375; - Mux17~16 0xc0 2.78735e+06 0.5; - Mux17~17 0xc0 1.88966e+06 0.5; - Mux17~18 0xc0 927652 0.46875; - Mux17~19 0xc0 1.30131e+06 0.484375; - Mux18~0 0xc0 2.78735e+06 0.5; - Mux18~1 0xc0 1.88966e+06 0.5; - Mux18~2 0xc0 2.78735e+06 0.5; - Mux18~3 0xc0 1.88966e+06 0.5; - Mux18~4 0xc0 2.78735e+06 0.5; - Mux18~5 0xc0 1.88966e+06 0.5; - Mux18~6 0xc0 1.6741e+06 0.5; - Mux18~7 0xc0 2.78735e+06 0.5; - Mux18~8 0xc0 1.88966e+06 0.5; - Mux18~9 0xc0 893593 0.5; - Mux18~10 0xc0 2.78735e+06 0.5; - Mux18~11 0xc0 1.88966e+06 0.5; - Mux18~12 0xc0 2.78735e+06 0.5; - Mux18~13 0xc0 1.88966e+06 0.5; - Mux18~14 0xc0 2.29662e+06 0.25; - Mux18~15 0xc0 1.88785e+06 0.4375; - Mux18~16 0xc0 2.78735e+06 0.5; - Mux18~17 0xc0 1.88966e+06 0.5; - Mux18~18 0xc0 930555 0.46875; - Mux18~19 0xc0 1.30178e+06 0.484375; - Mux19~0 0xc0 2.78735e+06 0.5; - Mux19~1 0xc0 1.88966e+06 0.5; - Mux19~2 0xc0 2.78735e+06 0.5; - Mux19~3 0xc0 1.88966e+06 0.5; - Mux19~4 0xc0 2.78735e+06 0.5; - Mux19~5 0xc0 1.88966e+06 0.5; - Mux19~6 0xc0 1.6741e+06 0.5; - Mux19~7 0xc0 2.78735e+06 0.5; - Mux19~8 0xc0 1.88966e+06 0.5; - Mux19~9 0xc0 893593 0.5; - Mux19~10 0xc0 2.78735e+06 0.5; - Mux19~11 0xc0 1.88966e+06 0.5; - Mux19~12 0xc0 2.78735e+06 0.5; - Mux19~13 0xc0 1.88966e+06 0.5; - Mux19~14 0xc0 1.91581e+06 0.125; - Mux19~15 0xc0 2.23315e+06 0.40625; - Mux19~16 0xc0 2.78735e+06 0.5; - Mux19~17 0xc0 1.88966e+06 0.5; - Mux19~18 0xc0 986334 0.453125; - Mux19~19 0xc0 1.31652e+06 0.476563; - Mux20~0 0xc0 1.6741e+06 0.5; - Mux20~1 0xc0 893593 0.5; - Mux20~2 0xc0 1.91581e+06 0.125; - Mux20~3 0xc0 2.32723e+06 0.375; - Mux20~4 0xc0 1.01669e+06 0.4375; - Mux20~5 0xc0 1.32933e+06 0.46875; - Mux21~0 0xc0 1.6741e+06 0.5; - Mux21~1 0xc0 893593 0.5; - Mux21~2 0xc0 1.91581e+06 0.125; - Mux21~3 0xc0 2.67938e+06 0.34375; - Mux21~4 0xc0 1.08407e+06 0.421875; - Mux21~5 0xc0 1.35044e+06 0.460938; - Mux22~0 0xc0 1.6741e+06 0.5; - Mux22~1 0xc0 893593 0.5; - Mux22~2 0xc0 1.91581e+06 0.125; - Mux22~3 0xc0 1.91581e+06 0.125; - Mux22~4 0xc0 3.26483e+06 0.3125; - Mux22~5 0xc0 1.18542e+06 0.40625; - Mux22~6 0xc0 1.37937e+06 0.453125; - Mux23~0 0xc0 1.45851e+06 0.296875; - Mux23~1 0xc0 1.6741e+06 0.5; - Mux23~2 0xc0 893593 0.5; - Mux23~3 0xc0 1.04889e+06 0.273438; - Mux23~4 0xc0 1.55175e+06 0.386719; - Mux24~0 0xc0 1.6741e+06 0.5; - Mux24~1 0xc0 893593 0.5; - Mux24~2 0xc0 1.06992e+06 0.238281; - Mux24~3 0xc0 1.03472e+06 0.244141; - Mux24~4 0xc0 1.61413e+06 0.37207; - Mux25~0 0xc0 1.6741e+06 0.5; - Mux25~1 0xc0 893593 0.5; - Mux25~2 0xc0 834124 0.179688; - Mux25~3 0xc0 1.06509e+06 0.214844; - Mux25~4 0xc0 1.69169e+06 0.357422; - Mux26~0 0xc0 1.6741e+06 0.5; - Mux26~1 0xc0 893593 0.5; - Mux26~2 0xc0 716417 0.121094; - Mux26~3 0xc0 1.13605e+06 0.185547; - Mux26~4 0xc0 1.78376e+06 0.342773; - Mux27~0 0xc0 1.45851e+06 0.296875; - Mux27~1 0xc0 1.3916e+06 0.25; - Mux27~2 0xc0 1.6741e+06 0.5; - Mux27~3 0xc0 893593 0.5; - Mux27~4 0xc0 1.02225e+06 0.305664; - Mux28~0 0xc0 1.06992e+06 0.238281; - Mux28~1 0xc0 1.6741e+06 0.5; - Mux28~2 0xc0 893593 0.5; - Mux28~3 0xc0 1.07195e+06 0.294678; - Mux29~0 0xc0 834124 0.179688; - Mux29~1 0xc0 1.6741e+06 0.5; - Mux29~2 0xc0 893593 0.5; - Mux29~3 0xc0 1.15365e+06 0.283691; - Mux30~0 0xc0 716417 0.121094; - Mux30~1 0xc0 1.6741e+06 0.5; - Mux30~2 0xc0 893593 0.5; - Mux30~3 0xc0 1.26587e+06 0.272705; - Mux31~0 0xc0 1.6741e+06 0.5; - Mux31~1 0xc0 893593 0.5; - Mux31~2 0xc0 1.52343e+06 0.261719; - data_valid_out_Q 0xc0 4.52258e+06 0.25; - data_valid_out_Q~clkctrl 0xc0 4.52258e+06 0.25; - rx_ciccomp:RX_CICOMP_Q; - rx_ciccomp_0002:rx_ciccomp_inst; - rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst; - rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0]~1 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0]~2 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1]~1 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1]~2 0xc0 4.52258e+06 0.25; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2]~1 0xc0 7.28637e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2]~2 0xc0 2.13566e+06 0.875; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3]~1 0xc0 7.34919e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3]~2 0xc0 6.81527e+06 0.0625; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4]~1 0xc0 1.05056e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4]~2 0xc0 1.76663e+06 0.96875; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5]~1 0xc0 8.43665e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5]~2 0xc0 7.99499e+06 0.015625; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6]~1 0xc0 1.17903e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6]~2 0xc0 2.00267e+06 0.992188; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7]~1 0xc0 8.91683e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7]~2 0xc0 8.41616e+06 0.00390625; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[8] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[8]~1 0xc0 1.21856e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0]~1 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0]~2 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0]~_wirecell 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[1] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[1]~1 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[1]~2 0xc0 4.52258e+06 0.25; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[2] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[2]~1 0xc0 7.28637e+06 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[2]~2 0xc0 2.13566e+06 0.875; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[3] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[3]~1 0xc0 7.34919e+06 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[3]~2 0xc0 6.81527e+06 0.0625; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[4] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[4]~1 0xc0 1.05056e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[4]~2 0xc0 1.76663e+06 0.96875; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[5] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[5]~1 0xc0 8.43665e+06 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[5]~2 0xc0 7.99499e+06 0.015625; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[6] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[6]~1 0xc0 1.17903e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[6]~2 0xc0 2.00267e+06 0.992188; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[7] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[7]~1 0xc0 8.91683e+06 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[7]~2 0xc0 8.41616e+06 0.00390625; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[8] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[8]~1 0xc0 1.21856e+07 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[0] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[1] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[2] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[3] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[4] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[5] 0xc0 8.04014e+06 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[6] 0xc0 8.04014e+06 0.5; - Add0~0 0xc0 8.04014e+06 0.5; - Add0~1 0xc0 8.04014e+06 0.5; - Add0~2 0xc0 8.04014e+06 0.5; - Add0~3 0xc0 4.52258e+06 0.25; - Add0~4 0xc0 7.28637e+06 0.5; - Add0~5 0xc0 2.13566e+06 0.875; - Add0~6 0xc0 7.34919e+06 0.5; - Add0~7 0xc0 6.81527e+06 0.0625; - Add0~8 0xc0 1.05056e+07 0.5; - Add0~9 0xc0 1.76663e+06 0.96875; - Add0~10 0xc0 8.43665e+06 0.5; - Add0~11 0xc0 7.99499e+06 0.015625; - Add0~12 0xc0 1.17903e+07 0.5; - Add1~0 0xc0 8.04014e+06 0.5; - Add1~1 0xc0 8.04014e+06 0.5; - Add1~2 0xc0 8.04014e+06 0.5; - Add1~3 0xc0 4.52258e+06 0.25; - Add1~4 0xc0 7.28637e+06 0.5; - Add1~5 0xc0 2.13566e+06 0.875; - Add1~6 0xc0 7.34919e+06 0.5; - Add1~7 0xc0 6.81527e+06 0.0625; - Add1~8 0xc0 1.05056e+07 0.5; - Add1~9 0xc0 1.76663e+06 0.96875; - Add1~10 0xc0 8.43665e+06 0.5; - Add1~11 0xc0 7.99499e+06 0.015625; - Add1~12 0xc0 1.17903e+07 0.5; - Add1~14 0xc0 1.08528e+07 0.5; - Add1~15 0xc0 6.68252e+06 0.5; - Add1~16 0xc0 7.5044e+06 0.5; - Add1~17 0xc0 6.27722e+06 0.5; - Add1~18 0xc0 7.47481e+06 0.5; - Add1~19 0xc0 6.53261e+06 0.5; - Add1~20 0xc0 5.02509e+06 0.5; - Add2~0 0xc0 4.25758e+06 0.4375; - Add3~0 0xc0 8.04014e+06 0.5; - Add3~1 0xc0 8.04014e+06 0.5; - Add3~2 0xc0 8.04014e+06 0.5; - Add3~3 0xc0 4.52258e+06 0.25; - Add3~4 0xc0 7.28637e+06 0.5; - Add3~5 0xc0 2.13566e+06 0.875; - Add3~6 0xc0 7.34919e+06 0.5; - Add3~7 0xc0 6.81527e+06 0.0625; - Add3~8 0xc0 1.05056e+07 0.5; - Add3~9 0xc0 1.76663e+06 0.96875; - Add3~10 0xc0 8.43665e+06 0.5; - Add3~11 0xc0 7.99499e+06 0.015625; - Add3~12 0xc0 1.17903e+07 0.5; - Add3~13 0xc0 9.79156e+06 0.492188; - Add3~14 0xc0 8.91683e+06 0.5; - Add3~15 0xc0 6.34331e+06 0.753906; - Add3~16 0xc0 8.22839e+06 0.5; - Add6~0 0xc0 8.04014e+06 0.5; - Add6~1 0xc0 8.04014e+06 0.5; - Add6~2 0xc0 8.04014e+06 0.5; - Add6~2_wirecell 0xc0 8.04014e+06 0.5; - Add6~3 0xc0 4.52258e+06 0.25; - Add6~4 0xc0 7.28637e+06 0.5; - Add6~4_wirecell 0xc0 7.28637e+06 0.5; - Add6~5 0xc0 2.13566e+06 0.875; - Add6~6 0xc0 7.34919e+06 0.5; - Add6~6_wirecell 0xc0 7.34919e+06 0.5; - Add6~7 0xc0 6.81527e+06 0.0625; - Add6~8 0xc0 1.05056e+07 0.5; - Add6~8_wirecell 0xc0 1.05056e+07 0.5; - Add6~9 0xc0 1.76663e+06 0.96875; - Add6~10 0xc0 8.43665e+06 0.5; - Add6~10_wirecell 0xc0 8.43665e+06 0.5; - Add6~11 0xc0 7.99499e+06 0.015625; - Add6~12 0xc0 1.17903e+07 0.5; - Add13~1 0xc0 8.04014e+06 0.5; - Add13~2 0xc0 8.04014e+06 0.5; - Add13~2_wirecell 0xc0 8.04014e+06 0.5; - Add13~3 0xc0 4.52258e+06 0.25; - Add13~4 0xc0 7.28637e+06 0.5; - Add13~4_wirecell 0xc0 7.28637e+06 0.5; - Add13~5 0xc0 2.13566e+06 0.875; - Add13~6 0xc0 7.34919e+06 0.5; - Add13~6_wirecell 0xc0 7.34919e+06 0.5; - Add13~7 0xc0 6.81527e+06 0.0625; - Add13~8 0xc0 1.05056e+07 0.5; - Add13~8_wirecell 0xc0 1.05056e+07 0.5; - Add13~9 0xc0 1.76663e+06 0.96875; - Add13~10 0xc0 8.43665e+06 0.5; - Add13~10_wirecell 0xc0 8.43665e+06 0.5; - Add13~11 0xc0 7.99499e+06 0.015625; - Add13~12 0xc0 1.17903e+07 0.5; - Add13~13 0xc0 9.79156e+06 0.492188; - Add13~14 0xc0 8.91683e+06 0.5; - Add13~15 0xc0 6.34331e+06 0.753906; - Add13~16 0xc0 8.22839e+06 0.5; - dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13; - delay_signals[0][0] 0xc0 8.04014e+06 0.5; - delay_signals[0][0]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[1][0] 0xc0 8.04014e+06 0.5; - delay_signals[1][0]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[2][0] 0xc0 8.04014e+06 0.5; - delay_signals[2][0]~feeder 0xc0 4.52258e+06 0.25; - dspba_delay:d_u0_m0_wo0_compute_q_14; - delay_signals[0][0] 0xc0 8.04014e+06 0.5; - delay_signals[0][0]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[1][0] 0xc0 8.04014e+06 0.5; - delay_signals[1][0]~feeder 0xc0 8.04014e+06 0.5; - dspba_delay:d_u0_m0_wo0_compute_q_15; - delay_signals[0][0] 0xc0 8.04014e+06 0.5; - delay_signals[0][0]~feeder 0xc0 8.04014e+06 0.5; - dspba_delay:d_xIn_0_13; - delay_signals[0][0] 0xc0 8.04014e+06 0.5; - delay_signals[0][1] 0xc0 8.04014e+06 0.5; - delay_signals[0][1]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[0][2] 0xc0 8.04014e+06 0.5; - delay_signals[0][2]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[0][3] 0xc0 8.04014e+06 0.5; - delay_signals[0][4] 0xc0 8.04014e+06 0.5; - delay_signals[0][5] 0xc0 8.04014e+06 0.5; - delay_signals[0][5]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[0][6] 0xc0 8.04014e+06 0.5; - delay_signals[0][7] 0xc0 8.04014e+06 0.5; - delay_signals[0][7]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[0][8] 0xc0 8.04014e+06 0.5; - delay_signals[0][9] 0xc0 8.04014e+06 0.5; - delay_signals[0][10] 0xc0 8.04014e+06 0.5; - delay_signals[0][10]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[0][11] 0xc0 8.04014e+06 0.5; - delay_signals[0][12] 0xc0 8.04014e+06 0.5; - delay_signals[0][13] 0xc0 8.04014e+06 0.5; - delay_signals[0][13]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[0][14] 0xc0 8.04014e+06 0.5; - delay_signals[0][14]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[0][15] 0xc0 8.04014e+06 0.5; - delay_signals[1][0] 0xc0 8.04014e+06 0.5; - delay_signals[1][0]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[1][1] 0xc0 8.04014e+06 0.5; - delay_signals[1][1]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[1][2] 0xc0 8.04014e+06 0.5; - delay_signals[1][2]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[1][3] 0xc0 8.04014e+06 0.5; - delay_signals[1][3]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[1][4] 0xc0 8.04014e+06 0.5; - delay_signals[1][4]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[1][5] 0xc0 8.04014e+06 0.5; - delay_signals[1][6] 0xc0 8.04014e+06 0.5; - delay_signals[1][6]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[1][7] 0xc0 8.04014e+06 0.5; - delay_signals[1][7]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[1][8] 0xc0 8.04014e+06 0.5; - delay_signals[1][9] 0xc0 8.04014e+06 0.5; - delay_signals[1][10] 0xc0 8.04014e+06 0.5; - delay_signals[1][11] 0xc0 8.04014e+06 0.5; - delay_signals[1][12] 0xc0 8.04014e+06 0.5; - delay_signals[1][12]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[1][13] 0xc0 8.04014e+06 0.5; - delay_signals[1][13]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[1][14] 0xc0 8.04014e+06 0.5; - delay_signals[1][14]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[1][15] 0xc0 8.04014e+06 0.5; - delay_signals[1][15]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[2][0] 0xc0 8.04014e+06 0.5; - delay_signals[2][1] 0xc0 8.04014e+06 0.5; - delay_signals[2][2] 0xc0 8.04014e+06 0.5; - delay_signals[2][3] 0xc0 8.04014e+06 0.5; - delay_signals[2][4] 0xc0 8.04014e+06 0.5; - delay_signals[2][5] 0xc0 8.04014e+06 0.5; - delay_signals[2][6] 0xc0 8.04014e+06 0.5; - delay_signals[2][7] 0xc0 8.04014e+06 0.5; - delay_signals[2][8] 0xc0 8.04014e+06 0.5; - delay_signals[2][9] 0xc0 8.04014e+06 0.5; - delay_signals[2][10] 0xc0 8.04014e+06 0.5; - delay_signals[2][11] 0xc0 8.04014e+06 0.5; - delay_signals[2][12] 0xc0 8.04014e+06 0.5; - delay_signals[2][13] 0xc0 8.04014e+06 0.5; - delay_signals[2][14] 0xc0 8.04014e+06 0.5; - delay_signals[2][15] 0xc0 8.04014e+06 0.5; - Equal0~0 0xc0 1.60175e+06 0.0625; - Equal0~1 0xc0 989314 0.0625; - Equal0~2 0xc0 11342.4 0.00195313; - Equal1~0 0xc0 724319 0.0625; - Equal1~1 0xc0 724319 0.0625; - Equal1~2 0xc0 70125.4 0.00195313; - Mux2~0 0xc0 1.80144e+06 0.125; - Mux2~1 0xc0 9.2153e+06 0.28125; - Mux3~0 0xc0 3.02094e+06 0.4375; - Mux3~1 0xc0 3.02094e+06 0.4375; - Mux3~2 0xc0 1.23137e+07 0.40625; - Mux3~3 0xc0 6.58437e+06 0.382813; - Mux4~0 0xc0 3.03468e+06 0.5; - Mux4~1 0xc0 3.84733e+06 0.5; - Mux4~2 0xc0 1.12404e+07 0.390625; - Mux4~3 0xc0 8.69616e+06 0.359863; - Mux5~0 0xc0 2.95616e+06 0.625; - Mux5~1 0xc0 2.95616e+06 0.625; - Mux5~2 0xc0 1.38556e+07 0.359375; - Mux5~3 0xc0 5.79283e+06 0.311035; - Mux6~0 0xc0 2.99346e+06 0.3125; - Mux6~1 0xc0 2.82857e+06 0.6875; - Mux6~2 0xc0 3.96013e+06 0.4375; - Mux6~3 0xc0 5.47419e+06 0.425781; - Mux7~0 0xc0 3.706e+06 0.5; - Mux7~1 0xc0 3.15246e+06 0.5; - Mux7~2 0xc0 8.70681e+06 0.390625; - Mux7~3 0xc0 1.35474e+07 0.359863; - Mux8~0 0xc0 4.1771e+06 0.5; - Mux8~1 0xc0 4.6482e+06 0.5; - Mux8~2 0xc0 5.42206e+06 0.40625; - Mux8~3 0xc0 6.26648e+06 0.376953; - Mux9~0 0xc0 3.61178e+06 0.3125; - Mux9~1 0xc0 2.7108e+06 0.6875; - Mux9~2 0xc0 724319 0.0625; - Mux9~3 0xc0 1.51021e+07 0.34375; - Mux9~4 0xc0 989314 0.0625; - Mux9~5 0xc0 1.11043e+07 0.285156; - Mux9~6 0xc0 1.91581e+06 0.125; - Mux9~7 0xc0 2.7638e+06 0.125; - u0_m0_wo0_accum_o[0] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[0]~30 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[0]~31 0xc0 4.52258e+06 0.25; - u0_m0_wo0_accum_o[1] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[1]~32 0xc0 6.78387e+06 0.5; - u0_m0_wo0_accum_o[1]~33 0xc0 3.74526e+06 0.625; - u0_m0_wo0_accum_o[2] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[2]~34 0xc0 5.74155e+06 0.5; - u0_m0_wo0_accum_o[2]~35 0xc0 6.671e+06 0.4375; - u0_m0_wo0_accum_o[3] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[3]~36 0xc0 6.26099e+06 0.5; - u0_m0_wo0_accum_o[3]~37 0xc0 5.11697e+06 0.53125; - u0_m0_wo0_accum_o[4] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[4]~38 0xc0 5.81949e+06 0.5; - u0_m0_wo0_accum_o[4]~39 0xc0 5.71476e+06 0.484375; - u0_m0_wo0_accum_o[5] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[5]~40 0xc0 5.95568e+06 0.5; - u0_m0_wo0_accum_o[5]~41 0xc0 5.35151e+06 0.507813; - u0_m0_wo0_accum_o[6] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[6]~42 0xc0 5.86156e+06 0.5; - u0_m0_wo0_accum_o[6]~43 0xc0 5.5146e+06 0.496094; - u0_m0_wo0_accum_o[7] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[7]~44 0xc0 5.9015e+06 0.5; - u0_m0_wo0_accum_o[7]~45 0xc0 5.42812e+06 0.501953; - u0_m0_wo0_accum_o[8] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[8]~46 0xc0 5.87967e+06 0.5; - u0_m0_wo0_accum_o[8]~47 0xc0 5.47008e+06 0.499023; - u0_m0_wo0_accum_o[9] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[9]~48 0xc0 5.89012e+06 0.5; - u0_m0_wo0_accum_o[9]~49 0xc0 5.44878e+06 0.500488; - u0_m0_wo0_accum_o[10] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[10]~50 0xc0 5.88478e+06 0.5; - u0_m0_wo0_accum_o[10]~51 0xc0 5.45935e+06 0.499756; - u0_m0_wo0_accum_o[11] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[11]~52 0xc0 5.88741e+06 0.5; - u0_m0_wo0_accum_o[11]~53 0xc0 5.45404e+06 0.500122; - u0_m0_wo0_accum_o[12] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[12]~54 0xc0 5.88609e+06 0.5; - u0_m0_wo0_accum_o[12]~55 0xc0 5.45669e+06 0.499939; - u0_m0_wo0_accum_o[13] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[13]~56 0xc0 5.88675e+06 0.5; - u0_m0_wo0_accum_o[13]~57 0xc0 5.45537e+06 0.500031; - u0_m0_wo0_accum_o[14] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[14]~58 0xc0 5.88642e+06 0.5; - u0_m0_wo0_accum_o[14]~59 0xc0 5.45603e+06 0.499985; - u0_m0_wo0_accum_o[15] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[15]~60 0xc0 5.88658e+06 0.5; - u0_m0_wo0_accum_o[15]~61 0xc0 5.4557e+06 0.500008; - u0_m0_wo0_accum_o[16] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[16]~62 0xc0 5.8865e+06 0.5; - u0_m0_wo0_accum_o[16]~63 0xc0 5.45586e+06 0.499996; - u0_m0_wo0_accum_o[17] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[17]~64 0xc0 5.88654e+06 0.5; - u0_m0_wo0_accum_o[17]~65 0xc0 5.45578e+06 0.500002; - u0_m0_wo0_accum_o[18] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[18]~66 0xc0 5.88652e+06 0.5; - u0_m0_wo0_accum_o[18]~67 0xc0 5.45582e+06 0.499999; - u0_m0_wo0_accum_o[19] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[19]~68 0xc0 5.88653e+06 0.5; - u0_m0_wo0_accum_o[19]~69 0xc0 5.4558e+06 0.5; - u0_m0_wo0_accum_o[20] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[20]~70 0xc0 5.88653e+06 0.5; - u0_m0_wo0_accum_o[20]~71 0xc0 5.45581e+06 0.5; - u0_m0_wo0_accum_o[21] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[21]~72 0xc0 5.88653e+06 0.5; - u0_m0_wo0_accum_o[21]~73 0xc0 5.45581e+06 0.5; - u0_m0_wo0_accum_o[22] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[22]~74 0xc0 5.88653e+06 0.5; - u0_m0_wo0_accum_o[22]~75 0xc0 5.45581e+06 0.5; - u0_m0_wo0_accum_o[23] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[23]~76 0xc0 7.39406e+06 0.5; - u0_m0_wo0_accum_o[23]~77 0xc0 7.7171e+06 0.5; - u0_m0_wo0_accum_o[24] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[24]~78 0xc0 7.95938e+06 0.5; - u0_m0_wo0_accum_o[24]~79 0xc0 7.99976e+06 0.5; - u0_m0_wo0_accum_o[25] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[25]~80 0xc0 8.03004e+06 0.5; - u0_m0_wo0_accum_o[25]~81 0xc0 8.03509e+06 0.5; - u0_m0_wo0_accum_o[26] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[26]~82 0xc0 8.03887e+06 0.5; - u0_m0_wo0_accum_o[26]~83 0xc0 8.03951e+06 0.5; - u0_m0_wo0_accum_o[27] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[27]~84 0xc0 8.03998e+06 0.5; - u0_m0_wo0_accum_o[27]~85 0xc0 8.04006e+06 0.5; - u0_m0_wo0_accum_o[28] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[28]~86 0xc0 8.04012e+06 0.5; - u0_m0_wo0_accum_o[28]~87 0xc0 8.04013e+06 0.5; - u0_m0_wo0_accum_o[29] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_accum_o[29]~88 0xc0 8.04013e+06 0.5; - u0_m0_wo0_aseq_eq 0xc0 8.04014e+06 0.5; - u0_m0_wo0_ca0_i[0] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_ca0_i[0]~5 0xc0 8.04014e+06 0.5; - u0_m0_wo0_ca0_i[1] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_ca0_i[1]~6 0xc0 8.04014e+06 0.5; - u0_m0_wo0_ca0_i[1]~7 0xc0 4.52258e+06 0.25; - u0_m0_wo0_ca0_i[2] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_ca0_i[2]~8 0xc0 7.28637e+06 0.5; - u0_m0_wo0_ca0_i[2]~9 0xc0 1.91581e+06 0.875; - u0_m0_wo0_ca0_i[3] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_ca0_i[3]~10 0xc0 7.23926e+06 0.5; - u0_m0_wo0_ca0_i[3]~11 0xc0 6.76031e+06 0.0625; - u0_m0_wo0_ca0_i[4] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_ca0_i[4]~12 0xc0 1.04781e+07 0.5; - u0_m0_wo0_ca0_i[4]~13 0xc0 1.75289e+06 0.96875; - u0_m0_wo0_ca0_i[5] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_ca0_i[5]~14 0xc0 8.42978e+06 0.5; - u0_m0_wo0_cm0_q[0] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_cm0_q[1] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_cm0_q[2] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_cm0_q[3] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_cm0_q[4] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_cm0_q[5] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_cm0_q[6] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_cm0_q[7] 0xc0 8.04014e+06 0.5; - dspba_delay:u0_m0_wo0_compute; - delay_signals[0][0] 0xc0 8.04014e+06 0.5; - delay_signals[0][0]~feeder 0xc0 8.04014e+06 0.5; - delay_signals[1][0] 0xc0 8.04014e+06 0.5; - delay_signals[1][0]~feeder 0xc0 8.04014e+06 0.5; - dspba_delay:u0_m0_wo0_memread; - delay_signals[0][0] 0xc0 8.04014e+06 0.5; - lpm_mult:u0_m0_wo0_mtree_mult1_0_component; - mult_ncu:auto_generated; - mac_mult1 0xc0 3.21605e+07 0.5; - mac_mult1~12 0xc0 0 0; - mac_mult1~13 0xc0 0 0; - mac_mult1~14 0xc0 0 0; - mac_mult1~15 0xc0 0 0; - mac_mult1~16 0xc0 0 0; - mac_mult1~17 0xc0 0 0; - mac_mult1~18 0xc0 0 0; - mac_mult1~19 0xc0 0 0; - mac_mult1~20 0xc0 0 0; - mac_mult1~21 0xc0 0 0; - mac_mult1~22 0xc0 0 0; - mac_mult1~23 0xc0 0 0; - mac_mult1~DATAOUT1 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT2 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT3 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT4 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT5 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT6 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT7 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT8 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT9 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT10 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT11 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT12 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT13 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT14 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT15 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT16 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT17 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT18 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT19 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT20 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT21 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT22 0xc0 3.21605e+07 0.5; - mac_mult1~DATAOUT23 0xc0 3.21605e+07 0.5; - result[0] 0xc0 8.04014e+06 0.5; - result[1] 0xc0 8.04014e+06 0.5; - result[2] 0xc0 8.04014e+06 0.5; - result[3] 0xc0 8.04014e+06 0.5; - result[4] 0xc0 8.04014e+06 0.5; - result[5] 0xc0 8.04014e+06 0.5; - result[6] 0xc0 8.04014e+06 0.5; - result[7] 0xc0 8.04014e+06 0.5; - result[8] 0xc0 8.04014e+06 0.5; - result[9] 0xc0 8.04014e+06 0.5; - result[10] 0xc0 8.04014e+06 0.5; - result[11] 0xc0 8.04014e+06 0.5; - result[12] 0xc0 8.04014e+06 0.5; - result[13] 0xc0 8.04014e+06 0.5; - result[14] 0xc0 8.04014e+06 0.5; - result[15] 0xc0 8.04014e+06 0.5; - result[16] 0xc0 8.04014e+06 0.5; - result[17] 0xc0 8.04014e+06 0.5; - result[18] 0xc0 8.04014e+06 0.5; - result[19] 0xc0 8.04014e+06 0.5; - result[20] 0xc0 8.04014e+06 0.5; - result[21] 0xc0 8.04014e+06 0.5; - result[22] 0xc0 8.04014e+06 0.5; - result[23] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_oseq_eq 0xc0 8.04014e+06 0.5; - u0_m0_wo0_oseq_gated_q[0] 0xc0 6.0301e+06 0.25; - u0_m0_wo0_oseq_gated_reg_q[0] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_run_count[0] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_run_count[0]~0 0xc0 5.17819e+06 0.5; - u0_m0_wo0_run_count[1] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_run_enableQ[0] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_run_enableQ~0 0xc0 5.21708e+06 0.25; - u0_m0_wo0_run_q[0] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_run_q[0]~feeder 0xc0 8.04014e+06 0.5; - altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem; - altsyncram_0mn3:auto_generated; - q_b[0] 0xc0 8.04014e+06 0.5; - q_b[1] 0xc0 8.04014e+06 0.5; - q_b[2] 0xc0 8.04014e+06 0.5; - q_b[3] 0xc0 8.04014e+06 0.5; - q_b[4] 0xc0 8.04014e+06 0.5; - q_b[5] 0xc0 8.04014e+06 0.5; - q_b[6] 0xc0 8.04014e+06 0.5; - q_b[7] 0xc0 8.04014e+06 0.5; - q_b[8] 0xc0 8.04014e+06 0.5; - q_b[9] 0xc0 8.04014e+06 0.5; - q_b[10] 0xc0 8.04014e+06 0.5; - q_b[11] 0xc0 8.04014e+06 0.5; - q_b[12] 0xc0 8.04014e+06 0.5; - q_b[13] 0xc0 8.04014e+06 0.5; - q_b[14] 0xc0 8.04014e+06 0.5; - q_b[15] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0]~6 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0]~7 0xc0 4.52258e+06 0.25; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1]~8 0xc0 6.78387e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1]~9 0xc0 3.74526e+06 0.625; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2]~10 0xc0 5.74155e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2]~11 0xc0 6.671e+06 0.4375; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3]~12 0xc0 6.26099e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3]~13 0xc0 5.11697e+06 0.53125; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4]~14 0xc0 5.81949e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4]~15 0xc0 5.71476e+06 0.484375; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5]~16 0xc0 7.46468e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_clkproc~0 0xc0 4.52258e+06 0.25; - u0_m0_wo0_wi0_r0_ra0_count0_i[0] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[0]~5 0xc0 4.83664e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[1] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[1]~6 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[1]~7 0xc0 4.52258e+06 0.25; - u0_m0_wo0_wi0_r0_ra0_count0_i[2] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[2]~8 0xc0 7.28637e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[2]~9 0xc0 1.91581e+06 0.875; - u0_m0_wo0_wi0_r0_ra0_count0_i[3] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[3]~10 0xc0 7.23926e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[3]~11 0xc0 6.76031e+06 0.0625; - u0_m0_wo0_wi0_r0_ra0_count0_i[4] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[4]~12 0xc0 1.04781e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[4]~13 0xc0 1.75289e+06 0.96875; - u0_m0_wo0_wi0_r0_ra0_count0_i[5] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[5]~14 0xc0 8.42978e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0]~7 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0]~8 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1]~9 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1]~10 0xc0 4.52258e+06 0.25; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2]~11 0xc0 7.28637e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2]~12 0xc0 2.13566e+06 0.875; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3]~13 0xc0 7.34919e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3]~14 0xc0 6.81527e+06 0.0625; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4]~15 0xc0 1.05056e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4]~16 0xc0 1.76663e+06 0.96875; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5]~17 0xc0 8.43665e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5]~18 0xc0 7.99499e+06 0.015625; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6]~19 0xc0 1.17903e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[0] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[0]~5 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[1] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[1]~6 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[1]~7 0xc0 4.52258e+06 0.25; - u0_m0_wo0_wi0_r0_ra0_count1_i[2] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[2]~8 0xc0 7.28637e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[2]~9 0xc0 1.91581e+06 0.875; - u0_m0_wo0_wi0_r0_ra0_count1_i[3] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[3]~10 0xc0 7.23926e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[3]~11 0xc0 6.76031e+06 0.0625; - u0_m0_wo0_wi0_r0_ra0_count1_i[4] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[4]~12 0xc0 1.04781e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[4]~13 0xc0 1.75289e+06 0.96875; - u0_m0_wo0_wi0_r0_ra0_count1_i[5] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[5]~14 0xc0 8.42978e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[0] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[0]~5 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[0]~_wirecell 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[1] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[1]~6 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[1]~7 0xc0 4.52258e+06 0.25; - u0_m0_wo0_wi0_r0_wa0_i[1]~_wirecell 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[2] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[2]~8 0xc0 7.28637e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[2]~9 0xc0 1.91581e+06 0.875; - u0_m0_wo0_wi0_r0_wa0_i[2]~_wirecell 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[3] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[3]~10 0xc0 7.23926e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[3]~11 0xc0 6.76031e+06 0.0625; - u0_m0_wo0_wi0_r0_wa0_i[3]~_wirecell 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[4] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[4]~12 0xc0 1.04781e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[4]~13 0xc0 1.75289e+06 0.96875; - u0_m0_wo0_wi0_r0_wa0_i[4]~_wirecell 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[5] 0xc0 8.04014e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[5]~14 0xc0 8.42978e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[5]~_wirecell 0xc0 8.04014e+06 0.5; - auk_dspip_avalon_streaming_sink_hpfir:sink; - auk_dspip_avalon_streaming_source_hpfir:source; - data_out[0] 0xc0 8.04014e+06 0.5; - data_out[1] 0xc0 8.04014e+06 0.5; - data_out[2] 0xc0 8.04014e+06 0.5; - data_out[3] 0xc0 8.04014e+06 0.5; - data_out[4] 0xc0 8.04014e+06 0.5; - data_out[5] 0xc0 8.04014e+06 0.5; - data_out[5]~feeder 0xc0 8.04014e+06 0.5; - data_out[6] 0xc0 8.04014e+06 0.5; - data_out[7] 0xc0 8.04014e+06 0.5; - data_out[8] 0xc0 8.04014e+06 0.5; - data_out[9] 0xc0 8.04014e+06 0.5; - data_out[10] 0xc0 8.04014e+06 0.5; - data_out[11] 0xc0 8.04014e+06 0.5; - data_out[12] 0xc0 8.04014e+06 0.5; - data_out[13] 0xc0 8.04014e+06 0.5; - data_out[14] 0xc0 8.04014e+06 0.5; - data_out[14]~feeder 0xc0 8.04014e+06 0.5; - data_out[15] 0xc0 8.04014e+06 0.5; - data_out[16] 0xc0 8.04014e+06 0.5; - data_out[17] 0xc0 8.04014e+06 0.5; - data_out[18] 0xc0 8.04014e+06 0.5; - data_out[19] 0xc0 8.04014e+06 0.5; - data_out[20] 0xc0 8.04014e+06 0.5; - data_out[21] 0xc0 8.04014e+06 0.5; - data_out[22] 0xc0 8.04014e+06 0.5; - data_out[23] 0xc0 8.04014e+06 0.5; - data_out[24] 0xc0 8.04014e+06 0.5; - data_out[25] 0xc0 8.04014e+06 0.5; - data_out[26] 0xc0 8.04014e+06 0.5; - data_out[27] 0xc0 8.04014e+06 0.5; - data_out[28] 0xc0 8.04014e+06 0.5; - data_out[28]~feeder 0xc0 8.04014e+06 0.5; - data_out[29] 0xc0 8.04014e+06 0.5; - data_valid 0xc0 8.04014e+06 0.5; - mixer:RX_MIXER_I; - lpm_mult:lpm_mult_component; - mult_jnp:auto_generated; - mac_mult1 0xc0 2.01003e+06 0.5; - mac_mult1~0 0xc0 0 0; - mac_mult1~1 0xc0 0 0; - mac_mult1~2 0xc0 0 0; - mac_mult1~3 0xc0 0 0; - mac_mult1~4 0xc0 0 0; - mac_mult1~5 0xc0 0 0; - mac_mult1~6 0xc0 0 0; - mac_mult1~7 0xc0 0 0; - mac_mult1~8 0xc0 0 0; - mac_mult1~9 0xc0 0 0; - mac_mult1~10 0xc0 0 0; - mac_mult1~11 0xc0 0 0; - mac_mult1~DATAOUT1 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT2 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT3 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT4 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT5 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT6 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT7 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT8 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT9 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT10 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT11 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT12 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT13 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT14 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT15 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT16 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT17 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT18 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT19 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT20 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT21 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT22 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT23 0xc0 2.01003e+06 0.5; - result[0] 0xc0 8.04014e+06 0.5; - result[1] 0xc0 8.04014e+06 0.5; - result[2] 0xc0 8.04014e+06 0.5; - result[3] 0xc0 8.04014e+06 0.5; - result[4] 0xc0 8.04014e+06 0.5; - result[5] 0xc0 8.04014e+06 0.5; - result[6] 0xc0 8.04014e+06 0.5; - result[7] 0xc0 8.04014e+06 0.5; - result[8] 0xc0 8.04014e+06 0.5; - result[9] 0xc0 8.04014e+06 0.5; - result[10] 0xc0 8.04014e+06 0.5; - result[11] 0xc0 8.04014e+06 0.5; - result[12] 0xc0 8.04014e+06 0.5; - result[13] 0xc0 8.04014e+06 0.5; - result[14] 0xc0 8.04014e+06 0.5; - result[15] 0xc0 8.04014e+06 0.5; - result[16] 0xc0 8.04014e+06 0.5; - result[17] 0xc0 8.04014e+06 0.5; - result[18] 0xc0 8.04014e+06 0.5; - result[19] 0xc0 8.04014e+06 0.5; - result[20] 0xc0 8.04014e+06 0.5; - result[21] 0xc0 8.04014e+06 0.5; - result[22] 0xc0 8.04014e+06 0.5; - mixer:RX_MIXER_Q; - lpm_mult:lpm_mult_component; - mult_jnp:auto_generated; - mac_mult1 0xc0 2.01003e+06 0.5; - mac_mult1~0 0xc0 0 0; - mac_mult1~1 0xc0 0 0; - mac_mult1~2 0xc0 0 0; - mac_mult1~3 0xc0 0 0; - mac_mult1~4 0xc0 0 0; - mac_mult1~5 0xc0 0 0; - mac_mult1~6 0xc0 0 0; - mac_mult1~7 0xc0 0 0; - mac_mult1~8 0xc0 0 0; - mac_mult1~9 0xc0 0 0; - mac_mult1~10 0xc0 0 0; - mac_mult1~11 0xc0 0 0; - mac_mult1~DATAOUT1 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT2 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT3 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT4 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT5 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT6 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT7 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT8 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT9 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT10 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT11 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT12 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT13 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT14 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT15 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT16 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT17 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT18 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT19 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT20 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT21 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT22 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT23 0xc0 2.01003e+06 0.5; - result[0] 0xc0 8.04014e+06 0.5; - result[1] 0xc0 8.04014e+06 0.5; - result[2] 0xc0 8.04014e+06 0.5; - result[3] 0xc0 8.04014e+06 0.5; - result[4] 0xc0 8.04014e+06 0.5; - result[5] 0xc0 8.04014e+06 0.5; - result[6] 0xc0 8.04014e+06 0.5; - result[7] 0xc0 8.04014e+06 0.5; - result[8] 0xc0 8.04014e+06 0.5; - result[9] 0xc0 8.04014e+06 0.5; - result[10] 0xc0 8.04014e+06 0.5; - result[11] 0xc0 8.04014e+06 0.5; - result[12] 0xc0 8.04014e+06 0.5; - result[13] 0xc0 8.04014e+06 0.5; - result[14] 0xc0 8.04014e+06 0.5; - result[15] 0xc0 8.04014e+06 0.5; - result[16] 0xc0 8.04014e+06 0.5; - result[17] 0xc0 8.04014e+06 0.5; - result[18] 0xc0 8.04014e+06 0.5; - result[19] 0xc0 8.04014e+06 0.5; - result[20] 0xc0 8.04014e+06 0.5; - result[21] 0xc0 8.04014e+06 0.5; - result[22] 0xc0 8.04014e+06 0.5; - nco:RX_NCO; - nco_nco_ii_0:nco_ii_0; - asj_nco_mob_w:blk0; - Equal0~0 0xc0 724319 0.9375; - Equal0~1 0xc0 724319 0.9375; - Equal0~2 0xc0 724319 0.9375; - Equal0~3 0xc0 31.6908 0.999878; - add_one 0xc0 4.52258e+06 0.25; - data_tmp[0] 0xc0 8.04014e+06 0.5; - data_tmp[1] 0xc0 8.04014e+06 0.5; - data_tmp[2] 0xc0 8.04014e+06 0.5; - data_tmp[3] 0xc0 8.04014e+06 0.5; - data_tmp[4] 0xc0 8.04014e+06 0.5; - data_tmp[5] 0xc0 8.04014e+06 0.5; - data_tmp[6] 0xc0 8.04014e+06 0.5; - data_tmp[7] 0xc0 8.04014e+06 0.5; - data_tmp[8] 0xc0 8.04014e+06 0.5; - data_tmp[9] 0xc0 8.04014e+06 0.5; - data_tmp[10] 0xc0 8.04014e+06 0.5; - data_tmp[11] 0xc0 8.04014e+06 0.5; - data_tmp~0 0xc0 4.08288e+06 0.5; - data_tmp~1 0xc0 4.08288e+06 0.5; - data_tmp~2 0xc0 4.08288e+06 0.5; - data_tmp~3 0xc0 4.08288e+06 0.5; - data_tmp~4 0xc0 4.08288e+06 0.5; - data_tmp~5 0xc0 4.08288e+06 0.5; - data_tmp~6 0xc0 4.08288e+06 0.5; - data_tmp~7 0xc0 4.08288e+06 0.5; - data_tmp~8 0xc0 4.08288e+06 0.5; - data_tmp~9 0xc0 4.08288e+06 0.5; - data_tmp~10 0xc0 4.08288e+06 0.5; - data_tmp~11 0xc0 4.08288e+06 0.5; - is_zero 0xc0 8.04014e+06 0.5; - is_zero~0 0xc0 4.52258e+06 0.75; - lpm_add_sub:lpm_add_sub_component; - add_sub_fpk:auto_generated; - pipeline_dffe[0] 0xc0 8.04014e+06 0.5; - pipeline_dffe[0]~12 0xc0 7.28637e+06 0.5; - pipeline_dffe[0]~13 0xc0 6.15573e+06 0.125; - pipeline_dffe[1] 0xc0 8.04014e+06 0.5; - pipeline_dffe[1]~14 0xc0 9.35922e+06 0.5; - pipeline_dffe[1]~15 0xc0 1.79019e+06 0.9375; - pipeline_dffe[2] 0xc0 8.04014e+06 0.5; - pipeline_dffe[2]~16 0xc0 7.99303e+06 0.5; - pipeline_dffe[2]~17 0xc0 7.54548e+06 0.03125; - pipeline_dffe[3] 0xc0 8.04014e+06 0.5; - pipeline_dffe[3]~18 0xc0 1.13261e+07 0.5; - pipeline_dffe[3]~19 0xc0 1.90207e+06 0.984375; - pipeline_dffe[4] 0xc0 8.04014e+06 0.5; - pipeline_dffe[4]~20 0xc0 8.74385e+06 0.5; - pipeline_dffe[4]~21 0xc0 8.26833e+06 0.0078125; - pipeline_dffe[5] 0xc0 8.04014e+06 0.5; - pipeline_dffe[5]~22 0xc0 1.20497e+07 0.5; - pipeline_dffe[5]~23 0xc0 2.06806e+06 0.996094; - pipeline_dffe[6] 0xc0 8.04014e+06 0.5; - pipeline_dffe[6]~24 0xc0 9.0116e+06 0.5; - pipeline_dffe[6]~25 0xc0 8.49458e+06 0.00195313; - pipeline_dffe[7] 0xc0 8.04014e+06 0.5; - pipeline_dffe[7]~26 0xc0 1.22561e+07 0.5; - pipeline_dffe[7]~27 0xc0 2.12371e+06 0.999023; - pipeline_dffe[8] 0xc0 8.04014e+06 0.5; - pipeline_dffe[8]~28 0xc0 9.0863e+06 0.5; - pipeline_dffe[8]~29 0xc0 8.55538e+06 0.000488281; - pipeline_dffe[9] 0xc0 8.04014e+06 0.5; - pipeline_dffe[9]~30 0xc0 1.231e+07 0.5; - pipeline_dffe[9]~31 0xc0 2.13885e+06 0.999756; - pipeline_dffe[10] 0xc0 8.04014e+06 0.5; - pipeline_dffe[10]~32 0xc0 9.10564e+06 0.5; - pipeline_dffe[10]~33 0xc0 8.57092e+06 0.00012207; - pipeline_dffe[11] 0xc0 8.04014e+06 0.5; - pipeline_dffe[11]~34 0xc0 1.23236e+07 0.5; - asj_nco_mob_w:blk1; - Equal0~0 0xc0 724319 0.9375; - Equal0~1 0xc0 724319 0.9375; - Equal0~2 0xc0 724319 0.9375; - Equal0~3 0xc0 50.3628 0.999878; - add_one 0xc0 4.52258e+06 0.25; - data_tmp[0] 0xc0 8.04014e+06 0.5; - data_tmp[1] 0xc0 8.04014e+06 0.5; - data_tmp[2] 0xc0 8.04014e+06 0.5; - data_tmp[3] 0xc0 8.04014e+06 0.5; - data_tmp[4] 0xc0 8.04014e+06 0.5; - data_tmp[5] 0xc0 8.04014e+06 0.5; - data_tmp[6] 0xc0 8.04014e+06 0.5; - data_tmp[7] 0xc0 8.04014e+06 0.5; - data_tmp[8] 0xc0 8.04014e+06 0.5; - data_tmp[9] 0xc0 8.04014e+06 0.5; - data_tmp[10] 0xc0 8.04014e+06 0.5; - data_tmp[11] 0xc0 8.04014e+06 0.5; - data_tmp~0 0xc0 4.08288e+06 0.5; - data_tmp~1 0xc0 4.08288e+06 0.5; - data_tmp~2 0xc0 4.08288e+06 0.5; - data_tmp~3 0xc0 4.08288e+06 0.5; - data_tmp~4 0xc0 4.08288e+06 0.5; - data_tmp~5 0xc0 4.08288e+06 0.5; - data_tmp~6 0xc0 4.08288e+06 0.5; - data_tmp~7 0xc0 4.08288e+06 0.5; - data_tmp~8 0xc0 4.08288e+06 0.5; - data_tmp~9 0xc0 4.08288e+06 0.5; - data_tmp~10 0xc0 4.08288e+06 0.5; - data_tmp~11 0xc0 4.08288e+06 0.5; - is_zero 0xc0 8.04014e+06 0.5; - lpm_add_sub:lpm_add_sub_component; - add_sub_fpk:auto_generated; - pipeline_dffe[0] 0xc0 8.04014e+06 0.5; - pipeline_dffe[0]~12 0xc0 7.28637e+06 0.5; - pipeline_dffe[0]~13 0xc0 2.7638e+06 0.125; - pipeline_dffe[1] 0xc0 8.04014e+06 0.5; - pipeline_dffe[1]~14 0xc0 7.66326e+06 0.5; - pipeline_dffe[1]~15 0xc0 989314 0.9375; - pipeline_dffe[2] 0xc0 8.04014e+06 0.5; - pipeline_dffe[2]~16 0xc0 7.59259e+06 0.5; - pipeline_dffe[2]~17 0xc0 7.34526e+06 0.03125; - pipeline_dffe[3] 0xc0 8.04014e+06 0.5; - pipeline_dffe[3]~18 0xc0 1.1226e+07 0.5; - pipeline_dffe[3]~19 0xc0 1.85202e+06 0.984375; - pipeline_dffe[4] 0xc0 8.04014e+06 0.5; - pipeline_dffe[4]~20 0xc0 8.71882e+06 0.5; - pipeline_dffe[4]~21 0xc0 8.25581e+06 0.0078125; - pipeline_dffe[5] 0xc0 8.04014e+06 0.5; - pipeline_dffe[5]~22 0xc0 1.20434e+07 0.5; - pipeline_dffe[5]~23 0xc0 2.06493e+06 0.996094; - pipeline_dffe[6] 0xc0 8.04014e+06 0.5; - pipeline_dffe[6]~24 0xc0 9.01004e+06 0.5; - pipeline_dffe[6]~25 0xc0 8.4938e+06 0.00195313; - pipeline_dffe[7] 0xc0 8.04014e+06 0.5; - pipeline_dffe[7]~26 0xc0 1.22557e+07 0.5; - pipeline_dffe[7]~27 0xc0 2.12351e+06 0.999023; - pipeline_dffe[8] 0xc0 8.04014e+06 0.5; - pipeline_dffe[8]~28 0xc0 9.0862e+06 0.5; - pipeline_dffe[8]~29 0xc0 8.55533e+06 0.000488281; - pipeline_dffe[9] 0xc0 8.04014e+06 0.5; - pipeline_dffe[9]~30 0xc0 1.231e+07 0.5; - pipeline_dffe[9]~31 0xc0 2.13884e+06 0.999756; - pipeline_dffe[10] 0xc0 8.04014e+06 0.5; - pipeline_dffe[10]~32 0xc0 9.10563e+06 0.5; - pipeline_dffe[10]~33 0xc0 8.57092e+06 0.00012207; - pipeline_dffe[11] 0xc0 8.04014e+06 0.5; - pipeline_dffe[11]~34 0xc0 1.23236e+07 0.5; - asj_nco_mady_cen:m0; - lpm_mult:Mult0; - mult_t5t:auto_generated; - mac_mult1 0xc0 2.01003e+06 0.5; - mac_mult1~0 0xc0 0 0; - mac_mult1~1 0xc0 0 0; - mac_mult1~2 0xc0 0 0; - mac_mult1~3 0xc0 0 0; - mac_mult1~4 0xc0 0 0; - mac_mult1~5 0xc0 0 0; - mac_mult1~6 0xc0 0 0; - mac_mult1~7 0xc0 0 0; - mac_mult1~8 0xc0 0 0; - mac_mult1~9 0xc0 0 0; - mac_mult1~10 0xc0 0 0; - mac_mult1~11 0xc0 0 0; - mac_mult1~DATAOUT1 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT2 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT3 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT4 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT5 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT6 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT7 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT8 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT9 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT10 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT11 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT12 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT13 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT14 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT15 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT16 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT17 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT18 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT19 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT20 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT21 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT22 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT23 0xc0 2.01003e+06 0.5; - mac_out2 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT1 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT2 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT3 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT4 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT5 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT6 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT7 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT8 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT9 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT10 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT11 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT12 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT13 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT14 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT15 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT16 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT17 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT18 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT19 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT20 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT21 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT22 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT23 0xc0 2.01003e+06 0.5; - lpm_mult:Mult1; - mult_t5t:auto_generated; - mac_mult1 0xc0 2.01003e+06 0.5; - mac_mult1~0 0xc0 0 0; - mac_mult1~1 0xc0 0 0; - mac_mult1~2 0xc0 0 0; - mac_mult1~3 0xc0 0 0; - mac_mult1~4 0xc0 0 0; - mac_mult1~5 0xc0 0 0; - mac_mult1~6 0xc0 0 0; - mac_mult1~7 0xc0 0 0; - mac_mult1~8 0xc0 0 0; - mac_mult1~9 0xc0 0 0; - mac_mult1~10 0xc0 0 0; - mac_mult1~11 0xc0 0 0; - mac_mult1~DATAOUT1 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT2 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT3 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT4 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT5 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT6 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT7 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT8 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT9 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT10 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT11 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT12 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT13 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT14 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT15 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT16 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT17 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT18 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT19 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT20 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT21 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT22 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT23 0xc0 2.01003e+06 0.5; - mac_out2 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT1 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT2 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT3 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT4 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT5 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT6 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT7 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT8 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT9 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT10 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT11 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT12 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT13 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT14 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT15 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT16 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT17 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT18 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT19 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT20 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT21 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT22 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT23 0xc0 2.01003e+06 0.5; - out[11] 0xc0 8.04014e+06 0.5; - out[11]~14 0xc0 1.03642e+06 0.25; - out[11]~16 0xc0 794524 0.625; - out[11]~18 0xc0 1.47631e+06 0.4375; - out[11]~20 0xc0 1.12355e+06 0.53125; - out[11]~22 0xc0 1.26146e+06 0.484375; - out[11]~24 0xc0 1.17845e+06 0.507813; - out[11]~26 0xc0 1.21588e+06 0.496094; - out[11]~28 0xc0 1.19608e+06 0.501953; - out[11]~30 0xc0 1.2057e+06 0.499023; - out[11]~32 0xc0 1.20082e+06 0.500488; - out[11]~34 0xc0 1.20324e+06 0.499756; - out[11]~35 0xc0 1.33723e+06 0.5; - out[11]~36 0xc0 1.20203e+06 0.500122; - out[12] 0xc0 8.04014e+06 0.5; - out[12]~37 0xc0 1.33693e+06 0.5; - out[12]~38 0xc0 1.20263e+06 0.499939; - out[13] 0xc0 8.04014e+06 0.5; - out[13]~39 0xc0 1.33708e+06 0.5; - out[13]~40 0xc0 1.20233e+06 0.500031; - out[14] 0xc0 8.04014e+06 0.5; - out[14]~41 0xc0 1.33701e+06 0.5; - out[14]~42 0xc0 1.20248e+06 0.499985; - out[15] 0xc0 8.04014e+06 0.5; - out[15]~43 0xc0 1.33704e+06 0.5; - out[15]~44 0xc0 1.20241e+06 0.500008; - out[16] 0xc0 8.04014e+06 0.5; - out[16]~45 0xc0 1.33703e+06 0.5; - out[16]~46 0xc0 1.20244e+06 0.499996; - out[17] 0xc0 8.04014e+06 0.5; - out[17]~47 0xc0 1.33703e+06 0.5; - out[17]~48 0xc0 1.20242e+06 0.500002; - out[18] 0xc0 8.04014e+06 0.5; - out[18]~49 0xc0 1.33703e+06 0.5; - out[18]~50 0xc0 1.20243e+06 0.499999; - out[19] 0xc0 8.04014e+06 0.5; - out[19]~51 0xc0 1.33703e+06 0.5; - out[19]~52 0xc0 1.20243e+06 0.5; - out[20] 0xc0 8.04014e+06 0.5; - out[20]~53 0xc0 1.33703e+06 0.5; - out[20]~54 0xc0 1.20243e+06 0.5; - out[21] 0xc0 8.04014e+06 0.5; - out[21]~55 0xc0 1.33703e+06 0.5; - out[21]~56 0xc0 1.20243e+06 0.5; - out[22] 0xc0 8.04014e+06 0.5; - out[22]~57 0xc0 1.33703e+06 0.5; - out[22]~58 0xc0 1.20243e+06 0.5; - out[23] 0xc0 8.04014e+06 0.5; - out[23]~59 0xc0 1.80813e+06 0.5; - asj_nco_madx_cen:m1; - lpm_mult:Mult0; - mult_t5t:auto_generated; - mac_mult1 0xc0 2.01003e+06 0.5; - mac_mult1~0 0xc0 0 0; - mac_mult1~1 0xc0 0 0; - mac_mult1~2 0xc0 0 0; - mac_mult1~3 0xc0 0 0; - mac_mult1~4 0xc0 0 0; - mac_mult1~5 0xc0 0 0; - mac_mult1~6 0xc0 0 0; - mac_mult1~7 0xc0 0 0; - mac_mult1~8 0xc0 0 0; - mac_mult1~9 0xc0 0 0; - mac_mult1~10 0xc0 0 0; - mac_mult1~11 0xc0 0 0; - mac_mult1~DATAOUT1 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT2 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT3 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT4 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT5 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT6 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT7 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT8 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT9 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT10 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT11 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT12 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT13 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT14 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT15 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT16 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT17 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT18 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT19 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT20 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT21 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT22 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT23 0xc0 2.01003e+06 0.5; - mac_out2 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT1 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT2 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT3 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT4 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT5 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT6 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT7 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT8 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT9 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT10 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT11 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT12 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT13 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT14 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT15 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT16 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT17 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT18 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT19 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT20 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT21 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT22 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT23 0xc0 2.01003e+06 0.5; - lpm_mult:Mult1; - mult_t5t:auto_generated; - mac_mult1 0xc0 2.01003e+06 0.5; - mac_mult1~0 0xc0 0 0; - mac_mult1~1 0xc0 0 0; - mac_mult1~2 0xc0 0 0; - mac_mult1~3 0xc0 0 0; - mac_mult1~4 0xc0 0 0; - mac_mult1~5 0xc0 0 0; - mac_mult1~6 0xc0 0 0; - mac_mult1~7 0xc0 0 0; - mac_mult1~8 0xc0 0 0; - mac_mult1~9 0xc0 0 0; - mac_mult1~10 0xc0 0 0; - mac_mult1~11 0xc0 0 0; - mac_mult1~DATAOUT1 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT2 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT3 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT4 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT5 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT6 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT7 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT8 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT9 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT10 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT11 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT12 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT13 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT14 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT15 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT16 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT17 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT18 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT19 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT20 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT21 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT22 0xc0 2.01003e+06 0.5; - mac_mult1~DATAOUT23 0xc0 2.01003e+06 0.5; - mac_out2 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT1 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT2 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT3 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT4 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT5 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT6 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT7 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT8 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT9 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT10 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT11 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT12 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT13 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT14 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT15 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT16 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT17 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT18 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT19 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT20 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT21 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT22 0xc0 2.01003e+06 0.5; - mac_out2~DATAOUT23 0xc0 2.01003e+06 0.5; - out[11] 0xc0 8.04014e+06 0.5; - out[11]~14 0xc0 1.03642e+06 0.75; - out[11]~16 0xc0 794524 0.375; - out[11]~18 0xc0 958095 0.5625; - out[11]~20 0xc0 1.31788e+06 0.46875; - out[11]~22 0xc0 1.1562e+06 0.515625; - out[11]~24 0xc0 1.23007e+06 0.492188; - out[11]~26 0xc0 1.18995e+06 0.503906; - out[11]~28 0xc0 1.20903e+06 0.498047; - out[11]~30 0xc0 1.19922e+06 0.500977; - out[11]~32 0xc0 1.20406e+06 0.499512; - out[11]~34 0xc0 1.20162e+06 0.500244; - out[11]~35 0xc0 1.33683e+06 0.5; - out[11]~36 0xc0 1.20284e+06 0.499878; - out[12] 0xc0 8.04014e+06 0.5; - out[12]~37 0xc0 1.33713e+06 0.5; - out[12]~38 0xc0 1.20223e+06 0.500061; - out[13] 0xc0 8.04014e+06 0.5; - out[13]~39 0xc0 1.33698e+06 0.5; - out[13]~40 0xc0 1.20253e+06 0.499969; - out[14] 0xc0 8.04014e+06 0.5; - out[14]~41 0xc0 1.33706e+06 0.5; - out[14]~42 0xc0 1.20238e+06 0.500015; - out[15] 0xc0 8.04014e+06 0.5; - out[15]~43 0xc0 1.33702e+06 0.5; - out[15]~44 0xc0 1.20246e+06 0.499992; - out[16] 0xc0 8.04014e+06 0.5; - out[16]~45 0xc0 1.33704e+06 0.5; - out[16]~46 0xc0 1.20242e+06 0.500004; - out[17] 0xc0 8.04014e+06 0.5; - out[17]~47 0xc0 1.33703e+06 0.5; - out[17]~48 0xc0 1.20244e+06 0.499998; - out[18] 0xc0 8.04014e+06 0.5; - out[18]~49 0xc0 1.33703e+06 0.5; - out[18]~50 0xc0 1.20243e+06 0.500001; - out[19] 0xc0 8.04014e+06 0.5; - out[19]~51 0xc0 1.33703e+06 0.5; - out[19]~52 0xc0 1.20243e+06 0.5; - out[20] 0xc0 8.04014e+06 0.5; - out[20]~53 0xc0 1.33703e+06 0.5; - out[20]~54 0xc0 1.20243e+06 0.5; - out[21] 0xc0 8.04014e+06 0.5; - out[21]~55 0xc0 1.33703e+06 0.5; - out[21]~56 0xc0 1.20243e+06 0.5; - out[22] 0xc0 8.04014e+06 0.5; - out[22]~57 0xc0 1.33703e+06 0.5; - out[22]~58 0xc0 1.20243e+06 0.5; - out[23] 0xc0 8.04014e+06 0.5; - out[23]~59 0xc0 1.80813e+06 0.5; - asj_altqmcpipe:ux000; - lpm_add_sub:acc; - add_sub_u4i:auto_generated; - pipeline_dffe[0] 0xc0 8.04014e+06 0.5; - pipeline_dffe[0]~22 0xc0 8.04014e+06 0.5; - pipeline_dffe[0]~23 0xc0 4.52258e+06 0.25; - pipeline_dffe[1] 0xc0 8.04014e+06 0.5; - pipeline_dffe[1]~24 0xc0 6.78387e+06 0.5; - pipeline_dffe[1]~25 0xc0 3.74526e+06 0.625; - pipeline_dffe[2] 0xc0 8.04014e+06 0.5; - pipeline_dffe[2]~26 0xc0 5.74155e+06 0.5; - pipeline_dffe[2]~27 0xc0 6.671e+06 0.4375; - pipeline_dffe[3] 0xc0 8.04014e+06 0.5; - pipeline_dffe[3]~28 0xc0 6.26099e+06 0.5; - pipeline_dffe[3]~29 0xc0 5.11697e+06 0.53125; - pipeline_dffe[4] 0xc0 8.04014e+06 0.5; - pipeline_dffe[4]~30 0xc0 5.81949e+06 0.5; - pipeline_dffe[4]~31 0xc0 5.71476e+06 0.484375; - pipeline_dffe[5] 0xc0 8.04014e+06 0.5; - pipeline_dffe[5]~32 0xc0 5.95568e+06 0.5; - pipeline_dffe[5]~33 0xc0 5.35151e+06 0.507813; - pipeline_dffe[6] 0xc0 8.04014e+06 0.5; - pipeline_dffe[6]~34 0xc0 5.86156e+06 0.5; - pipeline_dffe[6]~35 0xc0 5.5146e+06 0.496094; - pipeline_dffe[7] 0xc0 8.04014e+06 0.5; - pipeline_dffe[7]~36 0xc0 5.9015e+06 0.5; - pipeline_dffe[7]~37 0xc0 5.42812e+06 0.501953; - pipeline_dffe[8] 0xc0 8.04014e+06 0.5; - pipeline_dffe[8]~38 0xc0 5.87967e+06 0.5; - pipeline_dffe[8]~39 0xc0 5.47008e+06 0.499023; - pipeline_dffe[9] 0xc0 8.04014e+06 0.5; - pipeline_dffe[9]~40 0xc0 5.89012e+06 0.5; - pipeline_dffe[9]~41 0xc0 5.44878e+06 0.500488; - pipeline_dffe[10] 0xc0 8.04014e+06 0.5; - pipeline_dffe[10]~42 0xc0 5.88478e+06 0.5; - pipeline_dffe[10]~43 0xc0 5.45935e+06 0.499756; - pipeline_dffe[11] 0xc0 8.04014e+06 0.5; - pipeline_dffe[11]~44 0xc0 5.88741e+06 0.5; - pipeline_dffe[11]~45 0xc0 5.45404e+06 0.500122; - pipeline_dffe[12] 0xc0 8.04014e+06 0.5; - pipeline_dffe[12]~46 0xc0 5.88609e+06 0.5; - pipeline_dffe[12]~47 0xc0 5.45669e+06 0.499939; - pipeline_dffe[13] 0xc0 8.04014e+06 0.5; - pipeline_dffe[13]~48 0xc0 5.88675e+06 0.5; - pipeline_dffe[13]~49 0xc0 5.45537e+06 0.500031; - pipeline_dffe[14] 0xc0 8.04014e+06 0.5; - pipeline_dffe[14]~50 0xc0 5.88642e+06 0.5; - pipeline_dffe[14]~51 0xc0 5.45603e+06 0.499985; - pipeline_dffe[15] 0xc0 8.04014e+06 0.5; - pipeline_dffe[15]~52 0xc0 5.88658e+06 0.5; - pipeline_dffe[15]~53 0xc0 5.4557e+06 0.500008; - pipeline_dffe[16] 0xc0 8.04014e+06 0.5; - pipeline_dffe[16]~54 0xc0 5.8865e+06 0.5; - pipeline_dffe[16]~55 0xc0 5.45586e+06 0.499996; - pipeline_dffe[17] 0xc0 8.04014e+06 0.5; - pipeline_dffe[17]~56 0xc0 5.88654e+06 0.5; - pipeline_dffe[17]~57 0xc0 5.45578e+06 0.500002; - pipeline_dffe[18] 0xc0 8.04014e+06 0.5; - pipeline_dffe[18]~58 0xc0 5.88652e+06 0.5; - pipeline_dffe[18]~59 0xc0 5.45582e+06 0.499999; - pipeline_dffe[19] 0xc0 8.04014e+06 0.5; - pipeline_dffe[19]~60 0xc0 5.88653e+06 0.5; - pipeline_dffe[19]~61 0xc0 5.4558e+06 0.5; - pipeline_dffe[20] 0xc0 8.04014e+06 0.5; - pipeline_dffe[20]~62 0xc0 5.88653e+06 0.5; - pipeline_dffe[20]~63 0xc0 5.45581e+06 0.5; - pipeline_dffe[21] 0xc0 8.04014e+06 0.5; - pipeline_dffe[21]~64 0xc0 7.39406e+06 0.5; - phi_int_arr_reg[0] 0xc0 8.04014e+06 0.5; - phi_int_arr_reg[1] 0xc0 8.04014e+06 0.5; - phi_int_arr_reg[2] 0xc0 8.04014e+06 0.5; - phi_int_arr_reg[3] 0xc0 8.04014e+06 0.5; - phi_int_arr_reg[4] 0xc0 8.04014e+06 0.5; - phi_int_arr_reg[5] 0xc0 8.04014e+06 0.5; - phi_int_arr_reg[6] 0xc0 8.04014e+06 0.5; - phi_int_arr_reg[7] 0xc0 8.04014e+06 0.5; - phi_int_arr_reg[8] 0xc0 8.04014e+06 0.5; - phi_int_arr_reg[9] 0xc0 8.04014e+06 0.5; - phi_int_arr_reg[10] 0xc0 8.04014e+06 0.5; - phi_int_arr_reg[11] 0xc0 8.04014e+06 0.5; - phi_int_arr_reg[12] 0xc0 8.04014e+06 0.5; - phi_int_arr_reg[13] 0xc0 8.04014e+06 0.5; - phi_int_arr_reg[14] 0xc0 8.04014e+06 0.5; - phi_int_arr_reg[15] 0xc0 8.04014e+06 0.5; - phi_int_arr_reg[16] 0xc0 8.04014e+06 0.5; - phi_int_arr_reg[17] 0xc0 8.04014e+06 0.5; - phi_int_arr_reg[18] 0xc0 8.04014e+06 0.5; - phi_int_arr_reg[19] 0xc0 8.04014e+06 0.5; - phi_int_arr_reg[20] 0xc0 8.04014e+06 0.5; - phi_int_arr_reg[21] 0xc0 8.04014e+06 0.5; - phi_int_arr_reg~0 0xc0 4.08288e+06 0.5; - phi_int_arr_reg~1 0xc0 4.08288e+06 0.5; - phi_int_arr_reg~2 0xc0 4.08288e+06 0.5; - phi_int_arr_reg~3 0xc0 4.08288e+06 0.5; - phi_int_arr_reg~4 0xc0 4.08288e+06 0.5; - phi_int_arr_reg~5 0xc0 4.08288e+06 0.5; - phi_int_arr_reg~6 0xc0 4.08288e+06 0.5; - phi_int_arr_reg~7 0xc0 4.08288e+06 0.5; - phi_int_arr_reg~8 0xc0 4.08288e+06 0.5; - phi_int_arr_reg~9 0xc0 4.08288e+06 0.5; - phi_int_arr_reg~10 0xc0 4.08288e+06 0.5; - phi_int_arr_reg~11 0xc0 4.08288e+06 0.5; - phi_int_arr_reg~12 0xc0 4.08288e+06 0.5; - phi_int_arr_reg~13 0xc0 4.08288e+06 0.5; - phi_int_arr_reg~14 0xc0 4.08288e+06 0.5; - phi_int_arr_reg~15 0xc0 4.08288e+06 0.5; - phi_int_arr_reg~16 0xc0 4.08288e+06 0.5; - phi_int_arr_reg~17 0xc0 4.08288e+06 0.5; - phi_int_arr_reg~18 0xc0 4.08288e+06 0.5; - phi_int_arr_reg~19 0xc0 4.08288e+06 0.5; - phi_int_arr_reg~20 0xc0 4.08288e+06 0.5; - phi_int_arr_reg~21 0xc0 4.08288e+06 0.5; - asj_gam_dp:ux008; - rom_add_cc_temp[0] 0xc0 8.04014e+06 0.5; - rom_add_cc_temp[1] 0xc0 8.04014e+06 0.5; - rom_add_cc_temp[2] 0xc0 8.04014e+06 0.5; - rom_add_cc_temp[3] 0xc0 8.04014e+06 0.5; - rom_add_cc_temp[4] 0xc0 8.04014e+06 0.5; - rom_add_cc_temp[5] 0xc0 8.04014e+06 0.5; - rom_add_cc_temp[6] 0xc0 8.04014e+06 0.5; - rom_add_cc_temp[7] 0xc0 8.04014e+06 0.5; - rom_add_cc_temp[8] 0xc0 8.04014e+06 0.5; - rom_add_cc_temp[9] 0xc0 8.04014e+06 0.5; - rom_add_cc_temp[9]~11 0xc0 8.04014e+06 0.5; - rom_add_cc_temp[9]~12 0xc0 8.04014e+06 0.5; - rom_add_cc_temp[10] 0xc0 8.04014e+06 0.5; - rom_add_cc_temp[10]~13 0xc0 8.04014e+06 0.5; - rom_add_cc_temp~2 0xc0 4.08288e+06 0.5; - rom_add_cc_temp~3 0xc0 4.08288e+06 0.5; - rom_add_cc_temp~4 0xc0 4.08288e+06 0.5; - rom_add_cc_temp~5 0xc0 4.08288e+06 0.5; - rom_add_cc_temp~6 0xc0 4.08288e+06 0.5; - rom_add_cc_temp~7 0xc0 4.08288e+06 0.5; - rom_add_cc_temp~8 0xc0 4.08288e+06 0.5; - rom_add_cc_temp~9 0xc0 4.08288e+06 0.5; - rom_add_cc_temp~10 0xc0 4.08288e+06 0.5; - rom_add_cs[9] 0xc0 8.04014e+06 0.5; - rom_add_cs[10] 0xc0 8.04014e+06 0.5; - rom_add_cs~0 0xc0 4.08288e+06 0.5; - rom_add_cs~1 0xc0 4.08288e+06 0.5; - rom_add_f[0] 0xc0 8.04014e+06 0.5; - rom_add_f[1] 0xc0 8.04014e+06 0.5; - rom_add_f[2] 0xc0 8.04014e+06 0.5; - rom_add_f[3] 0xc0 8.04014e+06 0.5; - rom_add_f[4] 0xc0 8.04014e+06 0.5; - rom_add_f[5] 0xc0 8.04014e+06 0.5; - rom_add_f[6] 0xc0 8.04014e+06 0.5; - rom_add_f[7] 0xc0 8.04014e+06 0.5; - rom_add_f[8] 0xc0 8.04014e+06 0.5; - rom_add_f[9] 0xc0 8.04014e+06 0.5; - rom_add_f[10] 0xc0 8.04014e+06 0.5; - rom_add_f~0 0xc0 4.08288e+06 0.5; - rom_add_f~1 0xc0 4.08288e+06 0.5; - rom_add_f~2 0xc0 4.08288e+06 0.5; - rom_add_f~3 0xc0 4.08288e+06 0.5; - rom_add_f~4 0xc0 4.08288e+06 0.5; - rom_add_f~5 0xc0 4.08288e+06 0.5; - rom_add_f~6 0xc0 4.08288e+06 0.5; - rom_add_f~7 0xc0 4.08288e+06 0.5; - rom_add_f~8 0xc0 4.08288e+06 0.5; - rom_add_f~9 0xc0 4.08288e+06 0.5; - rom_add_f~10 0xc0 4.08288e+06 0.5; - asj_nco_as_m_cen:ux0122; - altsyncram:altsyncram_component0; - altsyncram_fu91:auto_generated; - q_a[0] 0xc0 8.04014e+06 0.5; - q_a[1] 0xc0 8.04014e+06 0.5; - q_a[2] 0xc0 8.04014e+06 0.5; - q_a[3] 0xc0 8.04014e+06 0.5; - q_a[4] 0xc0 8.04014e+06 0.5; - q_a[5] 0xc0 8.04014e+06 0.5; - q_a[6] 0xc0 8.04014e+06 0.5; - q_a[7] 0xc0 8.04014e+06 0.5; - q_a[8] 0xc0 8.04014e+06 0.5; - q_a[9] 0xc0 8.04014e+06 0.5; - q_a[10] 0xc0 8.04014e+06 0.5; - q_a[11] 0xc0 8.04014e+06 0.5; - asj_nco_as_m_cen:ux0123; - altsyncram:altsyncram_component0; - altsyncram_au91:auto_generated; - q_a[0] 0xc0 8.04014e+06 0.5; - q_a[1] 0xc0 8.04014e+06 0.5; - q_a[2] 0xc0 8.04014e+06 0.5; - q_a[3] 0xc0 8.04014e+06 0.5; - q_a[4] 0xc0 8.04014e+06 0.5; - q_a[5] 0xc0 8.04014e+06 0.5; - q_a[6] 0xc0 8.04014e+06 0.5; - q_a[7] 0xc0 8.04014e+06 0.5; - q_a[8] 0xc0 8.04014e+06 0.5; - q_a[9] 0xc0 8.04014e+06 0.5; - q_a[10] 0xc0 8.04014e+06 0.5; - q_a[11] 0xc0 8.04014e+06 0.5; - asj_nco_as_m_dp_cen:ux0220; - altsyncram:altsyncram_component; - altsyncram_h982:auto_generated; - q_a[0] 0xc0 8.04014e+06 0.5; - q_a[1] 0xc0 8.04014e+06 0.5; - q_a[2] 0xc0 8.04014e+06 0.5; - q_a[3] 0xc0 8.04014e+06 0.5; - q_a[4] 0xc0 8.04014e+06 0.5; - q_a[5] 0xc0 8.04014e+06 0.5; - q_a[6] 0xc0 8.04014e+06 0.5; - q_a[7] 0xc0 8.04014e+06 0.5; - q_a[8] 0xc0 8.04014e+06 0.5; - q_a[9] 0xc0 8.04014e+06 0.5; - q_a[10] 0xc0 8.04014e+06 0.5; - q_a[11] 0xc0 8.04014e+06 0.5; - q_b[0] 0xc0 8.04014e+06 0.5; - q_b[1] 0xc0 8.04014e+06 0.5; - q_b[2] 0xc0 8.04014e+06 0.5; - q_b[3] 0xc0 8.04014e+06 0.5; - q_b[4] 0xc0 8.04014e+06 0.5; - q_b[5] 0xc0 8.04014e+06 0.5; - q_b[6] 0xc0 8.04014e+06 0.5; - q_b[7] 0xc0 8.04014e+06 0.5; - q_b[8] 0xc0 8.04014e+06 0.5; - q_b[9] 0xc0 8.04014e+06 0.5; - q_b[10] 0xc0 8.04014e+06 0.5; - q_b[11] 0xc0 8.04014e+06 0.5; - asj_nco_isdr:ux710isdr; - always0~0 0xc0 724319 0.0625; - data_ready 0xc0 8.04014e+06 0.5; - data_ready~0 0xc0 7.31643e+06 0.515625; - lpm_counter:lpm_counter_component; - cntr_asi:auto_generated; - counter_comb_bita0 0xc0 8.04014e+06 0.5; - counter_comb_bita0~COUT 0xc0 8.04014e+06 0.5; - counter_comb_bita1 0xc0 8.04014e+06 0.5; - counter_comb_bita1~COUT 0xc0 4.52258e+06 0.75; - counter_comb_bita2 0xc0 7.28637e+06 0.5; - counter_comb_bita2~COUT 0xc0 6.15573e+06 0.125; - counter_comb_bita3 0xc0 9.35922e+06 0.5; - counter_reg_bit[0] 0xc0 8.04014e+06 0.5; - counter_reg_bit[1] 0xc0 8.04014e+06 0.5; - counter_reg_bit[2] 0xc0 8.04014e+06 0.5; - counter_reg_bit[3] 0xc0 8.04014e+06 0.5; - STM32_CLK 0xc 5e+07 0.5; - STM32_CLK~input 0xc0 5e+07 0.5; - STM32_DATA_BUS[0]~result 0xc0 1 0.5; - STM32_DATA_BUS[0]~input 0xc0 1 0.5; - STM32_DATA_BUS[0]~output 0xc0 1.5625e+06 0.5; - STM32_DATA_BUS[1]~result 0x30 2e+07 0.5; - STM32_DATA_BUS[1]~input 0xc0 2e+07 0.5; - STM32_DATA_BUS[1]~output 0xc0 1.5625e+06 0.5; - STM32_DATA_BUS[2]~result 0x30 2e+07 0.5; - STM32_DATA_BUS[2]~input 0xc0 2e+07 0.5; - STM32_DATA_BUS[2]~output 0xc0 1.5625e+06 0.5; - STM32_DATA_BUS[3]~result 0x30 2e+07 0.5; - STM32_DATA_BUS[3]~input 0xc0 2e+07 0.5; - STM32_DATA_BUS[3]~output 0xc0 1.5625e+06 0.5; - STM32_DATA_BUS[4]~result 0x30 2e+07 0.5; - STM32_DATA_BUS[4]~input 0xc0 2e+07 0.5; - STM32_DATA_BUS[4]~output 0xc0 1.5625e+06 0.5; - STM32_DATA_BUS[5]~result 0x30 2e+07 0.5; - STM32_DATA_BUS[5]~input 0xc0 2e+07 0.5; - STM32_DATA_BUS[5]~output 0xc0 1.5625e+06 0.5; - STM32_DATA_BUS[6]~result 0x30 2e+07 0.5; - STM32_DATA_BUS[6]~input 0xc0 2e+07 0.5; - STM32_DATA_BUS[6]~output 0xc0 1.5625e+06 0.5; - STM32_DATA_BUS[7]~result 0x30 2e+07 0.5; - STM32_DATA_BUS[7]~input 0xc0 2e+07 0.5; - STM32_DATA_BUS[7]~output 0xc0 1.5625e+06 0.5; - stm32_interface:STM32_INTERFACE; - ADC_MAX[0] 0xc0 8.04014e+06 0.5; - ADC_MAX[0]~20 0xc0 4.52258e+06 0.25; - ADC_MAX[0]~21 0xc0 2.26129e+06 0.375; - ADC_MAX[1] 0xc0 8.04014e+06 0.5; - ADC_MAX[1]~22 0xc0 4.52258e+06 0.25; - ADC_MAX[1]~23 0xc0 3.39193e+06 0.4375; - ADC_MAX[2] 0xc0 8.04014e+06 0.5; - ADC_MAX[2]~24 0xc0 4.52258e+06 0.25; - ADC_MAX[2]~25 0xc0 3.95726e+06 0.65625; - ADC_MAX[3] 0xc0 8.04014e+06 0.5; - ADC_MAX[3]~26 0xc0 4.52258e+06 0.25; - ADC_MAX[3]~27 0xc0 4.23992e+06 0.296875; - ADC_MAX[4] 0xc0 8.04014e+06 0.5; - ADC_MAX[4]~28 0xc0 4.52258e+06 0.75; - ADC_MAX[4]~29 0xc0 4.38125e+06 0.476563; - ADC_MAX[5] 0xc0 8.04014e+06 0.5; - ADC_MAX[5]~30 0xc0 4.52258e+06 0.75; - ADC_MAX[5]~31 0xc0 4.45191e+06 0.636719; - ADC_MAX[6] 0xc0 8.04014e+06 0.5; - ADC_MAX[6]~32 0xc0 4.52258e+06 0.25; - ADC_MAX[6]~33 0xc0 4.48724e+06 0.556641; - ADC_MAX[7] 0xc0 8.04014e+06 0.5; - ADC_MAX[7]~34 0xc0 4.52258e+06 0.25; - ADC_MAX[7]~35 0xc0 4.50491e+06 0.34668; - ADC_MAX[8] 0xc0 8.04014e+06 0.5; - ADC_MAX[8]~40 0xc0 4.52258e+06 0.25; - ADC_MAX[8]~41 0xc0 4.51374e+06 0.70166; - ADC_MAX[9] 0xc0 8.04014e+06 0.5; - ADC_MAX[9]~42 0xc0 4.52258e+06 0.25; - ADC_MAX[9]~43 0xc0 4.51816e+06 0.27417; - ADC_MAX[10] 0xc0 8.04014e+06 0.5; - ADC_MAX[10]~44 0xc0 4.52258e+06 0.25; - ADC_MAX[10]~45 0xc0 4.52037e+06 0.737915; - ADC_MAX[11] 0xc0 8.04014e+06 0.5; - ADC_MAX[11]~46 0xc0 4.52258e+06 0.75; - ADC_MAX[11]~47 0xc0 4.52147e+06 0.256042; - ADC_MAX~12 0xc0 4.52258e+06 0.25; - ADC_MAX~13 0xc0 4.52258e+06 0.25; - ADC_MAX~14 0xc0 4.52258e+06 0.75; - ADC_MAX~15 0xc0 4.52258e+06 0.75; - ADC_MAX~16 0xc0 4.52258e+06 0.25; - ADC_MAX~17 0xc0 4.52258e+06 0.25; - ADC_MAX~18 0xc0 4.52258e+06 0.25; - ADC_MAX~19 0xc0 4.52258e+06 0.25; - ADC_MAX~36 0xc0 4.52258e+06 0.75; - ADC_MAX~37 0xc0 4.52258e+06 0.25; - ADC_MAX~38 0xc0 4.52258e+06 0.25; - ADC_MAX~39 0xc0 4.52258e+06 0.25; - ADC_MINMAX_RESET 0xc0 3.125e+06 0.5; - ADC_MINMAX_RESET~0 0xc0 1.33842e+06 0.500488; - ADC_MIN[0] 0xc0 8.04014e+06 0.5; - ADC_MIN[0]~20 0xc0 4.52258e+06 0.25; - ADC_MIN[0]~21 0xc0 2.26129e+06 0.125; - ADC_MIN[1] 0xc0 8.04014e+06 0.5; - ADC_MIN[1]~22 0xc0 4.52258e+06 0.25; - ADC_MIN[1]~23 0xc0 3.39193e+06 0.8125; - ADC_MIN[2] 0xc0 8.04014e+06 0.5; - ADC_MIN[2]~24 0xc0 4.52258e+06 0.25; - ADC_MIN[2]~25 0xc0 3.95726e+06 0.21875; - ADC_MIN[3] 0xc0 8.04014e+06 0.5; - ADC_MIN[3]~26 0xc0 4.52258e+06 0.25; - ADC_MIN[3]~27 0xc0 4.23992e+06 0.765625; - ADC_MIN[4] 0xc0 8.04014e+06 0.5; - ADC_MIN[4]~28 0xc0 4.52258e+06 0.75; - ADC_MIN[4]~29 0xc0 4.38125e+06 0.492188; - ADC_MIN[5] 0xc0 8.04014e+06 0.5; - ADC_MIN[5]~30 0xc0 4.52258e+06 0.25; - ADC_MIN[5]~31 0xc0 4.45191e+06 0.628906; - ADC_MIN[6] 0xc0 8.04014e+06 0.5; - ADC_MIN[6]~32 0xc0 4.52258e+06 0.75; - ADC_MIN[6]~33 0xc0 4.48724e+06 0.560547; - ADC_MIN[7] 0xc0 8.04014e+06 0.5; - ADC_MIN[7]~34 0xc0 4.52258e+06 0.75; - ADC_MIN[7]~35 0xc0 4.50491e+06 0.344727; - ADC_MIN[8] 0xc0 8.04014e+06 0.5; - ADC_MIN[8]~40 0xc0 4.52258e+06 0.75; - ADC_MIN[8]~41 0xc0 4.51374e+06 0.702637; - ADC_MIN[9] 0xc0 8.04014e+06 0.5; - ADC_MIN[9]~42 0xc0 4.52258e+06 0.75; - ADC_MIN[9]~43 0xc0 4.51816e+06 0.273682; - ADC_MIN[10] 0xc0 8.04014e+06 0.5; - ADC_MIN[10]~44 0xc0 4.52258e+06 0.75; - ADC_MIN[10]~45 0xc0 4.52037e+06 0.738159; - ADC_MIN[11] 0xc0 8.04014e+06 0.5; - ADC_MIN[11]~46 0xc0 4.52258e+06 0.25; - ADC_MIN[11]~47 0xc0 4.52147e+06 0.25592; - ADC_MIN~12 0xc0 4.52258e+06 0.75; - ADC_MIN~13 0xc0 4.52258e+06 0.75; - ADC_MIN~14 0xc0 4.52258e+06 0.25; - ADC_MIN~15 0xc0 4.52258e+06 0.75; - ADC_MIN~16 0xc0 4.52258e+06 0.25; - ADC_MIN~17 0xc0 4.52258e+06 0.25; - ADC_MIN~18 0xc0 4.52258e+06 0.25; - ADC_MIN~19 0xc0 4.52258e+06 0.25; - ADC_MIN~36 0xc0 4.52258e+06 0.25; - ADC_MIN~37 0xc0 4.52258e+06 0.75; - ADC_MIN~38 0xc0 4.52258e+06 0.75; - ADC_MIN~39 0xc0 4.52258e+06 0.75; - ATT_1 0xc0 3.125e+06 0.5; - ATT_1~0 0xc0 40271.3 0.000488281; - ATT_2 0xc0 3.125e+06 0.5; - ATT_2~feeder 0xc0 2e+07 0.5; - ATT_4 0xc0 3.125e+06 0.5; - ATT_4~feeder 0xc0 2e+07 0.5; - ATT_05 0xc0 3.125e+06 0.5; - ATT_8 0xc0 3.125e+06 0.5; - ATT_8~feeder 0xc0 2e+07 0.5; - ATT_16 0xc0 3.125e+06 0.5; - BPF_A 0xc0 3.125e+06 0.5; - BPF_A~0 0xc0 2.39258e+06 0.125; - BPF_A~1 0xc0 363888 0.000488281; - BPF_A~feeder 0xc0 1 0.5; - BPF_B 0xc0 3.125e+06 0.5; - BPF_OE1 0xc0 3.125e+06 0.5; - BPF_OE1~feeder 0xc0 2e+07 0.5; - BPF_OE2 0xc0 3.125e+06 0.5; - CICFIR_GAIN[0] 0xc0 3.125e+06 0.5; - CICFIR_GAIN[0]~0 0xc0 276.737 0.000488281; - CICFIR_GAIN[1] 0xc0 3.125e+06 0.5; - CICFIR_GAIN[2] 0xc0 3.125e+06 0.5; - CICFIR_GAIN[2]~feeder 0xc0 2e+07 0.5; - CICFIR_GAIN[3] 0xc0 3.125e+06 0.5; - CICFIR_GAIN[3]~feeder 0xc0 2e+07 0.5; - CICFIR_GAIN[4] 0xc0 3.125e+06 0.5; - CICFIR_GAIN[4]~feeder 0xc0 2e+07 0.5; - CIC_GAIN[0] 0xc0 3.125e+06 0.5; - CIC_GAIN[0]~0 0xc0 276.737 0.000488281; - CIC_GAIN[0]~feeder 0xc0 1 0.5; - CIC_GAIN[1] 0xc0 3.125e+06 0.5; - CIC_GAIN[2] 0xc0 3.125e+06 0.5; - CIC_GAIN[2]~feeder 0xc0 2e+07 0.5; - CIC_GAIN[3] 0xc0 3.125e+06 0.5; - CIC_GAIN[4] 0xc0 3.125e+06 0.5; - CIC_GAIN[5] 0xc0 3.125e+06 0.5; - CIC_GAIN[5]~1 0xc0 2e+07 0.5; - CIC_GAIN[6] 0xc0 3.125e+06 0.5; - DAC_GAIN[0] 0xc0 3.125e+06 0.5; - DAC_GAIN[0]~0 0xc0 363888 0.000488281; - DAC_GAIN[1] 0xc0 3.125e+06 0.5; - DAC_GAIN[2] 0xc0 3.125e+06 0.5; - DAC_GAIN[2]~feeder 0xc0 2e+07 0.5; - DAC_GAIN[3] 0xc0 3.125e+06 0.5; - DAC_GAIN[3]~feeder 0xc0 2e+07 0.5; - DAC_GAIN[4] 0xc0 3.125e+06 0.5; - DAC_GAIN[5] 0xc0 3.125e+06 0.5; - DAC_GAIN[5]~1 0xc0 2e+07 0.5; - DAC_GAIN[6] 0xc0 3.125e+06 0.5; - DAC_GAIN[6]~feeder 0xc0 2e+07 0.5; - DAC_GAIN[7] 0xc0 3.125e+06 0.5; - DATA_BUS_OE 0xc0 3.125e+06 0.5; - DATA_BUS_OE~0 0xc0 8.06945e+06 0.500476; - DATA_BUS_OE~1 0xc0 8.54546e+06 0.500488; - DATA_BUS_OE~2 0xc0 768023 0.0078125; - DATA_BUS_OUT[0] 0xc0 3.125e+06 0.5; - DATA_BUS_OUT[1] 0xc0 3.125e+06 0.5; - DATA_BUS_OUT[2] 0xc0 3.125e+06 0.5; - DATA_BUS_OUT[3] 0xc0 3.125e+06 0.5; - DATA_BUS_OUT[3]~43 0xc0 4.98578e+07 0.493658; - DATA_BUS_OUT[4] 0xc0 3.125e+06 0.5; - DATA_BUS_OUT[4]~3 0xc0 981940 0.500439; - DATA_BUS_OUT[4]~5 0xc0 1.5402e+06 0.998047; - DATA_BUS_OUT[4]~12 0xc0 3.88283e+07 0.494141; - DATA_BUS_OUT[4]~14 0xc0 8998.58 0.995125; - DATA_BUS_OUT[4]~15 0xc0 1.5e+08 0.490774; - DATA_BUS_OUT[5] 0xc0 3.125e+06 0.5; - DATA_BUS_OUT[5]~2 0xc0 1.76539e+06 0.500547; - DATA_BUS_OUT[6] 0xc0 3.125e+06 0.5; - DATA_BUS_OUT[6]~1 0xc0 736657 0.500244; - DATA_BUS_OUT[7] 0xc0 3.125e+06 0.5; - DATA_BUS_OUT[7]~0 0xc0 1.21138e+06 0.500279; - DATA_BUS_OUT~4 0xc0 192533 0.992203; - DATA_BUS_OUT~6 0xc0 3.14137e+06 0.500244; - DATA_BUS_OUT~7 0xc0 4.07735e+06 0.500732; - DATA_BUS_OUT~8 0xc0 2.00218e+06 0.25; - DATA_BUS_OUT~9 0xc0 1.50536e+06 0.34375; - DATA_BUS_OUT~10 0xc0 1.07422e+06 0.125; - DATA_BUS_OUT~11 0xc0 1.94159e+06 0.500279; - DATA_BUS_OUT~13 0xc0 8261.2 0.996094; - DATA_BUS_OUT~16 0xc0 3.14137e+06 0.500244; - DATA_BUS_OUT~17 0xc0 4.07737e+06 0.499755; - DATA_BUS_OUT~18 0xc0 2.78735e+06 0.5; - DATA_BUS_OUT~19 0xc0 1.88966e+06 0.5; - DATA_BUS_OUT~20 0xc0 1.18056e+06 0.500244; - DATA_BUS_OUT~21 0xc0 3.14137e+06 0.500244; - DATA_BUS_OUT~22 0xc0 4.07737e+06 0.499755; - DATA_BUS_OUT~23 0xc0 1.61133e+06 0.75; - DATA_BUS_OUT~24 0xc0 1.9043e+06 0.25; - DATA_BUS_OUT~25 0xc0 16108.1 0.00134277; - DATA_BUS_OUT~26 0xc0 2.82973e+06 0.500548; - DATA_BUS_OUT~27 0xc0 3.14137e+06 0.500244; - DATA_BUS_OUT~28 0xc0 4.07735e+06 0.500732; - DATA_BUS_OUT~29 0xc0 2.05078e+06 0.25; - DATA_BUS_OUT~30 0xc0 2.20479e+06 0.34375; - DATA_BUS_OUT~31 0xc0 778198 0.25; - DATA_BUS_OUT~32 0xc0 1.57377e+06 0.50044; - DATA_BUS_OUT~33 0xc0 1164.97 0.000976324; - DATA_BUS_OUT~34 0xc0 1.91581e+06 0.125; - DATA_BUS_OUT~35 0xc0 766.989 0.000915527; - DATA_BUS_OUT~36 0xc0 2.78735e+06 0.5; - DATA_BUS_OUT~37 0xc0 1.88966e+06 0.5; - DATA_BUS_OUT~38 0xc0 46083.3 0.00390244; - DATA_BUS_OUT~39 0xc0 29795 0.00530032; - DATA_BUS_OUT~40 0xc0 3.14137e+06 0.500244; - DATA_BUS_OUT~41 0xc0 194139 0.987362; - DATA_BUS_OUT~42 0xc0 3.16801e+06 0.497096; - DATA_BUS_OUT~44 0xc0 1164.97 0.000976324; - DATA_BUS_OUT~45 0xc0 1.91581e+06 0.125; - DATA_BUS_OUT~46 0xc0 766.989 0.000915527; - DATA_BUS_OUT~47 0xc0 1.08337e+06 0.5; - DATA_BUS_OUT~48 0xc0 1.88966e+06 0.5; - DATA_BUS_OUT~49 0xc0 46083.3 0.00390244; - DATA_BUS_OUT~50 0xc0 29795 0.00530032; - DATA_BUS_OUT~51 0xc0 3.14137e+06 0.500244; - DATA_BUS_OUT~52 0xc0 3.16801e+06 0.497096; - DATA_BUS_OUT~53 0xc0 632.314 0.000488281; - DATA_BUS_OUT~54 0xc0 892639 0.25; - DATA_BUS_OUT~55 0xc0 13655.8 0.00134277; - DATA_BUS_OUT~56 0xc0 15593.5 0.00377995; - DATA_BUS_OUT~57 0xc0 1164.97 0.000976324; - DATA_BUS_OUT~58 0xc0 5.0061e+06 0.25; - DATA_BUS_OUT~59 0xc0 2619.86 0.00134277; - DATA_BUS_OUT~60 0xc0 23179.5 0.00280494; - DATA_BUS_OUT~61 0xc0 3.14137e+06 0.500244; - DATA_BUS_OUT~62 0xc0 197151 0.986399; - DATA_BUS_OUT~63 0xc0 7.90256e+06 0.496771; - DATA_BUS_OUT~64 0xc0 892639 0.25; - DATA_BUS_OUT~65 0xc0 13655.8 0.00134277; - DATA_BUS_OUT~66 0xc0 436.291 0.000976324; - DATA_BUS_OUT~67 0xc0 15457.4 0.00426638; - DATA_BUS_OUT~68 0xc0 3082.13 0.000488281; - DATA_BUS_OUT~69 0xc0 5.52131e+06 0.25; - DATA_BUS_OUT~70 0xc0 1320.93 0.00134277; - DATA_BUS_OUT~71 0xc0 6386.56 0.00231779; - DATA_BUS_OUT~72 0xc0 3.14137e+06 0.500244; - DATA_BUS_OUT~73 0xc0 3.15981e+06 0.496771; - Equal0~0 0xc0 1.8e+07 0.25; - Equal0~1 0xc0 3.6125e+06 0.03125; - Equal0~2 0xc0 5e+06 0.25; - Equal2~0 0xc0 5e+06 0.25; - Equal4~0 0xc0 385965 0.00390625; - Equal8~0 0xc0 900364 0.015625; - Equal8~1 0xc0 1.75781e+06 0.25; - Equal8~2 0xc0 1.75781e+06 0.25; - Equal9~0 0xc0 2.34375e+06 0.25; - Equal10~0 0xc0 1.75781e+06 0.25; - Equal12~0 0xc0 3784.53 0.00390625; - Equal13~0 0xc0 1.75781e+06 0.25; - Equal15~0 0xc0 1.75781e+06 0.25; - Equal17~0 0xc0 5785.84 0.00390625; - Equal20~0 0xc0 281525 0.0625; - Equal20~1 0xc0 7680.9 0.00390625; - Equal22~0 0xc0 776.91 0.000976563; - Equal23~0 0xc0 776.91 0.000976563; - Equal24~0 0xc0 23968.5 0.0078125; - Equal24~1 0xc0 587.344 0.000976563; - Equal25~0 0xc0 93233.6 0.00390625; - Equal28~0 0xc0 9131.66 0.000976563; - Equal29~0 0xc0 100623 0.00390625; - Equal32~0 0xc0 9853.29 0.000976563; - Equal33~0 0xc0 1.75781e+06 0.25; - Equal33~1 0xc0 281525 0.0625; - Equal33~2 0xc0 34359.1 0.015625; - Equal33~3 0xc0 490.51 0.000976563; - Equal34~0 0xc0 549316 0.0625; - Equal34~1 0xc0 549316 0.0625; - Equal34~2 0xc0 4808.6 0.00195313; - Equal35~0 0xc0 21723.3 0.000976563; - FLASH_continue_read 0xc0 3.125e+06 0.5; - FLASH_continue_read~0 0xc0 475.371 0.000487804; - FLASH_continue_read~1 0xc0 3.721e+06 0.499761; - FLASH_data_out[0] 0xc0 3.125e+06 0.5; - FLASH_data_out[1] 0xc0 3.125e+06 0.5; - FLASH_data_out[1]~feeder 0xc0 2e+07 0.5; - FLASH_data_out[2] 0xc0 3.125e+06 0.5; - FLASH_data_out[2]~feeder 0xc0 2e+07 0.5; - FLASH_data_out[3] 0xc0 3.125e+06 0.5; - FLASH_data_out[4] 0xc0 3.125e+06 0.5; - FLASH_data_out[5] 0xc0 3.125e+06 0.5; - FLASH_data_out[6] 0xc0 3.125e+06 0.5; - FLASH_data_out[7] 0xc0 3.125e+06 0.5; - FLASH_data_out[7]~0 0xc0 1021.59 0.000487328; - FLASH_enable 0xc0 3.125e+06 0.5; - FLASH_enable~0 0xc0 188024 0.998047; - FLASH_enable~1 0xc0 7.03125e+06 0.75; - FLASH_enable~2 0xc0 2.86376e+06 0.499268; - I_HOLD[0] 0xc0 3.125e+06 0.5; - I_HOLD[0]~4 0xc0 18890.8 0.00194931; - I_HOLD[0]~5 0xc0 4943.72 0.000958534; - I_HOLD[0]~19 0xc0 2.78607e+06 0.5; - I_HOLD[1] 0xc0 3.125e+06 0.5; - I_HOLD[1]~17 0xc0 7.24382e+06 0.5; - I_HOLD[2] 0xc0 3.125e+06 0.5; - I_HOLD[2]~15 0xc0 7.24382e+06 0.5; - I_HOLD[3] 0xc0 3.125e+06 0.5; - I_HOLD[3]~12 0xc0 7.24382e+06 0.5; - I_HOLD[4] 0xc0 3.125e+06 0.5; - I_HOLD[4]~11 0xc0 7.24382e+06 0.5; - I_HOLD[5] 0xc0 3.125e+06 0.5; - I_HOLD[5]~8 0xc0 7.24382e+06 0.5; - I_HOLD[6] 0xc0 3.125e+06 0.5; - I_HOLD[6]~7 0xc0 7.24382e+06 0.5; - I_HOLD[7] 0xc0 3.125e+06 0.5; - I_HOLD[7]~3 0xc0 7.24383e+06 0.5; - I_HOLD[8] 0xc0 3.125e+06 0.5; - I_HOLD[8]~1 0xc0 18886.4 0.00194931; - I_HOLD[8]~2 0xc0 5318.59 0.000958534; - I_HOLD[8]~18 0xc0 2.78607e+06 0.5; - I_HOLD[8]~feeder 0xc0 2.78607e+06 0.5; - I_HOLD[9] 0xc0 3.125e+06 0.5; - I_HOLD[9]~16 0xc0 7.24382e+06 0.5; - I_HOLD[9]~feeder 0xc0 7.24382e+06 0.5; - I_HOLD[10] 0xc0 3.125e+06 0.5; - I_HOLD[10]~14 0xc0 7.24382e+06 0.5; - I_HOLD[11] 0xc0 3.125e+06 0.5; - I_HOLD[11]~13 0xc0 7.24382e+06 0.5; - I_HOLD[12] 0xc0 3.125e+06 0.5; - I_HOLD[12]~10 0xc0 7.24382e+06 0.5; - I_HOLD[13] 0xc0 3.125e+06 0.5; - I_HOLD[13]~9 0xc0 7.24382e+06 0.5; - I_HOLD[14] 0xc0 3.125e+06 0.5; - I_HOLD[14]~6 0xc0 7.24382e+06 0.5; - I_HOLD[15] 0xc0 3.125e+06 0.5; - I_HOLD[15]~0 0xc0 7.24382e+06 0.5; - LPF_1 0xc0 3.125e+06 0.5; - LPF_1~feeder 0xc0 2e+07 0.5; - LPF_2 0xc0 3.125e+06 0.5; - LPF_2~feeder 0xc0 2e+07 0.5; - LPF_3 0xc0 3.125e+06 0.5; - LPF_3~feeder 0xc0 2e+07 0.5; - LessThan0~0 0xc0 4.52147e+06 0.743958; - LessThan1~0 0xc0 4.52147e+06 0.74408; - NCO_freq[0] 0xc0 3.125e+06 0.5; - NCO_freq[0]~8 0xc0 1 0.5; - NCO_freq[1] 0xc0 3.125e+06 0.5; - NCO_freq[1]~7 0xc0 2e+07 0.5; - NCO_freq[2] 0xc0 3.125e+06 0.5; - NCO_freq[2]~feeder 0xc0 2e+07 0.5; - NCO_freq[3] 0xc0 3.125e+06 0.5; - NCO_freq[3]~6 0xc0 2e+07 0.5; - NCO_freq[4] 0xc0 3.125e+06 0.5; - NCO_freq[4]~feeder 0xc0 2e+07 0.5; - NCO_freq[5] 0xc0 3.125e+06 0.5; - NCO_freq[5]~5 0xc0 2e+07 0.5; - NCO_freq[6] 0xc0 3.125e+06 0.5; - NCO_freq[6]~feeder 0xc0 2e+07 0.5; - NCO_freq[7] 0xc0 3.125e+06 0.5; - NCO_freq[7]~1 0xc0 825149 0.000488281; - NCO_freq[7]~4 0xc0 2e+07 0.5; - NCO_freq[8] 0xc0 3.125e+06 0.5; - NCO_freq[8]~feeder 0xc0 1 0.5; - NCO_freq[9] 0xc0 3.125e+06 0.5; - NCO_freq[9]~0 0xc0 6323.83 0.000488281; - NCO_freq[9]~3 0xc0 2e+07 0.5; - NCO_freq[10] 0xc0 3.125e+06 0.5; - NCO_freq[10]~feeder 0xc0 2e+07 0.5; - NCO_freq[11] 0xc0 3.125e+06 0.5; - NCO_freq[11]~feeder 0xc0 2e+07 0.5; - NCO_freq[12] 0xc0 3.125e+06 0.5; - NCO_freq[12]~9 0xc0 2e+07 0.5; - NCO_freq[13] 0xc0 3.125e+06 0.5; - NCO_freq[13]~10 0xc0 2e+07 0.5; - NCO_freq[14] 0xc0 3.125e+06 0.5; - NCO_freq[14]~feeder 0xc0 2e+07 0.5; - NCO_freq[15] 0xc0 3.125e+06 0.5; - NCO_freq[15]~11 0xc0 2e+07 0.5; - NCO_freq[16] 0xc0 3.125e+06 0.5; - NCO_freq[16]~2 0xc0 536461 0.000488281; - NCO_freq[16]~12 0xc0 1 0.5; - NCO_freq[17] 0xc0 3.125e+06 0.5; - NCO_freq[17]~13 0xc0 2e+07 0.5; - NCO_freq[18] 0xc0 3.125e+06 0.5; - NCO_freq[18]~feeder 0xc0 2e+07 0.5; - NCO_freq[19] 0xc0 3.125e+06 0.5; - NCO_freq[19]~feeder 0xc0 2e+07 0.5; - NCO_freq[20] 0xc0 3.125e+06 0.5; - NCO_freq[20]~feeder 0xc0 2e+07 0.5; - NCO_freq[21] 0xc0 3.125e+06 0.5; - NCO_freq[21]~feeder 0xc0 2e+07 0.5; - Q_HOLD[0] 0xc0 3.125e+06 0.5; - Q_HOLD[0]~1 0xc0 7319.84 0.00194931; - Q_HOLD[0]~2 0xc0 11941.2 0.00292207; - Q_HOLD[0]~3 0xc0 4576.92 0.00143687; - Q_HOLD[0]~10 0xc0 3966.04 0.5; - Q_HOLD[1] 0xc0 3.125e+06 0.5; - Q_HOLD[1]~9 0xc0 2.22752e+07 0.5; - Q_HOLD[2] 0xc0 3.125e+06 0.5; - Q_HOLD[2]~8 0xc0 2.22752e+07 0.5; - Q_HOLD[2]~feeder 0xc0 2.22752e+07 0.5; - Q_HOLD[3] 0xc0 3.125e+06 0.5; - Q_HOLD[3]~7 0xc0 2.22752e+07 0.5; - Q_HOLD[3]~feeder 0xc0 2.22752e+07 0.5; - Q_HOLD[4] 0xc0 3.125e+06 0.5; - Q_HOLD[4]~6 0xc0 2.22752e+07 0.5; - Q_HOLD[4]~feeder 0xc0 2.22752e+07 0.5; - Q_HOLD[5] 0xc0 3.125e+06 0.5; - Q_HOLD[5]~5 0xc0 2.22752e+07 0.5; - Q_HOLD[5]~feeder 0xc0 2.22752e+07 0.5; - Q_HOLD[6] 0xc0 3.125e+06 0.5; - Q_HOLD[6]~4 0xc0 2.22752e+07 0.5; - Q_HOLD[6]~feeder 0xc0 2.22752e+07 0.5; - Q_HOLD[7] 0xc0 3.125e+06 0.5; - Q_HOLD[7]~0 0xc0 2.22752e+07 0.5; - Q_HOLD[8] 0xc0 3.125e+06 0.5; - Q_HOLD[8]~11 0xc0 2.78607e+06 0.5; - Q_HOLD[8]~12 0xc0 5314.58 0.000958534; - Q_HOLD[8]~feeder 0xc0 2.78607e+06 0.5; - Q_HOLD[9] 0xc0 3.125e+06 0.5; - Q_HOLD[9]~13 0xc0 7.24382e+06 0.5; - Q_HOLD[9]~feeder 0xc0 7.24382e+06 0.5; - Q_HOLD[10] 0xc0 3.125e+06 0.5; - Q_HOLD[10]~14 0xc0 7.24383e+06 0.5; - Q_HOLD[10]~feeder 0xc0 7.24383e+06 0.5; - Q_HOLD[11] 0xc0 3.125e+06 0.5; - Q_HOLD[11]~15 0xc0 7.24383e+06 0.5; - Q_HOLD[11]~feeder 0xc0 7.24383e+06 0.5; - Q_HOLD[12] 0xc0 3.125e+06 0.5; - Q_HOLD[12]~16 0xc0 7.24383e+06 0.5; - Q_HOLD[12]~feeder 0xc0 7.24383e+06 0.5; - Q_HOLD[13] 0xc0 3.125e+06 0.5; - Q_HOLD[13]~17 0xc0 7.24383e+06 0.5; - Q_HOLD[13]~feeder 0xc0 7.24383e+06 0.5; - Q_HOLD[14] 0xc0 3.125e+06 0.5; - Q_HOLD[14]~18 0xc0 7.24382e+06 0.5; - Q_HOLD[15] 0xc0 3.125e+06 0.5; - Q_HOLD[15]~19 0xc0 7.24383e+06 0.5; - Q_HOLD[15]~feeder 0xc0 7.24383e+06 0.5; - REG_RX_I[0] 0xc0 8.04014e+06 0.5; - REG_RX_I[1] 0xc0 8.04014e+06 0.5; - REG_RX_I[2] 0xc0 8.04014e+06 0.5; - REG_RX_I[3] 0xc0 8.04014e+06 0.5; - REG_RX_I[4] 0xc0 8.04014e+06 0.5; - REG_RX_I[5] 0xc0 8.04014e+06 0.5; - REG_RX_I[6] 0xc0 8.04014e+06 0.5; - REG_RX_I[7] 0xc0 8.04014e+06 0.5; - REG_RX_I[8] 0xc0 8.04014e+06 0.5; - REG_RX_I[9] 0xc0 8.04014e+06 0.5; - REG_RX_I[10] 0xc0 8.04014e+06 0.5; - REG_RX_I[11] 0xc0 8.04014e+06 0.5; - REG_RX_I[12] 0xc0 8.04014e+06 0.5; - REG_RX_I[13] 0xc0 8.04014e+06 0.5; - REG_RX_I[14] 0xc0 8.04014e+06 0.5; - REG_RX_I[15] 0xc0 8.04014e+06 0.5; - REG_RX_Q[0] 0xc0 8.04014e+06 0.5; - REG_RX_Q[1] 0xc0 8.04014e+06 0.5; - REG_RX_Q[2] 0xc0 8.04014e+06 0.5; - REG_RX_Q[3] 0xc0 8.04014e+06 0.5; - REG_RX_Q[4] 0xc0 8.04014e+06 0.5; - REG_RX_Q[5] 0xc0 8.04014e+06 0.5; - REG_RX_Q[6] 0xc0 8.04014e+06 0.5; - REG_RX_Q[7] 0xc0 8.04014e+06 0.5; - REG_RX_Q[8] 0xc0 8.04014e+06 0.5; - REG_RX_Q[9] 0xc0 8.04014e+06 0.5; - REG_RX_Q[10] 0xc0 8.04014e+06 0.5; - REG_RX_Q[11] 0xc0 8.04014e+06 0.5; - REG_RX_Q[12] 0xc0 8.04014e+06 0.5; - REG_RX_Q[13] 0xc0 8.04014e+06 0.5; - REG_RX_Q[14] 0xc0 8.04014e+06 0.5; - REG_RX_Q[15] 0xc0 8.04014e+06 0.5; - TX_CICFIR_GAIN[0] 0xc0 3.125e+06 0.5; - TX_CICFIR_GAIN[0]~0 0xc0 276.737 0.000488281; - TX_CICFIR_GAIN[1] 0xc0 3.125e+06 0.5; - TX_CICFIR_GAIN[2] 0xc0 3.125e+06 0.5; - TX_CICFIR_GAIN[3] 0xc0 3.125e+06 0.5; - TX_CICFIR_GAIN[4] 0xc0 3.125e+06 0.5; - TX_I[0] 0xc0 3.125e+06 0.5; - TX_I[0]~feeder 0xc0 1 0.5; - TX_I[1] 0xc0 3.125e+06 0.5; - TX_I[1]~feeder 0xc0 2e+07 0.5; - TX_I[2] 0xc0 3.125e+06 0.5; - TX_I[2]~feeder 0xc0 2e+07 0.5; - TX_I[3] 0xc0 3.125e+06 0.5; - TX_I[3]~feeder 0xc0 2e+07 0.5; - TX_I[4] 0xc0 3.125e+06 0.5; - TX_I[5] 0xc0 3.125e+06 0.5; - TX_I[5]~feeder 0xc0 2e+07 0.5; - TX_I[6] 0xc0 3.125e+06 0.5; - TX_I[6]~feeder 0xc0 2e+07 0.5; - TX_I[7] 0xc0 3.125e+06 0.5; - TX_I[7]~feeder 0xc0 2e+07 0.5; - TX_I[8] 0xc0 3.125e+06 0.5; - TX_I[9] 0xc0 3.125e+06 0.5; - TX_I[10] 0xc0 3.125e+06 0.5; - TX_I[10]~feeder 0xc0 3.125e+06 0.5; - TX_I[11] 0xc0 3.125e+06 0.5; - TX_I[12] 0xc0 3.125e+06 0.5; - TX_I[12]~feeder 0xc0 3.125e+06 0.5; - TX_I[13] 0xc0 3.125e+06 0.5; - TX_I[13]~feeder 0xc0 3.125e+06 0.5; - TX_I[14] 0xc0 3.125e+06 0.5; - TX_I[15] 0xc0 3.125e+06 0.5; - TX_I[15]~feeder 0xc0 3.125e+06 0.5; - TX_NCO_freq[0] 0xc0 3.125e+06 0.5; - TX_NCO_freq[0]~8 0xc0 1 0.5; - TX_NCO_freq[1] 0xc0 3.125e+06 0.5; - TX_NCO_freq[1]~7 0xc0 2e+07 0.5; - TX_NCO_freq[2] 0xc0 3.125e+06 0.5; - TX_NCO_freq[2]~feeder 0xc0 2e+07 0.5; - TX_NCO_freq[3] 0xc0 3.125e+06 0.5; - TX_NCO_freq[3]~6 0xc0 2e+07 0.5; - TX_NCO_freq[4] 0xc0 3.125e+06 0.5; - TX_NCO_freq[5] 0xc0 3.125e+06 0.5; - TX_NCO_freq[5]~5 0xc0 2e+07 0.5; - TX_NCO_freq[6] 0xc0 3.125e+06 0.5; - TX_NCO_freq[7] 0xc0 3.125e+06 0.5; - TX_NCO_freq[7]~1 0xc0 403.773 0.000488281; - TX_NCO_freq[7]~4 0xc0 2e+07 0.5; - TX_NCO_freq[8] 0xc0 3.125e+06 0.5; - TX_NCO_freq[9] 0xc0 3.125e+06 0.5; - TX_NCO_freq[9]~3 0xc0 2e+07 0.5; - TX_NCO_freq[10] 0xc0 3.125e+06 0.5; - TX_NCO_freq[10]~feeder 0xc0 2e+07 0.5; - TX_NCO_freq[11] 0xc0 3.125e+06 0.5; - TX_NCO_freq[11]~feeder 0xc0 2e+07 0.5; - TX_NCO_freq[12] 0xc0 3.125e+06 0.5; - TX_NCO_freq[12]~9 0xc0 2e+07 0.5; - TX_NCO_freq[13] 0xc0 3.125e+06 0.5; - TX_NCO_freq[13]~10 0xc0 2e+07 0.5; - TX_NCO_freq[14] 0xc0 3.125e+06 0.5; - TX_NCO_freq[14]~feeder 0xc0 2e+07 0.5; - TX_NCO_freq[15] 0xc0 3.125e+06 0.5; - TX_NCO_freq[15]~0 0xc0 403.773 0.000488281; - TX_NCO_freq[15]~11 0xc0 2e+07 0.5; - TX_NCO_freq[16] 0xc0 3.125e+06 0.5; - TX_NCO_freq[16]~12 0xc0 1 0.5; - TX_NCO_freq[17] 0xc0 3.125e+06 0.5; - TX_NCO_freq[17]~13 0xc0 2e+07 0.5; - TX_NCO_freq[18] 0xc0 3.125e+06 0.5; - TX_NCO_freq[19] 0xc0 3.125e+06 0.5; - TX_NCO_freq[19]~feeder 0xc0 2e+07 0.5; - TX_NCO_freq[20] 0xc0 3.125e+06 0.5; - TX_NCO_freq[21] 0xc0 3.125e+06 0.5; - TX_NCO_freq[21]~2 0xc0 403.773 0.000488281; - TX_NCO_freq[21]~feeder 0xc0 2e+07 0.5; - TX_Q[0] 0xc0 3.125e+06 0.5; - TX_Q[0]~0 0xc0 5954.66 0.000488281; - TX_Q[0]~feeder 0xc0 3.125e+06 0.5; - TX_Q[1] 0xc0 3.125e+06 0.5; - TX_Q[1]~feeder 0xc0 3.125e+06 0.5; - TX_Q[2] 0xc0 3.125e+06 0.5; - TX_Q[3] 0xc0 3.125e+06 0.5; - TX_Q[4] 0xc0 3.125e+06 0.5; - TX_Q[4]~feeder 0xc0 3.125e+06 0.5; - TX_Q[5] 0xc0 3.125e+06 0.5; - TX_Q[5]~feeder 0xc0 3.125e+06 0.5; - TX_Q[6] 0xc0 3.125e+06 0.5; - TX_Q[6]~feeder 0xc0 3.125e+06 0.5; - TX_Q[7] 0xc0 3.125e+06 0.5; - TX_Q[7]~feeder 0xc0 3.125e+06 0.5; - TX_Q[8] 0xc0 3.125e+06 0.5; - TX_Q[8]~feeder 0xc0 3.125e+06 0.5; - TX_Q[9] 0xc0 3.125e+06 0.5; - TX_Q[9]~feeder 0xc0 3.125e+06 0.5; - TX_Q[10] 0xc0 3.125e+06 0.5; - TX_Q[10]~feeder 0xc0 3.125e+06 0.5; - TX_Q[11] 0xc0 3.125e+06 0.5; - TX_Q[11]~feeder 0xc0 3.125e+06 0.5; - TX_Q[12] 0xc0 3.125e+06 0.5; - TX_Q[12]~feeder 0xc0 3.125e+06 0.5; - TX_Q[13] 0xc0 3.125e+06 0.5; - TX_Q[13]~feeder 0xc0 3.125e+06 0.5; - TX_Q[14] 0xc0 3.125e+06 0.5; - TX_Q[15] 0xc0 3.125e+06 0.5; - TX_Q[15]~feeder 0xc0 3.125e+06 0.5; - k[0] 0xc0 3.125e+06 0.5; - k[1] 0xc0 3.125e+06 0.5; - k[2] 0xc0 3.125e+06 0.5; - k[3] 0xc0 3.125e+06 0.5; - k[4] 0xc0 3.125e+06 0.5; - k[5] 0xc0 3.125e+06 0.5; - k[6] 0xc0 3.125e+06 0.5; - k[7] 0xc0 3.125e+06 0.5; - k[8] 0xc0 3.125e+06 0.5; - k[9] 0xc0 3.125e+06 0.5; - k~0 0xc0 1.37713e+07 0.511719; - k~1 0xc0 5e+06 0.25; - k~2 0xc0 1.69523e+07 0.25386; - k~3 0xc0 2.34375e+06 0.75; - k~4 0xc0 4.90657e+07 0.496105; - k~5 0xc0 1.07994e+06 0.998047; - k~6 0xc0 1.25498e+06 0.990265; - k~7 0xc0 563228 0.990234; - k~8 0xc0 1953.51 0.00292969; - k~9 0xc0 1150.85 0.00195313; - k~10 0xc0 5.97318e+06 0.975812; - k~11 0xc0 5.94335e+06 0.972955; - k~12 0xc0 3.3013e+07 0.443948; - k~13 0xc0 5.95255e+06 0.487429; - k~14 0xc0 7.77864e+06 0.498535; - k~15 0xc0 1.1e+07 0.375; - k~16 0xc0 1.16855e+07 0.251953; - k~17 0xc0 8.22011e+06 0.557268; - k~18 0xc0 2.19001e+07 0.498047; - k~19 0xc0 5e+06 0.25; - k~20 0xc0 1.10205e+07 0.252914; - k~21 0xc0 8.89932e+06 0.486477; - k~22 0xc0 1331.86 0.997073; - k~23 0xc0 192724 0.0107012; - k~24 0xc0 2.69985e+07 0.436687; - k~25 0xc0 5.94732e+06 0.496094; - k~26 0xc0 5.96224e+06 0.487906; - k~27 0xc0 24851.2 0.993183; - k~28 0xc0 1.73839e+07 0.493745; - k~29 0xc0 1.02305e+07 0.255802; - k~30 0xc0 1.1489e+07 0.496588; - k~31 0xc0 1.27392e+07 0.445579; - k~32 0xc0 8.89892e+06 0.486477; - k~33 0xc0 5e+06 0.25; - k~34 0xc0 9.67957e+06 0.246094; - k~35 0xc0 1.68237e+07 0.562964; - k~36 0xc0 9.45565e+06 0.255802; - k~37 0xc0 61484.8 0.996094; - k~38 0xc0 9.2521e+06 0.495134; - k~39 0xc0 1.81979e+07 0.444497; - k~40 0xc0 1.1e+07 0.375; - k~41 0xc0 1.17352e+07 0.248047; - k~42 0xc0 5.61058e+06 0.497075; - k~43 0xc0 5.56328e+06 0.494652; - k~44 0xc0 1.78235e+07 0.439054; - k~45 0xc0 771930 0.992188; - k~46 0xc0 7.59565e+06 0.244202; - k~47 0xc0 538635 0.125; - k~48 0xc0 1.60657e+07 0.498536; - k~49 0xc0 1.50108e+06 0.976745; - k~50 0xc0 1.13092e+07 0.495154; - k~51 0xc0 7.20695e+06 0.56362; - k~52 0xc0 2.91061e+07 0.244202; - k~53 0xc0 1.22207e+07 0.49467; - k~54 0xc0 2.43358e+07 0.436193; - k~55 0xc0 3040.58 0.996094; - k~56 0xc0 18868.7 0.998051; - preamp_enable 0xc0 3.125e+06 0.5; - reset_n 0xc0 8.04014e+06 0.5; - reset_n~clkctrl 0xc0 8.04014e+06 0.5; - reset_n~feeder 0xc0 3.125e+06 0.5; - rx 0xc0 3.125e+06 0.5; - rx~0 0xc0 1 0.5; - sync_reset_n 0xc0 3.125e+06 0.5; - sync_reset_n~0 0xc0 920703 0.0078125; - sync_reset_n~1 0xc0 6.15195e+06 0.5; - sync_reset_n~feeder 0xc0 6.15195e+06 0.5; - tx 0xc0 3.125e+06 0.5; - tx_iq_valid 0xc0 3.125e+06 0.5; - tx_iq_valid~0 0xc0 2.05907e+06 0.00195313; - tx_iq_valid~1 0xc0 3.28191e+06 0.499268; - tx_iq_valid~feeder 0xc0 3.28191e+06 0.499268; - tx~0 0xc0 1 0.5; - tx~clkctrl 0xc0 3.125e+06 0.5; - STM32_SYNC 0x30 2e+07 0.5; - STM32_SYNC~input 0xc0 2e+07 0.5; - clock_buffer:SYSCLK_BUFFER; - clock_buffer_altclkctrl_0:altclkctrl_0; - clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component; - wire_clkctrl1_outclk 0xc0 1.28642e+08 0.499968; - tx_cic:TX_CIC_I; - tx_cic_cic_ii_0:cic_ii_0; - alt_cic_core:core; - auk_dspip_avalon_streaming_controller:avalon_controller; - auk_dspip_avalon_streaming_small_fifo:ready_FIFO; - Equal2~0 0xc0 6.91038e+06 0.125; - fifo_array[0][0] 0xc0 2.01029e+07 0.5; - fifo_array[0][0]~4 0xc0 4.79015e+06 0.875; - fifo_array[0][0]~5 0xc0 1.45982e+07 0.5; - fifo_array[1][0] 0xc0 2.01029e+07 0.5; - fifo_array[1][0]~2 0xc0 5.96806e+06 0.125; - fifo_array[1][0]~3 0xc0 1.1804e+07 0.5; - fifo_array[2][0] 0xc0 2.01029e+07 0.5; - fifo_array[2][0]~0 0xc0 5.96806e+06 0.125; - fifo_array[2][0]~1 0xc0 1.1804e+07 0.5; - fifo_array[3][0] 0xc0 2.01029e+07 0.5; - fifo_array[3][0]~6 0xc0 1.14592e+07 0.5; - fifo_array[4][0] 0xc0 2.01029e+07 0.5; - fifo_array[4][0]~8 0xc0 4.79015e+06 0.125; - fifo_array[4][0]~9 0xc0 1.14592e+07 0.5; - fifo_array[5][0] 0xc0 2.01029e+07 0.5; - fifo_array[5][0]~7 0xc0 1.46557e+07 0.5; - fifo_usedw[0] 0xc0 2.01029e+07 0.5; - fifo_usedw[0]~3 0xc0 1.21644e+07 0.5; - fifo_usedw[1] 0xc0 2.01029e+07 0.5; - fifo_usedw[2] 0xc0 2.01029e+07 0.5; - fifo_usedw~0 0xc0 8.79012e+06 0.328125; - fifo_usedw~1 0xc0 1.2368e+07 0.28125; - fifo_usedw~2 0xc0 9.36252e+06 0.452759; - fifo_usedw~4 0xc0 1.44445e+07 0.5; - Mux0~0 0xc0 1.02085e+07 0.5; - Mux0~1 0xc0 7.05808e+06 0.5; - rd_addr_ptr[0] 0xc0 2.01029e+07 0.5; - rd_addr_ptr[0]~2 0xc0 2.01029e+07 0.5; - rd_addr_ptr[1] 0xc0 2.01029e+07 0.5; - rd_addr_ptr[2] 0xc0 2.01029e+07 0.5; - rd_addr_ptr~0 0xc0 1.20146e+07 0.375; - rd_addr_ptr~1 0xc0 1.41349e+07 0.375; - usedw_process~0 0xc0 1.41349e+07 0.4375; - usedw_process~1 0xc0 1.03852e+07 0.21875; - usedw_process~2 0xc0 1.18836e+07 0.423828; - wr_addr_ptr[0] 0xc0 2.01029e+07 0.5; - wr_addr_ptr[0]~4 0xc0 2.01029e+07 0.5; - wr_addr_ptr[1] 0xc0 2.01029e+07 0.5; - wr_addr_ptr[2] 0xc0 2.01029e+07 0.5; - wr_addr_ptr~0 0xc0 4.79015e+06 0.125; - wr_addr_ptr~1 0xc0 5.73248e+06 0.875; - wr_addr_ptr~2 0xc0 1.69618e+07 0.375; - wr_addr_ptr~3 0xc0 1.69618e+07 0.375; - sink_ready_ctrl~0 0xc0 7.14842e+06 0.234375; - sink_ready_ctrl~1 0xc0 5.88953e+06 0.25; - sink_ready_ctrl~2 0xc0 1.65674e+07 0.191406; - sink_ready_ctrl~3 0xc0 1.27103e+07 0.200729; - stall_reg 0xc0 2.01029e+07 0.5; - stall_reg~feeder 0xc0 1.13079e+07 0.75; - stall_w~0 0xc0 1.13079e+07 0.75; - auk_dspip_avalon_streaming_sink:input_sink; - data_valid 0xc0 2.01029e+07 0.5; - scfifo:sink_FIFO; - scfifo_gf71:auto_generated; - dffe_nae 0xc0 2.01029e+07 0.5; - dffe_nae~0 0xc0 4.31899e+06 0.125; - dffe_nae~1 0xc0 1.59054e+07 0.503079; - a_dpfifo_1lv:dpfifo; - _~0 0xc0 1.06662e+07 0.200274; - _~1 0xc0 644474 0.03125; - _~2 0xc0 1.35761e+07 0.463874; - _~3 0xc0 1.59456e+07 0.556227; - _~4 0xc0 1.28737e+07 0.399863; - _~5 0xc0 4.04169e+06 0.109375; - _~6 0xc0 1.81103e+06 0.0625; - _~7 0xc0 9.17562e+06 0.525621; - _~8 0xc0 8.6175e+06 0.0501823; - empty_dff 0xc0 2.01029e+07 0.5; - altsyncram_l7h1:FIFOram; - q_b[0] 0xc0 2.01029e+07 0.5; - q_b[1] 0xc0 2.01029e+07 0.5; - q_b[2] 0xc0 2.01029e+07 0.5; - q_b[3] 0xc0 2.01029e+07 0.5; - q_b[4] 0xc0 2.01029e+07 0.5; - q_b[5] 0xc0 2.01029e+07 0.5; - q_b[6] 0xc0 2.01029e+07 0.5; - q_b[7] 0xc0 2.01029e+07 0.5; - q_b[8] 0xc0 2.01029e+07 0.5; - q_b[9] 0xc0 2.01029e+07 0.5; - q_b[10] 0xc0 2.01029e+07 0.5; - q_b[11] 0xc0 2.01029e+07 0.5; - q_b[12] 0xc0 2.01029e+07 0.5; - q_b[13] 0xc0 2.01029e+07 0.5; - q_b[14] 0xc0 2.01029e+07 0.5; - q_b[15] 0xc0 2.01029e+07 0.5; - full_dff 0xc0 2.01029e+07 0.5; - low_addressa[0] 0xc0 2.01029e+07 0.5; - low_addressa[1] 0xc0 2.01029e+07 0.5; - low_addressa[2] 0xc0 2.01029e+07 0.5; - ram_read_address[0]~0 0xc0 1.22889e+07 0.5; - ram_read_address[1]~1 0xc0 1.17447e+07 0.5; - ram_read_address[2]~2 0xc0 1.17447e+07 0.5; - rd_ptr_lsb 0xc0 2.01029e+07 0.5; - rd_ptr_lsb~0 0xc0 2.01029e+07 0.5; - cntr_r9b:rd_ptr_msb; - counter_comb_bita0 0xc0 2.01029e+07 0.5; - counter_comb_bita0~COUT 0xc0 2.01029e+07 0.5; - counter_comb_bita1 0xc0 2.01029e+07 0.5; - counter_reg_bit[0] 0xc0 2.01029e+07 0.5; - counter_reg_bit[1] 0xc0 2.01029e+07 0.5; - cntr_8a7:usedw_counter; - counter_comb_bita0 0xc0 2.01029e+07 0.5; - counter_comb_bita0~COUT 0xc0 1.81005e+07 0.5; - counter_comb_bita1 0xc0 1.91017e+07 0.5; - counter_comb_bita1~COUT 0xc0 9.11266e+06 0.75; - counter_comb_bita2 0xc0 1.71207e+07 0.5; - counter_reg_bit[0] 0xc0 2.01029e+07 0.5; - counter_reg_bit[1] 0xc0 2.01029e+07 0.5; - counter_reg_bit[2] 0xc0 2.01029e+07 0.5; - usedw_is_0_dff 0xc0 2.01029e+07 0.5; - usedw_is_1_dff 0xc0 2.01029e+07 0.5; - usedw_will_be_1~0 0xc0 2.20745e+07 0.440195; - valid_rreq 0xc0 1.683e+07 0.100365; - valid_wreq 0xc0 4.79015e+06 0.125; - cntr_s9b:wr_ptr; - counter_comb_bita0 0xc0 2.01029e+07 0.5; - counter_comb_bita0~COUT 0xc0 2.01029e+07 0.5; - counter_comb_bita1 0xc0 2.01029e+07 0.5; - counter_comb_bita1~COUT 0xc0 1.13079e+07 0.75; - counter_comb_bita2 0xc0 1.82183e+07 0.5; - counter_reg_bit[0] 0xc0 2.01029e+07 0.5; - counter_reg_bit[1] 0xc0 2.01029e+07 0.5; - counter_reg_bit[2] 0xc0 2.01029e+07 0.5; - alt_cic_int_siso:int_one; - auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][0]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][2]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][3]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][4]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][5]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][6]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][7]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][8]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][9]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][10]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][11]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][12]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][13]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][14]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5; - dout[0] 0xc0 2.01029e+07 0.5; - dout[0]~17 0xc0 2.01029e+07 0.5; - dout[0]~18 0xc0 1.13079e+07 0.75; - dout[0]~51 0xc0 1.50772e+07 0.25; - dout[1] 0xc0 2.01029e+07 0.5; - dout[1]~19 0xc0 1.69618e+07 0.5; - dout[1]~20 0xc0 9.36435e+06 0.375; - dout[2] 0xc0 2.01029e+07 0.5; - dout[2]~21 0xc0 1.43557e+07 0.5; - dout[2]~22 0xc0 1.10257e+07 0.5625; - dout[3] 0xc0 2.01029e+07 0.5; - dout[3]~23 0xc0 1.4241e+07 0.5; - dout[3]~24 0xc0 1.49143e+07 0.46875; - dout[4] 0xc0 2.01029e+07 0.5; - dout[4]~25 0xc0 1.50806e+07 0.5; - dout[4]~26 0xc0 1.31403e+07 0.515625; - dout[5] 0xc0 2.01029e+07 0.5; - dout[5]~27 0xc0 1.4604e+07 0.5; - dout[5]~28 0xc0 1.39437e+07 0.492188; - dout[6] 0xc0 2.01029e+07 0.5; - dout[6]~29 0xc0 1.47966e+07 0.5; - dout[6]~30 0xc0 1.35053e+07 0.503906; - dout[7] 0xc0 2.01029e+07 0.5; - dout[7]~31 0xc0 1.46849e+07 0.5; - dout[7]~32 0xc0 1.37133e+07 0.498047; - dout[8] 0xc0 2.01029e+07 0.5; - dout[8]~33 0xc0 1.47364e+07 0.5; - dout[8]~34 0xc0 1.36063e+07 0.500977; - dout[9] 0xc0 2.01029e+07 0.5; - dout[9]~35 0xc0 1.47095e+07 0.5; - dout[9]~36 0xc0 1.3659e+07 0.499512; - dout[10] 0xc0 2.01029e+07 0.5; - dout[10]~37 0xc0 1.47227e+07 0.5; - dout[10]~38 0xc0 1.36325e+07 0.500244; - dout[11] 0xc0 2.01029e+07 0.5; - dout[11]~39 0xc0 1.4716e+07 0.5; - dout[11]~40 0xc0 1.36457e+07 0.499878; - dout[12] 0xc0 2.01029e+07 0.5; - dout[12]~41 0xc0 1.47193e+07 0.5; - dout[12]~42 0xc0 1.36391e+07 0.500061; - dout[13] 0xc0 2.01029e+07 0.5; - dout[13]~43 0xc0 1.47177e+07 0.5; - dout[13]~44 0xc0 1.36424e+07 0.499969; - dout[14] 0xc0 2.01029e+07 0.5; - dout[14]~45 0xc0 1.47185e+07 0.5; - dout[14]~46 0xc0 1.36407e+07 0.500015; - dout[15] 0xc0 2.01029e+07 0.5; - dout[15]~47 0xc0 1.47181e+07 0.5; - dout[15]~48 0xc0 1.36415e+07 0.499992; - dout[16] 0xc0 2.01029e+07 0.5; - dout[16]~49 0xc0 1.47183e+07 0.5; - dout_valid 0xc0 2.01029e+07 0.5; - auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][0]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][2]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][3]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][4]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][6]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][7]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][8]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][11]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][14]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][15]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][74]~feeder 0xc0 2.01029e+07 0.5; - dout[0] 0xc0 2.01029e+07 0.5; - dout[0]~18 0xc0 2.01029e+07 0.5; - dout[0]~19 0xc0 1.13079e+07 0.75; - dout[1] 0xc0 2.01029e+07 0.5; - dout[1]~20 0xc0 1.69618e+07 0.5; - dout[1]~21 0xc0 9.36435e+06 0.375; - dout[2] 0xc0 2.01029e+07 0.5; - dout[2]~22 0xc0 1.43557e+07 0.5; - dout[2]~23 0xc0 1.10257e+07 0.5625; - dout[3] 0xc0 2.01029e+07 0.5; - dout[3]~24 0xc0 1.4241e+07 0.5; - dout[3]~25 0xc0 1.49143e+07 0.46875; - dout[4] 0xc0 2.01029e+07 0.5; - dout[4]~26 0xc0 1.50806e+07 0.5; - dout[4]~27 0xc0 1.31403e+07 0.515625; - dout[5] 0xc0 2.01029e+07 0.5; - dout[5]~28 0xc0 1.4604e+07 0.5; - dout[5]~29 0xc0 1.39437e+07 0.492188; - dout[6] 0xc0 2.01029e+07 0.5; - dout[6]~30 0xc0 1.47966e+07 0.5; - dout[6]~31 0xc0 1.35053e+07 0.503906; - dout[7] 0xc0 2.01029e+07 0.5; - dout[7]~32 0xc0 1.46849e+07 0.5; - dout[7]~33 0xc0 1.37133e+07 0.498047; - dout[8] 0xc0 2.01029e+07 0.5; - dout[8]~34 0xc0 1.47364e+07 0.5; - dout[8]~35 0xc0 1.36063e+07 0.500977; - dout[9] 0xc0 2.01029e+07 0.5; - dout[9]~36 0xc0 1.47095e+07 0.5; - dout[9]~37 0xc0 1.3659e+07 0.499512; - dout[10] 0xc0 2.01029e+07 0.5; - dout[10]~38 0xc0 1.47227e+07 0.5; - dout[10]~39 0xc0 1.36325e+07 0.500244; - dout[11] 0xc0 2.01029e+07 0.5; - dout[11]~40 0xc0 1.4716e+07 0.5; - dout[11]~41 0xc0 1.36457e+07 0.499878; - dout[12] 0xc0 2.01029e+07 0.5; - dout[12]~42 0xc0 1.47193e+07 0.5; - dout[12]~43 0xc0 1.36391e+07 0.500061; - dout[13] 0xc0 2.01029e+07 0.5; - dout[13]~44 0xc0 1.47177e+07 0.5; - dout[13]~45 0xc0 1.36424e+07 0.499969; - dout[14] 0xc0 2.01029e+07 0.5; - dout[14]~46 0xc0 1.47185e+07 0.5; - dout[14]~47 0xc0 1.36407e+07 0.500015; - dout[15] 0xc0 2.01029e+07 0.5; - dout[15]~48 0xc0 1.47181e+07 0.5; - dout[15]~49 0xc0 1.36415e+07 0.499992; - dout[16] 0xc0 2.01029e+07 0.5; - dout[16]~50 0xc0 1.47183e+07 0.5; - dout[16]~51 0xc0 1.36411e+07 0.500004; - dout[74] 0xc0 2.01029e+07 0.5; - dout[74]~52 0xc0 1.84875e+07 0.5; - dout[74]~54 0xc0 1.50772e+07 0.25; - dout_valid 0xc0 2.01029e+07 0.5; - auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][0]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][1]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][3]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][7]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][8]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][9]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][10]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][13]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][14]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][15]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][16]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5; - dout[0] 0xc0 2.01029e+07 0.5; - dout[0]~19 0xc0 2.01029e+07 0.5; - dout[0]~20 0xc0 1.13079e+07 0.75; - dout[0]~feeder 0xc0 2.01029e+07 0.5; - dout[1] 0xc0 2.01029e+07 0.5; - dout[1]~21 0xc0 1.69618e+07 0.5; - dout[1]~22 0xc0 9.36435e+06 0.375; - dout[2] 0xc0 2.01029e+07 0.5; - dout[2]~23 0xc0 1.43557e+07 0.5; - dout[2]~24 0xc0 1.10257e+07 0.5625; - dout[3] 0xc0 2.01029e+07 0.5; - dout[3]~25 0xc0 1.4241e+07 0.5; - dout[3]~26 0xc0 1.49143e+07 0.46875; - dout[4] 0xc0 2.01029e+07 0.5; - dout[4]~27 0xc0 1.50806e+07 0.5; - dout[4]~28 0xc0 1.31403e+07 0.515625; - dout[5] 0xc0 2.01029e+07 0.5; - dout[5]~29 0xc0 1.4604e+07 0.5; - dout[5]~30 0xc0 1.39437e+07 0.492188; - dout[6] 0xc0 2.01029e+07 0.5; - dout[6]~31 0xc0 1.47966e+07 0.5; - dout[6]~32 0xc0 1.35053e+07 0.503906; - dout[7] 0xc0 2.01029e+07 0.5; - dout[7]~33 0xc0 1.46849e+07 0.5; - dout[7]~34 0xc0 1.37133e+07 0.498047; - dout[8] 0xc0 2.01029e+07 0.5; - dout[8]~35 0xc0 1.47364e+07 0.5; - dout[8]~36 0xc0 1.36063e+07 0.500977; - dout[9] 0xc0 2.01029e+07 0.5; - dout[9]~37 0xc0 1.47095e+07 0.5; - dout[9]~38 0xc0 1.3659e+07 0.499512; - dout[10] 0xc0 2.01029e+07 0.5; - dout[10]~39 0xc0 1.47227e+07 0.5; - dout[10]~40 0xc0 1.36325e+07 0.500244; - dout[11] 0xc0 2.01029e+07 0.5; - dout[11]~41 0xc0 1.4716e+07 0.5; - dout[11]~42 0xc0 1.36457e+07 0.499878; - dout[12] 0xc0 2.01029e+07 0.5; - dout[12]~43 0xc0 1.47193e+07 0.5; - dout[12]~44 0xc0 1.36391e+07 0.500061; - dout[13] 0xc0 2.01029e+07 0.5; - dout[13]~45 0xc0 1.47177e+07 0.5; - dout[13]~46 0xc0 1.36424e+07 0.499969; - dout[14] 0xc0 2.01029e+07 0.5; - dout[14]~47 0xc0 1.47185e+07 0.5; - dout[14]~48 0xc0 1.36407e+07 0.500015; - dout[15] 0xc0 2.01029e+07 0.5; - dout[15]~49 0xc0 1.47181e+07 0.5; - dout[15]~50 0xc0 1.36415e+07 0.499992; - dout[16] 0xc0 2.01029e+07 0.5; - dout[16]~51 0xc0 1.47183e+07 0.5; - dout[16]~52 0xc0 1.36411e+07 0.500004; - dout[17] 0xc0 2.01029e+07 0.5; - dout[17]~53 0xc0 1.47182e+07 0.5; - dout[17]~54 0xc0 1.36413e+07 0.499998; - dout[74] 0xc0 2.01029e+07 0.5; - dout[74]~55 0xc0 1.47182e+07 0.5; - dout[74]~57 0xc0 1.50772e+07 0.25; - dout_valid 0xc0 2.01029e+07 0.5; - auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][0]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][3]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][9]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][10]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][11]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][12]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][13]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][15]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][16]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][17] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][74]~feeder 0xc0 2.01029e+07 0.5; - dout[0] 0xc0 2.01029e+07 0.5; - dout[0]~20 0xc0 2.01029e+07 0.5; - dout[0]~21 0xc0 1.13079e+07 0.75; - dout[0]~feeder 0xc0 2.01029e+07 0.5; - dout[1] 0xc0 2.01029e+07 0.5; - dout[1]~22 0xc0 1.69618e+07 0.5; - dout[1]~23 0xc0 9.36435e+06 0.375; - dout[2] 0xc0 2.01029e+07 0.5; - dout[2]~24 0xc0 1.43557e+07 0.5; - dout[2]~25 0xc0 1.10257e+07 0.5625; - dout[3] 0xc0 2.01029e+07 0.5; - dout[3]~26 0xc0 1.4241e+07 0.5; - dout[3]~27 0xc0 1.49143e+07 0.46875; - dout[4] 0xc0 2.01029e+07 0.5; - dout[4]~28 0xc0 1.50806e+07 0.5; - dout[4]~29 0xc0 1.31403e+07 0.515625; - dout[5] 0xc0 2.01029e+07 0.5; - dout[5]~30 0xc0 1.4604e+07 0.5; - dout[5]~31 0xc0 1.39437e+07 0.492188; - dout[6] 0xc0 2.01029e+07 0.5; - dout[6]~32 0xc0 1.47966e+07 0.5; - dout[6]~33 0xc0 1.35053e+07 0.503906; - dout[7] 0xc0 2.01029e+07 0.5; - dout[7]~34 0xc0 1.46849e+07 0.5; - dout[7]~35 0xc0 1.37133e+07 0.498047; - dout[8] 0xc0 2.01029e+07 0.5; - dout[8]~36 0xc0 1.47364e+07 0.5; - dout[8]~37 0xc0 1.36063e+07 0.500977; - dout[9] 0xc0 2.01029e+07 0.5; - dout[9]~38 0xc0 1.47095e+07 0.5; - dout[9]~39 0xc0 1.3659e+07 0.499512; - dout[10] 0xc0 2.01029e+07 0.5; - dout[10]~40 0xc0 1.47227e+07 0.5; - dout[10]~41 0xc0 1.36325e+07 0.500244; - dout[11] 0xc0 2.01029e+07 0.5; - dout[11]~42 0xc0 1.4716e+07 0.5; - dout[11]~43 0xc0 1.36457e+07 0.499878; - dout[12] 0xc0 2.01029e+07 0.5; - dout[12]~44 0xc0 1.47193e+07 0.5; - dout[12]~45 0xc0 1.36391e+07 0.500061; - dout[13] 0xc0 2.01029e+07 0.5; - dout[13]~46 0xc0 1.47177e+07 0.5; - dout[13]~47 0xc0 1.36424e+07 0.499969; - dout[14] 0xc0 2.01029e+07 0.5; - dout[14]~48 0xc0 1.47185e+07 0.5; - dout[14]~49 0xc0 1.36407e+07 0.500015; - dout[15] 0xc0 2.01029e+07 0.5; - dout[15]~50 0xc0 1.47181e+07 0.5; - dout[15]~51 0xc0 1.36415e+07 0.499992; - dout[16] 0xc0 2.01029e+07 0.5; - dout[16]~52 0xc0 1.47183e+07 0.5; - dout[16]~53 0xc0 1.36411e+07 0.500004; - dout[17] 0xc0 2.01029e+07 0.5; - dout[17]~54 0xc0 1.47182e+07 0.5; - dout[17]~55 0xc0 1.36413e+07 0.499998; - dout[18] 0xc0 2.01029e+07 0.5; - dout[18]~56 0xc0 1.47182e+07 0.5; - dout[18]~57 0xc0 1.36412e+07 0.500001; - dout[19] 0xc0 2.01029e+07 0.5; - dout[19]~58 0xc0 1.84875e+07 0.5; - dout[74]~60 0xc0 1.50772e+07 0.25; - dout_valid 0xc0 2.01029e+07 0.5; - auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][0]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][1]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][2]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][3]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][5]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][6]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][7]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][8]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][9]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][12]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][13]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][14]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][15]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][17] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][17]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][18] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][18]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][19] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][19]~feeder 0xc0 2.01029e+07 0.5; - dout[0] 0xc0 2.01029e+07 0.5; - dout[0]~21 0xc0 2.01029e+07 0.5; - dout[0]~22 0xc0 1.13079e+07 0.75; - dout[1] 0xc0 2.01029e+07 0.5; - dout[1]~23 0xc0 1.69618e+07 0.5; - dout[1]~24 0xc0 9.36435e+06 0.375; - dout[2] 0xc0 2.01029e+07 0.5; - dout[2]~25 0xc0 1.43557e+07 0.5; - dout[2]~26 0xc0 1.10257e+07 0.5625; - dout[3] 0xc0 2.01029e+07 0.5; - dout[3]~27 0xc0 1.4241e+07 0.5; - dout[3]~28 0xc0 1.49143e+07 0.46875; - dout[4] 0xc0 2.01029e+07 0.5; - dout[4]~29 0xc0 1.50806e+07 0.5; - dout[4]~30 0xc0 1.31403e+07 0.515625; - dout[5] 0xc0 2.01029e+07 0.5; - dout[5]~31 0xc0 1.4604e+07 0.5; - dout[5]~32 0xc0 1.39437e+07 0.492188; - dout[6] 0xc0 2.01029e+07 0.5; - dout[6]~33 0xc0 1.47966e+07 0.5; - dout[6]~34 0xc0 1.35053e+07 0.503906; - dout[7] 0xc0 2.01029e+07 0.5; - dout[7]~35 0xc0 1.46849e+07 0.5; - dout[7]~36 0xc0 1.37133e+07 0.498047; - dout[8] 0xc0 2.01029e+07 0.5; - dout[8]~37 0xc0 1.47364e+07 0.5; - dout[8]~38 0xc0 1.36063e+07 0.500977; - dout[9] 0xc0 2.01029e+07 0.5; - dout[9]~39 0xc0 1.47095e+07 0.5; - dout[9]~40 0xc0 1.3659e+07 0.499512; - dout[10] 0xc0 2.01029e+07 0.5; - dout[10]~41 0xc0 1.47227e+07 0.5; - dout[10]~42 0xc0 1.36325e+07 0.500244; - dout[11] 0xc0 2.01029e+07 0.5; - dout[11]~43 0xc0 1.4716e+07 0.5; - dout[11]~44 0xc0 1.36457e+07 0.499878; - dout[12] 0xc0 2.01029e+07 0.5; - dout[12]~45 0xc0 1.47193e+07 0.5; - dout[12]~46 0xc0 1.36391e+07 0.500061; - dout[13] 0xc0 2.01029e+07 0.5; - dout[13]~47 0xc0 1.47177e+07 0.5; - dout[13]~48 0xc0 1.36424e+07 0.499969; - dout[14] 0xc0 2.01029e+07 0.5; - dout[14]~49 0xc0 1.47185e+07 0.5; - dout[14]~50 0xc0 1.36407e+07 0.500015; - dout[15] 0xc0 2.01029e+07 0.5; - dout[15]~51 0xc0 1.47181e+07 0.5; - dout[15]~52 0xc0 1.36415e+07 0.499992; - dout[16] 0xc0 2.01029e+07 0.5; - dout[16]~53 0xc0 1.47183e+07 0.5; - dout[16]~54 0xc0 1.36411e+07 0.500004; - dout[17] 0xc0 2.01029e+07 0.5; - dout[17]~55 0xc0 1.47182e+07 0.5; - dout[17]~56 0xc0 1.36413e+07 0.499998; - dout[18] 0xc0 2.01029e+07 0.5; - dout[18]~57 0xc0 1.47182e+07 0.5; - dout[18]~58 0xc0 1.36412e+07 0.500001; - dout[19] 0xc0 2.01029e+07 0.5; - dout[19]~59 0xc0 1.47182e+07 0.5; - dout[19]~60 0xc0 1.36413e+07 0.5; - dout[74] 0xc0 2.01029e+07 0.5; - dout[74]~61 0xc0 1.47182e+07 0.5; - dout[74]~63 0xc0 1.50772e+07 0.25; - dout_valid 0xc0 2.01029e+07 0.5; - auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][3]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][4]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][17] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][18] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][18]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][19] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][19]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][74]~feeder 0xc0 2.01029e+07 0.5; - dout[0] 0xc0 2.01029e+07 0.5; - dout[0]~22 0xc0 2.01029e+07 0.5; - dout[0]~23 0xc0 1.13079e+07 0.75; - dout[1] 0xc0 2.01029e+07 0.5; - dout[1]~24 0xc0 1.69618e+07 0.5; - dout[1]~25 0xc0 9.36435e+06 0.375; - dout[1]~feeder 0xc0 1.69618e+07 0.5; - dout[2] 0xc0 2.01029e+07 0.5; - dout[2]~26 0xc0 1.43557e+07 0.5; - dout[2]~27 0xc0 1.10257e+07 0.5625; - dout[3] 0xc0 2.01029e+07 0.5; - dout[3]~28 0xc0 1.4241e+07 0.5; - dout[3]~29 0xc0 1.49143e+07 0.46875; - dout[4] 0xc0 2.01029e+07 0.5; - dout[4]~30 0xc0 1.50806e+07 0.5; - dout[4]~31 0xc0 1.31403e+07 0.515625; - dout[5] 0xc0 2.01029e+07 0.5; - dout[5]~32 0xc0 1.4604e+07 0.5; - dout[5]~33 0xc0 1.39437e+07 0.492188; - dout[6] 0xc0 2.01029e+07 0.5; - dout[6]~34 0xc0 1.47966e+07 0.5; - dout[6]~35 0xc0 1.35053e+07 0.503906; - dout[7] 0xc0 2.01029e+07 0.5; - dout[7]~36 0xc0 1.46849e+07 0.5; - dout[7]~37 0xc0 1.37133e+07 0.498047; - dout[8] 0xc0 2.01029e+07 0.5; - dout[8]~38 0xc0 1.47364e+07 0.5; - dout[8]~39 0xc0 1.36063e+07 0.500977; - dout[9] 0xc0 2.01029e+07 0.5; - dout[9]~40 0xc0 1.47095e+07 0.5; - dout[9]~41 0xc0 1.3659e+07 0.499512; - dout[10] 0xc0 2.01029e+07 0.5; - dout[10]~42 0xc0 1.47227e+07 0.5; - dout[10]~43 0xc0 1.36325e+07 0.500244; - dout[11] 0xc0 2.01029e+07 0.5; - dout[11]~44 0xc0 1.4716e+07 0.5; - dout[11]~45 0xc0 1.36457e+07 0.499878; - dout[12] 0xc0 2.01029e+07 0.5; - dout[12]~46 0xc0 1.47193e+07 0.5; - dout[12]~47 0xc0 1.36391e+07 0.500061; - dout[13] 0xc0 2.01029e+07 0.5; - dout[13]~48 0xc0 1.47177e+07 0.5; - dout[13]~49 0xc0 1.36424e+07 0.499969; - dout[14] 0xc0 2.01029e+07 0.5; - dout[14]~50 0xc0 1.47185e+07 0.5; - dout[14]~51 0xc0 1.36407e+07 0.500015; - dout[15] 0xc0 2.01029e+07 0.5; - dout[15]~52 0xc0 1.47181e+07 0.5; - dout[15]~53 0xc0 1.36415e+07 0.499992; - dout[16] 0xc0 2.01029e+07 0.5; - dout[16]~54 0xc0 1.47183e+07 0.5; - dout[16]~55 0xc0 1.36411e+07 0.500004; - dout[17] 0xc0 2.01029e+07 0.5; - dout[17]~56 0xc0 1.47182e+07 0.5; - dout[17]~57 0xc0 1.36413e+07 0.499998; - dout[18] 0xc0 2.01029e+07 0.5; - dout[18]~58 0xc0 1.47182e+07 0.5; - dout[18]~59 0xc0 1.36412e+07 0.500001; - dout[19] 0xc0 2.01029e+07 0.5; - dout[19]~60 0xc0 1.47182e+07 0.5; - dout[19]~61 0xc0 1.36413e+07 0.5; - dout[20] 0xc0 2.01029e+07 0.5; - dout[20]~62 0xc0 1.47182e+07 0.5; - dout[20]~63 0xc0 1.36413e+07 0.5; - dout[74] 0xc0 2.01029e+07 0.5; - dout[74]~64 0xc0 1.47182e+07 0.5; - dout[74]~66 0xc0 1.50772e+07 0.25; - dout_valid 0xc0 2.01029e+07 0.5; - Equal0~0 0xc0 2.4736e+06 0.0625; - Equal0~1 0xc0 445396 0.015625; - Equal0~2 0xc0 2.4736e+06 0.0625; - Equal0~3 0xc0 9678.07 0.000244141; - counter_module:counter_fs_inst; - Add0~0 0xc0 2.01029e+07 0.5; - Add0~1 0xc0 2.01029e+07 0.5; - Add0~2 0xc0 2.01029e+07 0.5; - Add0~3 0xc0 1.13079e+07 0.75; - Add0~4 0xc0 1.82183e+07 0.5; - Add0~5 0xc0 1.53913e+07 0.125; - Add0~6 0xc0 2.34011e+07 0.5; - Add0~7 0xc0 4.47604e+06 0.9375; - Add0~8 0xc0 1.99851e+07 0.5; - Add0~9 0xc0 1.88661e+07 0.03125; - Add0~10 0xc0 2.83188e+07 0.5; - Add0~11 0xc0 4.75579e+06 0.984375; - Add0~12 0xc0 2.18624e+07 0.5; - Add0~13 0xc0 2.06735e+07 0.0078125; - Add0~14 0xc0 3.0128e+07 0.5; - Add0~15 0xc0 5.17082e+06 0.996094; - Add0~16 0xc0 2.25319e+07 0.5; - Add0~17 0xc0 2.12392e+07 0.00195313; - Add0~18 0xc0 3.06441e+07 0.5; - Add0~19 0xc0 5.30995e+06 0.999023; - Add0~20 0xc0 2.27187e+07 0.5; - Add0~21 0xc0 2.13912e+07 0.000488281; - Add0~22 0xc0 3.07789e+07 0.5; - Equal0~0 0xc0 1.81103e+06 0.0625; - Equal0~1 0xc0 9543.16 0.000244141; - count[0] 0xc0 2.01029e+07 0.5; - count[1] 0xc0 2.01029e+07 0.5; - count[2] 0xc0 2.01029e+07 0.5; - count[3] 0xc0 2.01029e+07 0.5; - count[4] 0xc0 2.01029e+07 0.5; - count[5] 0xc0 2.01029e+07 0.5; - count[6] 0xc0 2.01029e+07 0.5; - count[7] 0xc0 2.01029e+07 0.5; - count[8] 0xc0 2.01029e+07 0.5; - count[9] 0xc0 2.01029e+07 0.5; - count[10] 0xc0 2.01029e+07 0.5; - count[11] 0xc0 2.01029e+07 0.5; - count~0 0xc0 4.01886e+07 0.499878; - count~1 0xc0 3.64212e+07 0.499878; - count~2 0xc0 3.99531e+07 0.499878; - count~3 0xc0 4.50442e+07 0.499878; - count~4 0xc0 4.54176e+07 0.499878; - count~5 0xc0 3.07672e+07 0.499878; - auk_dspip_upsample:first_upsample; - dout[0]~21 0xc0 1.50772e+07 0.25; - dout[1]~20 0xc0 1.50772e+07 0.25; - dout[2]~19 0xc0 1.50772e+07 0.25; - dout[3]~18 0xc0 1.50772e+07 0.25; - dout[4]~17 0xc0 1.50772e+07 0.25; - dout[5]~16 0xc0 1.50772e+07 0.25; - dout[6]~15 0xc0 1.50772e+07 0.25; - dout[7]~14 0xc0 1.50772e+07 0.25; - dout[8]~13 0xc0 1.50772e+07 0.25; - dout[9]~12 0xc0 1.50772e+07 0.25; - dout[10]~11 0xc0 1.50772e+07 0.25; - dout[11]~10 0xc0 1.50772e+07 0.25; - dout[12]~9 0xc0 1.50772e+07 0.25; - dout[13]~8 0xc0 1.50772e+07 0.25; - dout[14]~7 0xc0 1.50772e+07 0.25; - dout[15]~6 0xc0 1.50772e+07 0.25; - dout[16]~5 0xc0 1.50772e+07 0.25; - dout[17]~4 0xc0 1.50772e+07 0.25; - dout[18]~3 0xc0 1.50772e+07 0.25; - dout[19]~2 0xc0 1.50772e+07 0.25; - dout[20]~1 0xc0 1.50772e+07 0.25; - dout[59]~0 0xc0 1.50772e+07 0.25; - auk_dspip_integrator:integrator_loop[0].auK_integrator; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 1.63336e+07 0.125; - \register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 3.06256e+07 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 9.10914e+06 0.8125; - \register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 1.81348e+07 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 4.25617e+07 0.21875; - \register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 3.48022e+07 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 1.36563e+07 0.765625; - \register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 1.83641e+07 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 2.0005e+07 0.242188; - \register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 2.37468e+07 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 1.32891e+07 0.753906; - \register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 2.15376e+07 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 2.62981e+07 0.248047; - \register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 2.55483e+07 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 1.43056e+07 0.750977; - \register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 2.49195e+07 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 3.85848e+07 0.249512; - \register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 2.93472e+07 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 1.62343e+07 0.750244; - \register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 2.54982e+07 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 3.88336e+07 0.249878; - \register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 2.94148e+07 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 1.62755e+07 0.750061; - \register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 2.55051e+07 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 3.88269e+07 0.249969; - \register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 2.94102e+07 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 1.6275e+07 0.750015; - \register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 1.88285e+07 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 1.98587e+07 0.249992; - \register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 2.3482e+07 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 1.33114e+07 0.750004; - \register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 1.79021e+07 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 3.83597e+07 0.249998; - \register_fifo:fifo_data[0][17] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 3.24045e+07 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 1.33752e+07 0.750001; - \register_fifo:fifo_data[0][18] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 1.7922e+07 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 1.94051e+07 0.25; - \register_fifo:fifo_data[0][19] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 2.64812e+07 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 1.04136e+07 0.75; - \register_fifo:fifo_data[0][20] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 1.69965e+07 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 1.89423e+07 0.25; - \register_fifo:fifo_data[0][21] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 2.31954e+07 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 1.31683e+07 0.75; - \register_fifo:fifo_data[0][22] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 2.1391e+07 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 2.60868e+07 0.25; - \register_fifo:fifo_data[0][23] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 2.54281e+07 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 1.42846e+07 0.75; - \register_fifo:fifo_data[0][24] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 2.4881e+07 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 3.85115e+07 0.25; - \register_fifo:fifo_data[0][25] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 2.93108e+07 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 1.62259e+07 0.75; - \register_fifo:fifo_data[0][26] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 2.23466e+07 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 2.65646e+07 0.25; - \register_fifo:fifo_data[0][27] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 2.55774e+07 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 1.43592e+07 0.75; - \register_fifo:fifo_data[0][28] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 2.49043e+07 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 3.85231e+07 0.25; - \register_fifo:fifo_data[0][29] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 2.93144e+07 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 1.62278e+07 0.75; - \register_fifo:fifo_data[0][30] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 2.23471e+07 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 2.65649e+07 0.25; - \register_fifo:fifo_data[0][31] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 2.55775e+07 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 1.43593e+07 0.75; - \register_fifo:fifo_data[0][32] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 2.49043e+07 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 3.85231e+07 0.25; - \register_fifo:fifo_data[0][33] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 2.93144e+07 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 1.62278e+07 0.75; - \register_fifo:fifo_data[0][34] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 2.54882e+07 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 3.88151e+07 0.25; - \register_fifo:fifo_data[0][35] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 3.25468e+07 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 1.34464e+07 0.75; - \register_fifo:fifo_data[0][36] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 2.1478e+07 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 2.61303e+07 0.25; - \register_fifo:fifo_data[0][37] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 2.85827e+07 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 1.14644e+07 0.75; - \register_fifo:fifo_data[0][38] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 2.08586e+07 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 2.58206e+07 0.25; - \register_fifo:fifo_data[0][39] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 2.53449e+07 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 1.4243e+07 0.75; - \register_fifo:fifo_data[0][40] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 2.4868e+07 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 3.8505e+07 0.25; - \register_fifo:fifo_data[0][41] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 2.93088e+07 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 1.62249e+07 0.75; - \register_fifo:fifo_data[0][42] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 2.54873e+07 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 3.88146e+07 0.25; - \register_fifo:fifo_data[0][43] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 3.25466e+07 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 1.34463e+07 0.75; - \register_fifo:fifo_data[0][44] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 2.4619e+07 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 3.83805e+07 0.25; - \register_fifo:fifo_data[0][45] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 2.92699e+07 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 1.62055e+07 0.75; - \register_fifo:fifo_data[0][46] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 2.23402e+07 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 2.65614e+07 0.25; - \register_fifo:fifo_data[0][47] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 2.55764e+07 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 1.43587e+07 0.75; - \register_fifo:fifo_data[0][48] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 2.17631e+07 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 2.62728e+07 0.25; - \register_fifo:fifo_data[0][49] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 2.54862e+07 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 1.43136e+07 0.75; - \register_fifo:fifo_data[0][50] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 2.1749e+07 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 2.62658e+07 0.25; - \register_fifo:fifo_data[0][51] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 2.5484e+07 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 1.43125e+07 0.75; - \register_fifo:fifo_data[0][52] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 2.48897e+07 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 3.85158e+07 0.25; - \register_fifo:fifo_data[0][53] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 2.93122e+07 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 1.62266e+07 0.75; - \register_fifo:fifo_data[0][54] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 2.54879e+07 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 3.88149e+07 0.25; - \register_fifo:fifo_data[0][55] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 3.25467e+07 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 1.34464e+07 0.75; - \register_fifo:fifo_data[0][56] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 2.4619e+07 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 3.83805e+07 0.25; - \register_fifo:fifo_data[0][57] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 3.24109e+07 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 1.33785e+07 0.75; - \register_fifo:fifo_data[0][58] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 2.45978e+07 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 3.83699e+07 0.25; - \register_fifo:fifo_data[0][59] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 3.24076e+07 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 1.33768e+07 0.75; - \register_fifo:fifo_data[0][60] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 2.45973e+07 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 3.83696e+07 0.25; - \register_fifo:fifo_data[0][61] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 2.92665e+07 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 1.62038e+07 0.75; - \register_fifo:fifo_data[0][62] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 2.23396e+07 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 2.65611e+07 0.25; - \register_fifo:fifo_data[0][63] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 2.55763e+07 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 1.43587e+07 0.75; - \register_fifo:fifo_data[0][64] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 2.1763e+07 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 2.62728e+07 0.25; - \register_fifo:fifo_data[0][65] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 2.54862e+07 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 1.43136e+07 0.75; - \register_fifo:fifo_data[0][66] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 2.48901e+07 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 3.8516e+07 0.25; - \register_fifo:fifo_data[0][67] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 2.93122e+07 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 1.62266e+07 0.75; - \register_fifo:fifo_data[0][68] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 2.54879e+07 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 3.88149e+07 0.25; - \register_fifo:fifo_data[0][69] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 2.94056e+07 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 1.62733e+07 0.75; - \register_fifo:fifo_data[0][70] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 2.55025e+07 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 3.88222e+07 0.25; - \register_fifo:fifo_data[0][71] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 3.2549e+07 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 1.34475e+07 0.75; - \register_fifo:fifo_data[0][72] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 2.46194e+07 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 3.83807e+07 0.25; - \register_fifo:fifo_data[0][73] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 3.2411e+07 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 1.33785e+07 0.75; - \register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 2.14567e+07 0.5; - auk_dspip_integrator:integrator_loop[1].auK_integrator; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 1.13079e+07 0.25; - \register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 1.69618e+07 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 9.36435e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 1.43557e+07 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 1.66796e+07 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 1.56545e+07 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 1.27941e+07 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 1.45506e+07 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 1.42887e+07 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 1.48911e+07 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 1.33805e+07 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 1.46558e+07 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 1.37883e+07 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 1.47557e+07 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 1.3572e+07 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 1.47011e+07 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 1.3677e+07 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 1.47272e+07 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 1.36237e+07 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 1.47138e+07 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 1.36501e+07 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 1.47204e+07 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 1.36369e+07 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 1.47171e+07 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 1.36435e+07 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 1.47188e+07 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 1.36402e+07 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 1.47179e+07 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 1.36418e+07 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 1.47184e+07 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 1.3641e+07 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 1.47181e+07 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 1.36414e+07 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 1.36412e+07 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 1.36413e+07 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][20] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][21] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][22] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][23] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][24] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][25] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][26] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][27] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][28] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][29] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][30] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][31] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][32] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][33] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][34] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][35] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][36] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][37] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][38] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][39] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][40] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][41] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][42] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][43] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][44] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][45] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][46] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][47] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][48] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][49] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][50] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][51] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][52] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][53] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][54] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][55] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][56] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][57] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][58] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][59] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][60] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][61] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][62] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][63] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][64] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][65] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][66] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][67] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][68] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][69] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][70] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][71] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][72] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][73] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 1.84875e+07 0.5; - auk_dspip_integrator:integrator_loop[2].auK_integrator; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 1.13079e+07 0.25; - \register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 1.69618e+07 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 9.36435e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 1.43557e+07 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 1.66796e+07 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 1.56545e+07 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 1.27941e+07 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 1.45506e+07 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 1.42887e+07 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 1.48911e+07 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 1.33805e+07 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 1.46558e+07 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 1.37883e+07 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 1.47557e+07 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 1.3572e+07 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 1.47011e+07 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 1.3677e+07 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 1.47272e+07 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 1.36237e+07 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 1.47138e+07 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 1.36501e+07 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 1.47204e+07 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 1.36369e+07 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 1.47171e+07 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 1.36435e+07 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 1.47188e+07 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 1.36402e+07 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 1.47179e+07 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 1.36418e+07 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 1.47184e+07 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 1.3641e+07 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 1.47181e+07 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 1.36414e+07 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 1.36412e+07 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 1.36413e+07 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][20] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][21] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][22] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][23] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][24] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][25] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][26] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][27] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][28] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][29] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][30] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][31] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][32] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][33] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][34] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][35] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][36] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][37] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][38] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][39] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][40] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][41] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][42] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][43] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][44] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][45] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][46] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][47] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][48] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][49] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][50] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][51] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][52] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][53] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][54] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][55] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][56] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][57] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][58] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][59] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][60] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][61] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][62] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][63] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][64] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][65] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][66] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][67] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][68] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][69] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][70] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][71] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][72] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][73] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 1.84875e+07 0.5; - auk_dspip_integrator:integrator_loop[3].auK_integrator; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 1.13079e+07 0.25; - \register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 1.69618e+07 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 9.36435e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 1.43557e+07 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 1.66796e+07 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 1.56545e+07 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 1.27941e+07 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 1.45506e+07 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 1.42887e+07 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 1.48911e+07 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 1.33805e+07 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 1.46558e+07 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 1.37883e+07 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 1.47557e+07 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 1.3572e+07 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 1.47011e+07 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 1.3677e+07 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 1.47272e+07 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 1.36237e+07 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 1.47138e+07 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 1.36501e+07 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 1.47204e+07 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 1.36369e+07 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 1.47171e+07 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 1.36435e+07 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 1.47188e+07 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 1.36402e+07 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 1.47179e+07 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 1.36418e+07 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 1.47184e+07 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 1.3641e+07 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 1.47181e+07 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 1.36414e+07 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 1.36412e+07 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 1.36413e+07 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][20] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][21] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][22] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][23] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][24] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][25] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][26] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][27] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][28] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][29] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][30] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][31] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][32] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][33] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][34] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][35] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][36] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][37] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][38] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][39] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][40] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][41] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][42] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][43] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][44] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][45] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][46] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][47] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][48] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][49] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][50] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][51] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][52] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][53] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][54] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][55] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][56] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][57] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][58] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][59] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][60] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][61] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][62] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][63] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][64] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][65] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][66] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][67] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][68] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][69] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][70] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][71] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][72] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][73] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 1.84875e+07 0.5; - auk_dspip_integrator:integrator_loop[4].auK_integrator; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 1.13079e+07 0.25; - \register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 1.69618e+07 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 9.36435e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 1.43557e+07 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 1.66796e+07 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 1.56545e+07 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 1.27941e+07 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 1.45506e+07 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 1.42887e+07 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 1.48911e+07 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 1.33805e+07 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 1.46558e+07 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 1.37883e+07 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 1.47557e+07 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 1.3572e+07 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 1.47011e+07 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 1.3677e+07 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 1.47272e+07 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 1.36237e+07 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 1.47138e+07 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 1.36501e+07 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 1.47204e+07 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 1.36369e+07 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 1.47171e+07 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 1.36435e+07 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 1.47188e+07 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 1.36402e+07 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 1.47179e+07 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 1.36418e+07 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 1.47184e+07 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 1.3641e+07 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 1.47181e+07 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 1.36414e+07 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 1.36412e+07 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 1.36413e+07 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][20] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][21] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][22] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][23] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][24] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][25] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][26] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][27] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][28] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][29] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][30] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][31] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][32] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][33] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][34] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][35] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][36] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][37] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][38] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][39] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][40] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][41] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][42] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][43] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][44] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][45] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][46] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][47] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][48] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][49] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][50] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][51] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][52] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][53] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][54] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][55] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][56] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][57] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][58] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][59] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][60] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][61] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][62] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][63] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][64] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][65] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][66] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][67] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][68] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][69] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][70] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][71] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][72] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][73] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 1.84875e+07 0.5; - auk_dspip_integrator:integrator_loop[5].auK_integrator; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 1.13079e+07 0.25; - \register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 1.69618e+07 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 9.36435e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 1.43557e+07 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 1.66796e+07 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 1.56545e+07 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 1.27941e+07 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 1.45506e+07 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 1.42887e+07 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 1.48911e+07 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 1.33805e+07 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 1.46558e+07 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 1.37883e+07 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 1.47557e+07 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 1.3572e+07 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 1.47011e+07 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 1.3677e+07 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 1.47272e+07 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 1.36237e+07 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 1.47138e+07 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 1.36501e+07 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 1.47204e+07 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 1.36369e+07 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 1.47171e+07 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 1.36435e+07 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 1.47188e+07 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 1.36402e+07 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 1.47179e+07 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 1.36418e+07 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 1.47184e+07 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 1.3641e+07 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 1.47181e+07 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 1.36414e+07 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 1.36412e+07 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 1.36413e+07 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][20] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][21] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][22] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][23] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][24] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][25] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][26] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][27] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][28] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][29] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][30] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][31] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][32] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][33] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][34] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][35] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][36] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][37] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][38] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][39] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][40] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][41] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][42] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][43] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][44] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][45] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][46] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][47] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][48] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][49] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][50] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][51] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][52] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][53] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][54] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][55] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][56] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][57] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][58] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][59] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][60] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][61] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][62] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][63] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][64] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][65] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][66] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][67] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][68] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][69] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][70] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][71] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][72] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][73] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 1.84875e+07 0.5; - sample_valid 0xc0 2.01029e+07 0.5; - auk_dspip_avalon_streaming_source:output_source_0; - scfifo:source_FIFO; - scfifo_ci71:auto_generated; - dffe_af 0xc0 2.01029e+07 0.5; - dffe_af~0 0xc0 1.81103e+06 0.0625; - dffe_af~1 0xc0 5.74229e+06 0.25; - dffe_af~2 0xc0 2.81372e+07 0.5; - a_dpfifo_9qv:dpfifo; - _~0 0xc0 3.53372e+06 0.0625; - _~1 0xc0 4.79015e+06 0.125; - _~2 0xc0 7.77128e+06 0.314941; - _~3 0xc0 1.45195e+07 0.578125; - _~4 0xc0 1.46209e+07 0.509035; - _~5 0xc0 4.0196e+06 0.1875; - _~6 0xc0 8.3116e+06 0.4375; - empty_dff 0xc0 2.01029e+07 0.5; - altsyncram_hah1:FIFOram; - q_b[0] 0xc0 2.01029e+07 0.5; - q_b[1] 0xc0 2.01029e+07 0.5; - q_b[2] 0xc0 2.01029e+07 0.5; - q_b[3] 0xc0 2.01029e+07 0.5; - q_b[4] 0xc0 2.01029e+07 0.5; - q_b[5] 0xc0 2.01029e+07 0.5; - q_b[6] 0xc0 2.01029e+07 0.5; - q_b[7] 0xc0 2.01029e+07 0.5; - q_b[8] 0xc0 2.01029e+07 0.5; - q_b[9] 0xc0 2.01029e+07 0.5; - q_b[10] 0xc0 2.01029e+07 0.5; - q_b[11] 0xc0 2.01029e+07 0.5; - q_b[12] 0xc0 2.01029e+07 0.5; - q_b[13] 0xc0 2.01029e+07 0.5; - q_b[14] 0xc0 2.01029e+07 0.5; - q_b[15] 0xc0 2.01029e+07 0.5; - full_dff 0xc0 2.01029e+07 0.5; - low_addressa[0] 0xc0 2.01029e+07 0.5; - low_addressa[1] 0xc0 2.01029e+07 0.5; - low_addressa[2] 0xc0 2.01029e+07 0.5; - low_addressa[3] 0xc0 2.01029e+07 0.5; - low_addressa[4] 0xc0 2.01029e+07 0.5; - ram_read_address[0]~0 0xc0 1.10331e+07 0.5; - ram_read_address[1]~1 0xc0 1.10331e+07 0.5; - ram_read_address[2]~2 0xc0 9.19441e+06 0.5; - ram_read_address[3]~3 0xc0 9.19441e+06 0.5; - ram_read_address[4]~4 0xc0 9.19441e+06 0.5; - rd_ptr_lsb 0xc0 2.01029e+07 0.5; - rd_ptr_lsb~0 0xc0 2.01029e+07 0.5; - cntr_t9b:rd_ptr_msb; - counter_comb_bita0 0xc0 2.01029e+07 0.5; - counter_comb_bita0~COUT 0xc0 2.01029e+07 0.5; - counter_comb_bita1 0xc0 2.01029e+07 0.5; - counter_comb_bita1~COUT 0xc0 1.13079e+07 0.75; - counter_comb_bita2 0xc0 1.82183e+07 0.5; - counter_comb_bita2~COUT 0xc0 1.53913e+07 0.125; - counter_comb_bita3 0xc0 2.34011e+07 0.5; - counter_reg_bit[0] 0xc0 2.01029e+07 0.5; - counter_reg_bit[1] 0xc0 2.01029e+07 0.5; - counter_reg_bit[2] 0xc0 2.01029e+07 0.5; - counter_reg_bit[3] 0xc0 2.01029e+07 0.5; - cntr_aa7:usedw_counter; - counter_comb_bita0 0xc0 2.01029e+07 0.5; - counter_comb_bita0~COUT 0xc0 2.01029e+07 0.5; - counter_comb_bita1 0xc0 2.01029e+07 0.5; - counter_comb_bita1~COUT 0xc0 1.49201e+07 0.75; - counter_comb_bita2 0xc0 2.00244e+07 0.5; - counter_comb_bita2~COUT 0xc0 2.1963e+07 0.125; - counter_comb_bita3 0xc0 2.66869e+07 0.5; - counter_comb_bita3~COUT 0xc0 4.25626e+06 0.9375; - counter_comb_bita4 0xc0 1.98752e+07 0.5; - counter_reg_bit[0] 0xc0 2.01029e+07 0.5; - counter_reg_bit[1] 0xc0 2.01029e+07 0.5; - counter_reg_bit[2] 0xc0 2.01029e+07 0.5; - counter_reg_bit[3] 0xc0 2.01029e+07 0.5; - counter_reg_bit[4] 0xc0 2.01029e+07 0.5; - usedw_is_0_dff 0xc0 2.01029e+07 0.5; - usedw_is_1_dff 0xc0 2.01029e+07 0.5; - usedw_will_be_1~0 0xc0 1.81103e+06 0.0625; - usedw_will_be_1~1 0xc0 6.69742e+06 0.324219; - usedw_will_be_1~2 0xc0 1.84161e+07 0.478027; - valid_rreq~0 0xc0 8.7165e+06 0.375; - valid_wreq 0xc0 1.50772e+07 0.75; - cntr_u9b:wr_ptr; - counter_comb_bita0 0xc0 2.01029e+07 0.5; - counter_comb_bita0~COUT 0xc0 2.01029e+07 0.5; - counter_comb_bita1 0xc0 2.01029e+07 0.5; - counter_comb_bita1~COUT 0xc0 1.13079e+07 0.75; - counter_comb_bita2 0xc0 1.82183e+07 0.5; - counter_comb_bita2~COUT 0xc0 1.53913e+07 0.125; - counter_comb_bita3 0xc0 2.34011e+07 0.5; - counter_comb_bita3~COUT 0xc0 4.47604e+06 0.9375; - counter_comb_bita4 0xc0 1.99851e+07 0.5; - counter_reg_bit[0] 0xc0 2.01029e+07 0.5; - counter_reg_bit[1] 0xc0 2.01029e+07 0.5; - counter_reg_bit[2] 0xc0 2.01029e+07 0.5; - counter_reg_bit[3] 0xc0 2.01029e+07 0.5; - counter_reg_bit[4] 0xc0 2.01029e+07 0.5; - source_valid_s 0xc0 2.01029e+07 0.5; - source_valid_s_process~0 0xc0 8.7165e+06 0.625; - tx_cic:TX_CIC_Q; - tx_cic_cic_ii_0:cic_ii_0; - alt_cic_core:core; - auk_dspip_avalon_streaming_controller:avalon_controller; - auk_dspip_avalon_streaming_small_fifo:ready_FIFO; - Equal2~0 0xc0 5.96806e+06 0.125; - fifo_array[0][0] 0xc0 2.01029e+07 0.5; - fifo_array[0][0]~7 0xc0 5.96806e+06 0.875; - fifo_array[0][0]~8 0xc0 1.36257e+07 0.5; - fifo_array[1][0] 0xc0 2.01029e+07 0.5; - fifo_array[1][0]~5 0xc0 5.96806e+06 0.125; - fifo_array[1][0]~6 0xc0 1.36257e+07 0.5; - fifo_array[2][0] 0xc0 2.01029e+07 0.5; - fifo_array[2][0]~3 0xc0 5.96806e+06 0.125; - fifo_array[2][0]~4 0xc0 1.36257e+07 0.5; - fifo_array[3][0] 0xc0 2.01029e+07 0.5; - fifo_array[3][0]~9 0xc0 1.32611e+07 0.5; - fifo_array[4][0] 0xc0 2.01029e+07 0.5; - fifo_array[4][0]~1 0xc0 4.79015e+06 0.125; - fifo_array[4][0]~2 0xc0 1.32611e+07 0.5; - fifo_array[5][0] 0xc0 2.01029e+07 0.5; - fifo_array[5][0]~0 0xc0 1.32611e+07 0.5; - fifo_usedw[0] 0xc0 2.01029e+07 0.5; - fifo_usedw[0]~3 0xc0 1.99895e+07 0.5; - fifo_usedw[1] 0xc0 2.01029e+07 0.5; - fifo_usedw[2] 0xc0 2.01029e+07 0.5; - fifo_usedw~0 0xc0 1.09987e+07 0.328125; - fifo_usedw~1 0xc0 1.40944e+07 0.28125; - fifo_usedw~2 0xc0 1.19017e+07 0.452759; - fifo_usedw~4 0xc0 1.34485e+07 0.5; - Mux0~0 0xc0 8.14718e+06 0.5; - Mux0~1 0xc0 4.92606e+06 0.5; - rd_addr_ptr[0] 0xc0 2.01029e+07 0.5; - rd_addr_ptr[0]~2 0xc0 2.01029e+07 0.5; - rd_addr_ptr[1] 0xc0 2.01029e+07 0.5; - rd_addr_ptr[2] 0xc0 2.01029e+07 0.5; - rd_addr_ptr~0 0xc0 1.10723e+07 0.375; - rd_addr_ptr~1 0xc0 1.10723e+07 0.375; - usedw_process~0 0xc0 1.17791e+07 0.4375; - usedw_process~1 0xc0 4.2969e+06 0.21875; - usedw_process~2 0xc0 1.72962e+07 0.423828; - wr_addr_ptr[0] 0xc0 2.01029e+07 0.5; - wr_addr_ptr[0]~4 0xc0 2.01029e+07 0.5; - wr_addr_ptr[1] 0xc0 2.01029e+07 0.5; - wr_addr_ptr[2] 0xc0 2.01029e+07 0.5; - wr_addr_ptr~0 0xc0 4.79015e+06 0.875; - wr_addr_ptr~1 0xc0 4.79015e+06 0.125; - wr_addr_ptr~2 0xc0 1.10723e+07 0.375; - wr_addr_ptr~3 0xc0 1.10723e+07 0.375; - sink_ready_ctrl~0 0xc0 7.35087e+06 0.234375; - sink_ready_ctrl~1 0xc0 1.02085e+07 0.5; - sink_ready_ctrl~2 0xc0 8.14972e+06 0.234375; - sink_ready_ctrl~3 0xc0 1.73026e+06 0.0997925; - stall_reg 0xc0 2.01029e+07 0.5; - stall_reg~feeder 0xc0 1.13079e+07 0.75; - stall_w~0 0xc0 1.13079e+07 0.75; - auk_dspip_avalon_streaming_sink:input_sink; - data_valid 0xc0 2.01029e+07 0.5; - scfifo:sink_FIFO; - scfifo_gf71:auto_generated; - dffe_nae 0xc0 2.01029e+07 0.5; - dffe_nae~0 0xc0 3.61224e+06 0.125; - dffe_nae~1 0xc0 1.10913e+07 0.509388; - a_dpfifo_1lv:dpfifo; - _~0 0xc0 2.766e+06 0.162422; - _~1 0xc0 644474 0.03125; - _~2 0xc0 9.9881e+06 0.489897; - _~3 0xc0 1.07539e+07 0.559381; - _~4 0xc0 1.84324e+07 0.418789; - _~5 0xc0 5.52465e+06 0.109375; - _~6 0xc0 1.81103e+06 0.0625; - _~7 0xc0 3.08783e+06 0.527464; - _~8 0xc0 8.51187e+06 0.0249481; - empty_dff 0xc0 2.01029e+07 0.5; - altsyncram_l7h1:FIFOram; - q_b[0] 0xc0 2.01029e+07 0.5; - q_b[1] 0xc0 2.01029e+07 0.5; - q_b[2] 0xc0 2.01029e+07 0.5; - q_b[3] 0xc0 2.01029e+07 0.5; - q_b[4] 0xc0 2.01029e+07 0.5; - q_b[5] 0xc0 2.01029e+07 0.5; - q_b[6] 0xc0 2.01029e+07 0.5; - q_b[7] 0xc0 2.01029e+07 0.5; - q_b[8] 0xc0 2.01029e+07 0.5; - q_b[9] 0xc0 2.01029e+07 0.5; - q_b[10] 0xc0 2.01029e+07 0.5; - q_b[11] 0xc0 2.01029e+07 0.5; - q_b[12] 0xc0 2.01029e+07 0.5; - q_b[13] 0xc0 2.01029e+07 0.5; - q_b[14] 0xc0 2.01029e+07 0.5; - q_b[15] 0xc0 2.01029e+07 0.5; - full_dff 0xc0 2.01029e+07 0.5; - low_addressa[0] 0xc0 2.01029e+07 0.5; - low_addressa[1] 0xc0 2.01029e+07 0.5; - low_addressa[2] 0xc0 2.01029e+07 0.5; - ram_read_address[0]~0 0xc0 8.11752e+06 0.5; - ram_read_address[1]~1 0xc0 9.15249e+06 0.5; - ram_read_address[2]~2 0xc0 1.36698e+07 0.5; - rd_ptr_lsb 0xc0 2.01029e+07 0.5; - rd_ptr_lsb~0 0xc0 2.01029e+07 0.5; - cntr_r9b:rd_ptr_msb; - counter_comb_bita0 0xc0 2.01029e+07 0.5; - counter_comb_bita0~COUT 0xc0 2.01029e+07 0.5; - counter_comb_bita1 0xc0 2.01029e+07 0.5; - counter_reg_bit[0] 0xc0 2.01029e+07 0.5; - counter_reg_bit[1] 0xc0 2.01029e+07 0.5; - cntr_8a7:usedw_counter; - counter_comb_bita0 0xc0 2.01029e+07 0.5; - counter_comb_bita0~COUT 0xc0 1.81005e+07 0.5; - counter_comb_bita1 0xc0 1.91017e+07 0.5; - counter_comb_bita1~COUT 0xc0 9.11266e+06 0.75; - counter_comb_bita2 0xc0 1.71207e+07 0.5; - counter_reg_bit[0] 0xc0 2.01029e+07 0.5; - counter_reg_bit[1] 0xc0 2.01029e+07 0.5; - counter_reg_bit[2] 0xc0 2.01029e+07 0.5; - usedw_is_0_dff 0xc0 2.01029e+07 0.5; - usedw_is_1_dff 0xc0 2.01029e+07 0.5; - usedw_will_be_1~0 0xc0 1.30239e+07 0.456474; - valid_rreq 0xc0 1.06533e+06 0.0498962; - valid_wreq 0xc0 4.79015e+06 0.125; - cntr_s9b:wr_ptr; - counter_comb_bita0 0xc0 2.01029e+07 0.5; - counter_comb_bita0~COUT 0xc0 2.01029e+07 0.5; - counter_comb_bita1 0xc0 2.01029e+07 0.5; - counter_comb_bita1~COUT 0xc0 1.13079e+07 0.75; - counter_comb_bita2 0xc0 1.82183e+07 0.5; - counter_reg_bit[0] 0xc0 2.01029e+07 0.5; - counter_reg_bit[1] 0xc0 2.01029e+07 0.5; - counter_reg_bit[2] 0xc0 2.01029e+07 0.5; - alt_cic_int_siso:int_one; - auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][0]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][1]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][2]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][3]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][4]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][5]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][6]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][8]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][9]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][10]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][11]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][12]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][13]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][14]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][74]~feeder 0xc0 2.01029e+07 0.5; - dout[0] 0xc0 2.01029e+07 0.5; - dout[0]~17 0xc0 2.01029e+07 0.5; - dout[0]~18 0xc0 1.13079e+07 0.75; - dout[0]~51 0xc0 1.50772e+07 0.25; - dout[0]~feeder 0xc0 2.01029e+07 0.5; - dout[1] 0xc0 2.01029e+07 0.5; - dout[1]~19 0xc0 1.69618e+07 0.5; - dout[1]~20 0xc0 9.36435e+06 0.375; - dout[2] 0xc0 2.01029e+07 0.5; - dout[2]~21 0xc0 1.43557e+07 0.5; - dout[2]~22 0xc0 1.10257e+07 0.5625; - dout[3] 0xc0 2.01029e+07 0.5; - dout[3]~23 0xc0 1.4241e+07 0.5; - dout[3]~24 0xc0 1.49143e+07 0.46875; - dout[4] 0xc0 2.01029e+07 0.5; - dout[4]~25 0xc0 1.50806e+07 0.5; - dout[4]~26 0xc0 1.31403e+07 0.515625; - dout[5] 0xc0 2.01029e+07 0.5; - dout[5]~27 0xc0 1.4604e+07 0.5; - dout[5]~28 0xc0 1.39437e+07 0.492188; - dout[6] 0xc0 2.01029e+07 0.5; - dout[6]~29 0xc0 1.47966e+07 0.5; - dout[6]~30 0xc0 1.35053e+07 0.503906; - dout[7] 0xc0 2.01029e+07 0.5; - dout[7]~31 0xc0 1.46849e+07 0.5; - dout[7]~32 0xc0 1.37133e+07 0.498047; - dout[8] 0xc0 2.01029e+07 0.5; - dout[8]~33 0xc0 1.47364e+07 0.5; - dout[8]~34 0xc0 1.36063e+07 0.500977; - dout[9] 0xc0 2.01029e+07 0.5; - dout[9]~35 0xc0 1.47095e+07 0.5; - dout[9]~36 0xc0 1.3659e+07 0.499512; - dout[10] 0xc0 2.01029e+07 0.5; - dout[10]~37 0xc0 1.47227e+07 0.5; - dout[10]~38 0xc0 1.36325e+07 0.500244; - dout[11] 0xc0 2.01029e+07 0.5; - dout[11]~39 0xc0 1.4716e+07 0.5; - dout[11]~40 0xc0 1.36457e+07 0.499878; - dout[12] 0xc0 2.01029e+07 0.5; - dout[12]~41 0xc0 1.47193e+07 0.5; - dout[12]~42 0xc0 1.36391e+07 0.500061; - dout[13] 0xc0 2.01029e+07 0.5; - dout[13]~43 0xc0 1.47177e+07 0.5; - dout[13]~44 0xc0 1.36424e+07 0.499969; - dout[14] 0xc0 2.01029e+07 0.5; - dout[14]~45 0xc0 1.47185e+07 0.5; - dout[14]~46 0xc0 1.36407e+07 0.500015; - dout[15] 0xc0 2.01029e+07 0.5; - dout[15]~47 0xc0 1.47181e+07 0.5; - dout[15]~48 0xc0 1.36415e+07 0.499992; - dout[16] 0xc0 2.01029e+07 0.5; - dout[16]~49 0xc0 1.47183e+07 0.5; - dout_valid 0xc0 2.01029e+07 0.5; - auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][0]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][1]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][3]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][5]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][6]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][7]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][9]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][10]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][11]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][74]~feeder 0xc0 2.01029e+07 0.5; - dout[0] 0xc0 2.01029e+07 0.5; - dout[0]~18 0xc0 2.01029e+07 0.5; - dout[0]~19 0xc0 1.13079e+07 0.75; - dout[0]~feeder 0xc0 2.01029e+07 0.5; - dout[1] 0xc0 2.01029e+07 0.5; - dout[1]~20 0xc0 1.69618e+07 0.5; - dout[1]~21 0xc0 9.36435e+06 0.375; - dout[2] 0xc0 2.01029e+07 0.5; - dout[2]~22 0xc0 1.43557e+07 0.5; - dout[2]~23 0xc0 1.10257e+07 0.5625; - dout[3] 0xc0 2.01029e+07 0.5; - dout[3]~24 0xc0 1.4241e+07 0.5; - dout[3]~25 0xc0 1.49143e+07 0.46875; - dout[4] 0xc0 2.01029e+07 0.5; - dout[4]~26 0xc0 1.50806e+07 0.5; - dout[4]~27 0xc0 1.31403e+07 0.515625; - dout[5] 0xc0 2.01029e+07 0.5; - dout[5]~28 0xc0 1.4604e+07 0.5; - dout[5]~29 0xc0 1.39437e+07 0.492188; - dout[6] 0xc0 2.01029e+07 0.5; - dout[6]~30 0xc0 1.47966e+07 0.5; - dout[6]~31 0xc0 1.35053e+07 0.503906; - dout[7] 0xc0 2.01029e+07 0.5; - dout[7]~32 0xc0 1.46849e+07 0.5; - dout[7]~33 0xc0 1.37133e+07 0.498047; - dout[8] 0xc0 2.01029e+07 0.5; - dout[8]~34 0xc0 1.47364e+07 0.5; - dout[8]~35 0xc0 1.36063e+07 0.500977; - dout[9] 0xc0 2.01029e+07 0.5; - dout[9]~36 0xc0 1.47095e+07 0.5; - dout[9]~37 0xc0 1.3659e+07 0.499512; - dout[10] 0xc0 2.01029e+07 0.5; - dout[10]~38 0xc0 1.47227e+07 0.5; - dout[10]~39 0xc0 1.36325e+07 0.500244; - dout[11] 0xc0 2.01029e+07 0.5; - dout[11]~40 0xc0 1.4716e+07 0.5; - dout[11]~41 0xc0 1.36457e+07 0.499878; - dout[12] 0xc0 2.01029e+07 0.5; - dout[12]~42 0xc0 1.47193e+07 0.5; - dout[12]~43 0xc0 1.36391e+07 0.500061; - dout[13] 0xc0 2.01029e+07 0.5; - dout[13]~44 0xc0 1.47177e+07 0.5; - dout[13]~45 0xc0 1.36424e+07 0.499969; - dout[14] 0xc0 2.01029e+07 0.5; - dout[14]~46 0xc0 1.47185e+07 0.5; - dout[14]~47 0xc0 1.36407e+07 0.500015; - dout[15] 0xc0 2.01029e+07 0.5; - dout[15]~48 0xc0 1.47181e+07 0.5; - dout[15]~49 0xc0 1.36415e+07 0.499992; - dout[16] 0xc0 2.01029e+07 0.5; - dout[16]~50 0xc0 1.47183e+07 0.5; - dout[16]~51 0xc0 1.36411e+07 0.500004; - dout[74] 0xc0 2.01029e+07 0.5; - dout[74]~52 0xc0 1.84875e+07 0.5; - dout[74]~54 0xc0 1.50772e+07 0.25; - dout_valid 0xc0 2.01029e+07 0.5; - auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][1]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][2]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][3]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][5]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][7]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][74]~feeder 0xc0 2.01029e+07 0.5; - dout[0] 0xc0 2.01029e+07 0.5; - dout[0]~19 0xc0 2.01029e+07 0.5; - dout[0]~20 0xc0 1.13079e+07 0.75; - dout[1] 0xc0 2.01029e+07 0.5; - dout[1]~21 0xc0 1.69618e+07 0.5; - dout[1]~22 0xc0 9.36435e+06 0.375; - dout[2] 0xc0 2.01029e+07 0.5; - dout[2]~23 0xc0 1.43557e+07 0.5; - dout[2]~24 0xc0 1.10257e+07 0.5625; - dout[3] 0xc0 2.01029e+07 0.5; - dout[3]~25 0xc0 1.4241e+07 0.5; - dout[3]~26 0xc0 1.49143e+07 0.46875; - dout[4] 0xc0 2.01029e+07 0.5; - dout[4]~27 0xc0 1.50806e+07 0.5; - dout[4]~28 0xc0 1.31403e+07 0.515625; - dout[5] 0xc0 2.01029e+07 0.5; - dout[5]~29 0xc0 1.4604e+07 0.5; - dout[5]~30 0xc0 1.39437e+07 0.492188; - dout[6] 0xc0 2.01029e+07 0.5; - dout[6]~31 0xc0 1.47966e+07 0.5; - dout[6]~32 0xc0 1.35053e+07 0.503906; - dout[7] 0xc0 2.01029e+07 0.5; - dout[7]~33 0xc0 1.46849e+07 0.5; - dout[7]~34 0xc0 1.37133e+07 0.498047; - dout[8] 0xc0 2.01029e+07 0.5; - dout[8]~35 0xc0 1.47364e+07 0.5; - dout[8]~36 0xc0 1.36063e+07 0.500977; - dout[9] 0xc0 2.01029e+07 0.5; - dout[9]~37 0xc0 1.47095e+07 0.5; - dout[9]~38 0xc0 1.3659e+07 0.499512; - dout[10] 0xc0 2.01029e+07 0.5; - dout[10]~39 0xc0 1.47227e+07 0.5; - dout[10]~40 0xc0 1.36325e+07 0.500244; - dout[11] 0xc0 2.01029e+07 0.5; - dout[11]~41 0xc0 1.4716e+07 0.5; - dout[11]~42 0xc0 1.36457e+07 0.499878; - dout[12] 0xc0 2.01029e+07 0.5; - dout[12]~43 0xc0 1.47193e+07 0.5; - dout[12]~44 0xc0 1.36391e+07 0.500061; - dout[13] 0xc0 2.01029e+07 0.5; - dout[13]~45 0xc0 1.47177e+07 0.5; - dout[13]~46 0xc0 1.36424e+07 0.499969; - dout[14] 0xc0 2.01029e+07 0.5; - dout[14]~47 0xc0 1.47185e+07 0.5; - dout[14]~48 0xc0 1.36407e+07 0.500015; - dout[15] 0xc0 2.01029e+07 0.5; - dout[15]~49 0xc0 1.47181e+07 0.5; - dout[15]~50 0xc0 1.36415e+07 0.499992; - dout[16] 0xc0 2.01029e+07 0.5; - dout[16]~51 0xc0 1.47183e+07 0.5; - dout[16]~52 0xc0 1.36411e+07 0.500004; - dout[17] 0xc0 2.01029e+07 0.5; - dout[17]~53 0xc0 1.47182e+07 0.5; - dout[17]~54 0xc0 1.36413e+07 0.499998; - dout[74] 0xc0 2.01029e+07 0.5; - dout[74]~55 0xc0 1.84875e+07 0.5; - dout[74]~57 0xc0 1.50772e+07 0.25; - dout_valid 0xc0 2.01029e+07 0.5; - auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][0]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][3]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][4]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][6]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][9]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][10]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][13]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][14]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][16]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][17] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][17]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][74]~feeder 0xc0 2.01029e+07 0.5; - dout[0] 0xc0 2.01029e+07 0.5; - dout[0]~20 0xc0 2.01029e+07 0.5; - dout[0]~21 0xc0 1.13079e+07 0.75; - dout[0]~feeder 0xc0 2.01029e+07 0.5; - dout[1] 0xc0 2.01029e+07 0.5; - dout[1]~22 0xc0 1.69618e+07 0.5; - dout[1]~23 0xc0 9.36435e+06 0.375; - dout[2] 0xc0 2.01029e+07 0.5; - dout[2]~24 0xc0 1.43557e+07 0.5; - dout[2]~25 0xc0 1.10257e+07 0.5625; - dout[3] 0xc0 2.01029e+07 0.5; - dout[3]~26 0xc0 1.4241e+07 0.5; - dout[3]~27 0xc0 1.49143e+07 0.46875; - dout[4] 0xc0 2.01029e+07 0.5; - dout[4]~28 0xc0 1.50806e+07 0.5; - dout[4]~29 0xc0 1.31403e+07 0.515625; - dout[5] 0xc0 2.01029e+07 0.5; - dout[5]~30 0xc0 1.4604e+07 0.5; - dout[5]~31 0xc0 1.39437e+07 0.492188; - dout[6] 0xc0 2.01029e+07 0.5; - dout[6]~32 0xc0 1.47966e+07 0.5; - dout[6]~33 0xc0 1.35053e+07 0.503906; - dout[7] 0xc0 2.01029e+07 0.5; - dout[7]~34 0xc0 1.46849e+07 0.5; - dout[7]~35 0xc0 1.37133e+07 0.498047; - dout[8] 0xc0 2.01029e+07 0.5; - dout[8]~36 0xc0 1.47364e+07 0.5; - dout[8]~37 0xc0 1.36063e+07 0.500977; - dout[9] 0xc0 2.01029e+07 0.5; - dout[9]~38 0xc0 1.47095e+07 0.5; - dout[9]~39 0xc0 1.3659e+07 0.499512; - dout[10] 0xc0 2.01029e+07 0.5; - dout[10]~40 0xc0 1.47227e+07 0.5; - dout[10]~41 0xc0 1.36325e+07 0.500244; - dout[11] 0xc0 2.01029e+07 0.5; - dout[11]~42 0xc0 1.4716e+07 0.5; - dout[11]~43 0xc0 1.36457e+07 0.499878; - dout[12] 0xc0 2.01029e+07 0.5; - dout[12]~44 0xc0 1.47193e+07 0.5; - dout[12]~45 0xc0 1.36391e+07 0.500061; - dout[13] 0xc0 2.01029e+07 0.5; - dout[13]~46 0xc0 1.47177e+07 0.5; - dout[13]~47 0xc0 1.36424e+07 0.499969; - dout[14] 0xc0 2.01029e+07 0.5; - dout[14]~48 0xc0 1.47185e+07 0.5; - dout[14]~49 0xc0 1.36407e+07 0.500015; - dout[15] 0xc0 2.01029e+07 0.5; - dout[15]~50 0xc0 1.47181e+07 0.5; - dout[15]~51 0xc0 1.36415e+07 0.499992; - dout[16] 0xc0 2.01029e+07 0.5; - dout[16]~52 0xc0 1.47183e+07 0.5; - dout[16]~53 0xc0 1.36411e+07 0.500004; - dout[17] 0xc0 2.01029e+07 0.5; - dout[17]~54 0xc0 1.47182e+07 0.5; - dout[17]~55 0xc0 1.36413e+07 0.499998; - dout[18] 0xc0 2.01029e+07 0.5; - dout[18]~56 0xc0 1.47182e+07 0.5; - dout[18]~57 0xc0 1.36412e+07 0.500001; - dout[19] 0xc0 2.01029e+07 0.5; - dout[19]~58 0xc0 1.47182e+07 0.5; - dout[74]~60 0xc0 1.50772e+07 0.25; - dout_valid 0xc0 2.01029e+07 0.5; - auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][0]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][1]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][2]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][3]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][4]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][6]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][7]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][8]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][9]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][10]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][11]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][12]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][13]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][14]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][15]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][16]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][17] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][18] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][18]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][19] 0xc0 2.01029e+07 0.5; - dout[0] 0xc0 2.01029e+07 0.5; - dout[0]~21 0xc0 2.01029e+07 0.5; - dout[0]~22 0xc0 1.13079e+07 0.75; - dout[0]~feeder 0xc0 2.01029e+07 0.5; - dout[1] 0xc0 2.01029e+07 0.5; - dout[1]~23 0xc0 1.69618e+07 0.5; - dout[1]~24 0xc0 9.36435e+06 0.375; - dout[2] 0xc0 2.01029e+07 0.5; - dout[2]~25 0xc0 1.43557e+07 0.5; - dout[2]~26 0xc0 1.10257e+07 0.5625; - dout[3] 0xc0 2.01029e+07 0.5; - dout[3]~27 0xc0 1.4241e+07 0.5; - dout[3]~28 0xc0 1.49143e+07 0.46875; - dout[4] 0xc0 2.01029e+07 0.5; - dout[4]~29 0xc0 1.50806e+07 0.5; - dout[4]~30 0xc0 1.31403e+07 0.515625; - dout[5] 0xc0 2.01029e+07 0.5; - dout[5]~31 0xc0 1.4604e+07 0.5; - dout[5]~32 0xc0 1.39437e+07 0.492188; - dout[6] 0xc0 2.01029e+07 0.5; - dout[6]~33 0xc0 1.47966e+07 0.5; - dout[6]~34 0xc0 1.35053e+07 0.503906; - dout[7] 0xc0 2.01029e+07 0.5; - dout[7]~35 0xc0 1.46849e+07 0.5; - dout[7]~36 0xc0 1.37133e+07 0.498047; - dout[8] 0xc0 2.01029e+07 0.5; - dout[8]~37 0xc0 1.47364e+07 0.5; - dout[8]~38 0xc0 1.36063e+07 0.500977; - dout[9] 0xc0 2.01029e+07 0.5; - dout[9]~39 0xc0 1.47095e+07 0.5; - dout[9]~40 0xc0 1.3659e+07 0.499512; - dout[10] 0xc0 2.01029e+07 0.5; - dout[10]~41 0xc0 1.47227e+07 0.5; - dout[10]~42 0xc0 1.36325e+07 0.500244; - dout[11] 0xc0 2.01029e+07 0.5; - dout[11]~43 0xc0 1.4716e+07 0.5; - dout[11]~44 0xc0 1.36457e+07 0.499878; - dout[12] 0xc0 2.01029e+07 0.5; - dout[12]~45 0xc0 1.47193e+07 0.5; - dout[12]~46 0xc0 1.36391e+07 0.500061; - dout[13] 0xc0 2.01029e+07 0.5; - dout[13]~47 0xc0 1.47177e+07 0.5; - dout[13]~48 0xc0 1.36424e+07 0.499969; - dout[14] 0xc0 2.01029e+07 0.5; - dout[14]~49 0xc0 1.47185e+07 0.5; - dout[14]~50 0xc0 1.36407e+07 0.500015; - dout[15] 0xc0 2.01029e+07 0.5; - dout[15]~51 0xc0 1.47181e+07 0.5; - dout[15]~52 0xc0 1.36415e+07 0.499992; - dout[16] 0xc0 2.01029e+07 0.5; - dout[16]~53 0xc0 1.47183e+07 0.5; - dout[16]~54 0xc0 1.36411e+07 0.500004; - dout[17] 0xc0 2.01029e+07 0.5; - dout[17]~55 0xc0 1.47182e+07 0.5; - dout[17]~56 0xc0 1.36413e+07 0.499998; - dout[18] 0xc0 2.01029e+07 0.5; - dout[18]~57 0xc0 1.47182e+07 0.5; - dout[18]~58 0xc0 1.36412e+07 0.500001; - dout[19] 0xc0 2.01029e+07 0.5; - dout[19]~59 0xc0 1.47182e+07 0.5; - dout[19]~60 0xc0 1.36413e+07 0.5; - dout[74] 0xc0 2.01029e+07 0.5; - dout[74]~61 0xc0 1.47182e+07 0.5; - dout[74]~63 0xc0 1.50772e+07 0.25; - dout_valid 0xc0 2.01029e+07 0.5; - auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][2]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][15]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][16]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][17] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][17]~feeder 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][18] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][19] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][74]~feeder 0xc0 2.01029e+07 0.5; - dout[0] 0xc0 2.01029e+07 0.5; - dout[0]~22 0xc0 2.01029e+07 0.5; - dout[0]~23 0xc0 1.13079e+07 0.75; - dout[1] 0xc0 2.01029e+07 0.5; - dout[1]~24 0xc0 1.69618e+07 0.5; - dout[1]~25 0xc0 9.36435e+06 0.375; - dout[2] 0xc0 2.01029e+07 0.5; - dout[2]~26 0xc0 1.43557e+07 0.5; - dout[2]~27 0xc0 1.10257e+07 0.5625; - dout[3] 0xc0 2.01029e+07 0.5; - dout[3]~28 0xc0 1.4241e+07 0.5; - dout[3]~29 0xc0 1.49143e+07 0.46875; - dout[4] 0xc0 2.01029e+07 0.5; - dout[4]~30 0xc0 1.50806e+07 0.5; - dout[4]~31 0xc0 1.31403e+07 0.515625; - dout[5] 0xc0 2.01029e+07 0.5; - dout[5]~32 0xc0 1.4604e+07 0.5; - dout[5]~33 0xc0 1.39437e+07 0.492188; - dout[6] 0xc0 2.01029e+07 0.5; - dout[6]~34 0xc0 1.47966e+07 0.5; - dout[6]~35 0xc0 1.35053e+07 0.503906; - dout[7] 0xc0 2.01029e+07 0.5; - dout[7]~36 0xc0 1.46849e+07 0.5; - dout[7]~37 0xc0 1.37133e+07 0.498047; - dout[8] 0xc0 2.01029e+07 0.5; - dout[8]~38 0xc0 1.47364e+07 0.5; - dout[8]~39 0xc0 1.36063e+07 0.500977; - dout[9] 0xc0 2.01029e+07 0.5; - dout[9]~40 0xc0 1.47095e+07 0.5; - dout[9]~41 0xc0 1.3659e+07 0.499512; - dout[10] 0xc0 2.01029e+07 0.5; - dout[10]~42 0xc0 1.47227e+07 0.5; - dout[10]~43 0xc0 1.36325e+07 0.500244; - dout[11] 0xc0 2.01029e+07 0.5; - dout[11]~44 0xc0 1.4716e+07 0.5; - dout[11]~45 0xc0 1.36457e+07 0.499878; - dout[12] 0xc0 2.01029e+07 0.5; - dout[12]~46 0xc0 1.47193e+07 0.5; - dout[12]~47 0xc0 1.36391e+07 0.500061; - dout[13] 0xc0 2.01029e+07 0.5; - dout[13]~48 0xc0 1.47177e+07 0.5; - dout[13]~49 0xc0 1.36424e+07 0.499969; - dout[14] 0xc0 2.01029e+07 0.5; - dout[14]~50 0xc0 1.47185e+07 0.5; - dout[14]~51 0xc0 1.36407e+07 0.500015; - dout[15] 0xc0 2.01029e+07 0.5; - dout[15]~52 0xc0 1.47181e+07 0.5; - dout[15]~53 0xc0 1.36415e+07 0.499992; - dout[16] 0xc0 2.01029e+07 0.5; - dout[16]~54 0xc0 1.47183e+07 0.5; - dout[16]~55 0xc0 1.36411e+07 0.500004; - dout[17] 0xc0 2.01029e+07 0.5; - dout[17]~56 0xc0 1.47182e+07 0.5; - dout[17]~57 0xc0 1.36413e+07 0.499998; - dout[18] 0xc0 2.01029e+07 0.5; - dout[18]~58 0xc0 1.47182e+07 0.5; - dout[18]~59 0xc0 1.36412e+07 0.500001; - dout[19] 0xc0 2.01029e+07 0.5; - dout[19]~60 0xc0 1.47182e+07 0.5; - dout[19]~61 0xc0 1.36413e+07 0.5; - dout[20] 0xc0 2.01029e+07 0.5; - dout[20]~62 0xc0 1.47182e+07 0.5; - dout[20]~63 0xc0 1.36413e+07 0.5; - dout[74] 0xc0 2.01029e+07 0.5; - dout[74]~64 0xc0 1.84875e+07 0.5; - dout[74]~66 0xc0 1.50772e+07 0.25; - dout_valid 0xc0 2.01029e+07 0.5; - Equal0~0 0xc0 2.4736e+06 0.0625; - Equal0~1 0xc0 445396 0.015625; - Equal0~2 0xc0 2.4736e+06 0.0625; - Equal0~3 0xc0 810.25 0.000244141; - counter_module:counter_fs_inst; - Add0~0 0xc0 2.01029e+07 0.5; - Add0~1 0xc0 2.01029e+07 0.5; - Add0~2 0xc0 2.01029e+07 0.5; - Add0~3 0xc0 1.13079e+07 0.75; - Add0~4 0xc0 1.82183e+07 0.5; - Add0~5 0xc0 1.53913e+07 0.125; - Add0~6 0xc0 2.34011e+07 0.5; - Add0~7 0xc0 4.47604e+06 0.9375; - Add0~8 0xc0 1.99851e+07 0.5; - Add0~9 0xc0 1.88661e+07 0.03125; - Add0~10 0xc0 2.83188e+07 0.5; - Add0~11 0xc0 4.75579e+06 0.984375; - Add0~12 0xc0 2.18624e+07 0.5; - Add0~13 0xc0 2.06735e+07 0.0078125; - Add0~14 0xc0 3.0128e+07 0.5; - Add0~15 0xc0 5.17082e+06 0.996094; - Add0~16 0xc0 2.25319e+07 0.5; - Add0~17 0xc0 2.12392e+07 0.00195313; - Add0~18 0xc0 3.06441e+07 0.5; - Add0~19 0xc0 5.30995e+06 0.999023; - Add0~20 0xc0 2.27187e+07 0.5; - Add0~21 0xc0 2.13912e+07 0.000488281; - Add0~22 0xc0 3.07789e+07 0.5; - Equal0~0 0xc0 1.81103e+06 0.0625; - Equal0~1 0xc0 918.175 0.000244141; - count[0] 0xc0 2.01029e+07 0.5; - count[1] 0xc0 2.01029e+07 0.5; - count[2] 0xc0 2.01029e+07 0.5; - count[3] 0xc0 2.01029e+07 0.5; - count[4] 0xc0 2.01029e+07 0.5; - count[5] 0xc0 2.01029e+07 0.5; - count[6] 0xc0 2.01029e+07 0.5; - count[7] 0xc0 2.01029e+07 0.5; - count[8] 0xc0 2.01029e+07 0.5; - count[9] 0xc0 2.01029e+07 0.5; - count[10] 0xc0 2.01029e+07 0.5; - count[11] 0xc0 2.01029e+07 0.5; - count~0 0xc0 4.01865e+07 0.499878; - count~1 0xc0 1.82098e+07 0.499878; - count~2 0xc0 1.99758e+07 0.499878; - count~3 0xc0 2.25214e+07 0.499878; - count~4 0xc0 2.2708e+07 0.499878; - count~5 0xc0 3.07643e+07 0.499878; - auk_dspip_upsample:first_upsample; - dout[0]~21 0xc0 1.50772e+07 0.25; - dout[1]~20 0xc0 1.50772e+07 0.25; - dout[2]~19 0xc0 1.50772e+07 0.25; - dout[3]~18 0xc0 1.50772e+07 0.25; - dout[4]~17 0xc0 1.50772e+07 0.25; - dout[5]~16 0xc0 1.50772e+07 0.25; - dout[6]~15 0xc0 1.50772e+07 0.25; - dout[7]~14 0xc0 1.50772e+07 0.25; - dout[8]~13 0xc0 1.50772e+07 0.25; - dout[9]~12 0xc0 1.50772e+07 0.25; - dout[10]~11 0xc0 1.50772e+07 0.25; - dout[11]~10 0xc0 1.50772e+07 0.25; - dout[12]~9 0xc0 1.50772e+07 0.25; - dout[13]~8 0xc0 1.50772e+07 0.25; - dout[14]~7 0xc0 1.50772e+07 0.25; - dout[15]~6 0xc0 1.50772e+07 0.25; - dout[16]~5 0xc0 1.50772e+07 0.25; - dout[17]~4 0xc0 1.50772e+07 0.25; - dout[18]~3 0xc0 1.50772e+07 0.25; - dout[19]~2 0xc0 1.50772e+07 0.25; - dout[20]~1 0xc0 1.50772e+07 0.25; - dout[59]~0 0xc0 1.50772e+07 0.25; - auk_dspip_integrator:integrator_loop[0].auK_integrator; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 1.63336e+07 0.125; - \register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 2.66992e+07 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 1.2957e+07 0.8125; - \register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 2.32685e+07 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 1.76986e+07 0.21875; - \register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 2.70325e+07 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 9.7715e+06 0.765625; - \register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 1.71501e+07 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 1.9398e+07 0.242188; - \register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 2.35571e+07 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 1.31942e+07 0.753906; - \register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 1.7952e+07 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 3.86223e+07 0.248047; - \register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 2.93996e+07 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 1.62312e+07 0.750977; - \register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 2.55213e+07 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 3.88857e+07 0.249512; - \register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 2.94412e+07 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 1.62813e+07 0.750244; - \register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 2.23706e+07 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 2.65852e+07 0.249878; - \register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 2.20528e+07 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 1.04743e+07 0.750061; - \register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 2.36922e+07 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 3.79205e+07 0.249969; - \register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 2.91269e+07 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 1.61334e+07 0.750015; - \register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 2.23181e+07 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 2.65509e+07 0.249992; - \register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 2.55733e+07 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 1.4357e+07 0.750004; - \register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 2.49037e+07 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 3.85231e+07 0.249998; - \register_fifo:fifo_data[0][17] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 2.93145e+07 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 1.62277e+07 0.750001; - \register_fifo:fifo_data[0][18] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 2.54882e+07 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 3.88152e+07 0.25; - \register_fifo:fifo_data[0][19] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 3.25468e+07 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 1.34464e+07 0.75; - \register_fifo:fifo_data[0][20] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 2.1478e+07 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 2.61303e+07 0.25; - \register_fifo:fifo_data[0][21] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 2.54417e+07 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 1.42914e+07 0.75; - \register_fifo:fifo_data[0][22] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 2.1742e+07 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 2.62623e+07 0.25; - \register_fifo:fifo_data[0][23] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 2.54829e+07 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 1.4312e+07 0.75; - \register_fifo:fifo_data[0][24] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 2.17485e+07 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 2.62655e+07 0.25; - \register_fifo:fifo_data[0][25] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 2.54839e+07 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 1.43125e+07 0.75; - \register_fifo:fifo_data[0][26] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 2.48897e+07 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 3.85158e+07 0.25; - \register_fifo:fifo_data[0][27] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 2.93122e+07 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 1.62266e+07 0.75; - \register_fifo:fifo_data[0][28] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 2.54879e+07 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 3.88149e+07 0.25; - \register_fifo:fifo_data[0][29] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 2.94056e+07 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 1.62733e+07 0.75; - \register_fifo:fifo_data[0][30] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 2.23614e+07 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 2.6572e+07 0.25; - \register_fifo:fifo_data[0][31] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 2.55797e+07 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 1.43604e+07 0.75; - \register_fifo:fifo_data[0][32] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 2.17636e+07 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 2.62731e+07 0.25; - \register_fifo:fifo_data[0][33] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 2.54863e+07 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 1.43137e+07 0.75; - \register_fifo:fifo_data[0][34] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 2.1749e+07 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 2.62658e+07 0.25; - \register_fifo:fifo_data[0][35] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 2.5484e+07 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 1.43125e+07 0.75; - \register_fifo:fifo_data[0][36] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 2.17486e+07 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 2.62656e+07 0.25; - \register_fifo:fifo_data[0][37] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 2.8625e+07 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 1.14855e+07 0.75; - \register_fifo:fifo_data[0][38] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 2.40063e+07 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 3.80741e+07 0.25; - \register_fifo:fifo_data[0][39] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 3.23152e+07 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 1.33306e+07 0.75; - \register_fifo:fifo_data[0][40] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 2.45829e+07 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 3.83624e+07 0.25; - \register_fifo:fifo_data[0][41] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 3.24053e+07 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 1.33757e+07 0.75; - \register_fifo:fifo_data[0][42] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 2.45969e+07 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 3.83694e+07 0.25; - \register_fifo:fifo_data[0][43] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 3.24075e+07 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 1.33768e+07 0.75; - \register_fifo:fifo_data[0][44] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 2.45973e+07 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 3.83696e+07 0.25; - \register_fifo:fifo_data[0][45] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 2.92665e+07 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 1.62038e+07 0.75; - \register_fifo:fifo_data[0][46] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 2.23396e+07 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 2.65611e+07 0.25; - \register_fifo:fifo_data[0][47] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 2.55763e+07 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 1.43587e+07 0.75; - \register_fifo:fifo_data[0][48] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 2.1763e+07 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 2.62728e+07 0.25; - \register_fifo:fifo_data[0][49] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 2.54862e+07 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 1.43136e+07 0.75; - \register_fifo:fifo_data[0][50] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 2.1749e+07 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 2.62658e+07 0.25; - \register_fifo:fifo_data[0][51] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 2.5484e+07 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 1.43125e+07 0.75; - \register_fifo:fifo_data[0][52] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 2.17486e+07 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 2.62656e+07 0.25; - \register_fifo:fifo_data[0][53] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 2.8625e+07 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 1.14855e+07 0.75; - \register_fifo:fifo_data[0][54] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 2.40063e+07 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 3.80741e+07 0.25; - \register_fifo:fifo_data[0][55] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 3.23152e+07 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 1.33306e+07 0.75; - \register_fifo:fifo_data[0][56] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 2.45829e+07 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 3.83624e+07 0.25; - \register_fifo:fifo_data[0][57] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 3.24053e+07 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 1.33757e+07 0.75; - \register_fifo:fifo_data[0][58] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 2.45969e+07 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 3.83694e+07 0.25; - \register_fifo:fifo_data[0][59] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 3.24075e+07 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 1.33768e+07 0.75; - \register_fifo:fifo_data[0][60] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 2.45973e+07 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 3.83696e+07 0.25; - \register_fifo:fifo_data[0][61] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 3.24075e+07 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 1.33768e+07 0.75; - \register_fifo:fifo_data[0][62] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 2.14562e+07 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 2.61194e+07 0.25; - \register_fifo:fifo_data[0][63] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 2.85794e+07 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 1.14627e+07 0.75; - \register_fifo:fifo_data[0][64] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 2.39991e+07 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 3.80705e+07 0.25; - \register_fifo:fifo_data[0][65] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 3.23141e+07 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 1.33301e+07 0.75; - \register_fifo:fifo_data[0][66] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 2.45827e+07 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 3.83623e+07 0.25; - \register_fifo:fifo_data[0][67] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 3.24053e+07 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 1.33757e+07 0.75; - \register_fifo:fifo_data[0][68] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 2.14558e+07 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 2.61192e+07 0.25; - \register_fifo:fifo_data[0][69] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 2.54382e+07 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 1.42896e+07 0.75; - \register_fifo:fifo_data[0][70] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 2.17415e+07 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 2.6262e+07 0.25; - \register_fifo:fifo_data[0][71] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 2.54828e+07 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 1.4312e+07 0.75; - \register_fifo:fifo_data[0][72] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 2.48895e+07 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 3.85157e+07 0.25; - \register_fifo:fifo_data[0][73] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 2.93121e+07 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 1.62266e+07 0.75; - \register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 2.23468e+07 0.5; - auk_dspip_integrator:integrator_loop[1].auK_integrator; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 1.13079e+07 0.25; - \register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 1.69618e+07 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 9.36435e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 1.43557e+07 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 1.66796e+07 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 1.56545e+07 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 1.27941e+07 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 1.45506e+07 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 1.42887e+07 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 1.48911e+07 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 1.33805e+07 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 1.46558e+07 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 1.37883e+07 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 1.47557e+07 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 1.3572e+07 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 1.47011e+07 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 1.3677e+07 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 1.47272e+07 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 1.36237e+07 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 1.47138e+07 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 1.36501e+07 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 1.47204e+07 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 1.36369e+07 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 1.47171e+07 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 1.36435e+07 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 1.47188e+07 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 1.36402e+07 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 1.47179e+07 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 1.36418e+07 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 1.47184e+07 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 1.3641e+07 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 1.47181e+07 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 1.36414e+07 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 1.36412e+07 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 1.36413e+07 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][20] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][21] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][22] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][23] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][24] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][25] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][26] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][27] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][28] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][29] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][30] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][31] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][32] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][33] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][34] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][35] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][36] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][37] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][38] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][39] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][40] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][41] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][42] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][43] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][44] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][45] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][46] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][47] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][48] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][49] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][50] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][51] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][52] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][53] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][54] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][55] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][56] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][57] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][58] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][59] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][60] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][61] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][62] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][63] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][64] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][65] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][66] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][67] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][68] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][69] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][70] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][71] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][72] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][73] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 1.84875e+07 0.5; - auk_dspip_integrator:integrator_loop[2].auK_integrator; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 1.13079e+07 0.25; - \register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 1.69618e+07 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 9.36435e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 1.43557e+07 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 1.66796e+07 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 1.56545e+07 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 1.27941e+07 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 1.45506e+07 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 1.42887e+07 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 1.48911e+07 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 1.33805e+07 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 1.46558e+07 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 1.37883e+07 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 1.47557e+07 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 1.3572e+07 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 1.47011e+07 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 1.3677e+07 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 1.47272e+07 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 1.36237e+07 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 1.47138e+07 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 1.36501e+07 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 1.47204e+07 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 1.36369e+07 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 1.47171e+07 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 1.36435e+07 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 1.47188e+07 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 1.36402e+07 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 1.47179e+07 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 1.36418e+07 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 1.47184e+07 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 1.3641e+07 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 1.47181e+07 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 1.36414e+07 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 1.36412e+07 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 1.36413e+07 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][20] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][21] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][22] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][23] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][24] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][25] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][26] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][27] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][28] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][29] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][30] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][31] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][32] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][33] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][34] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][35] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][36] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][37] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][38] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][39] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][40] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][41] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][42] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][43] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][44] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][45] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][46] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][47] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][48] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][49] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][50] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][51] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][52] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][53] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][54] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][55] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][56] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][57] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][58] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][59] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][60] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][61] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][62] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][63] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][64] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][65] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][66] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][67] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][68] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][69] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][70] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][71] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][72] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][73] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 1.84875e+07 0.5; - auk_dspip_integrator:integrator_loop[3].auK_integrator; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 1.13079e+07 0.25; - \register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 1.69618e+07 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 9.36435e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 1.43557e+07 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 1.66796e+07 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 1.56545e+07 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 1.27941e+07 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 1.45506e+07 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 1.42887e+07 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 1.48911e+07 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 1.33805e+07 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 1.46558e+07 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 1.37883e+07 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 1.47557e+07 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 1.3572e+07 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 1.47011e+07 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 1.3677e+07 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 1.47272e+07 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 1.36237e+07 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 1.47138e+07 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 1.36501e+07 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 1.47204e+07 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 1.36369e+07 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 1.47171e+07 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 1.36435e+07 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 1.47188e+07 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 1.36402e+07 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 1.47179e+07 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 1.36418e+07 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 1.47184e+07 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 1.3641e+07 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 1.47181e+07 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 1.36414e+07 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 1.36412e+07 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 1.36413e+07 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][20] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][21] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][22] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][23] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][24] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][25] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][26] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][27] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][28] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][29] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][30] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][31] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][32] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][33] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][34] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][35] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][36] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][37] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][38] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][39] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][40] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][41] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][42] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][43] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][44] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][45] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][46] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][47] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][48] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][49] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][50] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][51] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][52] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][53] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][54] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][55] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][56] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][57] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][58] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][59] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][60] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][61] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][62] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][63] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][64] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][65] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][66] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][67] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][68] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][69] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][70] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][71] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][72] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][73] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 1.84875e+07 0.5; - auk_dspip_integrator:integrator_loop[4].auK_integrator; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 1.13079e+07 0.25; - \register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 1.69618e+07 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 9.36435e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 1.43557e+07 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 1.66796e+07 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 1.56545e+07 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 1.27941e+07 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 1.45506e+07 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 1.42887e+07 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 1.48911e+07 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 1.33805e+07 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 1.46558e+07 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 1.37883e+07 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 1.47557e+07 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 1.3572e+07 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 1.47011e+07 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 1.3677e+07 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 1.47272e+07 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 1.36237e+07 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 1.47138e+07 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 1.36501e+07 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 1.47204e+07 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 1.36369e+07 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 1.47171e+07 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 1.36435e+07 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 1.47188e+07 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 1.36402e+07 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 1.47179e+07 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 1.36418e+07 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 1.47184e+07 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 1.3641e+07 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 1.47181e+07 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 1.36414e+07 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 1.36412e+07 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 1.36413e+07 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][20] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][21] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][22] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][23] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][24] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][25] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][26] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][27] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][28] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][29] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][30] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][31] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][32] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][33] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][34] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][35] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][36] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][37] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][38] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][39] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][40] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][41] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][42] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][43] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][44] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][45] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][46] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][47] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][48] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][49] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][50] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][51] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][52] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][53] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][54] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][55] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][56] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][57] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][58] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][59] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][60] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][61] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][62] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][63] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][64] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][65] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][66] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][67] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][68] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][69] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][70] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][71] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][72] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][73] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 1.84875e+07 0.5; - auk_dspip_integrator:integrator_loop[5].auK_integrator; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 1.13079e+07 0.25; - \register_fifo:fifo_data[0][1] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 1.69618e+07 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 9.36435e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 1.43557e+07 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 1.66796e+07 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 1.56545e+07 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 1.27941e+07 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 1.45506e+07 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 1.42887e+07 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 1.48911e+07 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 1.33805e+07 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 1.46558e+07 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 1.37883e+07 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 1.47557e+07 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 1.3572e+07 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 1.47011e+07 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 1.3677e+07 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 1.47272e+07 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 1.36237e+07 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 1.47138e+07 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 1.36501e+07 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 1.47204e+07 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 1.36369e+07 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 1.47171e+07 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 1.36435e+07 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 1.47188e+07 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 1.36402e+07 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 1.47179e+07 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 1.36418e+07 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 1.47184e+07 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 1.3641e+07 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 1.47181e+07 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 1.36414e+07 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 1.36412e+07 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 1.36413e+07 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][20] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][21] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][22] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][23] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][24] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][25] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][26] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][27] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][28] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][29] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][30] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][31] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][32] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][33] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][34] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][35] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][36] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][37] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][38] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][39] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][40] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][41] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][42] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][43] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][44] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][45] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][46] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][47] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][48] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][49] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][50] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][51] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][52] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][53] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][54] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][55] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][56] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][57] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][58] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][59] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][60] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][61] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][62] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][63] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][64] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][65] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][66] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][67] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][68] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][69] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][70] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][71] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][72] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][73] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 1.47182e+07 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 1.36413e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 2.01029e+07 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 1.84875e+07 0.5; - sample_valid 0xc0 2.01029e+07 0.5; - auk_dspip_avalon_streaming_source:output_source_0; - scfifo:source_FIFO; - scfifo_ci71:auto_generated; - dffe_af 0xc0 2.01029e+07 0.5; - dffe_af~0 0xc0 2.10551e+06 0.0625; - dffe_af~1 0xc0 5.74229e+06 0.25; - dffe_af~2 0xc0 3.35049e+07 0.5; - a_dpfifo_9qv:dpfifo; - _~0 0xc0 3.53372e+06 0.0625; - _~1 0xc0 4.79015e+06 0.125; - _~2 0xc0 7.77128e+06 0.314941; - _~3 0xc0 1.76539e+07 0.578125; - _~4 0xc0 1.42042e+07 0.509035; - _~5 0xc0 4.0196e+06 0.1875; - _~6 0xc0 8.3116e+06 0.4375; - empty_dff 0xc0 2.01029e+07 0.5; - altsyncram_hah1:FIFOram; - q_b[0] 0xc0 2.01029e+07 0.5; - q_b[1] 0xc0 2.01029e+07 0.5; - q_b[2] 0xc0 2.01029e+07 0.5; - q_b[3] 0xc0 2.01029e+07 0.5; - q_b[4] 0xc0 2.01029e+07 0.5; - q_b[5] 0xc0 2.01029e+07 0.5; - q_b[6] 0xc0 2.01029e+07 0.5; - q_b[7] 0xc0 2.01029e+07 0.5; - q_b[8] 0xc0 2.01029e+07 0.5; - q_b[9] 0xc0 2.01029e+07 0.5; - q_b[10] 0xc0 2.01029e+07 0.5; - q_b[11] 0xc0 2.01029e+07 0.5; - q_b[12] 0xc0 2.01029e+07 0.5; - q_b[13] 0xc0 2.01029e+07 0.5; - q_b[14] 0xc0 2.01029e+07 0.5; - q_b[15] 0xc0 2.01029e+07 0.5; - full_dff 0xc0 2.01029e+07 0.5; - low_addressa[0] 0xc0 2.01029e+07 0.5; - low_addressa[1] 0xc0 2.01029e+07 0.5; - low_addressa[2] 0xc0 2.01029e+07 0.5; - low_addressa[3] 0xc0 2.01029e+07 0.5; - low_addressa[4] 0xc0 2.01029e+07 0.5; - ram_read_address[0]~0 0xc0 8.37724e+06 0.5; - ram_read_address[1]~1 0xc0 9.39871e+06 0.5; - ram_read_address[2]~2 0xc0 1.10331e+07 0.5; - ram_read_address[3]~3 0xc0 1.10331e+07 0.5; - ram_read_address[4]~4 0xc0 9.39871e+06 0.5; - rd_ptr_lsb 0xc0 2.01029e+07 0.5; - rd_ptr_lsb~0 0xc0 2.01029e+07 0.5; - cntr_t9b:rd_ptr_msb; - counter_comb_bita0 0xc0 2.01029e+07 0.5; - counter_comb_bita0~COUT 0xc0 2.01029e+07 0.5; - counter_comb_bita1 0xc0 2.01029e+07 0.5; - counter_comb_bita1~COUT 0xc0 1.13079e+07 0.75; - counter_comb_bita2 0xc0 1.82183e+07 0.5; - counter_comb_bita2~COUT 0xc0 1.53913e+07 0.125; - counter_comb_bita3 0xc0 2.34011e+07 0.5; - counter_reg_bit[0] 0xc0 2.01029e+07 0.5; - counter_reg_bit[1] 0xc0 2.01029e+07 0.5; - counter_reg_bit[2] 0xc0 2.01029e+07 0.5; - counter_reg_bit[3] 0xc0 2.01029e+07 0.5; - cntr_aa7:usedw_counter; - counter_comb_bita0 0xc0 2.01029e+07 0.5; - counter_comb_bita0~COUT 0xc0 2.01029e+07 0.5; - counter_comb_bita1 0xc0 2.01029e+07 0.5; - counter_comb_bita1~COUT 0xc0 1.22502e+07 0.75; - counter_comb_bita2 0xc0 1.86894e+07 0.5; - counter_comb_bita2~COUT 0xc0 2.15459e+07 0.125; - counter_comb_bita3 0xc0 2.64783e+07 0.5; - counter_comb_bita3~COUT 0xc0 4.19107e+06 0.9375; - counter_comb_bita4 0xc0 1.98427e+07 0.5; - counter_reg_bit[0] 0xc0 2.01029e+07 0.5; - counter_reg_bit[1] 0xc0 2.01029e+07 0.5; - counter_reg_bit[2] 0xc0 2.01029e+07 0.5; - counter_reg_bit[3] 0xc0 2.01029e+07 0.5; - counter_reg_bit[4] 0xc0 2.01029e+07 0.5; - usedw_is_0_dff 0xc0 2.01029e+07 0.5; - usedw_is_1_dff 0xc0 2.01029e+07 0.5; - usedw_will_be_1~0 0xc0 1.81103e+06 0.0625; - usedw_will_be_1~1 0xc0 6.7213e+06 0.324219; - usedw_will_be_1~2 0xc0 1.18526e+07 0.478027; - valid_wreq 0xc0 1.50772e+07 0.75; - cntr_u9b:wr_ptr; - counter_comb_bita0 0xc0 2.01029e+07 0.5; - counter_comb_bita0~COUT 0xc0 2.01029e+07 0.5; - counter_comb_bita1 0xc0 2.01029e+07 0.5; - counter_comb_bita1~COUT 0xc0 1.13079e+07 0.75; - counter_comb_bita2 0xc0 1.82183e+07 0.5; - counter_comb_bita2~COUT 0xc0 1.53913e+07 0.125; - counter_comb_bita3 0xc0 2.34011e+07 0.5; - counter_comb_bita3~COUT 0xc0 4.47604e+06 0.9375; - counter_comb_bita4 0xc0 1.99851e+07 0.5; - counter_reg_bit[0] 0xc0 2.01029e+07 0.5; - counter_reg_bit[1] 0xc0 2.01029e+07 0.5; - counter_reg_bit[2] 0xc0 2.01029e+07 0.5; - counter_reg_bit[3] 0xc0 2.01029e+07 0.5; - counter_reg_bit[4] 0xc0 2.01029e+07 0.5; - source_valid_s 0xc0 2.01029e+07 0.5; - source_valid_s_process~0 0xc0 8.7165e+06 0.375; - source_valid_s_process~1 0xc0 8.7165e+06 0.625; - data_shifter:TX_CICCOMP_GAINER; - Mux0~0 0xc0 4.1858e+06 0.5; - Mux0~1 0xc0 1.02085e+07 0.5; - Mux0~2 0xc0 4.80227e+06 0.375; - Mux0~3 0xc0 2.67664e+06 0.46875; - Mux0~4 0xc0 4.18048e+06 0.46875; - Mux0~5 0xc0 2.24034e+06 0.484375; - Mux1~0 0xc0 4.1858e+06 0.5; - Mux1~1 0xc0 6.96928e+06 0.5; - Mux1~2 0xc0 4.72476e+06 0.5; - Mux1~3 0xc0 2.23427e+06 0.5; - Mux1~4 0xc0 4.66104e+06 0.4375; - Mux1~5 0xc0 2.31943e+06 0.46875; - Mux2~0 0xc0 4.1858e+06 0.5; - Mux2~1 0xc0 6.96928e+06 0.5; - Mux2~2 0xc0 4.72476e+06 0.5; - Mux2~3 0xc0 2.23427e+06 0.5; - Mux2~4 0xc0 5.74229e+06 0.25; - Mux2~5 0xc0 4.72025e+06 0.4375; - Mux2~6 0xc0 2.32669e+06 0.46875; - Mux3~0 0xc0 4.1858e+06 0.5; - Mux3~1 0xc0 6.96928e+06 0.5; - Mux3~2 0xc0 4.72476e+06 0.5; - Mux3~3 0xc0 2.23427e+06 0.5; - Mux3~4 0xc0 4.79015e+06 0.125; - Mux3~5 0xc0 5.58358e+06 0.40625; - Mux3~6 0xc0 2.46615e+06 0.453125; - Mux4~0 0xc0 6.96928e+06 0.5; - Mux4~1 0xc0 4.72476e+06 0.5; - Mux4~2 0xc0 4.1858e+06 0.5; - Mux4~3 0xc0 2.23427e+06 0.5; - Mux4~4 0xc0 4.79015e+06 0.125; - Mux4~5 0xc0 5.81881e+06 0.375; - Mux4~6 0xc0 2.54205e+06 0.4375; - Mux5~0 0xc0 6.96928e+06 0.5; - Mux5~1 0xc0 4.72476e+06 0.5; - Mux5~2 0xc0 4.1858e+06 0.5; - Mux5~3 0xc0 2.23427e+06 0.5; - Mux5~4 0xc0 4.79015e+06 0.125; - Mux5~5 0xc0 6.69932e+06 0.34375; - Mux5~6 0xc0 2.71051e+06 0.421875; - Mux6~0 0xc0 6.96928e+06 0.5; - Mux6~1 0xc0 4.72476e+06 0.5; - Mux6~2 0xc0 4.1858e+06 0.5; - Mux6~3 0xc0 2.23427e+06 0.5; - Mux6~4 0xc0 4.79015e+06 0.125; - Mux6~5 0xc0 8.16313e+06 0.3125; - Mux6~6 0xc0 2.96394e+06 0.40625; - Mux7~0 0xc0 6.96928e+06 0.5; - Mux7~1 0xc0 4.72476e+06 0.5; - Mux7~2 0xc0 4.1858e+06 0.5; - Mux7~3 0xc0 2.23427e+06 0.5; - Mux8~0 0xc0 6.96928e+06 0.5; - Mux8~1 0xc0 4.72476e+06 0.5; - Mux8~2 0xc0 4.1858e+06 0.5; - Mux8~3 0xc0 2.23427e+06 0.5; - Mux9~0 0xc0 6.96928e+06 0.5; - Mux9~1 0xc0 4.72476e+06 0.5; - Mux9~2 0xc0 4.1858e+06 0.5; - Mux9~3 0xc0 2.23427e+06 0.5; - Mux10~0 0xc0 6.96928e+06 0.5; - Mux10~1 0xc0 4.72476e+06 0.5; - Mux10~2 0xc0 4.1858e+06 0.5; - Mux10~3 0xc0 2.23427e+06 0.5; - Mux11~0 0xc0 6.96928e+06 0.5; - Mux11~1 0xc0 4.72476e+06 0.5; - Mux11~2 0xc0 4.1858e+06 0.5; - Mux11~3 0xc0 2.23427e+06 0.5; - Mux12~0 0xc0 6.96928e+06 0.5; - Mux12~1 0xc0 4.72476e+06 0.5; - Mux12~2 0xc0 6.96928e+06 0.5; - Mux12~3 0xc0 4.72476e+06 0.5; - Mux12~4 0xc0 6.96928e+06 0.5; - Mux12~5 0xc0 4.72476e+06 0.5; - Mux12~6 0xc0 1.02085e+07 0.5; - Mux12~7 0xc0 4.80227e+06 0.375; - Mux12~8 0xc0 6.96928e+06 0.5; - Mux12~9 0xc0 4.72476e+06 0.5; - Mux12~10 0xc0 4.1858e+06 0.5; - Mux12~11 0xc0 2.23427e+06 0.5; - Mux13~0 0xc0 6.96928e+06 0.5; - Mux13~1 0xc0 4.72476e+06 0.5; - Mux13~2 0xc0 6.96928e+06 0.5; - Mux13~3 0xc0 4.72476e+06 0.5; - Mux13~4 0xc0 6.96928e+06 0.5; - Mux13~5 0xc0 4.72476e+06 0.5; - Mux13~6 0xc0 5.0061e+06 0.25; - Mux13~7 0xc0 6.96928e+06 0.5; - Mux13~8 0xc0 4.72476e+06 0.5; - Mux13~9 0xc0 4.1858e+06 0.5; - Mux13~10 0xc0 2.23427e+06 0.5; - Mux14~0 0xc0 6.96928e+06 0.5; - Mux14~1 0xc0 4.72476e+06 0.5; - Mux14~2 0xc0 6.96928e+06 0.5; - Mux14~3 0xc0 4.72476e+06 0.5; - Mux14~4 0xc0 6.96928e+06 0.5; - Mux14~5 0xc0 4.72476e+06 0.5; - Mux14~6 0xc0 6.96928e+06 0.5; - Mux14~7 0xc0 4.72476e+06 0.5; - Mux14~8 0xc0 4.79015e+06 0.125; - Mux14~9 0xc0 4.1858e+06 0.5; - Mux14~10 0xc0 2.23427e+06 0.5; - Mux15~0 0xc0 6.96928e+06 0.5; - Mux15~1 0xc0 4.72476e+06 0.5; - Mux15~2 0xc0 6.96928e+06 0.5; - Mux15~3 0xc0 4.72476e+06 0.5; - Mux15~4 0xc0 6.96928e+06 0.5; - Mux15~5 0xc0 4.72476e+06 0.5; - Mux15~6 0xc0 6.96928e+06 0.5; - Mux15~7 0xc0 4.72476e+06 0.5; - Mux15~8 0xc0 4.1858e+06 0.5; - Mux15~9 0xc0 2.23427e+06 0.5; - Mux16~0 0xc0 4.1858e+06 0.5; - Mux16~1 0xc0 1.02085e+07 0.5; - Mux16~2 0xc0 4.80227e+06 0.375; - Mux16~3 0xc0 2.67664e+06 0.46875; - Mux16~4 0xc0 4.18048e+06 0.46875; - Mux16~5 0xc0 2.24034e+06 0.484375; - Mux17~0 0xc0 4.1858e+06 0.5; - Mux17~1 0xc0 6.96928e+06 0.5; - Mux17~2 0xc0 4.72476e+06 0.5; - Mux17~3 0xc0 2.23427e+06 0.5; - Mux17~4 0xc0 4.66104e+06 0.4375; - Mux17~5 0xc0 2.31943e+06 0.46875; - Mux18~0 0xc0 4.1858e+06 0.5; - Mux18~1 0xc0 6.96928e+06 0.5; - Mux18~2 0xc0 4.72476e+06 0.5; - Mux18~3 0xc0 2.23427e+06 0.5; - Mux18~4 0xc0 5.74229e+06 0.25; - Mux18~5 0xc0 4.72024e+06 0.4375; - Mux18~6 0xc0 2.32669e+06 0.46875; - Mux19~0 0xc0 4.1858e+06 0.5; - Mux19~1 0xc0 6.96928e+06 0.5; - Mux19~2 0xc0 4.72476e+06 0.5; - Mux19~3 0xc0 2.23427e+06 0.5; - Mux19~4 0xc0 4.79015e+06 0.125; - Mux19~5 0xc0 5.58358e+06 0.40625; - Mux19~6 0xc0 2.46615e+06 0.453125; - Mux20~0 0xc0 6.96928e+06 0.5; - Mux20~1 0xc0 4.72476e+06 0.5; - Mux20~2 0xc0 4.1858e+06 0.5; - Mux20~3 0xc0 2.23427e+06 0.5; - Mux20~4 0xc0 4.79015e+06 0.125; - Mux20~5 0xc0 5.58358e+06 0.40625; - Mux20~6 0xc0 2.15851e+06 0.416016; - Mux21~0 0xc0 6.96928e+06 0.5; - Mux21~1 0xc0 4.72476e+06 0.5; - Mux21~2 0xc0 4.1858e+06 0.5; - Mux21~3 0xc0 2.23427e+06 0.5; - Mux21~4 0xc0 4.79015e+06 0.125; - Mux21~5 0xc0 5.58358e+06 0.40625; - Mux21~6 0xc0 2.12522e+06 0.378906; - Mux22~0 0xc0 6.96928e+06 0.5; - Mux22~1 0xc0 4.72476e+06 0.5; - Mux22~2 0xc0 4.1858e+06 0.5; - Mux22~3 0xc0 2.23427e+06 0.5; - Mux22~4 0xc0 4.79015e+06 0.125; - Mux22~5 0xc0 5.58358e+06 0.40625; - Mux22~6 0xc0 2.32641e+06 0.341797; - Mux23~0 0xc0 6.96928e+06 0.5; - Mux23~1 0xc0 4.72476e+06 0.5; - Mux23~2 0xc0 4.1858e+06 0.5; - Mux23~3 0xc0 2.23427e+06 0.5; - Mux24~0 0xc0 6.96928e+06 0.5; - Mux24~1 0xc0 4.72476e+06 0.5; - Mux24~2 0xc0 4.1858e+06 0.5; - Mux24~3 0xc0 2.23427e+06 0.5; - Mux25~0 0xc0 6.96928e+06 0.5; - Mux25~1 0xc0 4.72476e+06 0.5; - Mux25~2 0xc0 4.1858e+06 0.5; - Mux25~3 0xc0 2.23427e+06 0.5; - Mux26~0 0xc0 6.96928e+06 0.5; - Mux26~1 0xc0 4.72476e+06 0.5; - Mux26~2 0xc0 4.1858e+06 0.5; - Mux26~3 0xc0 2.23427e+06 0.5; - Mux27~0 0xc0 6.96928e+06 0.5; - Mux27~1 0xc0 4.72476e+06 0.5; - Mux27~2 0xc0 4.1858e+06 0.5; - Mux27~3 0xc0 2.23427e+06 0.5; - Mux28~0 0xc0 6.96928e+06 0.5; - Mux28~1 0xc0 4.72476e+06 0.5; - Mux28~2 0xc0 6.96928e+06 0.5; - Mux28~3 0xc0 4.72476e+06 0.5; - Mux28~4 0xc0 6.96928e+06 0.5; - Mux28~5 0xc0 4.72476e+06 0.5; - Mux28~6 0xc0 1.02085e+07 0.5; - Mux28~7 0xc0 4.80227e+06 0.375; - Mux28~8 0xc0 6.96928e+06 0.5; - Mux28~9 0xc0 4.72476e+06 0.5; - Mux28~10 0xc0 4.1858e+06 0.5; - Mux28~11 0xc0 2.23427e+06 0.5; - Mux29~0 0xc0 6.96928e+06 0.5; - Mux29~1 0xc0 4.72476e+06 0.5; - Mux29~2 0xc0 6.96928e+06 0.5; - Mux29~3 0xc0 4.72476e+06 0.5; - Mux29~4 0xc0 6.96928e+06 0.5; - Mux29~5 0xc0 4.72476e+06 0.5; - Mux29~6 0xc0 5.0061e+06 0.25; - Mux29~7 0xc0 6.96928e+06 0.5; - Mux29~8 0xc0 4.72476e+06 0.5; - Mux29~9 0xc0 4.1858e+06 0.5; - Mux29~10 0xc0 2.23427e+06 0.5; - Mux30~0 0xc0 6.96928e+06 0.5; - Mux30~1 0xc0 4.72476e+06 0.5; - Mux30~2 0xc0 6.96928e+06 0.5; - Mux30~3 0xc0 4.72476e+06 0.5; - Mux30~4 0xc0 6.96928e+06 0.5; - Mux30~5 0xc0 4.72476e+06 0.5; - Mux30~6 0xc0 6.96928e+06 0.5; - Mux30~7 0xc0 4.72476e+06 0.5; - Mux30~8 0xc0 4.79015e+06 0.125; - Mux30~9 0xc0 4.1858e+06 0.5; - Mux30~10 0xc0 2.23427e+06 0.5; - Mux31~0 0xc0 6.96928e+06 0.5; - Mux31~1 0xc0 4.72476e+06 0.5; - Mux31~2 0xc0 6.96928e+06 0.5; - Mux31~3 0xc0 4.72476e+06 0.5; - Mux31~4 0xc0 6.96928e+06 0.5; - Mux31~5 0xc0 4.72476e+06 0.5; - Mux31~6 0xc0 6.96928e+06 0.5; - Mux31~7 0xc0 4.72476e+06 0.5; - Mux31~8 0xc0 4.1858e+06 0.5; - Mux31~9 0xc0 2.23427e+06 0.5; - data_out_I[0]~31 0xc0 221030 0.015625; - data_out_I[0]~32 0xc0 2.97118e+06 0.130859; - data_out_I[1]~28 0xc0 1.81103e+06 0.0625; - data_out_I[1]~29 0xc0 274571 0.0302734; - data_out_I[1]~30 0xc0 2.89292e+06 0.136353; - data_out_I[2]~25 0xc0 1.81103e+06 0.0625; - data_out_I[2]~26 0xc0 426789 0.0449219; - data_out_I[2]~27 0xc0 2.82716e+06 0.141846; - data_out_I[3]~22 0xc0 1.81103e+06 0.0625; - data_out_I[3]~23 0xc0 686783 0.0595703; - data_out_I[3]~24 0xc0 2.77467e+06 0.147339; - data_out_I[4]~19 0xc0 1.81103e+06 0.0625; - data_out_I[4]~20 0xc0 1.06849e+06 0.0742188; - data_out_I[4]~21 0xc0 2.73664e+06 0.152832; - data_out_I[5]~16 0xc0 1.79127e+06 0.121094; - data_out_I[5]~17 0xc0 2.84049e+06 0.185547; - data_out_I[5]~18 0xc0 2.40947e+06 0.171387; - data_out_I[6]~13 0xc0 2.08558e+06 0.179688; - data_out_I[6]~14 0xc0 2.66306e+06 0.214844; - data_out_I[6]~15 0xc0 2.32951e+06 0.178711; - data_out_I[7]~10 0xc0 2.67513e+06 0.238281; - data_out_I[7]~11 0xc0 2.58713e+06 0.244141; - data_out_I[7]~12 0xc0 2.27175e+06 0.186035; - data_out_I[8]~7 0xc0 3.64673e+06 0.296875; - data_out_I[8]~8 0xc0 2.62256e+06 0.273438; - data_out_I[8]~9 0xc0 2.23679e+06 0.193359; - data_out_I[9]~6 0xc0 2.28998e+06 0.226563; - data_out_I[10]~5 0xc0 2.29992e+06 0.230469; - data_out_I[11]~4 0xc0 2.31959e+06 0.234375; - data_out_I[12]~3 0xc0 2.34948e+06 0.238281; - data_out_I[13]~2 0xc0 2.38002e+06 0.242188; - data_out_I[14]~1 0xc0 2.37958e+06 0.242188; - data_out_I[15]~0 0xc0 2.34817e+06 0.238281; - data_out_Q[0]~7 0xc0 744629 0.125; - data_out_Q[0]~31 0xc0 221030 0.015625; - data_out_Q[0]~32 0xc0 2.97118e+06 0.130859; - data_out_Q[1]~28 0xc0 1.81103e+06 0.0625; - data_out_Q[1]~29 0xc0 274571 0.0302734; - data_out_Q[1]~30 0xc0 2.89292e+06 0.136353; - data_out_Q[2]~25 0xc0 1.81103e+06 0.0625; - data_out_Q[2]~26 0xc0 426789 0.0449219; - data_out_Q[2]~27 0xc0 2.82716e+06 0.141846; - data_out_Q[3]~22 0xc0 1.81103e+06 0.0625; - data_out_Q[3]~23 0xc0 686783 0.0595703; - data_out_Q[3]~24 0xc0 2.77467e+06 0.147339; - data_out_Q[4]~18 0xc0 1.3916e+06 0.25; - data_out_Q[4]~19 0xc0 1.81103e+06 0.0625; - data_out_Q[4]~20 0xc0 1.06849e+06 0.0742188; - data_out_Q[4]~21 0xc0 2.73665e+06 0.152832; - data_out_Q[5]~15 0xc0 1.79127e+06 0.121094; - data_out_Q[5]~16 0xc0 2.84049e+06 0.185547; - data_out_Q[5]~17 0xc0 2.40947e+06 0.171387; - data_out_Q[6]~12 0xc0 2.08558e+06 0.179688; - data_out_Q[6]~13 0xc0 2.66306e+06 0.214844; - data_out_Q[6]~14 0xc0 2.32951e+06 0.178711; - data_out_Q[7]~9 0xc0 2.67513e+06 0.238281; - data_out_Q[7]~10 0xc0 2.58713e+06 0.244141; - data_out_Q[7]~11 0xc0 2.27175e+06 0.186035; - data_out_Q[8]~8 0xc0 3.64673e+06 0.296875; - data_out_Q[8]~33 0xc0 2.62256e+06 0.273438; - data_out_Q[8]~34 0xc0 2.23679e+06 0.193359; - data_out_Q[9]~6 0xc0 2.19429e+06 0.210449; - data_out_Q[10]~5 0xc0 2.20521e+06 0.219727; - data_out_Q[11]~4 0xc0 2.25613e+06 0.229004; - data_out_Q[12]~3 0xc0 2.34948e+06 0.238281; - data_out_Q[13]~2 0xc0 2.38002e+06 0.242188; - data_out_Q[14]~1 0xc0 2.37958e+06 0.242188; - data_out_Q[15]~0 0xc0 2.34817e+06 0.238281; - data_valid_out_Q~0 0xc0 1.13079e+07 0.25; - tx_ciccomp:TX_CICCOMP_I; - tx_ciccomp_0002:tx_ciccomp_inst; - tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst; - tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0] 0xc0 2.01029e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0]~1 0xc0 2.01029e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0]~2 0xc0 2.01029e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1] 0xc0 2.01029e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1]~1 0xc0 2.01029e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1]~2 0xc0 1.13079e+07 0.25; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2] 0xc0 2.01029e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2]~1 0xc0 1.82183e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2]~2 0xc0 5.33984e+06 0.875; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3] 0xc0 2.01029e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3]~1 0xc0 1.83753e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3]~2 0xc0 1.70404e+07 0.0625; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4] 0xc0 2.01029e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4]~1 0xc0 2.62673e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4]~2 0xc0 4.41715e+06 0.96875; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5] 0xc0 2.01029e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5]~1 0xc0 2.10943e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5]~2 0xc0 1.999e+07 0.015625; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6] 0xc0 2.01029e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6]~1 0xc0 2.94795e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6]~2 0xc0 5.00733e+06 0.992188; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7] 0xc0 2.01029e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7]~1 0xc0 2.22949e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7]~2 0xc0 2.10431e+07 0.00390625; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[8] 0xc0 2.01029e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[8]~1 0xc0 3.0468e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0] 0xc0 2.01029e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0]~1 0xc0 2.01029e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0]~2 0xc0 2.01029e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0]~_wirecell 0xc0 2.01029e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[1] 0xc0 2.01029e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[1]~1 0xc0 2.01029e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[1]~2 0xc0 1.13079e+07 0.25; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[2] 0xc0 2.01029e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[2]~1 0xc0 1.82183e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[2]~2 0xc0 5.33984e+06 0.875; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[3] 0xc0 2.01029e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[3]~1 0xc0 1.83753e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[3]~2 0xc0 1.70404e+07 0.0625; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[4] 0xc0 2.01029e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[4]~1 0xc0 2.62673e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[4]~2 0xc0 4.41715e+06 0.96875; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[5] 0xc0 2.01029e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[5]~1 0xc0 2.10943e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[5]~2 0xc0 1.999e+07 0.015625; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[6] 0xc0 2.01029e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[6]~1 0xc0 2.94795e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[6]~2 0xc0 5.00733e+06 0.992188; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[7] 0xc0 2.01029e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[7]~1 0xc0 2.22949e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[7]~2 0xc0 2.10431e+07 0.00390625; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[8] 0xc0 2.01029e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[8]~1 0xc0 3.0468e+07 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[0] 0xc0 2.01029e+07 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[1] 0xc0 2.01029e+07 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[2] 0xc0 2.01029e+07 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[3] 0xc0 2.01029e+07 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[4] 0xc0 2.01029e+07 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[5] 0xc0 2.01029e+07 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[6] 0xc0 2.01029e+07 0.5; - Add0~0 0xc0 2.01029e+07 0.5; - Add0~1 0xc0 2.01029e+07 0.5; - Add0~2 0xc0 2.01029e+07 0.5; - Add0~3 0xc0 1.13079e+07 0.25; - Add0~4 0xc0 1.82183e+07 0.5; - Add0~5 0xc0 5.33984e+06 0.875; - Add0~6 0xc0 1.83753e+07 0.5; - Add0~7 0xc0 1.70404e+07 0.0625; - Add0~8 0xc0 2.62673e+07 0.5; - Add0~9 0xc0 4.41715e+06 0.96875; - Add0~10 0xc0 2.10943e+07 0.5; - Add0~11 0xc0 1.999e+07 0.015625; - Add0~12 0xc0 2.94795e+07 0.5; - Add1~0 0xc0 2.01029e+07 0.5; - Add1~1 0xc0 2.01029e+07 0.5; - Add1~2 0xc0 2.01029e+07 0.5; - Add1~3 0xc0 1.13079e+07 0.25; - Add1~4 0xc0 1.82183e+07 0.5; - Add1~5 0xc0 5.33984e+06 0.875; - Add1~6 0xc0 1.83753e+07 0.5; - Add1~7 0xc0 1.70404e+07 0.0625; - Add1~8 0xc0 2.62673e+07 0.5; - Add1~9 0xc0 4.41715e+06 0.96875; - Add1~10 0xc0 2.10943e+07 0.5; - Add1~11 0xc0 1.999e+07 0.015625; - Add1~12 0xc0 2.94795e+07 0.5; - Add1~14 0xc0 2.55438e+07 0.5; - Add1~15 0xc0 1.51352e+07 0.5; - Add1~16 0xc0 1.88642e+07 0.5; - Add1~17 0xc0 1.56951e+07 0.5; - Add1~18 0xc0 1.56379e+07 0.5; - Add1~19 0xc0 1.4449e+07 0.5; - Add1~20 0xc0 1.4449e+07 0.5; - Add2~0 0xc0 7.41099e+06 0.5; - Add3~0 0xc0 2.01029e+07 0.5; - Add3~1 0xc0 2.01029e+07 0.5; - Add3~2 0xc0 2.01029e+07 0.5; - Add3~3 0xc0 1.13079e+07 0.25; - Add3~4 0xc0 1.82183e+07 0.5; - Add3~5 0xc0 5.33984e+06 0.875; - Add3~6 0xc0 1.83753e+07 0.5; - Add3~7 0xc0 1.70404e+07 0.0625; - Add3~8 0xc0 2.62673e+07 0.5; - Add3~9 0xc0 4.41715e+06 0.96875; - Add3~10 0xc0 2.10943e+07 0.5; - Add3~11 0xc0 1.999e+07 0.015625; - Add3~12 0xc0 2.94795e+07 0.5; - Add3~13 0xc0 2.4482e+07 0.492188; - Add3~14 0xc0 2.22949e+07 0.5; - Add3~15 0xc0 1.58603e+07 0.753906; - Add3~16 0xc0 2.05736e+07 0.5; - Add6~0 0xc0 2.01029e+07 0.5; - Add6~1 0xc0 2.01029e+07 0.5; - Add6~2 0xc0 2.01029e+07 0.5; - Add6~2_wirecell 0xc0 2.01029e+07 0.5; - Add6~3 0xc0 1.13079e+07 0.25; - Add6~4 0xc0 1.82183e+07 0.5; - Add6~4_wirecell 0xc0 1.82183e+07 0.5; - Add6~5 0xc0 5.33984e+06 0.875; - Add6~6 0xc0 1.83753e+07 0.5; - Add6~6_wirecell 0xc0 1.83753e+07 0.5; - Add6~7 0xc0 1.70404e+07 0.0625; - Add6~8 0xc0 2.62673e+07 0.5; - Add6~8_wirecell 0xc0 2.62673e+07 0.5; - Add6~9 0xc0 4.41715e+06 0.96875; - Add6~10 0xc0 2.10943e+07 0.5; - Add6~10_wirecell 0xc0 2.10943e+07 0.5; - Add6~11 0xc0 1.999e+07 0.015625; - Add6~12 0xc0 2.94795e+07 0.5; - Add14~1 0xc0 2.01029e+07 0.5; - Add14~2 0xc0 2.01029e+07 0.5; - Add14~2_wirecell 0xc0 2.01029e+07 0.5; - Add14~3 0xc0 1.13079e+07 0.25; - Add14~4 0xc0 1.82183e+07 0.5; - Add14~4_wirecell 0xc0 1.82183e+07 0.5; - Add14~5 0xc0 5.33984e+06 0.875; - Add14~6 0xc0 1.83753e+07 0.5; - Add14~6_wirecell 0xc0 1.83753e+07 0.5; - Add14~7 0xc0 1.70404e+07 0.0625; - Add14~8 0xc0 2.62673e+07 0.5; - Add14~8_wirecell 0xc0 2.62673e+07 0.5; - Add14~9 0xc0 4.41715e+06 0.96875; - Add14~10 0xc0 2.10943e+07 0.5; - Add14~10_wirecell 0xc0 2.10943e+07 0.5; - Add14~11 0xc0 1.999e+07 0.015625; - Add14~12 0xc0 2.94795e+07 0.5; - Add14~13 0xc0 2.4482e+07 0.492188; - Add14~14 0xc0 2.22949e+07 0.5; - Add14~15 0xc0 1.58603e+07 0.753906; - Add14~16 0xc0 2.05736e+07 0.5; - dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13; - delay_signals[0][0] 0xc0 2.01029e+07 0.5; - delay_signals[0][0]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[1][0] 0xc0 2.01029e+07 0.5; - delay_signals[1][0]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[2][0] 0xc0 2.01029e+07 0.5; - delay_signals[2][0]~feeder 0xc0 3.125e+06 0.5; - dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17; - delay_signals[0][0] 0xc0 2.01029e+07 0.5; - delay_signals[0][0]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][1] 0xc0 2.01029e+07 0.5; - delay_signals[0][2] 0xc0 2.01029e+07 0.5; - delay_signals[0][3] 0xc0 2.01029e+07 0.5; - delay_signals[0][3]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][4] 0xc0 2.01029e+07 0.5; - delay_signals[0][5] 0xc0 2.01029e+07 0.5; - delay_signals[0][5]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][6] 0xc0 2.01029e+07 0.5; - delay_signals[0][7] 0xc0 2.01029e+07 0.5; - delay_signals[0][7]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][8] 0xc0 2.01029e+07 0.5; - delay_signals[0][8]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][9] 0xc0 2.01029e+07 0.5; - delay_signals[0][10] 0xc0 2.01029e+07 0.5; - delay_signals[0][10]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][11] 0xc0 2.01029e+07 0.5; - delay_signals[0][11]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][12] 0xc0 2.01029e+07 0.5; - delay_signals[0][12]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][13] 0xc0 2.01029e+07 0.5; - delay_signals[0][13]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][14] 0xc0 2.01029e+07 0.5; - delay_signals[0][14]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][15] 0xc0 2.01029e+07 0.5; - delay_signals[0][15]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][16] 0xc0 2.01029e+07 0.5; - delay_signals[0][16]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][17] 0xc0 2.01029e+07 0.5; - delay_signals[0][17]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][18] 0xc0 2.01029e+07 0.5; - delay_signals[0][18]~feeder 0xc0 2.01029e+07 0.5; - dspba_delay:d_u0_m0_wo0_aseq_q_16; - delay_signals[0][0] 0xc0 2.01029e+07 0.5; - delay_signals[0][0]~feeder 0xc0 2.01029e+07 0.5; - dspba_delay:d_u0_m0_wo0_compute_q_14; - delay_signals[0][0] 0xc0 2.01029e+07 0.5; - delay_signals[0][0]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[1][0] 0xc0 2.01029e+07 0.5; - delay_signals[1][0]~feeder 0xc0 2.01029e+07 0.5; - dspba_delay:d_u0_m0_wo0_compute_q_15; - delay_signals[0][0] 0xc0 2.01029e+07 0.5; - delay_signals[0][0]~feeder 0xc0 2.01029e+07 0.5; - dspba_delay:d_u0_m0_wo0_compute_q_16; - delay_signals[0][0] 0xc0 2.01029e+07 0.5; - delay_signals[0][0]~feeder 0xc0 2.01029e+07 0.5; - dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16; - delay_signals[0][19] 0xc0 2.01029e+07 0.5; - delay_signals[0][20] 0xc0 2.01029e+07 0.5; - delay_signals[0][20]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][21] 0xc0 2.01029e+07 0.5; - delay_signals[0][21]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][22] 0xc0 2.01029e+07 0.5; - delay_signals[0][22]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][23] 0xc0 2.01029e+07 0.5; - dspba_delay:d_xIn_0_13; - delay_signals[0][0] 0xc0 2.01029e+07 0.5; - delay_signals[0][1] 0xc0 2.01029e+07 0.5; - delay_signals[0][2] 0xc0 2.01029e+07 0.5; - delay_signals[0][2]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][3] 0xc0 2.01029e+07 0.5; - delay_signals[0][4] 0xc0 2.01029e+07 0.5; - delay_signals[0][5] 0xc0 2.01029e+07 0.5; - delay_signals[0][5]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][6] 0xc0 2.01029e+07 0.5; - delay_signals[0][6]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][7] 0xc0 2.01029e+07 0.5; - delay_signals[0][7]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][8] 0xc0 2.01029e+07 0.5; - delay_signals[0][8]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][9] 0xc0 2.01029e+07 0.5; - delay_signals[0][9]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][10] 0xc0 2.01029e+07 0.5; - delay_signals[0][11] 0xc0 2.01029e+07 0.5; - delay_signals[0][12] 0xc0 2.01029e+07 0.5; - delay_signals[0][12]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][13] 0xc0 2.01029e+07 0.5; - delay_signals[0][13]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][14] 0xc0 2.01029e+07 0.5; - delay_signals[0][15] 0xc0 2.01029e+07 0.5; - delay_signals[0][15]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[1][0] 0xc0 2.01029e+07 0.5; - delay_signals[1][0]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[1][1] 0xc0 2.01029e+07 0.5; - delay_signals[1][1]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[1][2] 0xc0 2.01029e+07 0.5; - delay_signals[1][3] 0xc0 2.01029e+07 0.5; - delay_signals[1][3]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[1][4] 0xc0 2.01029e+07 0.5; - delay_signals[1][4]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[1][5] 0xc0 2.01029e+07 0.5; - delay_signals[1][5]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[1][6] 0xc0 2.01029e+07 0.5; - delay_signals[1][7] 0xc0 2.01029e+07 0.5; - delay_signals[1][8] 0xc0 2.01029e+07 0.5; - delay_signals[1][8]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[1][9] 0xc0 2.01029e+07 0.5; - delay_signals[1][10] 0xc0 2.01029e+07 0.5; - delay_signals[1][10]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[1][11] 0xc0 2.01029e+07 0.5; - delay_signals[1][12] 0xc0 2.01029e+07 0.5; - delay_signals[1][12]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[1][13] 0xc0 2.01029e+07 0.5; - delay_signals[1][13]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[1][14] 0xc0 2.01029e+07 0.5; - delay_signals[1][14]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[1][15] 0xc0 2.01029e+07 0.5; - delay_signals[1][15]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[2][0] 0xc0 2.01029e+07 0.5; - delay_signals[2][0]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][1] 0xc0 2.01029e+07 0.5; - delay_signals[2][2] 0xc0 2.01029e+07 0.5; - delay_signals[2][2]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][3] 0xc0 2.01029e+07 0.5; - delay_signals[2][4] 0xc0 2.01029e+07 0.5; - delay_signals[2][4]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][5] 0xc0 2.01029e+07 0.5; - delay_signals[2][5]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][6] 0xc0 2.01029e+07 0.5; - delay_signals[2][6]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][7] 0xc0 2.01029e+07 0.5; - delay_signals[2][7]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][8] 0xc0 2.01029e+07 0.5; - delay_signals[2][9] 0xc0 2.01029e+07 0.5; - delay_signals[2][9]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][10] 0xc0 2.01029e+07 0.5; - delay_signals[2][10]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][11] 0xc0 2.01029e+07 0.5; - delay_signals[2][11]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][12] 0xc0 2.01029e+07 0.5; - delay_signals[2][13] 0xc0 2.01029e+07 0.5; - delay_signals[2][13]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][14] 0xc0 2.01029e+07 0.5; - delay_signals[2][15] 0xc0 2.01029e+07 0.5; - delay_signals[2][15]~feeder 0xc0 3.125e+06 0.5; - Equal1~0 0xc0 1.81103e+06 0.0625; - Equal1~1 0xc0 1.81103e+06 0.0625; - Equal1~2 0xc0 11153.1 0.00195313; - Mux2~0 0xc0 1.63226e+07 0.125; - Mux2~1 0xc0 1.8517e+07 0.28125; - Mux3~0 0xc0 1.11901e+07 0.4375; - Mux3~1 0xc0 1.07189e+07 0.4375; - Mux3~2 0xc0 1.87823e+07 0.40625; - Mux3~3 0xc0 1.53838e+07 0.382813; - Mux4~0 0xc0 1.13864e+07 0.5; - Mux4~1 0xc0 1.04441e+07 0.5; - Mux4~2 0xc0 1.8583e+07 0.390625; - Mux4~3 0xc0 1.13026e+07 0.359863; - Mux5~0 0xc0 1.02478e+07 0.5625; - Mux5~1 0xc0 9.14349e+06 0.5625; - Mux5~2 0xc0 3.03719e+07 0.375; - Mux5~3 0xc0 1.85205e+07 0.335938; - Mux6~0 0xc0 7.50915e+06 0.375; - Mux6~1 0xc0 7.39136e+06 0.625; - Mux6~2 0xc0 1.78032e+07 0.421875; - Mux6~3 0xc0 1.81848e+07 0.404785; - Mux7~0 0xc0 1.10723e+07 0.4375; - Mux7~1 0xc0 1.15435e+07 0.5625; - Mux7~2 0xc0 1.94337e+07 0.375; - Mux7~3 0xc0 1.30422e+07 0.335938; - Mux8~0 0xc0 7.99504e+06 0.4375; - Mux8~1 0xc0 1.18968e+07 0.5625; - Mux8~2 0xc0 9.55485e+06 0.390625; - Mux8~3 0xc0 1.05847e+07 0.353027; - Mux9~0 0xc0 6.36069e+06 0.25; - Mux9~1 0xc0 5.47726e+06 0.75; - Mux9~2 0xc0 1.81103e+06 0.0625; - Mux9~3 0xc0 2.04003e+07 0.328125; - Mux9~4 0xc0 2.4736e+06 0.0625; - Mux9~5 0xc0 1.39428e+07 0.258301; - Mux9~6 0xc0 4.79015e+06 0.125; - Mux9~7 0xc0 4.79015e+06 0.125; - u0_m0_wo0_accum_p1_of_2_o[0] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[0]~20 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[0]~21 0xc0 1.13079e+07 0.25; - u0_m0_wo0_accum_p1_of_2_o[1] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[1]~22 0xc0 1.69618e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[1]~23 0xc0 9.36435e+06 0.625; - u0_m0_wo0_accum_p1_of_2_o[2] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[2]~24 0xc0 1.43557e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[2]~25 0xc0 1.66796e+07 0.4375; - u0_m0_wo0_accum_p1_of_2_o[3] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[3]~26 0xc0 1.56545e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[3]~27 0xc0 1.27941e+07 0.53125; - u0_m0_wo0_accum_p1_of_2_o[4] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[4]~28 0xc0 1.45506e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[4]~29 0xc0 1.42887e+07 0.484375; - u0_m0_wo0_accum_p1_of_2_o[5] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[5]~30 0xc0 1.48911e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[5]~31 0xc0 1.33805e+07 0.507813; - u0_m0_wo0_accum_p1_of_2_o[6] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[6]~32 0xc0 1.46558e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[6]~33 0xc0 1.37883e+07 0.496094; - u0_m0_wo0_accum_p1_of_2_o[7] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[7]~34 0xc0 1.47557e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[7]~35 0xc0 1.3572e+07 0.501953; - u0_m0_wo0_accum_p1_of_2_o[8] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[8]~36 0xc0 1.47011e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[8]~37 0xc0 1.3677e+07 0.499023; - u0_m0_wo0_accum_p1_of_2_o[9] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[9]~38 0xc0 1.47272e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[9]~39 0xc0 1.36237e+07 0.500488; - u0_m0_wo0_accum_p1_of_2_o[10] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[10]~40 0xc0 1.47138e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[10]~41 0xc0 1.36501e+07 0.499756; - u0_m0_wo0_accum_p1_of_2_o[11] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[11]~42 0xc0 1.47204e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[11]~43 0xc0 1.36369e+07 0.500122; - u0_m0_wo0_accum_p1_of_2_o[12] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[12]~44 0xc0 1.47171e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[12]~45 0xc0 1.36435e+07 0.499939; - u0_m0_wo0_accum_p1_of_2_o[13] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[13]~46 0xc0 1.47188e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[13]~47 0xc0 1.36402e+07 0.500031; - u0_m0_wo0_accum_p1_of_2_o[14] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[14]~48 0xc0 1.47179e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[14]~49 0xc0 1.36418e+07 0.499985; - u0_m0_wo0_accum_p1_of_2_o[15] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[15]~50 0xc0 1.47184e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[15]~51 0xc0 1.3641e+07 0.500008; - u0_m0_wo0_accum_p1_of_2_o[16] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[16]~52 0xc0 1.47181e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[16]~53 0xc0 1.36414e+07 0.499996; - u0_m0_wo0_accum_p1_of_2_o[17] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[17]~54 0xc0 1.47182e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[17]~55 0xc0 1.36412e+07 0.500002; - u0_m0_wo0_accum_p1_of_2_o[18] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[18]~56 0xc0 1.47182e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[18]~57 0xc0 1.36413e+07 0.499999; - u0_m0_wo0_accum_p1_of_2_o[19] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[19]~58 0xc0 1.36413e+07 0.499999; - u0_m0_wo0_accum_p2_of_2_o[1] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[1]~12 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[1]~13 0xc0 1.63336e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[1]~14 0xc0 9.73736e+06 0.5; - u0_m0_wo0_accum_p2_of_2_o[2] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[2]~15 0xc0 1.37422e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[2]~16 0xc0 1.31533e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[3] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[3]~17 0xc0 1.45962e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[3]~18 0xc0 1.35803e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[4] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[4]~19 0xc0 1.4703e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[4]~20 0xc0 1.36336e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[5] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[5]~21 0xc0 1.84856e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[5]~22 0xc0 1.92943e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[6] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[6]~23 0xc0 1.99008e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[6]~24 0xc0 2.00018e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[7] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[7]~25 0xc0 2.00777e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[7]~26 0xc0 2.00903e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[8] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[8]~27 0xc0 2.00998e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[8]~28 0xc0 2.01013e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[9] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[9]~29 0xc0 2.01025e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[9]~30 0xc0 2.01027e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[10] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[10]~31 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[10]~32 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[11] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[11]~33 0xc0 2.01029e+07 0.5; - u0_m0_wo0_aseq_eq 0xc0 2.01029e+07 0.5; - u0_m0_wo0_aseq_eq~0 0xc0 2.94476e+06 0.0625; - u0_m0_wo0_aseq_eq~1 0xc0 2.4736e+06 0.0625; - u0_m0_wo0_aseq_eq~2 0xc0 445396 0.015625; - u0_m0_wo0_aseq_eq~3 0xc0 9.90601e+06 0.250732; - u0_m0_wo0_ca0_i[0] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_ca0_i[0]~15 0xc0 2.01029e+07 0.5; - u0_m0_wo0_ca0_i[1] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_ca0_i[1]~5 0xc0 2.01029e+07 0.5; - u0_m0_wo0_ca0_i[1]~6 0xc0 1.13079e+07 0.25; - u0_m0_wo0_ca0_i[2] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_ca0_i[2]~7 0xc0 1.82183e+07 0.5; - u0_m0_wo0_ca0_i[2]~8 0xc0 4.79015e+06 0.875; - u0_m0_wo0_ca0_i[3] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_ca0_i[3]~9 0xc0 1.81005e+07 0.5; - u0_m0_wo0_ca0_i[3]~10 0xc0 1.69029e+07 0.0625; - u0_m0_wo0_ca0_i[4] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_ca0_i[4]~11 0xc0 2.61986e+07 0.5; - u0_m0_wo0_ca0_i[4]~12 0xc0 4.38279e+06 0.96875; - u0_m0_wo0_ca0_i[5] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_ca0_i[5]~13 0xc0 2.10772e+07 0.5; - u0_m0_wo0_cm0_q[0] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_cm0_q[1] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_cm0_q[2] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_cm0_q[3] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_cm0_q[4] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_cm0_q[5] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_cm0_q[6] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_cm0_q[7] 0xc0 2.01029e+07 0.5; - dspba_delay:u0_m0_wo0_compute; - delay_signals[0][0] 0xc0 2.01029e+07 0.5; - delay_signals[0][0]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[1][0] 0xc0 2.01029e+07 0.5; - delay_signals[1][0]~feeder 0xc0 2.01029e+07 0.5; - dspba_delay:u0_m0_wo0_memread; - delay_signals[0][0] 0xc0 2.01029e+07 0.5; - lpm_mult:u0_m0_wo0_mtree_mult1_0_component; - mult_ncu:auto_generated; - mac_mult1 0xc0 8.04117e+07 0.5; - mac_mult1~12 0xc0 0 0; - mac_mult1~13 0xc0 0 0; - mac_mult1~14 0xc0 0 0; - mac_mult1~15 0xc0 0 0; - mac_mult1~16 0xc0 0 0; - mac_mult1~17 0xc0 0 0; - mac_mult1~18 0xc0 0 0; - mac_mult1~19 0xc0 0 0; - mac_mult1~20 0xc0 0 0; - mac_mult1~21 0xc0 0 0; - mac_mult1~22 0xc0 0 0; - mac_mult1~23 0xc0 0 0; - mac_mult1~DATAOUT1 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT2 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT3 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT4 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT5 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT6 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT7 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT8 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT9 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT10 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT11 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT12 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT13 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT14 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT15 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT16 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT17 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT18 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT19 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT20 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT21 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT22 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT23 0xc0 8.04117e+07 0.5; - result[0] 0xc0 2.01029e+07 0.5; - result[1] 0xc0 2.01029e+07 0.5; - result[2] 0xc0 2.01029e+07 0.5; - result[3] 0xc0 2.01029e+07 0.5; - result[4] 0xc0 2.01029e+07 0.5; - result[5] 0xc0 2.01029e+07 0.5; - result[6] 0xc0 2.01029e+07 0.5; - result[7] 0xc0 2.01029e+07 0.5; - result[8] 0xc0 2.01029e+07 0.5; - result[9] 0xc0 2.01029e+07 0.5; - result[10] 0xc0 2.01029e+07 0.5; - result[11] 0xc0 2.01029e+07 0.5; - result[12] 0xc0 2.01029e+07 0.5; - result[13] 0xc0 2.01029e+07 0.5; - result[14] 0xc0 2.01029e+07 0.5; - result[15] 0xc0 2.01029e+07 0.5; - result[16] 0xc0 2.01029e+07 0.5; - result[17] 0xc0 2.01029e+07 0.5; - result[18] 0xc0 2.01029e+07 0.5; - result[19] 0xc0 2.01029e+07 0.5; - result[20] 0xc0 2.01029e+07 0.5; - result[21] 0xc0 2.01029e+07 0.5; - result[22] 0xc0 2.01029e+07 0.5; - result[23] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_oseq_eq 0xc0 2.01029e+07 0.5; - u0_m0_wo0_oseq_gated_q[0] 0xc0 1.50772e+07 0.25; - u0_m0_wo0_oseq_gated_reg_q[0] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_run_count[0] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_run_count[0]~0 0xc0 9.44288e+06 0.5; - u0_m0_wo0_run_count[1] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_run_enableQ[0] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_run_enableQ~0 0xc0 1.59021e+07 0.25; - u0_m0_wo0_run_q[0] 0xc0 2.01029e+07 0.5; - altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem; - altsyncram_0mn3:auto_generated; - q_b[0] 0xc0 2.01029e+07 0.5; - q_b[1] 0xc0 2.01029e+07 0.5; - q_b[2] 0xc0 2.01029e+07 0.5; - q_b[3] 0xc0 2.01029e+07 0.5; - q_b[4] 0xc0 2.01029e+07 0.5; - q_b[5] 0xc0 2.01029e+07 0.5; - q_b[6] 0xc0 2.01029e+07 0.5; - q_b[7] 0xc0 2.01029e+07 0.5; - q_b[8] 0xc0 2.01029e+07 0.5; - q_b[9] 0xc0 2.01029e+07 0.5; - q_b[10] 0xc0 2.01029e+07 0.5; - q_b[11] 0xc0 2.01029e+07 0.5; - q_b[12] 0xc0 2.01029e+07 0.5; - q_b[13] 0xc0 2.01029e+07 0.5; - q_b[14] 0xc0 2.01029e+07 0.5; - q_b[15] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0]~6 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0]~7 0xc0 1.13079e+07 0.25; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1]~8 0xc0 1.69618e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1]~9 0xc0 9.36435e+06 0.625; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2]~10 0xc0 1.43557e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2]~11 0xc0 1.66796e+07 0.4375; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3]~12 0xc0 1.56545e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3]~13 0xc0 1.27941e+07 0.53125; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4]~14 0xc0 1.45506e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4]~15 0xc0 1.42887e+07 0.484375; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5]~16 0xc0 1.86641e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_clkproc~0 0xc0 1.50772e+07 0.25; - u0_m0_wo0_wi0_r0_ra0_count0_i[0] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[0]~5 0xc0 1.63336e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[1] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[1]~6 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[1]~7 0xc0 1.13079e+07 0.25; - u0_m0_wo0_wi0_r0_ra0_count0_i[2] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[2]~8 0xc0 1.82183e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[2]~9 0xc0 4.79015e+06 0.875; - u0_m0_wo0_wi0_r0_ra0_count0_i[3] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[3]~10 0xc0 1.81005e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[3]~11 0xc0 1.69029e+07 0.0625; - u0_m0_wo0_wi0_r0_ra0_count0_i[4] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[4]~12 0xc0 2.61986e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[4]~13 0xc0 4.38279e+06 0.96875; - u0_m0_wo0_wi0_r0_ra0_count0_i[5] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[5]~14 0xc0 2.10772e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0]~7 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0]~8 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1]~9 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1]~10 0xc0 1.13079e+07 0.25; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2]~11 0xc0 1.82183e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2]~12 0xc0 5.33984e+06 0.875; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3]~13 0xc0 1.83753e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3]~14 0xc0 1.70404e+07 0.0625; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4]~15 0xc0 2.62673e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4]~16 0xc0 4.41715e+06 0.96875; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5]~17 0xc0 2.10943e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5]~18 0xc0 1.999e+07 0.015625; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6]~19 0xc0 2.94795e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[0] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[0]~15 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[1] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[1]~5 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[1]~6 0xc0 1.13079e+07 0.25; - u0_m0_wo0_wi0_r0_ra0_count1_i[2] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[2]~7 0xc0 1.82183e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[2]~8 0xc0 4.79015e+06 0.875; - u0_m0_wo0_wi0_r0_ra0_count1_i[3] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[3]~9 0xc0 1.81005e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[3]~10 0xc0 1.69029e+07 0.0625; - u0_m0_wo0_wi0_r0_ra0_count1_i[4] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[4]~11 0xc0 2.61986e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[4]~12 0xc0 4.38279e+06 0.96875; - u0_m0_wo0_wi0_r0_ra0_count1_i[5] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[5]~13 0xc0 2.10772e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[0] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[0]~15 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[0]~_wirecell 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[1] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[1]~5 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[1]~6 0xc0 1.13079e+07 0.25; - u0_m0_wo0_wi0_r0_wa0_i[1]~_wirecell 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[2] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[2]~7 0xc0 1.82183e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[2]~8 0xc0 4.79015e+06 0.875; - u0_m0_wo0_wi0_r0_wa0_i[2]~_wirecell 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[3] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[3]~9 0xc0 1.81005e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[3]~10 0xc0 1.69029e+07 0.0625; - u0_m0_wo0_wi0_r0_wa0_i[3]~_wirecell 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[4] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[4]~11 0xc0 2.61986e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[4]~12 0xc0 4.38279e+06 0.96875; - u0_m0_wo0_wi0_r0_wa0_i[4]~_wirecell 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[5] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[5]~13 0xc0 2.10772e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[5]~_wirecell 0xc0 2.01029e+07 0.5; - auk_dspip_avalon_streaming_sink_hpfir:sink; - auk_dspip_avalon_streaming_source_hpfir:source; - data_out[0] 0xc0 2.01029e+07 0.5; - data_out[1] 0xc0 2.01029e+07 0.5; - data_out[2] 0xc0 2.01029e+07 0.5; - data_out[3] 0xc0 2.01029e+07 0.5; - data_out[4] 0xc0 2.01029e+07 0.5; - data_out[5] 0xc0 2.01029e+07 0.5; - data_out[6] 0xc0 2.01029e+07 0.5; - data_out[7] 0xc0 2.01029e+07 0.5; - data_out[8] 0xc0 2.01029e+07 0.5; - data_out[9] 0xc0 2.01029e+07 0.5; - data_out[10] 0xc0 2.01029e+07 0.5; - data_out[11] 0xc0 2.01029e+07 0.5; - data_out[12] 0xc0 2.01029e+07 0.5; - data_out[13] 0xc0 2.01029e+07 0.5; - data_out[14] 0xc0 2.01029e+07 0.5; - data_out[15] 0xc0 2.01029e+07 0.5; - data_out[16] 0xc0 2.01029e+07 0.5; - data_out[17] 0xc0 2.01029e+07 0.5; - data_out[18] 0xc0 2.01029e+07 0.5; - data_out[19] 0xc0 2.01029e+07 0.5; - data_out[20] 0xc0 2.01029e+07 0.5; - data_out[21] 0xc0 2.01029e+07 0.5; - data_out[22] 0xc0 2.01029e+07 0.5; - data_out[23] 0xc0 2.01029e+07 0.5; - data_out[24] 0xc0 2.01029e+07 0.5; - data_out[25] 0xc0 2.01029e+07 0.5; - data_out[26] 0xc0 2.01029e+07 0.5; - data_out[26]~feeder 0xc0 2.01029e+07 0.5; - data_out[27] 0xc0 2.01029e+07 0.5; - data_out[27]~feeder 0xc0 2.01029e+07 0.5; - data_out[28] 0xc0 2.01029e+07 0.5; - data_out[29] 0xc0 2.01029e+07 0.5; - data_out[29]~feeder 0xc0 2.01029e+07 0.5; - data_valid 0xc0 2.01029e+07 0.5; - data_valid~feeder 0xc0 2.01029e+07 0.5; - tx_ciccomp:TX_CICCOMP_Q; - tx_ciccomp_0002:tx_ciccomp_inst; - tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst; - tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core; - Add6~0 0xc0 2.01029e+07 0.5; - Add6~1 0xc0 2.01029e+07 0.5; - Add6~2 0xc0 2.01029e+07 0.5; - Add6~2_wirecell 0xc0 2.01029e+07 0.5; - Add6~3 0xc0 1.13079e+07 0.25; - Add6~4 0xc0 1.82183e+07 0.5; - Add6~4_wirecell 0xc0 1.82183e+07 0.5; - Add6~5 0xc0 5.33984e+06 0.875; - Add6~6 0xc0 1.83753e+07 0.5; - Add6~6_wirecell 0xc0 1.83753e+07 0.5; - Add6~7 0xc0 1.70404e+07 0.0625; - Add6~8 0xc0 2.62673e+07 0.5; - Add6~8_wirecell 0xc0 2.62673e+07 0.5; - Add6~9 0xc0 4.41715e+06 0.96875; - Add6~10 0xc0 2.10943e+07 0.5; - Add6~10_wirecell 0xc0 2.10943e+07 0.5; - Add6~11 0xc0 1.999e+07 0.015625; - Add6~12 0xc0 2.94795e+07 0.5; - dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17; - delay_signals[0][0] 0xc0 2.01029e+07 0.5; - delay_signals[0][0]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][1] 0xc0 2.01029e+07 0.5; - delay_signals[0][2] 0xc0 2.01029e+07 0.5; - delay_signals[0][2]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][3] 0xc0 2.01029e+07 0.5; - delay_signals[0][3]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][4] 0xc0 2.01029e+07 0.5; - delay_signals[0][4]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][5] 0xc0 2.01029e+07 0.5; - delay_signals[0][5]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][6] 0xc0 2.01029e+07 0.5; - delay_signals[0][6]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][7] 0xc0 2.01029e+07 0.5; - delay_signals[0][7]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][8] 0xc0 2.01029e+07 0.5; - delay_signals[0][8]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][9] 0xc0 2.01029e+07 0.5; - delay_signals[0][9]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][10] 0xc0 2.01029e+07 0.5; - delay_signals[0][11] 0xc0 2.01029e+07 0.5; - delay_signals[0][11]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][12] 0xc0 2.01029e+07 0.5; - delay_signals[0][12]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][13] 0xc0 2.01029e+07 0.5; - delay_signals[0][14] 0xc0 2.01029e+07 0.5; - delay_signals[0][15] 0xc0 2.01029e+07 0.5; - delay_signals[0][15]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][16] 0xc0 2.01029e+07 0.5; - delay_signals[0][17] 0xc0 2.01029e+07 0.5; - delay_signals[0][17]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][18] 0xc0 2.01029e+07 0.5; - delay_signals[0][18]~feeder 0xc0 2.01029e+07 0.5; - dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16; - delay_signals[0][19] 0xc0 2.01029e+07 0.5; - delay_signals[0][20] 0xc0 2.01029e+07 0.5; - delay_signals[0][20]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][21] 0xc0 2.01029e+07 0.5; - delay_signals[0][21]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][22] 0xc0 2.01029e+07 0.5; - delay_signals[0][23] 0xc0 2.01029e+07 0.5; - delay_signals[0][23]~feeder 0xc0 2.01029e+07 0.5; - dspba_delay:d_xIn_0_13; - delay_signals[0][0] 0xc0 2.01029e+07 0.5; - delay_signals[0][0]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][1] 0xc0 2.01029e+07 0.5; - delay_signals[0][2] 0xc0 2.01029e+07 0.5; - delay_signals[0][2]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][3] 0xc0 2.01029e+07 0.5; - delay_signals[0][3]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][4] 0xc0 2.01029e+07 0.5; - delay_signals[0][5] 0xc0 2.01029e+07 0.5; - delay_signals[0][5]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][6] 0xc0 2.01029e+07 0.5; - delay_signals[0][6]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][7] 0xc0 2.01029e+07 0.5; - delay_signals[0][8] 0xc0 2.01029e+07 0.5; - delay_signals[0][8]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][9] 0xc0 2.01029e+07 0.5; - delay_signals[0][9]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][10] 0xc0 2.01029e+07 0.5; - delay_signals[0][11] 0xc0 2.01029e+07 0.5; - delay_signals[0][11]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][12] 0xc0 2.01029e+07 0.5; - delay_signals[0][12]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][13] 0xc0 2.01029e+07 0.5; - delay_signals[0][13]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][14] 0xc0 2.01029e+07 0.5; - delay_signals[0][14]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[0][15] 0xc0 2.01029e+07 0.5; - delay_signals[1][0] 0xc0 2.01029e+07 0.5; - delay_signals[1][0]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[1][1] 0xc0 2.01029e+07 0.5; - delay_signals[1][1]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[1][2] 0xc0 2.01029e+07 0.5; - delay_signals[1][2]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[1][3] 0xc0 2.01029e+07 0.5; - delay_signals[1][3]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[1][4] 0xc0 2.01029e+07 0.5; - delay_signals[1][4]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[1][5] 0xc0 2.01029e+07 0.5; - delay_signals[1][6] 0xc0 2.01029e+07 0.5; - delay_signals[1][6]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[1][7] 0xc0 2.01029e+07 0.5; - delay_signals[1][8] 0xc0 2.01029e+07 0.5; - delay_signals[1][9] 0xc0 2.01029e+07 0.5; - delay_signals[1][10] 0xc0 2.01029e+07 0.5; - delay_signals[1][11] 0xc0 2.01029e+07 0.5; - delay_signals[1][11]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[1][12] 0xc0 2.01029e+07 0.5; - delay_signals[1][12]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[1][13] 0xc0 2.01029e+07 0.5; - delay_signals[1][13]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[1][14] 0xc0 2.01029e+07 0.5; - delay_signals[1][14]~feeder 0xc0 2.01029e+07 0.5; - delay_signals[1][15] 0xc0 2.01029e+07 0.5; - delay_signals[2][0] 0xc0 2.01029e+07 0.5; - delay_signals[2][1] 0xc0 2.01029e+07 0.5; - delay_signals[2][1]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][2] 0xc0 2.01029e+07 0.5; - delay_signals[2][3] 0xc0 2.01029e+07 0.5; - delay_signals[2][3]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][4] 0xc0 2.01029e+07 0.5; - delay_signals[2][4]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][5] 0xc0 2.01029e+07 0.5; - delay_signals[2][5]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][6] 0xc0 2.01029e+07 0.5; - delay_signals[2][6]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][7] 0xc0 2.01029e+07 0.5; - delay_signals[2][7]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][8] 0xc0 2.01029e+07 0.5; - delay_signals[2][9] 0xc0 2.01029e+07 0.5; - delay_signals[2][9]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][10] 0xc0 2.01029e+07 0.5; - delay_signals[2][10]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][11] 0xc0 2.01029e+07 0.5; - delay_signals[2][12] 0xc0 2.01029e+07 0.5; - delay_signals[2][12]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][13] 0xc0 2.01029e+07 0.5; - delay_signals[2][13]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][14] 0xc0 2.01029e+07 0.5; - delay_signals[2][14]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][15] 0xc0 2.01029e+07 0.5; - delay_signals[2][15]~feeder 0xc0 3.125e+06 0.5; - Mux2~0 0xc0 4.81672e+06 0.125; - Mux2~1 0xc0 1.31385e+07 0.28125; - Mux3~0 0xc0 9.24656e+06 0.4375; - Mux3~1 0xc0 1.02478e+07 0.4375; - Mux3~2 0xc0 1.85713e+07 0.40625; - Mux3~3 0xc0 2.42326e+07 0.382813; - Mux4~0 0xc0 9.50177e+06 0.5; - Mux4~1 0xc0 7.58768e+06 0.5; - Mux4~2 0xc0 1.01682e+07 0.390625; - Mux4~3 0xc0 1.61326e+07 0.359863; - Mux5~0 0xc0 1.06012e+07 0.5625; - Mux5~1 0xc0 7.55332e+06 0.5625; - Mux5~2 0xc0 1.76471e+07 0.375; - Mux5~3 0xc0 2.11347e+07 0.335938; - Mux6~0 0xc0 8.92264e+06 0.375; - Mux6~1 0xc0 8.92264e+06 0.625; - Mux6~2 0xc0 2.76367e+07 0.421875; - Mux6~3 0xc0 2.32278e+07 0.404785; - Mux7~0 0xc0 9.48214e+06 0.4375; - Mux7~1 0xc0 9.59993e+06 0.5625; - Mux7~2 0xc0 3.26505e+07 0.375; - Mux7~3 0xc0 2.35924e+07 0.335938; - Mux8~0 0xc0 9.65883e+06 0.4375; - Mux8~1 0xc0 8.99626e+06 0.5625; - Mux8~2 0xc0 1.41571e+07 0.390625; - Mux8~3 0xc0 2.71622e+07 0.353027; - Mux9~0 0xc0 5.47726e+06 0.25; - Mux9~1 0xc0 5.47726e+06 0.75; - Mux9~2 0xc0 1.81103e+06 0.0625; - Mux9~3 0xc0 4.15929e+07 0.328125; - Mux9~4 0xc0 1.81103e+06 0.0625; - Mux9~5 0xc0 1.71467e+07 0.258301; - Mux9~6 0xc0 4.79015e+06 0.125; - Mux9~7 0xc0 5.96806e+06 0.125; - u0_m0_wo0_accum_p1_of_2_o[0] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[0]~20 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[0]~21 0xc0 1.13079e+07 0.25; - u0_m0_wo0_accum_p1_of_2_o[1] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[1]~22 0xc0 1.69618e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[1]~23 0xc0 9.36435e+06 0.625; - u0_m0_wo0_accum_p1_of_2_o[2] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[2]~24 0xc0 1.43557e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[2]~25 0xc0 1.66796e+07 0.4375; - u0_m0_wo0_accum_p1_of_2_o[3] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[3]~26 0xc0 1.56545e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[3]~27 0xc0 1.27941e+07 0.53125; - u0_m0_wo0_accum_p1_of_2_o[4] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[4]~28 0xc0 1.45506e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[4]~29 0xc0 1.42887e+07 0.484375; - u0_m0_wo0_accum_p1_of_2_o[5] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[5]~30 0xc0 1.48911e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[5]~31 0xc0 1.33805e+07 0.507813; - u0_m0_wo0_accum_p1_of_2_o[6] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[6]~32 0xc0 1.46558e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[6]~33 0xc0 1.37883e+07 0.496094; - u0_m0_wo0_accum_p1_of_2_o[7] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[7]~34 0xc0 1.47557e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[7]~35 0xc0 1.3572e+07 0.501953; - u0_m0_wo0_accum_p1_of_2_o[8] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[8]~36 0xc0 1.47011e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[8]~37 0xc0 1.3677e+07 0.499023; - u0_m0_wo0_accum_p1_of_2_o[9] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[9]~38 0xc0 1.47272e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[9]~39 0xc0 1.36237e+07 0.500488; - u0_m0_wo0_accum_p1_of_2_o[10] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[10]~40 0xc0 1.47138e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[10]~41 0xc0 1.36501e+07 0.499756; - u0_m0_wo0_accum_p1_of_2_o[11] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[11]~42 0xc0 1.47204e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[11]~43 0xc0 1.36369e+07 0.500122; - u0_m0_wo0_accum_p1_of_2_o[12] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[12]~44 0xc0 1.47171e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[12]~45 0xc0 1.36435e+07 0.499939; - u0_m0_wo0_accum_p1_of_2_o[13] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[13]~46 0xc0 1.47188e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[13]~47 0xc0 1.36402e+07 0.500031; - u0_m0_wo0_accum_p1_of_2_o[14] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[14]~48 0xc0 1.47179e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[14]~49 0xc0 1.36418e+07 0.499985; - u0_m0_wo0_accum_p1_of_2_o[15] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[15]~50 0xc0 1.47184e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[15]~51 0xc0 1.3641e+07 0.500008; - u0_m0_wo0_accum_p1_of_2_o[16] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[16]~52 0xc0 1.47181e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[16]~53 0xc0 1.36414e+07 0.499996; - u0_m0_wo0_accum_p1_of_2_o[17] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[17]~54 0xc0 1.47182e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[17]~55 0xc0 1.36412e+07 0.500002; - u0_m0_wo0_accum_p1_of_2_o[18] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[18]~56 0xc0 1.47182e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[18]~57 0xc0 1.36413e+07 0.499999; - u0_m0_wo0_accum_p1_of_2_o[19] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[19]~58 0xc0 1.36413e+07 0.499999; - u0_m0_wo0_accum_p2_of_2_o[1] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[1]~12 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[1]~13 0xc0 1.63336e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[1]~14 0xc0 9.73736e+06 0.5; - u0_m0_wo0_accum_p2_of_2_o[2] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[2]~15 0xc0 1.37422e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[2]~16 0xc0 1.31533e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[3] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[3]~17 0xc0 1.45962e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[3]~18 0xc0 1.35803e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[4] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[4]~19 0xc0 1.4703e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[4]~20 0xc0 1.36336e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[5] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[5]~21 0xc0 1.84856e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[5]~22 0xc0 1.92943e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[6] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[6]~23 0xc0 1.99008e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[6]~24 0xc0 2.00018e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[7] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[7]~25 0xc0 2.00777e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[7]~26 0xc0 2.00903e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[8] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[8]~27 0xc0 2.00998e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[8]~28 0xc0 2.01013e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[9] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[9]~29 0xc0 2.01025e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[9]~30 0xc0 2.01027e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[10] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[10]~31 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[10]~32 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[11] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[11]~33 0xc0 2.01029e+07 0.5; - u0_m0_wo0_ca0_i[0] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_ca0_i[0]~15 0xc0 2.01029e+07 0.5; - u0_m0_wo0_ca0_i[1] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_ca0_i[1]~5 0xc0 2.01029e+07 0.5; - u0_m0_wo0_ca0_i[1]~6 0xc0 1.13079e+07 0.25; - u0_m0_wo0_ca0_i[2] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_ca0_i[2]~7 0xc0 1.82183e+07 0.5; - u0_m0_wo0_ca0_i[2]~8 0xc0 4.79015e+06 0.875; - u0_m0_wo0_ca0_i[3] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_ca0_i[3]~9 0xc0 1.81005e+07 0.5; - u0_m0_wo0_ca0_i[3]~10 0xc0 1.69029e+07 0.0625; - u0_m0_wo0_ca0_i[4] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_ca0_i[4]~11 0xc0 2.61986e+07 0.5; - u0_m0_wo0_ca0_i[4]~12 0xc0 4.38279e+06 0.96875; - u0_m0_wo0_ca0_i[5] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_ca0_i[5]~13 0xc0 2.10772e+07 0.5; - u0_m0_wo0_cm0_q[0] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_cm0_q[1] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_cm0_q[2] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_cm0_q[3] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_cm0_q[4] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_cm0_q[5] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_cm0_q[6] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_cm0_q[7] 0xc0 2.01029e+07 0.5; - lpm_mult:u0_m0_wo0_mtree_mult1_0_component; - mult_ncu:auto_generated; - mac_mult1 0xc0 8.04117e+07 0.5; - mac_mult1~12 0xc0 0 0; - mac_mult1~13 0xc0 0 0; - mac_mult1~14 0xc0 0 0; - mac_mult1~15 0xc0 0 0; - mac_mult1~16 0xc0 0 0; - mac_mult1~17 0xc0 0 0; - mac_mult1~18 0xc0 0 0; - mac_mult1~19 0xc0 0 0; - mac_mult1~20 0xc0 0 0; - mac_mult1~21 0xc0 0 0; - mac_mult1~22 0xc0 0 0; - mac_mult1~23 0xc0 0 0; - mac_mult1~DATAOUT1 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT2 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT3 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT4 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT5 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT6 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT7 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT8 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT9 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT10 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT11 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT12 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT13 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT14 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT15 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT16 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT17 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT18 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT19 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT20 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT21 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT22 0xc0 8.04117e+07 0.5; - mac_mult1~DATAOUT23 0xc0 8.04117e+07 0.5; - result[0] 0xc0 2.01029e+07 0.5; - result[1] 0xc0 2.01029e+07 0.5; - result[2] 0xc0 2.01029e+07 0.5; - result[3] 0xc0 2.01029e+07 0.5; - result[4] 0xc0 2.01029e+07 0.5; - result[5] 0xc0 2.01029e+07 0.5; - result[6] 0xc0 2.01029e+07 0.5; - result[7] 0xc0 2.01029e+07 0.5; - result[8] 0xc0 2.01029e+07 0.5; - result[9] 0xc0 2.01029e+07 0.5; - result[10] 0xc0 2.01029e+07 0.5; - result[11] 0xc0 2.01029e+07 0.5; - result[12] 0xc0 2.01029e+07 0.5; - result[13] 0xc0 2.01029e+07 0.5; - result[14] 0xc0 2.01029e+07 0.5; - result[15] 0xc0 2.01029e+07 0.5; - result[16] 0xc0 2.01029e+07 0.5; - result[17] 0xc0 2.01029e+07 0.5; - result[18] 0xc0 2.01029e+07 0.5; - result[19] 0xc0 2.01029e+07 0.5; - result[20] 0xc0 2.01029e+07 0.5; - result[21] 0xc0 2.01029e+07 0.5; - result[22] 0xc0 2.01029e+07 0.5; - result[23] 0xc0 2.01029e+07 0.5; - altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem; - altsyncram_0mn3:auto_generated; - q_b[0] 0xc0 2.01029e+07 0.5; - q_b[1] 0xc0 2.01029e+07 0.5; - q_b[2] 0xc0 2.01029e+07 0.5; - q_b[3] 0xc0 2.01029e+07 0.5; - q_b[4] 0xc0 2.01029e+07 0.5; - q_b[5] 0xc0 2.01029e+07 0.5; - q_b[6] 0xc0 2.01029e+07 0.5; - q_b[7] 0xc0 2.01029e+07 0.5; - q_b[8] 0xc0 2.01029e+07 0.5; - q_b[9] 0xc0 2.01029e+07 0.5; - q_b[10] 0xc0 2.01029e+07 0.5; - q_b[11] 0xc0 2.01029e+07 0.5; - q_b[12] 0xc0 2.01029e+07 0.5; - q_b[13] 0xc0 2.01029e+07 0.5; - q_b[14] 0xc0 2.01029e+07 0.5; - q_b[15] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0]~6 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0]~7 0xc0 1.13079e+07 0.25; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1]~8 0xc0 1.69618e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1]~9 0xc0 9.36435e+06 0.625; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2]~10 0xc0 1.43557e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2]~11 0xc0 1.66796e+07 0.4375; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3]~12 0xc0 1.56545e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3]~13 0xc0 1.27941e+07 0.53125; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4]~14 0xc0 1.45506e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4]~15 0xc0 1.42887e+07 0.484375; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5]~16 0xc0 1.86641e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_clkproc~0 0xc0 1.50772e+07 0.25; - u0_m0_wo0_wi0_r0_ra0_count0_i[0] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[0]~5 0xc0 1.82183e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[1] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[1]~6 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[1]~7 0xc0 1.13079e+07 0.25; - u0_m0_wo0_wi0_r0_ra0_count0_i[2] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[2]~8 0xc0 1.82183e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[2]~9 0xc0 4.79015e+06 0.875; - u0_m0_wo0_wi0_r0_ra0_count0_i[3] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[3]~10 0xc0 1.81005e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[3]~11 0xc0 1.69029e+07 0.0625; - u0_m0_wo0_wi0_r0_ra0_count0_i[4] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[4]~12 0xc0 2.61986e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[4]~13 0xc0 4.38279e+06 0.96875; - u0_m0_wo0_wi0_r0_ra0_count0_i[5] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[5]~14 0xc0 2.10772e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0]~7 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0]~8 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1]~9 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1]~10 0xc0 1.13079e+07 0.25; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2]~11 0xc0 1.82183e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2]~12 0xc0 5.33984e+06 0.875; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3]~13 0xc0 1.83753e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3]~14 0xc0 1.70404e+07 0.0625; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4]~15 0xc0 2.62673e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4]~16 0xc0 4.41715e+06 0.96875; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5]~17 0xc0 2.10943e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5]~18 0xc0 1.999e+07 0.015625; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6]~19 0xc0 2.94795e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[0] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[0]~15 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[1] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[1]~5 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[1]~6 0xc0 1.13079e+07 0.25; - u0_m0_wo0_wi0_r0_ra0_count1_i[2] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[2]~7 0xc0 1.82183e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[2]~8 0xc0 4.79015e+06 0.875; - u0_m0_wo0_wi0_r0_ra0_count1_i[3] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[3]~9 0xc0 1.81005e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[3]~10 0xc0 1.69029e+07 0.0625; - u0_m0_wo0_wi0_r0_ra0_count1_i[4] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[4]~11 0xc0 2.61986e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[4]~12 0xc0 4.38279e+06 0.96875; - u0_m0_wo0_wi0_r0_ra0_count1_i[5] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[5]~13 0xc0 2.10772e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[0] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[0]~15 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[0]~_wirecell 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[1] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[1]~5 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[1]~6 0xc0 1.13079e+07 0.25; - u0_m0_wo0_wi0_r0_wa0_i[1]~_wirecell 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[2] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[2]~7 0xc0 1.82183e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[2]~8 0xc0 4.79015e+06 0.875; - u0_m0_wo0_wi0_r0_wa0_i[2]~_wirecell 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[3] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[3]~9 0xc0 1.81005e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[3]~10 0xc0 1.69029e+07 0.0625; - u0_m0_wo0_wi0_r0_wa0_i[3]~_wirecell 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[4] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[4]~11 0xc0 2.61986e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[4]~12 0xc0 4.38279e+06 0.96875; - u0_m0_wo0_wi0_r0_wa0_i[4]~_wirecell 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[5] 0xc0 2.01029e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[5]~13 0xc0 2.10772e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[5]~_wirecell 0xc0 2.01029e+07 0.5; - auk_dspip_avalon_streaming_sink_hpfir:sink; - auk_dspip_avalon_streaming_source_hpfir:source; - data_out[0] 0xc0 2.01029e+07 0.5; - data_out[1] 0xc0 2.01029e+07 0.5; - data_out[2] 0xc0 2.01029e+07 0.5; - data_out[3] 0xc0 2.01029e+07 0.5; - data_out[4] 0xc0 2.01029e+07 0.5; - data_out[5] 0xc0 2.01029e+07 0.5; - data_out[6] 0xc0 2.01029e+07 0.5; - data_out[7] 0xc0 2.01029e+07 0.5; - data_out[8] 0xc0 2.01029e+07 0.5; - data_out[8]~feeder 0xc0 2.01029e+07 0.5; - data_out[9] 0xc0 2.01029e+07 0.5; - data_out[10] 0xc0 2.01029e+07 0.5; - data_out[10]~feeder 0xc0 2.01029e+07 0.5; - data_out[11] 0xc0 2.01029e+07 0.5; - data_out[12] 0xc0 2.01029e+07 0.5; - data_out[13] 0xc0 2.01029e+07 0.5; - data_out[14] 0xc0 2.01029e+07 0.5; - data_out[15] 0xc0 2.01029e+07 0.5; - data_out[16] 0xc0 2.01029e+07 0.5; - data_out[17] 0xc0 2.01029e+07 0.5; - data_out[18] 0xc0 2.01029e+07 0.5; - data_out[18]~feeder 0xc0 2.01029e+07 0.5; - data_out[19] 0xc0 2.01029e+07 0.5; - data_out[20] 0xc0 2.01029e+07 0.5; - data_out[21] 0xc0 2.01029e+07 0.5; - data_out[22] 0xc0 2.01029e+07 0.5; - data_out[23] 0xc0 2.01029e+07 0.5; - data_out[24] 0xc0 2.01029e+07 0.5; - data_out[25] 0xc0 2.01029e+07 0.5; - data_out[26] 0xc0 2.01029e+07 0.5; - data_out[27] 0xc0 2.01029e+07 0.5; - data_out[28] 0xc0 2.01029e+07 0.5; - data_out[29] 0xc0 2.01029e+07 0.5; - tx_mixer:TX_MIXER_I; - lpm_mult:lpm_mult_component; - mult_abt:auto_generated; - mac_mult1 0xc0 4.02059e+07 0.5; - mac_mult1~0 0xc0 0 0; - mac_mult1~1 0xc0 0 0; - mac_mult1~2 0xc0 0 0; - mac_mult1~3 0xc0 0 0; - mac_mult1~DATAOUT1 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT2 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT3 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT4 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT5 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT6 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT7 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT8 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT9 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT10 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT11 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT12 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT13 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT14 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT15 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT16 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT17 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT18 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT19 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT20 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT21 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT22 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT23 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT24 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT25 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT26 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT27 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT28 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT29 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT30 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT31 0xc0 4.02059e+07 0.5; - result[0] 0xc0 2.01029e+07 0.5; - result[1] 0xc0 2.01029e+07 0.5; - result[2] 0xc0 2.01029e+07 0.5; - result[3] 0xc0 2.01029e+07 0.5; - result[4] 0xc0 2.01029e+07 0.5; - result[5] 0xc0 2.01029e+07 0.5; - result[6] 0xc0 2.01029e+07 0.5; - result[7] 0xc0 2.01029e+07 0.5; - result[8] 0xc0 2.01029e+07 0.5; - result[9] 0xc0 2.01029e+07 0.5; - result[10] 0xc0 2.01029e+07 0.5; - result[11] 0xc0 2.01029e+07 0.5; - result[12] 0xc0 2.01029e+07 0.5; - result[13] 0xc0 2.01029e+07 0.5; - result[14] 0xc0 2.01029e+07 0.5; - result[15] 0xc0 2.01029e+07 0.5; - result[16] 0xc0 2.01029e+07 0.5; - result[17] 0xc0 2.01029e+07 0.5; - result[18] 0xc0 2.01029e+07 0.5; - result[19] 0xc0 2.01029e+07 0.5; - result[20] 0xc0 2.01029e+07 0.5; - result[21] 0xc0 2.01029e+07 0.5; - result[22] 0xc0 2.01029e+07 0.5; - result[23] 0xc0 2.01029e+07 0.5; - result[24] 0xc0 2.01029e+07 0.5; - result[25] 0xc0 2.01029e+07 0.5; - result[26] 0xc0 2.01029e+07 0.5; - result[27] 0xc0 2.01029e+07 0.5; - result[28] 0xc0 2.01029e+07 0.5; - result[29] 0xc0 2.01029e+07 0.5; - result[30] 0xc0 2.01029e+07 0.5; - result[31] 0xc0 2.01029e+07 0.5; - tx_mixer:TX_MIXER_Q; - lpm_mult:lpm_mult_component; - mult_abt:auto_generated; - mac_mult1 0xc0 4.02059e+07 0.5; - mac_mult1~0 0xc0 0 0; - mac_mult1~1 0xc0 0 0; - mac_mult1~2 0xc0 0 0; - mac_mult1~3 0xc0 0 0; - mac_mult1~DATAOUT1 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT2 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT3 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT4 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT5 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT6 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT7 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT8 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT9 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT10 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT11 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT12 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT13 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT14 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT15 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT16 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT17 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT18 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT19 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT20 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT21 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT22 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT23 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT24 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT25 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT26 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT27 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT28 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT29 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT30 0xc0 4.02059e+07 0.5; - mac_mult1~DATAOUT31 0xc0 4.02059e+07 0.5; - result[0] 0xc0 2.01029e+07 0.5; - result[1] 0xc0 2.01029e+07 0.5; - result[2] 0xc0 2.01029e+07 0.5; - result[3] 0xc0 2.01029e+07 0.5; - result[4] 0xc0 2.01029e+07 0.5; - result[5] 0xc0 2.01029e+07 0.5; - result[6] 0xc0 2.01029e+07 0.5; - result[7] 0xc0 2.01029e+07 0.5; - result[8] 0xc0 2.01029e+07 0.5; - result[9] 0xc0 2.01029e+07 0.5; - result[10] 0xc0 2.01029e+07 0.5; - result[11] 0xc0 2.01029e+07 0.5; - result[12] 0xc0 2.01029e+07 0.5; - result[13] 0xc0 2.01029e+07 0.5; - result[14] 0xc0 2.01029e+07 0.5; - result[15] 0xc0 2.01029e+07 0.5; - result[16] 0xc0 2.01029e+07 0.5; - result[17] 0xc0 2.01029e+07 0.5; - result[18] 0xc0 2.01029e+07 0.5; - result[19] 0xc0 2.01029e+07 0.5; - result[20] 0xc0 2.01029e+07 0.5; - result[21] 0xc0 2.01029e+07 0.5; - result[22] 0xc0 2.01029e+07 0.5; - result[23] 0xc0 2.01029e+07 0.5; - result[24] 0xc0 2.01029e+07 0.5; - result[25] 0xc0 2.01029e+07 0.5; - result[26] 0xc0 2.01029e+07 0.5; - result[27] 0xc0 2.01029e+07 0.5; - result[28] 0xc0 2.01029e+07 0.5; - result[29] 0xc0 2.01029e+07 0.5; - result[30] 0xc0 2.01029e+07 0.5; - result[31] 0xc0 2.01029e+07 0.5; - tx_nco:TX_NCO; - tx_nco_nco_ii_0:nco_ii_0; - asj_nco_mob_w:blk0; - Equal0~0 0xc0 2.4736e+06 0.0625; - Equal0~1 0xc0 1.50772e+07 0.25; - Equal0~2 0xc0 50785.7 0.00390625; - Equal0~3 0xc0 2.4736e+06 0.0625; - Equal0~4 0xc0 2.4736e+06 0.0625; - Equal0~5 0xc0 3.52311 0.999992; - add_one 0xc0 1.13079e+07 0.25; - data_tmp[0] 0xc0 2.01029e+07 0.5; - data_tmp[1] 0xc0 2.01029e+07 0.5; - data_tmp[2] 0xc0 2.01029e+07 0.5; - data_tmp[3] 0xc0 2.01029e+07 0.5; - data_tmp[4] 0xc0 2.01029e+07 0.5; - data_tmp[5] 0xc0 2.01029e+07 0.5; - data_tmp[6] 0xc0 2.01029e+07 0.5; - data_tmp[7] 0xc0 2.01029e+07 0.5; - data_tmp[8] 0xc0 2.01029e+07 0.5; - data_tmp[9] 0xc0 2.01029e+07 0.5; - data_tmp[10] 0xc0 2.01029e+07 0.5; - data_tmp[11] 0xc0 2.01029e+07 0.5; - data_tmp[12] 0xc0 2.01029e+07 0.5; - data_tmp[13] 0xc0 2.01029e+07 0.5; - data_tmp[14] 0xc0 2.01029e+07 0.5; - data_tmp[15] 0xc0 2.01029e+07 0.5; - is_zero 0xc0 2.01029e+07 0.5; - lpm_add_sub:lpm_add_sub_component; - add_sub_jpk:auto_generated; - pipeline_dffe[0]~16 0xc0 1.82183e+07 0.5; - pipeline_dffe[0]~17 0xc0 6.91038e+06 0.125; - pipeline_dffe[1]~18 0xc0 1.91606e+07 0.5; - pipeline_dffe[1]~19 0xc0 2.4736e+06 0.9375; - pipeline_dffe[2]~20 0xc0 1.89839e+07 0.5; - pipeline_dffe[2]~21 0xc0 1.83655e+07 0.03125; - pipeline_dffe[3]~22 0xc0 2.80685e+07 0.5; - pipeline_dffe[3]~23 0xc0 4.63064e+06 0.984375; - pipeline_dffe[4]~24 0xc0 2.17998e+07 0.5; - pipeline_dffe[4]~25 0xc0 2.06422e+07 0.0078125; - pipeline_dffe[5]~26 0xc0 3.01124e+07 0.5; - pipeline_dffe[5]~27 0xc0 5.163e+06 0.996094; - pipeline_dffe[6]~28 0xc0 2.2528e+07 0.5; - pipeline_dffe[6]~29 0xc0 2.12372e+07 0.00195313; - pipeline_dffe[7]~30 0xc0 3.06432e+07 0.5; - pipeline_dffe[7]~31 0xc0 5.30946e+06 0.999023; - pipeline_dffe[8]~32 0xc0 2.27184e+07 0.5; - pipeline_dffe[8]~33 0xc0 2.13911e+07 0.000488281; - pipeline_dffe[9]~34 0xc0 3.07788e+07 0.5; - pipeline_dffe[9]~35 0xc0 5.34778e+06 0.999756; - pipeline_dffe[10]~36 0xc0 2.2767e+07 0.5; - pipeline_dffe[10]~37 0xc0 2.14301e+07 0.00012207; - pipeline_dffe[11]~38 0xc0 3.0813e+07 0.5; - pipeline_dffe[11]~39 0xc0 5.35752e+06 0.999939; - pipeline_dffe[12]~40 0xc0 2.27792e+07 0.5; - pipeline_dffe[12]~41 0xc0 2.14399e+07 3.05176e-05; - pipeline_dffe[13]~42 0xc0 3.08216e+07 0.5; - pipeline_dffe[13]~43 0xc0 5.35996e+06 0.999985; - pipeline_dffe[14]~44 0xc0 2.27823e+07 0.5; - pipeline_dffe[14]~45 0xc0 2.14423e+07 7.62939e-06; - pipeline_dffe[15]~46 0xc0 3.08238e+07 0.5; - asj_nco_mob_w:blk1; - Equal0~0 0xc0 2.4736e+06 0.0625; - Equal0~1 0xc0 1.50772e+07 0.25; - Equal0~2 0xc0 85122.1 0.00390625; - Equal0~3 0xc0 2.4736e+06 0.0625; - Equal0~4 0xc0 2.4736e+06 0.0625; - Equal0~5 0xc0 6.02099 0.999992; - add_one 0xc0 1.50772e+07 0.25; - data_tmp[0] 0xc0 2.01029e+07 0.5; - data_tmp[1] 0xc0 2.01029e+07 0.5; - data_tmp[2] 0xc0 2.01029e+07 0.5; - data_tmp[3] 0xc0 2.01029e+07 0.5; - data_tmp[4] 0xc0 2.01029e+07 0.5; - data_tmp[5] 0xc0 2.01029e+07 0.5; - data_tmp[6] 0xc0 2.01029e+07 0.5; - data_tmp[7] 0xc0 2.01029e+07 0.5; - data_tmp[8] 0xc0 2.01029e+07 0.5; - data_tmp[9] 0xc0 2.01029e+07 0.5; - data_tmp[10] 0xc0 2.01029e+07 0.5; - data_tmp[11] 0xc0 2.01029e+07 0.5; - data_tmp[12] 0xc0 2.01029e+07 0.5; - data_tmp[13] 0xc0 2.01029e+07 0.5; - data_tmp[14] 0xc0 2.01029e+07 0.5; - data_tmp[15] 0xc0 2.01029e+07 0.5; - is_zero 0xc0 2.01029e+07 0.5; - lpm_add_sub:lpm_add_sub_component; - add_sub_jpk:auto_generated; - pipeline_dffe[0]~16 0xc0 2.01029e+07 0.5; - pipeline_dffe[0]~17 0xc0 8.79503e+06 0.125; - pipeline_dffe[1]~18 0xc0 2.01029e+07 0.5; - pipeline_dffe[1]~19 0xc0 3.06256e+06 0.9375; - pipeline_dffe[2]~20 0xc0 1.92784e+07 0.5; - pipeline_dffe[2]~21 0xc0 1.85128e+07 0.03125; - pipeline_dffe[3]~22 0xc0 2.81421e+07 0.5; - pipeline_dffe[3]~23 0xc0 4.66745e+06 0.984375; - pipeline_dffe[4]~24 0xc0 2.18183e+07 0.5; - pipeline_dffe[4]~25 0xc0 2.06514e+07 0.0078125; - pipeline_dffe[5]~26 0xc0 3.0117e+07 0.5; - pipeline_dffe[5]~27 0xc0 5.1653e+06 0.996094; - pipeline_dffe[6]~28 0xc0 2.25291e+07 0.5; - pipeline_dffe[6]~29 0xc0 2.12378e+07 0.00195313; - pipeline_dffe[7]~30 0xc0 3.06435e+07 0.5; - pipeline_dffe[7]~31 0xc0 5.30961e+06 0.999023; - pipeline_dffe[8]~32 0xc0 2.27185e+07 0.5; - pipeline_dffe[8]~33 0xc0 2.13911e+07 0.000488281; - pipeline_dffe[9]~34 0xc0 3.07789e+07 0.5; - pipeline_dffe[9]~35 0xc0 5.34779e+06 0.999756; - pipeline_dffe[10]~36 0xc0 2.2767e+07 0.5; - pipeline_dffe[10]~37 0xc0 2.14301e+07 0.00012207; - pipeline_dffe[11]~38 0xc0 3.08131e+07 0.5; - pipeline_dffe[11]~39 0xc0 5.35752e+06 0.999939; - pipeline_dffe[12]~40 0xc0 2.27792e+07 0.5; - pipeline_dffe[12]~41 0xc0 2.14399e+07 3.05176e-05; - pipeline_dffe[13]~42 0xc0 3.08216e+07 0.5; - pipeline_dffe[13]~43 0xc0 5.35996e+06 0.999985; - pipeline_dffe[14]~44 0xc0 2.27823e+07 0.5; - pipeline_dffe[14]~45 0xc0 2.14423e+07 7.62939e-06; - pipeline_dffe[15]~46 0xc0 3.08238e+07 0.5; - asj_nco_mady_cen:m0; - lpm_mult:Mult0; - mult_36t:auto_generated; - mac_mult1 0xc0 5.02573e+06 0.5; - mac_mult1~0 0xc0 0 0; - mac_mult1~1 0xc0 0 0; - mac_mult1~2 0xc0 0 0; - mac_mult1~3 0xc0 0 0; - mac_mult1~DATAOUT1 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT2 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT3 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT4 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT5 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT6 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT7 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT8 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT9 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT10 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT11 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT12 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT13 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT14 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT15 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT16 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT17 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT18 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT19 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT20 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT21 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT22 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT23 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT24 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT25 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT26 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT27 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT28 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT29 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT30 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT31 0xc0 5.02573e+06 0.5; - mac_out2 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT1 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT2 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT3 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT4 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT5 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT6 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT7 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT8 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT9 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT10 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT11 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT12 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT13 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT14 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT15 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT16 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT17 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT18 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT19 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT20 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT21 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT22 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT23 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT24 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT25 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT26 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT27 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT28 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT29 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT30 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT31 0xc0 5.02573e+06 0.5; - lpm_mult:Mult1; - mult_36t:auto_generated; - mac_mult1 0xc0 5.02573e+06 0.5; - mac_mult1~0 0xc0 0 0; - mac_mult1~1 0xc0 0 0; - mac_mult1~2 0xc0 0 0; - mac_mult1~3 0xc0 0 0; - mac_mult1~DATAOUT1 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT2 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT3 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT4 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT5 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT6 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT7 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT8 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT9 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT10 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT11 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT12 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT13 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT14 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT15 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT16 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT17 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT18 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT19 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT20 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT21 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT22 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT23 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT24 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT25 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT26 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT27 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT28 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT29 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT30 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT31 0xc0 5.02573e+06 0.5; - mac_out2 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT1 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT2 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT3 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT4 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT5 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT6 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT7 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT8 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT9 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT10 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT11 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT12 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT13 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT14 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT15 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT16 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT17 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT18 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT19 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT20 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT21 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT22 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT23 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT24 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT25 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT26 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT27 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT28 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT29 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT30 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT31 0xc0 5.02573e+06 0.5; - out[15] 0xc0 2.01029e+07 0.5; - out[15]~18 0xc0 2.59139e+06 0.25; - out[15]~20 0xc0 1.98657e+06 0.625; - out[15]~22 0xc0 3.69124e+06 0.4375; - out[15]~24 0xc0 2.80924e+06 0.53125; - out[15]~26 0xc0 3.15405e+06 0.484375; - out[15]~28 0xc0 2.9465e+06 0.507813; - out[15]~30 0xc0 3.0401e+06 0.496094; - out[15]~32 0xc0 2.99058e+06 0.501953; - out[15]~34 0xc0 3.01464e+06 0.499023; - out[15]~36 0xc0 3.00244e+06 0.500488; - out[15]~38 0xc0 3.00849e+06 0.499756; - out[15]~40 0xc0 3.00545e+06 0.500122; - out[15]~42 0xc0 3.00697e+06 0.499939; - out[15]~44 0xc0 3.00621e+06 0.500031; - out[15]~46 0xc0 3.00659e+06 0.499985; - out[15]~47 0xc0 3.34304e+06 0.5; - out[15]~48 0xc0 3.0064e+06 0.500008; - out[16] 0xc0 2.01029e+07 0.5; - out[16]~49 0xc0 3.34299e+06 0.5; - out[16]~50 0xc0 3.0065e+06 0.499996; - out[17] 0xc0 2.01029e+07 0.5; - out[17]~51 0xc0 3.34302e+06 0.5; - out[17]~52 0xc0 3.00645e+06 0.500002; - out[18] 0xc0 2.01029e+07 0.5; - out[18]~53 0xc0 3.34301e+06 0.5; - out[18]~54 0xc0 3.00647e+06 0.499999; - out[19] 0xc0 2.01029e+07 0.5; - out[19]~55 0xc0 3.34301e+06 0.5; - out[19]~56 0xc0 3.00646e+06 0.5; - out[20] 0xc0 2.01029e+07 0.5; - out[20]~57 0xc0 3.34301e+06 0.5; - out[20]~58 0xc0 3.00647e+06 0.5; - out[21] 0xc0 2.01029e+07 0.5; - out[21]~59 0xc0 3.34301e+06 0.5; - out[21]~60 0xc0 3.00646e+06 0.5; - out[22] 0xc0 2.01029e+07 0.5; - out[22]~61 0xc0 3.34301e+06 0.5; - out[22]~62 0xc0 3.00647e+06 0.5; - out[23] 0xc0 2.01029e+07 0.5; - out[23]~63 0xc0 3.34301e+06 0.5; - out[23]~64 0xc0 3.00646e+06 0.5; - out[24] 0xc0 2.01029e+07 0.5; - out[24]~65 0xc0 3.34301e+06 0.5; - out[24]~66 0xc0 3.00646e+06 0.5; - out[25] 0xc0 2.01029e+07 0.5; - out[25]~67 0xc0 3.34301e+06 0.5; - out[25]~68 0xc0 3.00646e+06 0.5; - out[26] 0xc0 2.01029e+07 0.5; - out[26]~69 0xc0 3.34301e+06 0.5; - out[26]~70 0xc0 3.00646e+06 0.5; - out[27] 0xc0 2.01029e+07 0.5; - out[27]~71 0xc0 3.34301e+06 0.5; - out[27]~72 0xc0 3.00646e+06 0.5; - out[28] 0xc0 2.01029e+07 0.5; - out[28]~73 0xc0 3.34301e+06 0.5; - out[28]~74 0xc0 3.00646e+06 0.5; - out[29] 0xc0 2.01029e+07 0.5; - out[29]~75 0xc0 3.34301e+06 0.5; - out[29]~76 0xc0 3.00646e+06 0.5; - out[30] 0xc0 2.01029e+07 0.5; - out[30]~77 0xc0 3.34301e+06 0.5; - out[30]~78 0xc0 3.00646e+06 0.5; - out[31] 0xc0 2.01029e+07 0.5; - out[31]~79 0xc0 4.52092e+06 0.5; - asj_nco_madx_cen:m1; - lpm_mult:Mult0; - mult_36t:auto_generated; - mac_mult1 0xc0 5.02573e+06 0.5; - mac_mult1~0 0xc0 0 0; - mac_mult1~1 0xc0 0 0; - mac_mult1~2 0xc0 0 0; - mac_mult1~3 0xc0 0 0; - mac_mult1~DATAOUT1 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT2 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT3 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT4 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT5 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT6 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT7 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT8 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT9 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT10 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT11 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT12 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT13 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT14 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT15 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT16 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT17 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT18 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT19 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT20 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT21 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT22 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT23 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT24 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT25 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT26 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT27 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT28 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT29 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT30 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT31 0xc0 5.02573e+06 0.5; - mac_out2 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT1 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT2 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT3 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT4 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT5 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT6 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT7 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT8 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT9 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT10 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT11 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT12 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT13 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT14 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT15 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT16 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT17 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT18 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT19 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT20 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT21 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT22 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT23 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT24 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT25 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT26 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT27 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT28 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT29 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT30 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT31 0xc0 5.02573e+06 0.5; - lpm_mult:Mult1; - mult_36t:auto_generated; - mac_mult1 0xc0 5.02573e+06 0.5; - mac_mult1~0 0xc0 0 0; - mac_mult1~1 0xc0 0 0; - mac_mult1~2 0xc0 0 0; - mac_mult1~3 0xc0 0 0; - mac_mult1~DATAOUT1 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT2 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT3 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT4 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT5 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT6 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT7 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT8 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT9 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT10 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT11 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT12 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT13 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT14 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT15 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT16 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT17 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT18 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT19 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT20 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT21 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT22 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT23 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT24 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT25 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT26 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT27 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT28 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT29 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT30 0xc0 5.02573e+06 0.5; - mac_mult1~DATAOUT31 0xc0 5.02573e+06 0.5; - mac_out2 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT1 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT2 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT3 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT4 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT5 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT6 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT7 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT8 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT9 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT10 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT11 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT12 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT13 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT14 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT15 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT16 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT17 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT18 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT19 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT20 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT21 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT22 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT23 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT24 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT25 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT26 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT27 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT28 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT29 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT30 0xc0 5.02573e+06 0.5; - mac_out2~DATAOUT31 0xc0 5.02573e+06 0.5; - out[15] 0xc0 2.01029e+07 0.5; - out[15]~18 0xc0 2.59139e+06 0.75; - out[15]~20 0xc0 1.98657e+06 0.375; - out[15]~22 0xc0 2.39554e+06 0.5625; - out[15]~24 0xc0 3.29513e+06 0.46875; - out[15]~26 0xc0 2.89086e+06 0.515625; - out[15]~28 0xc0 3.07556e+06 0.492188; - out[15]~30 0xc0 2.97525e+06 0.503906; - out[15]~32 0xc0 3.02297e+06 0.498047; - out[15]~34 0xc0 2.99844e+06 0.500977; - out[15]~36 0xc0 3.01053e+06 0.499512; - out[15]~38 0xc0 3.00444e+06 0.500244; - out[15]~40 0xc0 3.00748e+06 0.499878; - out[15]~42 0xc0 3.00596e+06 0.500061; - out[15]~44 0xc0 3.00672e+06 0.499969; - out[15]~46 0xc0 3.00634e+06 0.500015; - out[15]~47 0xc0 3.34298e+06 0.5; - out[15]~48 0xc0 3.00653e+06 0.499992; - out[16] 0xc0 2.01029e+07 0.5; - out[16]~49 0xc0 3.34303e+06 0.5; - out[16]~50 0xc0 3.00643e+06 0.500004; - out[17] 0xc0 2.01029e+07 0.5; - out[17]~51 0xc0 3.343e+06 0.5; - out[17]~52 0xc0 3.00648e+06 0.499998; - out[18] 0xc0 2.01029e+07 0.5; - out[18]~53 0xc0 3.34301e+06 0.5; - out[18]~54 0xc0 3.00646e+06 0.500001; - out[19] 0xc0 2.01029e+07 0.5; - out[19]~55 0xc0 3.34301e+06 0.5; - out[19]~56 0xc0 3.00647e+06 0.5; - out[20] 0xc0 2.01029e+07 0.5; - out[20]~57 0xc0 3.34301e+06 0.5; - out[20]~58 0xc0 3.00646e+06 0.5; - out[21] 0xc0 2.01029e+07 0.5; - out[21]~59 0xc0 3.34301e+06 0.5; - out[21]~60 0xc0 3.00647e+06 0.5; - out[22] 0xc0 2.01029e+07 0.5; - out[22]~61 0xc0 3.34301e+06 0.5; - out[22]~62 0xc0 3.00646e+06 0.5; - out[23] 0xc0 2.01029e+07 0.5; - out[23]~63 0xc0 3.34301e+06 0.5; - out[23]~64 0xc0 3.00646e+06 0.5; - out[24] 0xc0 2.01029e+07 0.5; - out[24]~65 0xc0 3.34301e+06 0.5; - out[24]~66 0xc0 3.00646e+06 0.5; - out[25] 0xc0 2.01029e+07 0.5; - out[25]~67 0xc0 3.34301e+06 0.5; - out[25]~68 0xc0 3.00646e+06 0.5; - out[26] 0xc0 2.01029e+07 0.5; - out[26]~69 0xc0 3.34301e+06 0.5; - out[26]~70 0xc0 3.00646e+06 0.5; - out[27] 0xc0 2.01029e+07 0.5; - out[27]~71 0xc0 3.34301e+06 0.5; - out[27]~72 0xc0 3.00646e+06 0.5; - out[28] 0xc0 2.01029e+07 0.5; - out[28]~73 0xc0 3.34301e+06 0.5; - out[28]~74 0xc0 3.00646e+06 0.5; - out[29] 0xc0 2.01029e+07 0.5; - out[29]~75 0xc0 3.34301e+06 0.5; - out[29]~76 0xc0 3.00646e+06 0.5; - out[30] 0xc0 2.01029e+07 0.5; - out[30]~77 0xc0 3.34301e+06 0.5; - out[30]~78 0xc0 3.00646e+06 0.5; - out[31] 0xc0 2.01029e+07 0.5; - out[31]~79 0xc0 4.52091e+06 0.5; - asj_altqmcpipe:ux000; - lpm_add_sub:acc; - add_sub_u4i:auto_generated; - pipeline_dffe[0] 0xc0 2.01029e+07 0.5; - pipeline_dffe[0]~22 0xc0 2.01029e+07 0.5; - pipeline_dffe[0]~23 0xc0 1.13079e+07 0.25; - pipeline_dffe[0]~feeder 0xc0 2.01029e+07 0.5; - pipeline_dffe[1] 0xc0 2.01029e+07 0.5; - pipeline_dffe[1]~24 0xc0 1.69618e+07 0.5; - pipeline_dffe[1]~25 0xc0 9.36435e+06 0.625; - pipeline_dffe[2] 0xc0 2.01029e+07 0.5; - pipeline_dffe[2]~26 0xc0 1.43557e+07 0.5; - pipeline_dffe[2]~27 0xc0 1.66796e+07 0.4375; - pipeline_dffe[3] 0xc0 2.01029e+07 0.5; - pipeline_dffe[3]~28 0xc0 1.56545e+07 0.5; - pipeline_dffe[3]~29 0xc0 1.27941e+07 0.53125; - pipeline_dffe[4] 0xc0 2.01029e+07 0.5; - pipeline_dffe[4]~30 0xc0 1.45506e+07 0.5; - pipeline_dffe[4]~31 0xc0 1.42887e+07 0.484375; - pipeline_dffe[5] 0xc0 2.01029e+07 0.5; - pipeline_dffe[5]~32 0xc0 1.48911e+07 0.5; - pipeline_dffe[5]~33 0xc0 1.33805e+07 0.507813; - pipeline_dffe[6] 0xc0 2.01029e+07 0.5; - pipeline_dffe[6]~34 0xc0 1.46558e+07 0.5; - pipeline_dffe[6]~35 0xc0 1.37883e+07 0.496094; - pipeline_dffe[7] 0xc0 2.01029e+07 0.5; - pipeline_dffe[7]~36 0xc0 1.47557e+07 0.5; - pipeline_dffe[7]~37 0xc0 1.3572e+07 0.501953; - pipeline_dffe[8] 0xc0 2.01029e+07 0.5; - pipeline_dffe[8]~38 0xc0 1.47011e+07 0.5; - pipeline_dffe[8]~39 0xc0 1.3677e+07 0.499023; - pipeline_dffe[9] 0xc0 2.01029e+07 0.5; - pipeline_dffe[9]~40 0xc0 1.47272e+07 0.5; - pipeline_dffe[9]~41 0xc0 1.36237e+07 0.500488; - pipeline_dffe[10] 0xc0 2.01029e+07 0.5; - pipeline_dffe[10]~42 0xc0 1.47138e+07 0.5; - pipeline_dffe[10]~43 0xc0 1.36501e+07 0.499756; - pipeline_dffe[11] 0xc0 2.01029e+07 0.5; - pipeline_dffe[11]~44 0xc0 1.47204e+07 0.5; - pipeline_dffe[11]~45 0xc0 1.36369e+07 0.500122; - pipeline_dffe[12] 0xc0 2.01029e+07 0.5; - pipeline_dffe[12]~46 0xc0 1.47171e+07 0.5; - pipeline_dffe[12]~47 0xc0 1.36435e+07 0.499939; - pipeline_dffe[13] 0xc0 2.01029e+07 0.5; - pipeline_dffe[13]~48 0xc0 1.47188e+07 0.5; - pipeline_dffe[13]~49 0xc0 1.36402e+07 0.500031; - pipeline_dffe[14] 0xc0 2.01029e+07 0.5; - pipeline_dffe[14]~50 0xc0 1.47179e+07 0.5; - pipeline_dffe[14]~51 0xc0 1.36418e+07 0.499985; - pipeline_dffe[15] 0xc0 2.01029e+07 0.5; - pipeline_dffe[15]~52 0xc0 1.47184e+07 0.5; - pipeline_dffe[15]~53 0xc0 1.3641e+07 0.500008; - pipeline_dffe[16] 0xc0 2.01029e+07 0.5; - pipeline_dffe[16]~54 0xc0 1.47181e+07 0.5; - pipeline_dffe[16]~55 0xc0 1.36414e+07 0.499996; - pipeline_dffe[17] 0xc0 2.01029e+07 0.5; - pipeline_dffe[17]~56 0xc0 1.47182e+07 0.5; - pipeline_dffe[17]~57 0xc0 1.36412e+07 0.500002; - pipeline_dffe[18] 0xc0 2.01029e+07 0.5; - pipeline_dffe[18]~58 0xc0 1.47182e+07 0.5; - pipeline_dffe[18]~59 0xc0 1.36413e+07 0.499999; - pipeline_dffe[19] 0xc0 2.01029e+07 0.5; - pipeline_dffe[19]~60 0xc0 1.47182e+07 0.5; - pipeline_dffe[19]~61 0xc0 1.36413e+07 0.5; - pipeline_dffe[20] 0xc0 2.01029e+07 0.5; - pipeline_dffe[20]~62 0xc0 1.47182e+07 0.5; - pipeline_dffe[20]~63 0xc0 1.36413e+07 0.5; - pipeline_dffe[21] 0xc0 2.01029e+07 0.5; - pipeline_dffe[21]~64 0xc0 1.84875e+07 0.5; - phi_int_arr_reg[0] 0xc0 2.01029e+07 0.5; - phi_int_arr_reg[0]~5 0xc0 3.125e+06 0.5; - phi_int_arr_reg[1] 0xc0 2.01029e+07 0.5; - phi_int_arr_reg[1]~4 0xc0 3.125e+06 0.5; - phi_int_arr_reg[2] 0xc0 2.01029e+07 0.5; - phi_int_arr_reg[2]~feeder 0xc0 3.125e+06 0.5; - phi_int_arr_reg[3] 0xc0 2.01029e+07 0.5; - phi_int_arr_reg[3]~3 0xc0 3.125e+06 0.5; - phi_int_arr_reg[4] 0xc0 2.01029e+07 0.5; - phi_int_arr_reg[5] 0xc0 2.01029e+07 0.5; - phi_int_arr_reg[5]~2 0xc0 3.125e+06 0.5; - phi_int_arr_reg[6] 0xc0 2.01029e+07 0.5; - phi_int_arr_reg[6]~feeder 0xc0 3.125e+06 0.5; - phi_int_arr_reg[7] 0xc0 2.01029e+07 0.5; - phi_int_arr_reg[7]~1 0xc0 3.125e+06 0.5; - phi_int_arr_reg[8] 0xc0 2.01029e+07 0.5; - phi_int_arr_reg[8]~feeder 0xc0 3.125e+06 0.5; - phi_int_arr_reg[9] 0xc0 2.01029e+07 0.5; - phi_int_arr_reg[9]~0 0xc0 3.125e+06 0.5; - phi_int_arr_reg[10] 0xc0 2.01029e+07 0.5; - phi_int_arr_reg[10]~feeder 0xc0 3.125e+06 0.5; - phi_int_arr_reg[11] 0xc0 2.01029e+07 0.5; - phi_int_arr_reg[12] 0xc0 2.01029e+07 0.5; - phi_int_arr_reg[12]~6 0xc0 3.125e+06 0.5; - phi_int_arr_reg[13] 0xc0 2.01029e+07 0.5; - phi_int_arr_reg[13]~7 0xc0 3.125e+06 0.5; - phi_int_arr_reg[14] 0xc0 2.01029e+07 0.5; - phi_int_arr_reg[14]~feeder 0xc0 3.125e+06 0.5; - phi_int_arr_reg[15] 0xc0 2.01029e+07 0.5; - phi_int_arr_reg[15]~8 0xc0 3.125e+06 0.5; - phi_int_arr_reg[16] 0xc0 2.01029e+07 0.5; - phi_int_arr_reg[16]~9 0xc0 3.125e+06 0.5; - phi_int_arr_reg[17] 0xc0 2.01029e+07 0.5; - phi_int_arr_reg[17]~10 0xc0 3.125e+06 0.5; - phi_int_arr_reg[18] 0xc0 2.01029e+07 0.5; - phi_int_arr_reg[19] 0xc0 2.01029e+07 0.5; - phi_int_arr_reg[20] 0xc0 2.01029e+07 0.5; - phi_int_arr_reg[21] 0xc0 2.01029e+07 0.5; - asj_gam_dp:ux008; - Add0~0 0xc0 2.01029e+07 0.5; - rom_add_cc_temp[0] 0xc0 2.01029e+07 0.5; - rom_add_cc_temp[1] 0xc0 2.01029e+07 0.5; - rom_add_cc_temp[1]~feeder 0xc0 2.01029e+07 0.5; - rom_add_cc_temp[2] 0xc0 2.01029e+07 0.5; - rom_add_cc_temp[2]~feeder 0xc0 2.01029e+07 0.5; - rom_add_cc_temp[3] 0xc0 2.01029e+07 0.5; - rom_add_cc_temp[3]~feeder 0xc0 2.01029e+07 0.5; - rom_add_cc_temp[4] 0xc0 2.01029e+07 0.5; - rom_add_cc_temp[4]~feeder 0xc0 2.01029e+07 0.5; - rom_add_cc_temp[5] 0xc0 2.01029e+07 0.5; - rom_add_cc_temp[6] 0xc0 2.01029e+07 0.5; - rom_add_cc_temp[6]~feeder 0xc0 2.01029e+07 0.5; - rom_add_cc_temp[7] 0xc0 2.01029e+07 0.5; - rom_add_cc_temp[8] 0xc0 2.01029e+07 0.5; - rom_add_cc_temp[9] 0xc0 2.01029e+07 0.5; - rom_add_cc_temp[9]~0 0xc0 2.01029e+07 0.5; - rom_add_cc_temp[10] 0xc0 2.01029e+07 0.5; - rom_add_cs[9] 0xc0 2.01029e+07 0.5; - rom_add_cs[9]~feeder 0xc0 2.01029e+07 0.5; - rom_add_cs[10] 0xc0 2.01029e+07 0.5; - rom_add_cs[10]~feeder 0xc0 2.01029e+07 0.5; - rom_add_f[0] 0xc0 2.01029e+07 0.5; - rom_add_f[0]~feeder 0xc0 2.01029e+07 0.5; - rom_add_f[1] 0xc0 2.01029e+07 0.5; - rom_add_f[1]~feeder 0xc0 2.01029e+07 0.5; - rom_add_f[2] 0xc0 2.01029e+07 0.5; - rom_add_f[3] 0xc0 2.01029e+07 0.5; - rom_add_f[3]~feeder 0xc0 2.01029e+07 0.5; - rom_add_f[4] 0xc0 2.01029e+07 0.5; - rom_add_f[4]~feeder 0xc0 2.01029e+07 0.5; - rom_add_f[5] 0xc0 2.01029e+07 0.5; - rom_add_f[6] 0xc0 2.01029e+07 0.5; - rom_add_f[6]~feeder 0xc0 2.01029e+07 0.5; - rom_add_f[7] 0xc0 2.01029e+07 0.5; - rom_add_f[7]~feeder 0xc0 2.01029e+07 0.5; - rom_add_f[8] 0xc0 2.01029e+07 0.5; - rom_add_f[9] 0xc0 2.01029e+07 0.5; - rom_add_f[10] 0xc0 2.01029e+07 0.5; - rom_add_f[10]~feeder 0xc0 2.01029e+07 0.5; - asj_nco_as_m_cen:ux0122; - altsyncram:altsyncram_component0; - altsyncram_u8a1:auto_generated; - q_a[0] 0xc0 2.01029e+07 0.5; - q_a[1] 0xc0 2.01029e+07 0.5; - q_a[2] 0xc0 2.01029e+07 0.5; - q_a[3] 0xc0 2.01029e+07 0.5; - q_a[4] 0xc0 2.01029e+07 0.5; - q_a[5] 0xc0 2.01029e+07 0.5; - q_a[6] 0xc0 2.01029e+07 0.5; - q_a[7] 0xc0 2.01029e+07 0.5; - q_a[8] 0xc0 2.01029e+07 0.5; - q_a[9] 0xc0 2.01029e+07 0.5; - q_a[10] 0xc0 2.01029e+07 0.5; - q_a[11] 0xc0 2.01029e+07 0.5; - q_a[12] 0xc0 2.01029e+07 0.5; - q_a[13] 0xc0 2.01029e+07 0.5; - q_a[14] 0xc0 2.01029e+07 0.5; - q_a[15] 0xc0 2.01029e+07 0.5; - asj_nco_as_m_cen:ux0123; - altsyncram:altsyncram_component0; - altsyncram_p8a1:auto_generated; - q_a[0] 0xc0 2.01029e+07 0.5; - q_a[1] 0xc0 2.01029e+07 0.5; - q_a[2] 0xc0 2.01029e+07 0.5; - q_a[3] 0xc0 2.01029e+07 0.5; - q_a[4] 0xc0 2.01029e+07 0.5; - q_a[5] 0xc0 2.01029e+07 0.5; - q_a[6] 0xc0 2.01029e+07 0.5; - q_a[7] 0xc0 2.01029e+07 0.5; - q_a[8] 0xc0 2.01029e+07 0.5; - q_a[9] 0xc0 2.01029e+07 0.5; - q_a[10] 0xc0 2.01029e+07 0.5; - q_a[11] 0xc0 2.01029e+07 0.5; - q_a[12] 0xc0 2.01029e+07 0.5; - q_a[13] 0xc0 2.01029e+07 0.5; - q_a[14] 0xc0 2.01029e+07 0.5; - q_a[15] 0xc0 2.01029e+07 0.5; - asj_nco_as_m_dp_cen:ux0220; - altsyncram:altsyncram_component; - altsyncram_4k82:auto_generated; - q_a[0] 0xc0 2.01029e+07 0.5; - q_a[1] 0xc0 2.01029e+07 0.5; - q_a[2] 0xc0 2.01029e+07 0.5; - q_a[3] 0xc0 2.01029e+07 0.5; - q_a[4] 0xc0 2.01029e+07 0.5; - q_a[5] 0xc0 2.01029e+07 0.5; - q_a[6] 0xc0 2.01029e+07 0.5; - q_a[7] 0xc0 2.01029e+07 0.5; - q_a[8] 0xc0 2.01029e+07 0.5; - q_a[9] 0xc0 2.01029e+07 0.5; - q_a[10] 0xc0 2.01029e+07 0.5; - q_a[11] 0xc0 2.01029e+07 0.5; - q_a[12] 0xc0 2.01029e+07 0.5; - q_a[13] 0xc0 2.01029e+07 0.5; - q_a[14] 0xc0 2.01029e+07 0.5; - q_a[15] 0xc0 2.01029e+07 0.5; - q_b[0] 0xc0 2.01029e+07 0.5; - q_b[1] 0xc0 2.01029e+07 0.5; - q_b[2] 0xc0 2.01029e+07 0.5; - q_b[3] 0xc0 2.01029e+07 0.5; - q_b[4] 0xc0 2.01029e+07 0.5; - q_b[5] 0xc0 2.01029e+07 0.5; - q_b[6] 0xc0 2.01029e+07 0.5; - q_b[7] 0xc0 2.01029e+07 0.5; - q_b[8] 0xc0 2.01029e+07 0.5; - q_b[9] 0xc0 2.01029e+07 0.5; - q_b[10] 0xc0 2.01029e+07 0.5; - q_b[11] 0xc0 2.01029e+07 0.5; - q_b[12] 0xc0 2.01029e+07 0.5; - q_b[13] 0xc0 2.01029e+07 0.5; - q_b[14] 0xc0 2.01029e+07 0.5; - q_b[15] 0xc0 2.01029e+07 0.5; - asj_nco_isdr:ux710isdr; - lpm_counter:lpm_counter_component; - tx_pll:TX_PLL; - altpll:altpll_component; - tx_pll_altpll:auto_generated; - wire_pll1_clk[0] 0xc 3.21647e+08 0.5; - wire_pll1_clk[0]~clkctrl 0xc0 3.21647e+08 0.5; - wire_pll1_fbout 0xc0 0 0; - tx_summator:TX_SUMMATOR; - lpm_add_sub:LPM_ADD_SUB_component; - add_sub_1vk:auto_generated; - overflow_dffe[0] 0xc0 2.01029e+07 0.5; - overflow_dffe[0]~0 0xc0 1.27382e+07 0.25; - pipeline_dffe[0] 0xc0 2.01029e+07 0.5; - pipeline_dffe[1] 0xc0 2.01029e+07 0.5; - pipeline_dffe[2] 0xc0 2.01029e+07 0.5; - pipeline_dffe[3] 0xc0 2.01029e+07 0.5; - pipeline_dffe[4] 0xc0 2.01029e+07 0.5; - pipeline_dffe[5] 0xc0 2.01029e+07 0.5; - pipeline_dffe[6] 0xc0 2.01029e+07 0.5; - pipeline_dffe[7] 0xc0 2.01029e+07 0.5; - pipeline_dffe[8] 0xc0 2.01029e+07 0.5; - pipeline_dffe[9] 0xc0 2.01029e+07 0.5; - pipeline_dffe[10] 0xc0 2.01029e+07 0.5; - pipeline_dffe[11] 0xc0 2.01029e+07 0.5; - pipeline_dffe[12] 0xc0 2.01029e+07 0.5; - pipeline_dffe[13] 0xc0 2.01029e+07 0.5; - pipeline_dffe[14] 0xc0 2.01029e+07 0.5; - pipeline_dffe[15] 0xc0 2.01029e+07 0.5; - pipeline_dffe[16] 0xc0 2.01029e+07 0.5; - pipeline_dffe[17] 0xc0 2.01029e+07 0.5; - pipeline_dffe[18] 0xc0 2.01029e+07 0.5; - pipeline_dffe[19] 0xc0 2.01029e+07 0.5; - pipeline_dffe[20] 0xc0 2.01029e+07 0.5; - pipeline_dffe[21] 0xc0 2.01029e+07 0.5; - pipeline_dffe[22] 0xc0 2.01029e+07 0.5; - pipeline_dffe[23] 0xc0 2.01029e+07 0.5; - pipeline_dffe[24] 0xc0 2.01029e+07 0.5; - pipeline_dffe[25] 0xc0 2.01029e+07 0.5; - pipeline_dffe[26] 0xc0 2.01029e+07 0.5; - pipeline_dffe[27] 0xc0 2.01029e+07 0.5; - pipeline_dffe[28] 0xc0 2.01029e+07 0.5; - pipeline_dffe[29] 0xc0 2.01029e+07 0.5; - pipeline_dffe[30] 0xc0 2.01029e+07 0.5; - pipeline_dffe[31] 0xc0 2.01029e+07 0.5; - pipeline_dffe[31]~_wirecell 0xc0 2.01029e+07 0.5; - result_int[0]~0 0xc0 2.01029e+07 0.5; - result_int[0]~1 0xc0 1.13079e+07 0.25; - result_int[1]~2 0xc0 1.69618e+07 0.5; - result_int[1]~3 0xc0 9.36435e+06 0.625; - result_int[2]~4 0xc0 1.43557e+07 0.5; - result_int[2]~5 0xc0 1.66796e+07 0.4375; - result_int[3]~6 0xc0 1.56545e+07 0.5; - result_int[3]~7 0xc0 1.27941e+07 0.53125; - result_int[4]~8 0xc0 1.45506e+07 0.5; - result_int[4]~9 0xc0 1.42887e+07 0.484375; - result_int[5]~10 0xc0 1.48911e+07 0.5; - result_int[5]~11 0xc0 1.33805e+07 0.507813; - result_int[6]~12 0xc0 1.46558e+07 0.5; - result_int[6]~13 0xc0 1.37883e+07 0.496094; - result_int[7]~14 0xc0 1.47557e+07 0.5; - result_int[7]~15 0xc0 1.3572e+07 0.501953; - result_int[8]~16 0xc0 1.47011e+07 0.5; - result_int[8]~17 0xc0 1.3677e+07 0.499023; - result_int[9]~18 0xc0 1.47272e+07 0.5; - result_int[9]~19 0xc0 1.36237e+07 0.500488; - result_int[10]~20 0xc0 1.47138e+07 0.5; - result_int[10]~21 0xc0 1.36501e+07 0.499756; - result_int[11]~22 0xc0 1.47204e+07 0.5; - result_int[11]~23 0xc0 1.36369e+07 0.500122; - result_int[12]~24 0xc0 1.47171e+07 0.5; - result_int[12]~25 0xc0 1.36435e+07 0.499939; - result_int[13]~26 0xc0 1.47188e+07 0.5; - result_int[13]~27 0xc0 1.36402e+07 0.500031; - result_int[14]~28 0xc0 1.47179e+07 0.5; - result_int[14]~29 0xc0 1.36418e+07 0.499985; - result_int[15]~30 0xc0 1.47184e+07 0.5; - result_int[15]~31 0xc0 1.3641e+07 0.500008; - result_int[16]~32 0xc0 1.47181e+07 0.5; - result_int[16]~33 0xc0 1.36414e+07 0.499996; - result_int[17]~34 0xc0 1.47182e+07 0.5; - result_int[17]~35 0xc0 1.36412e+07 0.500002; - result_int[18]~36 0xc0 1.47182e+07 0.5; - result_int[18]~37 0xc0 1.36413e+07 0.499999; - result_int[19]~38 0xc0 1.47182e+07 0.5; - result_int[19]~39 0xc0 1.36413e+07 0.5; - result_int[20]~40 0xc0 1.47182e+07 0.5; - result_int[20]~41 0xc0 1.36413e+07 0.5; - result_int[21]~42 0xc0 1.47182e+07 0.5; - result_int[21]~43 0xc0 1.36413e+07 0.5; - result_int[22]~44 0xc0 1.47182e+07 0.5; - result_int[22]~45 0xc0 1.36413e+07 0.5; - result_int[23]~46 0xc0 1.47182e+07 0.5; - result_int[23]~47 0xc0 1.36413e+07 0.5; - result_int[24]~48 0xc0 1.47182e+07 0.5; - result_int[24]~49 0xc0 1.36413e+07 0.5; - result_int[25]~50 0xc0 1.47182e+07 0.5; - result_int[25]~51 0xc0 1.36413e+07 0.5; - result_int[26]~52 0xc0 1.47182e+07 0.5; - result_int[26]~53 0xc0 1.36413e+07 0.5; - result_int[27]~54 0xc0 1.47182e+07 0.5; - result_int[27]~55 0xc0 1.36413e+07 0.5; - result_int[28]~56 0xc0 1.47182e+07 0.5; - result_int[28]~57 0xc0 1.36413e+07 0.5; - result_int[29]~58 0xc0 1.47182e+07 0.5; - result_int[29]~59 0xc0 1.36413e+07 0.5; - result_int[30]~60 0xc0 1.47182e+07 0.5; - result_int[30]~61 0xc0 1.36413e+07 0.5; - result_int[31]~62 0xc0 1.84875e+07 0.5; - TXRX_OUT 0xc0 3.125e+06 0.5; - TXRX_OUT~output 0xc0 3.125e+06 0.5; - ~GND 0xc0 0 0; - ~QIC_CREATED_GND~I 0xc0 0 0; - -END_OUTPUT_SIGNAL_INFO; - diff --git a/FPGA/rx_cic.sopcinfo b/FPGA/rx_cic.sopcinfo index 3e2946a..272390b 100644 --- a/FPGA/rx_cic.sopcinfo +++ b/FPGA/rx_cic.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1610032666 + 1612209536 false true false diff --git a/FPGA/stm32_interface.bsf b/FPGA/stm32_interface.bsf index eef8b99..4ab76e1 100644 --- a/FPGA/stm32_interface.bsf +++ b/FPGA/stm32_interface.bsf @@ -317,7 +317,13 @@ refer to the applicable agreement for further details. (text "DATA_BUS[7..0]" (rect 193 27 267 39)(font "Arial" )) (line (pt 288 32)(pt 272 32)(line_width 3)) ) + (parameter + "rx_buffer_length" + "7" + "" + (type "PARAMETER_SIGNED_DEC") ) (drawing (rectangle (rect 16 16 272 544)(line_width 1)) ) + (annotation_block (parameter)(rect 304 -64 404 16)) ) diff --git a/FPGA/stm32_interface.v b/FPGA/stm32_interface.v index 9f70778..8dd9ca7 100644 --- a/FPGA/stm32_interface.v +++ b/FPGA/stm32_interface.v @@ -92,6 +92,11 @@ reg [7:0] DATA_BUS_OUT; reg DATA_BUS_OE; // 1 - out 0 - in assign DATA_BUS = DATA_BUS_OE ? DATA_BUS_OUT : 8'bZ ; +parameter rx_buffer_length = (8 - 1); +reg signed [15:0] BUFFER_RX_I [0:rx_buffer_length]; +reg signed [15:0] BUFFER_RX_Q [0:rx_buffer_length]; +reg signed [15:0] BUFFER_RX_head = 'd0; +reg signed [15:0] BUFFER_RX_tail = 'd0; reg signed [15:0] k = 'd1; reg signed [15:0] REG_RX_I; reg signed [15:0] REG_RX_Q; @@ -104,8 +109,12 @@ reg sync_reset_n = 1; always @ (posedge IQ_valid) begin - REG_RX_I[15:0] = RX_I[15:0]; - REG_RX_Q[15:0] = RX_Q[15:0]; + BUFFER_RX_I[BUFFER_RX_head][15:0] = RX_I[15:0]; + BUFFER_RX_Q[BUFFER_RX_head][15:0] = RX_Q[15:0]; + if(BUFFER_RX_head >= rx_buffer_length) + BUFFER_RX_head = 0; + else + BUFFER_RX_head = BUFFER_RX_head + 16'd1; end always @ (posedge clk_in) @@ -282,8 +291,25 @@ begin end else if (k == 400) //RX IQ begin - I_HOLD[15:0] = REG_RX_I[15:0]; - Q_HOLD[15:0] = REG_RX_Q[15:0]; + if(BUFFER_RX_tail == BUFFER_RX_head) //догнал буффер + begin + REG_RX_I[15:0] = 'd0; + REG_RX_Q[15:0] = 'd0; + end + else + begin + REG_RX_I[15:0] = BUFFER_RX_I[BUFFER_RX_tail][15:0]; + REG_RX_Q[15:0] = BUFFER_RX_Q[BUFFER_RX_tail][15:0]; + + if(BUFFER_RX_tail >= rx_buffer_length) + BUFFER_RX_tail = 0; + else + BUFFER_RX_tail = BUFFER_RX_tail + 16'd1; + end + + I_HOLD = REG_RX_I; + Q_HOLD = REG_RX_Q; + DATA_BUS_OUT[7:0] = Q_HOLD[15:8]; k = 401; end diff --git a/FPGA/tx_cic.sopcinfo b/FPGA/tx_cic.sopcinfo index 3d5f95b..93052fd 100644 --- a/FPGA/tx_cic.sopcinfo +++ b/FPGA/tx_cic.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1610032677 + 1612209547 false true false diff --git a/FPGA/tx_nco.sopcinfo b/FPGA/tx_nco.sopcinfo index fd2d174..73c931a 100644 --- a/FPGA/tx_nco.sopcinfo +++ b/FPGA/tx_nco.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1610032688 + 1612209559 false true false diff --git a/STM32/MDK-ARM/WOLF-Lite.uvguix (2).uu5jp b/STM32/MDK-ARM/WOLF-Lite.uvguix (2).uu5jp deleted file mode 100644 index 1e745a020cab0c9db1c2ebebdd2a0c81dc6ffb75..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 91566 zcmeIufdBvi0K=g9Qy=7oP+`D;0RsjM7%*VKfB^#r3>YwAz<>b*1`HT5V8DO@0|pEj zFkrxd0RsjM7%*VKfB^#r3>YwAz<>b*1`HT5V8DO@0|pEjFkrxd0RsjM7%*VKfB^#r z3>YwAz<>b*1`HT5V8DO@0|pEjFkrxd0RsjM7%*VKfB^#r3>YwAz<>b*1`HT5V8DO@ z0|pEjFkrxd0RsjM7%*VKfB^#r3>YwAz<>b*1`HT5V8DO@0|pEjFkrxd0RsjM7%*VK zfB^#r3>YwAz<>b*1`HT5V8DO@0|pEjFkrxd0RsjM7%*VKfB^#r3>YwAz<>b*1`HT5 zV8DO@0|pEjFkrxd0RsjM7%*VKfB^#r3>YwAz<>b*1`HT5V8DO@0|pEjFkrxd0RsjM z7%*VKfB^#r3>YwAz<>b*1`HT5V8DO@0|pEjFkrxd0RsjM7%*VKfB^#r3>YwAz<>b* z1`HT5V8DO@0|pEjFkrxd0RsjM7%*VKfB^#r3>YwAz<>b*1`HT5V8DO@0|pEjFks+i EU}e1k0RR91 diff --git a/STM32/MDK-ARM/WOLF-Lite.uvguix.R7KBI b/STM32/MDK-ARM/WOLF-Lite.uvguix.R7KBI deleted file mode 100644 index 72bec84..0000000 --- a/STM32/MDK-ARM/WOLF-Lite.uvguix.R7KBI +++ /dev/null @@ -1,1954 +0,0 @@ - - - - -6.1 - -

### uVision Project, (C) Keil Software
- - - - - - - - - - 38003 - Registers - 140 90 - - - 346 - Code Coverage - 1010 160 - - - 204 - Performance Analyzer - 1170 - - - - - - 35141 - Event Statistics - - 200 50 700 - - - 1506 - Symbols - - 80 80 80 - - - 1936 - Watch 1 - - 200 133 133 - - - 1937 - Watch 2 - - 200 133 133 - - - 1935 - Call Stack + Locals - - 200 133 133 - - - 2506 - Trace Data - - 75 135 130 95 70 230 200 150 - - - 466 - Source Browser - 500 - 300 - - - - - - - - 1 - 1 - 0 - 0 - -1 - - - - - - - 44 - 2 - 3 - - -1 - -1 - - - -1 - -1 - - - 237 - 140 - 1580 - 996 - - - - 0 - - 1122 - 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 - - - - 0 - Build - - -1 - -1 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - F40000004F00000090050000DF000000 - - - 16 - F40000006600000090050000F6000000 - - - - 1005 - 1005 - 1 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 0300000066000000ED00000039030000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 109 - 109 - 1 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 0300000066000000ED00000039030000 - - - 16 - 0A080000A100000026090000E1020000 - - - - 1465 - 1465 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D050000A4020000 - - - 16 - 0A080000A1000000D20A000031010000 - - - - 1466 - 1466 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D050000A4020000 - - - 16 - 0A080000A1000000D20A000031010000 - - - - 1467 - 1467 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D050000A4020000 - - - 16 - 0A080000A1000000D20A000031010000 - - - - 1468 - 1468 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D050000A4020000 - - - 16 - 0A080000A1000000D20A000031010000 - - - - 1506 - 1506 - 0 - 0 - 0 - 0 - 32767 - 0 - 16384 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 1913 - 1913 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - F7000000660000008D050000C6000000 - - - 16 - 0A080000A1000000D20A000031010000 - - - - 1935 - 1935 - 0 - 0 - 0 - 0 - 32767 - 0 - 32768 - 0 - - 16 - 03000000300200008D050000A4020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 1936 - 1936 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D050000A4020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 1937 - 1937 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D050000A4020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 1939 - 1939 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D050000A4020000 - - - 16 - 0A080000A1000000D20A000031010000 - - - - 1940 - 1940 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D050000A4020000 - - - 16 - 0A080000A1000000D20A000031010000 - - - - 1941 - 1941 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D050000A4020000 - - - 16 - 0A080000A1000000D20A000031010000 - - - - 1942 - 1942 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D050000A4020000 - - - 16 - 0A080000A1000000D20A000031010000 - - - - 195 - 195 - 1 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 0300000066000000ED00000039030000 - - - 16 - 0A080000A100000026090000E1020000 - - - - 196 - 196 - 1 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 0300000066000000ED00000039030000 - - - 16 - 0A080000A100000026090000E1020000 - - - - 197 - 197 - 1 - 0 - 0 - 0 - 32767 - 0 - 32768 - 0 - - 16 - 000000006A03000080070000E6030000 - - - 16 - 0A080000A1000000D20A000031010000 - - - - 198 - 198 - 0 - 0 - 0 - 0 - 32767 - 0 - 32768 - 0 - - 16 - 000000001902000090050000BD020000 - - - 16 - 0A080000A1000000D20A000031010000 - - - - 199 - 199 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 030000006D0300007D070000CD030000 - - - 16 - 0A080000A1000000D20A000031010000 - - - - 203 - 203 - 0 - 0 - 0 - 0 - 32767 - 0 - 8192 - 0 - - 16 - F7000000660000008D050000C6000000 - - - 16 - 0A080000A1000000D20A000031010000 - - - - 204 - 204 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - F7000000660000008D050000C6000000 - - - 16 - 0A080000A1000000D20A000031010000 - - - - 221 - 221 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 00000000000000000000000000000000 - - - 16 - 0A0000000A0000006E0000006E000000 - - - - 2506 - 2506 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 2507 - 2507 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D050000A4020000 - - - 16 - 0A080000A1000000D20A000031010000 - - - - 343 - 343 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - F7000000660000008D050000C6000000 - - - 16 - 0A080000A1000000D20A000031010000 - - - - 346 - 346 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - F7000000660000008D050000C6000000 - - - 16 - 0A080000A1000000D20A000031010000 - - - - 35141 - 35141 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - F7000000660000008D050000C6000000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 35824 - 35824 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - F7000000660000008D050000C6000000 - - - 16 - 0A080000A1000000D20A000031010000 - - - - 35885 - 35885 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 35886 - 35886 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 35887 - 35887 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 35888 - 35888 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 35889 - 35889 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 35890 - 35890 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 35891 - 35891 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 35892 - 35892 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 35893 - 35893 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 35894 - 35894 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 35895 - 35895 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 35896 - 35896 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 35897 - 35897 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 35898 - 35898 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 35899 - 35899 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 35900 - 35900 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 35901 - 35901 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 35902 - 35902 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 35903 - 35903 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 35904 - 35904 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 35905 - 35905 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 38003 - 38003 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 0300000066000000ED00000039030000 - - - 16 - 0A080000A100000026090000E1020000 - - - - 38007 - 38007 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 030000006D0300007D070000CD030000 - - - 16 - 0A080000A1000000D20A000031010000 - - - - 436 - 436 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 030000006D0300007D070000CD030000 - - - 16 - 0A080000A100000026090000E1020000 - - - - 437 - 437 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D050000A4020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 440 - 440 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D050000A4020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 463 - 463 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 030000006D0300007D070000CD030000 - - - 16 - 0A080000A100000026090000E1020000 - - - - 466 - 466 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 030000006D0300007D070000CD030000 - - - 16 - 0A080000A100000026090000E1020000 - - - - 470 - 470 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - F7000000660000008D050000C6000000 - - - 16 - 0A080000A1000000D20A000031010000 - - - - 50000 - 50000 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 50001 - 50001 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 50002 - 50002 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 50003 - 50003 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 50004 - 50004 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 50005 - 50005 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 50006 - 50006 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 50007 - 50007 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 50008 - 50008 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 50009 - 50009 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 50010 - 50010 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 50011 - 50011 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 50012 - 50012 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 50013 - 50013 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 50014 - 50014 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 50015 - 50015 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 50016 - 50016 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 50017 - 50017 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 50018 - 50018 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 50019 - 50019 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 59392 - 59392 - 1 - 0 - 0 - 0 - 966 - 0 - 8192 - 0 - - 16 - 0000000000000000D10300001C000000 - - - 16 - 0A0000000A0000006E0000006E000000 - - - - 59393 - 0 - 1 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 00000000E603000080070000F9030000 - - - 16 - 0A0000000A0000006E0000006E000000 - - - - 59399 - 59399 - 1 - 0 - 0 - 0 - 476 - 0 - 8192 - 1 - - 16 - 000000001C000000E701000038000000 - - - 16 - 0A0000000A0000006E0000006E000000 - - - - 59400 - 59400 - 0 - 0 - 0 - 0 - 612 - 0 - 8192 - 2 - - 16 - 00000000380000006F02000054000000 - - - 16 - 0A0000000A0000006E0000006E000000 - - - - 824 - 824 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D050000A4020000 - - - 16 - 0A080000A1000000FA0800006C010000 - - - - 3312 - 000000000B000000000000000020000000000000FFFFFFFFFFFFFFFFF4000000DF00000090050000E3000000000000000100000004000000010000000000000000000000FFFFFFFF08000000CB00000057010000CC000000F08B00005A01000079070000D601000045890000FFFF02000B004354616262656450616E650020000000000000F40000006600000090050000F6000000F40000004F00000090050000DF0000000000000040280046080000000B446973617373656D626C7900000000CB00000001000000FFFFFFFFFFFFFFFF14506572666F726D616E636520416E616C797A6572000000005701000001000000FFFFFFFFFFFFFFFF14506572666F726D616E636520416E616C797A657200000000CC00000001000000FFFFFFFFFFFFFFFF0E4C6F67696320416E616C797A657200000000F08B000001000000FFFFFFFFFFFFFFFF0D436F646520436F766572616765000000005A01000001000000FFFFFFFFFFFFFFFF11496E737472756374696F6E205472616365000000007907000001000000FFFFFFFFFFFFFFFF0F53797374656D20416E616C797A657200000000D601000001000000FFFFFFFFFFFFFFFF104576656E742053746174697374696373000000004589000001000000FFFFFFFFFFFFFFFFFFFFFFFF000000000000000000000000000000000000000001000000FFFFFFFFCB00000001000000FFFFFFFFCB000000000000000040000000000000FFFFFFFFFFFFFFFF9C0400004F000000A004000029020000000000000200000004000000010000000000000000000000FFFFFFFF2B000000E2050000CA0900002D8C00002E8C00002F8C0000308C0000318C0000328C0000338C0000348C0000358C0000368C0000378C0000388C0000398C00003A8C00003B8C00003C8C00003D8C00003E8C00003F8C0000408C0000418C000050C3000051C3000052C3000053C3000054C3000055C3000056C3000057C3000058C3000059C300005AC300005BC300005CC300005DC300005EC300005FC3000060C3000061C3000062C3000063C3000001800040000000000000A0040000660000009005000040020000A00400004F000000900500002902000000000000404100462B0000000753796D626F6C7300000000E205000001000000FFFFFFFFFFFFFFFF0A5472616365204461746100000000CA09000001000000FFFFFFFFFFFFFFFF00000000002D8C000001000000FFFFFFFFFFFFFFFF00000000002E8C000001000000FFFFFFFFFFFFFFFF00000000002F8C000001000000FFFFFFFFFFFFFFFF0000000000308C000001000000FFFFFFFFFFFFFFFF0000000000318C000001000000FFFFFFFFFFFFFFFF0000000000328C000001000000FFFFFFFFFFFFFFFF0000000000338C000001000000FFFFFFFFFFFFFFFF0000000000348C000001000000FFFFFFFFFFFFFFFF0000000000358C000001000000FFFFFFFFFFFFFFFF0000000000368C000001000000FFFFFFFFFFFFFFFF0000000000378C000001000000FFFFFFFFFFFFFFFF0000000000388C000001000000FFFFFFFFFFFFFFFF0000000000398C000001000000FFFFFFFFFFFFFFFF00000000003A8C000001000000FFFFFFFFFFFFFFFF00000000003B8C000001000000FFFFFFFFFFFFFFFF00000000003C8C000001000000FFFFFFFFFFFFFFFF00000000003D8C000001000000FFFFFFFFFFFFFFFF00000000003E8C000001000000FFFFFFFFFFFFFFFF00000000003F8C000001000000FFFFFFFFFFFFFFFF0000000000408C000001000000FFFFFFFFFFFFFFFF0000000000418C000001000000FFFFFFFFFFFFFFFF000000000050C3000001000000FFFFFFFFFFFFFFFF000000000051C3000001000000FFFFFFFFFFFFFFFF000000000052C3000001000000FFFFFFFFFFFFFFFF000000000053C3000001000000FFFFFFFFFFFFFFFF000000000054C3000001000000FFFFFFFFFFFFFFFF000000000055C3000001000000FFFFFFFFFFFFFFFF000000000056C3000001000000FFFFFFFFFFFFFFFF000000000057C3000001000000FFFFFFFFFFFFFFFF000000000058C3000001000000FFFFFFFFFFFFFFFF000000000059C3000001000000FFFFFFFFFFFFFFFF00000000005AC3000001000000FFFFFFFFFFFFFFFF00000000005BC3000001000000FFFFFFFFFFFFFFFF00000000005CC3000001000000FFFFFFFFFFFFFFFF00000000005DC3000001000000FFFFFFFFFFFFFFFF00000000005EC3000001000000FFFFFFFFFFFFFFFF00000000005FC3000001000000FFFFFFFFFFFFFFFF000000000060C3000001000000FFFFFFFFFFFFFFFF000000000061C3000001000000FFFFFFFFFFFFFFFF000000000062C3000001000000FFFFFFFFFFFFFFFF000000000063C3000001000000FFFFFFFFFFFFFFFFFFFFFFFF000000000000000000000000000000000000000001000000FFFFFFFFE205000001000000FFFFFFFFE2050000000000000010000001000000FFFFFFFFFFFFFFFFF00000004F000000F400000052030000010000000200001004000000010000000000000000000000FFFFFFFF05000000ED0300006D000000C3000000C400000073940000018000100000010000000000000066000000F000000069030000000000004F000000F0000000520300000000000040410056050000000750726F6A65637401000000ED03000001000000FFFFFFFFFFFFFFFF05426F6F6B73010000006D00000001000000FFFFFFFFFFFFFFFF0946756E6374696F6E7301000000C300000001000000FFFFFFFFFFFFFFFF0954656D706C6174657301000000C400000001000000FFFFFFFFFFFFFFFF09526567697374657273000000007394000001000000FFFFFFFFFFFFFFFF00000000000000000000000000000000000000000000000001000000FFFFFFFFED03000001000000FFFFFFFFED030000000000000080000000000000FFFFFFFFFFFFFFFF0000000015020000900500001902000000000000010000000400000001000000000000000000000000000000000000000000000001000000C6000000FFFFFFFF0F0000008F070000930700009407000095070000960700009007000091070000B5010000B801000038030000B9050000BA050000BB050000BC050000CB09000001800080000000000000000000003002000090050000D4020000000000001902000090050000BD02000000000000404100460F0000001343616C6C20537461636B202B204C6F63616C73000000008F07000001000000FFFFFFFFFFFFFFFF0755415254202331000000009307000001000000FFFFFFFFFFFFFFFF0755415254202332000000009407000001000000FFFFFFFFFFFFFFFF0755415254202333000000009507000001000000FFFFFFFFFFFFFFFF15446562756720287072696E74662920566965776572000000009607000001000000FFFFFFFFFFFFFFFF0757617463682031000000009007000001000000FFFFFFFFFFFFFFFF0757617463682032000000009107000001000000FFFFFFFFFFFFFFFF10547261636520457863657074696F6E7300000000B501000001000000FFFFFFFFFFFFFFFF0E4576656E7420436F756E7465727300000000B801000001000000FFFFFFFFFFFFFFFF09554C494E4B706C7573000000003803000001000000FFFFFFFFFFFFFFFF084D656D6F7279203100000000B905000001000000FFFFFFFFFFFFFFFF084D656D6F7279203200000000BA05000001000000FFFFFFFFFFFFFFFF084D656D6F7279203300000000BB05000001000000FFFFFFFFFFFFFFFF084D656D6F7279203400000000BC05000001000000FFFFFFFFFFFFFFFF105472616365204E617669676174696F6E00000000CB09000001000000FFFFFFFFFFFFFFFFFFFFFFFF0000000001000000000000000000000001000000FFFFFFFFC802000019020000CC020000BD02000000000000020000000400000000000000000000000000000000000000000000000000000002000000C6000000FFFFFFFF8F07000001000000FFFFFFFF8F07000001000000C6000000000000000080000001000000FFFFFFFFFFFFFFFF00000000520300008007000056030000010000000100001004000000010000000000000000000000FFFFFFFF06000000C5000000C7000000B4010000D2010000CF0100007794000001800080000001000000000000006D03000080070000FD030000000000005603000080070000E60300000000000040820056060000000C4275696C64204F757470757401000000C500000001000000FFFFFFFFFFFFFFFF0D46696E6420496E2046696C657300000000C700000001000000FFFFFFFFFFFFFFFF0A4572726F72204C69737400000000B401000001000000FFFFFFFFFFFFFFFF0E536F757263652042726F7773657200000000D201000001000000FFFFFFFFFFFFFFFF0E416C6C205265666572656E63657300000000CF01000001000000FFFFFFFFFFFFFFFF0742726F77736572000000007794000001000000FFFFFFFFFFFFFFFF00000000000000000000000000000000000000000000000001000000FFFFFFFFC500000001000000FFFFFFFFC5000000000000000000000000000000 - - - 59392 - File - - 2622 - 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 - - - 1423 - 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 - - - 1423 - 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 - - - - 59399 - Build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ebug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ore/Src/main.c - 19 - 463 - 34 - 1 - - 0 - - - ..\Core\Src\lcd.h - 0 - 1 - 1 - 1 - - 0 - - - ..\Core\Src\lcd.c - 19 - 1 - 6 - 1 - - 0 - - - ..\Core\Src\settings.h - 0 - 185 - 1 - 1 - - 0 - - - ..\Core\Src\system_menu.h - 0 - 10 - 1 - 1 - - 0 - - - ..\Core\Src\system_menu.c - 0 - 358 - 1 - 1 - - 0 - - - ..\Core\Src\bootloader.c - 0 - 1 - 1 - 1 - - 0 - - - ..\Core\Src\profiler.c - 0 - 55 - 1 - 1 - - 0 - - - ../Core/Src/stm32f4xx_it.c - 0 - 79 - 1 - 1 - - 0 - - - - - - ..\Core\Src\lcd.c 5 - - - diff --git a/STM32/MDK-ARM/WOLF-Lite.uvguix.XGudr b/STM32/MDK-ARM/WOLF-Lite.uvguix.XGudr deleted file mode 100644 index 6796c65..0000000 --- a/STM32/MDK-ARM/WOLF-Lite.uvguix.XGudr +++ /dev/null @@ -1,3601 +0,0 @@ - - - - -6.1 - -
### uVision Project, (C) Keil Software
- - - D:\Dropbox\Develop\Projects\WOLF-Lite\STM32\Drivers\STM32F4xx_HAL_Driver\Inc - - - - - - - 38003 - Registers - 140 90 - - - 346 - Code Coverage - 1010 160 - - - 204 - Performance Analyzer - 1170 - - - - - - 35141 - Event Statistics - - 200 50 700 - - - 1506 - Symbols - - 80 80 80 - - - 1936 - Watch 1 - - 200 133 133 - - - 1937 - Watch 2 - - 200 133 133 - - - 1935 - Call Stack + Locals - - 200 133 133 - - - 2506 - Trace Data - - 75 135 130 95 70 230 200 150 - - - 466 - Source Browser - 500 - 300 - - - - - - - - 0 - 0 - 0 - 50 - 16 - - - - - - - 44 - 2 - 3 - - -1 - -1 - - - -1 - -1 - - - 104 - 104 - 1544 - 863 - - - - 0 - - 60 - 010000000400000001000000010000000100000001000000000000000200000000000000010000000100000000000000280000002800000000000000 - - - - 0 - Build - - -1 - -1 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - F40000004F00000090050000DF000000 - - - 16 - F40000006600000090050000F6000000 - - - - 1005 - 1005 - 1 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 0300000066000000ED00000028030000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 109 - 109 - 1 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 0300000066000000ED00000028030000 - - - 16 - D8000000EF000000F40100002F030000 - - - - 1465 - 1465 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D050000A4020000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 1466 - 1466 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D050000A4020000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 1467 - 1467 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D050000A4020000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 1468 - 1468 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D050000A4020000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 1506 - 1506 - 0 - 0 - 0 - 0 - 32767 - 0 - 16384 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 1913 - 1913 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - F7000000660000008D050000C6000000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 1935 - 1935 - 0 - 0 - 0 - 0 - 32767 - 0 - 32768 - 0 - - 16 - 03000000300200008D050000A4020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 1936 - 1936 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D050000A4020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 1937 - 1937 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D050000A4020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 1939 - 1939 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D050000A4020000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 1940 - 1940 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D050000A4020000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 1941 - 1941 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D050000A4020000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 1942 - 1942 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D050000A4020000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 195 - 195 - 1 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 0300000066000000ED00000028030000 - - - 16 - D8000000EF000000F40100002F030000 - - - - 196 - 196 - 1 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 0300000066000000ED00000028030000 - - - 16 - D8000000EF000000F40100002F030000 - - - - 197 - 197 - 1 - 0 - 0 - 0 - 32767 - 0 - 32768 - 0 - - 16 - 000000005903000080070000E6030000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 198 - 198 - 0 - 0 - 0 - 0 - 32767 - 0 - 32768 - 0 - - 16 - 000000001902000090050000BD020000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 199 - 199 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 030000005C0300007D070000CD030000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 203 - 203 - 0 - 0 - 0 - 0 - 32767 - 0 - 8192 - 0 - - 16 - F7000000660000008D050000C6000000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 204 - 204 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - F7000000660000008D050000C6000000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 221 - 221 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 00000000000000000000000000000000 - - - 16 - 0A0000000A0000006E0000006E000000 - - - - 2506 - 2506 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 2507 - 2507 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D050000A4020000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 343 - 343 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - F7000000660000008D050000C6000000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 346 - 346 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - F7000000660000008D050000C6000000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 35141 - 35141 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - F7000000660000008D050000C6000000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35824 - 35824 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - F7000000660000008D050000C6000000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 35885 - 35885 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35886 - 35886 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35887 - 35887 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35888 - 35888 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35889 - 35889 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35890 - 35890 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35891 - 35891 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35892 - 35892 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35893 - 35893 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35894 - 35894 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35895 - 35895 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35896 - 35896 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35897 - 35897 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35898 - 35898 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35899 - 35899 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35900 - 35900 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35901 - 35901 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35902 - 35902 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35903 - 35903 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35904 - 35904 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35905 - 35905 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 38003 - 38003 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 0300000066000000ED00000028030000 - - - 16 - D8000000EF000000F40100002F030000 - - - - 38007 - 38007 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 030000005C0300007D070000CD030000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 436 - 436 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 030000005C0300007D070000CD030000 - - - 16 - D8000000EF000000F40100002F030000 - - - - 437 - 437 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D050000A4020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 440 - 440 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D050000A4020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 463 - 463 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 030000005C0300007D070000CD030000 - - - 16 - D8000000EF000000F40100002F030000 - - - - 466 - 466 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 030000005C0300007D070000CD030000 - - - 16 - D8000000EF000000F40100002F030000 - - - - 470 - 470 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - F7000000660000008D050000C6000000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 50000 - 50000 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 50001 - 50001 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 50002 - 50002 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 50003 - 50003 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 50004 - 50004 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 50005 - 50005 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 50006 - 50006 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 50007 - 50007 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 50008 - 50008 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 50009 - 50009 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 50010 - 50010 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 50011 - 50011 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 50012 - 50012 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 50013 - 50013 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 50014 - 50014 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 50015 - 50015 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 50016 - 50016 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 50017 - 50017 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 50018 - 50018 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 50019 - 50019 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 59392 - 59392 - 1 - 0 - 0 - 0 - 966 - 0 - 8192 - 0 - - 16 - 0000000000000000D10300001C000000 - - - 16 - 0A0000000A0000006E0000006E000000 - - - - 59393 - 0 - 1 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 00000000E603000080070000F9030000 - - - 16 - 0A0000000A0000006E0000006E000000 - - - - 59399 - 59399 - 1 - 0 - 0 - 0 - 476 - 0 - 8192 - 1 - - 16 - 000000001C000000E701000038000000 - - - 16 - 0A0000000A0000006E0000006E000000 - - - - 59400 - 59400 - 0 - 0 - 0 - 0 - 612 - 0 - 8192 - 2 - - 16 - 00000000380000006F02000054000000 - - - 16 - 0A0000000A0000006E0000006E000000 - - - - 824 - 824 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D050000A4020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 3312 - 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 - - - 59392 - File - - 2601 - 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 - - - 1423 - 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 - - - 1423 - 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 - - - - 59399 - Build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ebug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ebug - - -1 - -1 - 1 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - F40000004F00000080070000DF000000 - - - 16 - F40000006600000080070000F6000000 - - - - 1005 - 1005 - 1 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 0300000066000000ED00000025030000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 109 - 109 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 0300000066000000ED00000025030000 - - - 16 - D8000000EF000000F40100002F030000 - - - - 1465 - 1465 - 1 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - C7030000590300007D070000CD030000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 1466 - 1466 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - C7030000590300007D070000CD030000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 1467 - 1467 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - C7030000590300007D070000CD030000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 1468 - 1468 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - C7030000590300007D070000CD030000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 1506 - 1506 - 0 - 0 - 0 - 0 - 32767 - 0 - 16384 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 1913 - 1913 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - F7000000660000007D070000C6000000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 1935 - 1935 - 1 - 0 - 0 - 0 - 32767 - 0 - 32768 - 0 - - 16 - C7030000590300007D070000CD030000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 1936 - 1936 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - C7030000590300007D070000CD030000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 1937 - 1937 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - C7030000590300007D070000CD030000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 1939 - 1939 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - C7030000590300007D070000CD030000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 1940 - 1940 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - C7030000590300007D070000CD030000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 1941 - 1941 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - C7030000590300007D070000CD030000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 1942 - 1942 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - C7030000590300007D070000CD030000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 195 - 195 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 0300000066000000ED00000025030000 - - - 16 - D8000000EF000000F40100002F030000 - - - - 196 - 196 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 0300000066000000ED00000025030000 - - - 16 - D8000000EF000000F40100002F030000 - - - - 197 - 197 - 0 - 0 - 0 - 0 - 32767 - 0 - 32768 - 0 - - 16 - 03000000440200008D050000A4020000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 198 - 198 - 1 - 0 - 0 - 0 - 32767 - 0 - 32768 - 0 - - 16 - 0000000042030000C0030000E6030000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 199 - 199 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000440200008D050000A4020000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 203 - 203 - 1 - 0 - 0 - 0 - 32767 - 0 - 8192 - 0 - - 16 - F40000006300000080070000DF000000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 204 - 204 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - F7000000660000007D070000C6000000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 221 - 221 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 00000000000000000000000000000000 - - - 16 - 0A0000000A0000006E0000006E000000 - - - - 2506 - 2506 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 2507 - 2507 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - C7030000590300007D070000CD030000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 343 - 343 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - F7000000660000007D070000C6000000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 346 - 346 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - F7000000660000007D070000C6000000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 35141 - 35141 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - F7000000660000007D070000C6000000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35824 - 35824 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - F7000000660000007D070000C6000000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 35885 - 35885 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35886 - 35886 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35887 - 35887 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35888 - 35888 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35889 - 35889 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35890 - 35890 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35891 - 35891 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35892 - 35892 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35893 - 35893 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35894 - 35894 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35895 - 35895 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35896 - 35896 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35897 - 35897 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35898 - 35898 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35899 - 35899 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35900 - 35900 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35901 - 35901 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35902 - 35902 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35903 - 35903 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35904 - 35904 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 35905 - 35905 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 38003 - 38003 - 1 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 0300000066000000ED00000025030000 - - - 16 - D8000000EF000000F40100002F030000 - - - - 38007 - 38007 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000440200008D050000A4020000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 436 - 436 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000440200008D050000A4020000 - - - 16 - D8000000EF000000F40100002F030000 - - - - 437 - 437 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - C7030000590300007D070000CD030000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 440 - 440 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - C7030000590300007D070000CD030000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 463 - 463 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000440200008D050000A4020000 - - - 16 - D8000000EF000000F40100002F030000 - - - - 466 - 466 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000440200008D050000A4020000 - - - 16 - D8000000EF000000F40100002F030000 - - - - 470 - 470 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - F7000000660000007D070000C6000000 - - - 16 - D8000000EF000000A00300007F010000 - - - - 50000 - 50000 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 50001 - 50001 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 50002 - 50002 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 50003 - 50003 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 50004 - 50004 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 50005 - 50005 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 50006 - 50006 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 50007 - 50007 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 50008 - 50008 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 50009 - 50009 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 50010 - 50010 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 50011 - 50011 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 50012 - 50012 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 50013 - 50013 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 50014 - 50014 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 50015 - 50015 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 50016 - 50016 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 50017 - 50017 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 50018 - 50018 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 50019 - 50019 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D05000010020000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 59392 - 59392 - 1 - 0 - 0 - 0 - 966 - 0 - 8192 - 0 - - 16 - 0000000000000000D10300001C000000 - - - 16 - 0A0000000A0000006E0000006E000000 - - - - 59393 - 0 - 1 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 00000000E603000080070000F9030000 - - - 16 - 0A0000000A0000006E0000006E000000 - - - - 59399 - 59399 - 0 - 0 - 0 - 0 - 476 - 0 - 8192 - 1 - - 16 - 000000001C000000E701000038000000 - - - 16 - 0A0000000A0000006E0000006E000000 - - - - 59400 - 59400 - 1 - 0 - 0 - 0 - 612 - 0 - 8192 - 2 - - 16 - 000000001C0000006F02000038000000 - - - 16 - 0A0000000A0000006E0000006E000000 - - - - 824 - 824 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - C7030000590300007D070000CD030000 - - - 16 - D8000000EF000000C8010000BA010000 - - - - 3311 - 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 - - - 59392 - File - - 2601 - 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 - - - 1423 - 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 - - - 1423 - 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 - - - - 59399 - Build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ebug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
diff --git a/STM32/MDK-ARM/WOLF-Lite.uvguix.uu5jp b/STM32/MDK-ARM/WOLF-Lite.uvguix.uu5jp deleted file mode 100644 index 70769b5..0000000 --- a/STM32/MDK-ARM/WOLF-Lite.uvguix.uu5jp +++ /dev/null @@ -1,1932 +0,0 @@ - - - - -6.1 - -
### uVision Project, (C) Keil Software
- - - - - - - - - - 38003 - Registers - 140 90 - - - 346 - Code Coverage - 1010 160 - - - 204 - Performance Analyzer - 1170 - - - - - - 35141 - Event Statistics - - 200 50 700 - - - 1506 - Symbols - - 80 80 80 - - - 1936 - Watch 1 - - 200 133 133 - - - 1937 - Watch 2 - - 200 133 133 - - - 1935 - Call Stack + Locals - - 200 133 133 - - - 2506 - Trace Data - - 75 135 130 95 70 230 200 150 - - - 466 - Source Browser - 500 - 300 - - - - - - - - 1 - 1 - 0 - 0 - -1 - - - - - - - 44 - 2 - 3 - - -32000 - -32000 - - - -1 - -1 - - - 130 - 130 - 1570 - 889 - - - - 0 - - 605 - 01000000040000000100000001000000010000000100000000000000020000000000000001000000010000000000000028000000280000000100000007000000030000000100000021433A5C4C6974655C53544D33325C436F72655C5372635C73657474696E67732E63000000000A73657474696E67732E6300000000C5D4F200FFFFFFFF1D433A5C4C6974655C53544D33325C436F72655C5372635C6D61696E2E6300000000066D61696E2E6300000000FFDC7800FFFFFFFF1D433A5C4C6974655C53544D33325C436F72655C496E635C6D61696E2E6800000000066D61696E2E6800000000BECEA100FFFFFFFF21433A5C4C6974655C53544D33325C436F72655C5372635C73657474696E67732E68000000000A73657474696E67732E6800000000F0A0A100FFFFFFFF2B433A5C4C6974655C53544D33325C436F72655C5372635C6C63645F6472697665725F494C49393438312E6300000000146C63645F6472697665725F494C49393438312E6300000000BCA8E100FFFFFFFF23433A5C4C6974655C53544D33325C436F72655C5372635C6C63645F6472697665722E63000000000C6C63645F6472697665722E63000000009CC1B600FFFFFFFF20433A5C4C6974655C53544D33325C436F72655C5372635C72665F756E69742E63000000000972665F756E69742E6300000000F7B88600FFFFFFFF0100000010000000C5D4F200FFDC7800BECEA100F0A0A100BCA8E1009CC1B600F7B88600D9ADC200A5C2D700B3A6BE00EAD6A300F6FA7D00B5E99D005FC3CF00C1838300CACAD500010000000000000002000000F4000000660000008007000069030000 - - - - 0 - Build - - -1 - -1 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - F40000004F00000090050000DF000000 - - - 16 - 8A000000A10000005203000031010000 - - - - 1005 - 1005 - 1 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 0300000066000000ED00000039030000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 109 - 109 - 1 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 0300000066000000ED00000039030000 - - - 16 - 8A000000A1000000A6010000E1020000 - - - - 1465 - 1465 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 000000002D02000090050000BD020000 - - - 16 - 8A000000A10000005203000031010000 - - - - 1466 - 1466 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D05000090020000 - - - 16 - 8A000000A10000005203000031010000 - - - - 1467 - 1467 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D05000090020000 - - - 16 - 8A000000A10000005203000031010000 - - - - 1468 - 1468 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D05000090020000 - - - 16 - 8A000000A10000005203000031010000 - - - - 1506 - 1506 - 0 - 0 - 0 - 0 - 32767 - 0 - 16384 - 0 - - 16 - A3040000660000008D05000001010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 1913 - 1913 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - F7000000660000008D050000C6000000 - - - 16 - 8A000000A10000005203000031010000 - - - - 1935 - 1935 - 0 - 0 - 0 - 0 - 32767 - 0 - 32768 - 0 - - 16 - 03000000300200008D050000A4020000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 1936 - 1936 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D05000090020000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 1937 - 1937 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D05000090020000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 1939 - 1939 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D05000090020000 - - - 16 - 8A000000A10000005203000031010000 - - - - 1940 - 1940 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D05000090020000 - - - 16 - 8A000000A10000005203000031010000 - - - - 1941 - 1941 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D05000090020000 - - - 16 - 8A000000A10000005203000031010000 - - - - 1942 - 1942 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D05000090020000 - - - 16 - 8A000000A10000005203000031010000 - - - - 195 - 195 - 1 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 0300000066000000ED00000039030000 - - - 16 - 8A000000A1000000A6010000E1020000 - - - - 196 - 196 - 1 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 0300000066000000ED00000039030000 - - - 16 - 8A000000A1000000A6010000E1020000 - - - - 197 - 197 - 1 - 0 - 0 - 0 - 32767 - 0 - 32768 - 0 - - 16 - 000000006A03000080070000E6030000 - - - 16 - 8A000000A10000005203000031010000 - - - - 198 - 198 - 0 - 0 - 0 - 0 - 32767 - 0 - 32768 - 0 - - 16 - 000000001902000090050000BD020000 - - - 16 - 8A000000A10000005203000031010000 - - - - 199 - 199 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 030000006D0300008D050000CD030000 - - - 16 - 8A000000A10000005203000031010000 - - - - 203 - 203 - 0 - 0 - 0 - 0 - 32767 - 0 - 8192 - 0 - - 16 - F40000006300000090050000DF000000 - - - 16 - 8A000000A10000005203000031010000 - - - - 204 - 204 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - F7000000660000008D050000C6000000 - - - 16 - 8A000000A10000005203000031010000 - - - - 221 - 221 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 00000000000000000000000000000000 - - - 16 - 0A0000000A0000006E0000006E000000 - - - - 2506 - 2506 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A0040000630000009005000029020000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 2507 - 2507 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 000000002D02000090050000A9020000 - - - 16 - 8A000000A10000005203000031010000 - - - - 343 - 343 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - F7000000660000008D050000C6000000 - - - 16 - 8A000000A10000005203000031010000 - - - - 346 - 346 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - F7000000660000008D050000C6000000 - - - 16 - 8A000000A10000005203000031010000 - - - - 35141 - 35141 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - F40000006300000090050000DF000000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 35824 - 35824 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - F7000000660000008D050000C6000000 - - - 16 - 8A000000A10000005203000031010000 - - - - 35885 - 35885 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 35886 - 35886 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 35887 - 35887 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 35888 - 35888 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 35889 - 35889 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 35890 - 35890 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 35891 - 35891 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 35892 - 35892 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 35893 - 35893 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 35894 - 35894 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 35895 - 35895 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 35896 - 35896 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 35897 - 35897 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 35898 - 35898 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 35899 - 35899 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 35900 - 35900 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 35901 - 35901 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 35902 - 35902 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 35903 - 35903 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 35904 - 35904 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 35905 - 35905 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 38003 - 38003 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 0300000066000000ED000000A4020000 - - - 16 - 8A000000A1000000A6010000E1020000 - - - - 38007 - 38007 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 000000006A03000090050000E6030000 - - - 16 - 8A000000A10000005203000031010000 - - - - 436 - 436 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 030000006D0300008D050000CD030000 - - - 16 - 8A000000A1000000A6010000E1020000 - - - - 437 - 437 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D05000090020000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 440 - 440 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D05000090020000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 463 - 463 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 030000006D0300008D050000CD030000 - - - 16 - 8A000000A1000000A6010000E1020000 - - - - 466 - 466 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 030000006D0300008D050000CD030000 - - - 16 - 8A000000A1000000A6010000E1020000 - - - - 470 - 470 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - F7000000660000008D050000C6000000 - - - 16 - 8A000000A10000005203000031010000 - - - - 50000 - 50000 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 50001 - 50001 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 50002 - 50002 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 50003 - 50003 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 50004 - 50004 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 50005 - 50005 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 50006 - 50006 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 50007 - 50007 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 50008 - 50008 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 50009 - 50009 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 50010 - 50010 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 50011 - 50011 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 50012 - 50012 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 50013 - 50013 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 50014 - 50014 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 50015 - 50015 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 50016 - 50016 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 50017 - 50017 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 50018 - 50018 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 50019 - 50019 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - A3040000660000008D0500007C010000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 59392 - 59392 - 1 - 0 - 0 - 0 - 32767 - 0 - 8192 - 0 - - 16 - 0000000000000000D10300001C000000 - - - 16 - 0A0000000A0000006E0000006E000000 - - - - 59393 - 0 - 1 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 00000000E603000080070000F9030000 - - - 16 - 0A0000000A0000006E0000006E000000 - - - - 59399 - 59399 - 1 - 0 - 0 - 0 - 32767 - 0 - 8192 - 1 - - 16 - 000000001C000000E701000038000000 - - - 16 - 0A0000000A0000006E0000006E000000 - - - - 59400 - 59400 - 0 - 0 - 0 - 0 - 32767 - 0 - 8192 - 2 - - 16 - 00000000380000006F02000054000000 - - - 16 - 0A0000000A0000006E0000006E000000 - - - - 824 - 824 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000300200008D05000090020000 - - - 16 - 8A000000A10000007A0100006C010000 - - - - 3312 - 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 - - - 59392 - File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uild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ebug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ore\Src\settings.c - 0 - 239 - 282 - 1 - - 0 - - - ../Core/Src/main.c - 3 - 1261 - 1278 - 1 - - 0 - - - ..\Core\Inc\main.h - 0 - 31 - 74 - 1 - - 0 - - - ..\Core\Src\settings.h - 8 - 181 - 37 - 1 - - 0 - - - ..\Core\Src\lcd_driver_ILI9481.c - 27 - 111 - 148 - 1 - - 0 - - - ..\Core\Src\lcd_driver.c - 0 - 1 - 35 - 1 - - 0 - - - ..\Core\Src\rf_unit.c - 0 - 15 - 1 - 1 - - 0 - - - - -
diff --git a/STM32/MDK-ARM/WOLF-Lite/WOLF-Lite.axf b/STM32/MDK-ARM/WOLF-Lite/WOLF-Lite.axf deleted file mode 100644 index 303e762bef7025c445786533f0db9e9dceb71d63..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 923192 zcmd?S34Bw>wLd;{ua+x$lNZ1?#$4H8%QA~_ATdiolI?3Siw)Tml87yp2{eL0D$=yb zkTee2Oh{Xxiy^P%k)@4oLJ9;TLuo_Oq>&)B1j37wwgK$ezbj$ni%rn)J6ATCByHdC z{quY8_xb$cGrF^$nK^Uj%sFQkudSFRQA$bwKaN-k3q3)JFg-n)xZyZLwBw10cne7* zsr^~iulo~XI?WNG_7ftUt0zL;DI&Zyln74~PPir`0!xfk*k~qd{13WOW;zwRQTCf$ zM-dTzVI{(sa5IYIdEOuX^}J^B>v8??e%x2CKivO4je75{`p0$m=VRa5sDEJi#$(@H z?#C;rkjh<6OZqhxwj7OzSEG&Z{F8R}!`}@Y4g-EB!1c%dvoFJMe>#ylg~&fqjN2N{ zlEii8>&ssbQ~k*b8YJ?Q%4-or^1ibvBweN{nf~7FGMVz3l{HokX@E19SIZ>Pq|nLf zvR)(j;hQ-uRsG(zI9D4$`2NMY6u%dP>9UZLtLFH1PU28QaCz?Sex&@d=eRbMfgCK? z^4yEZH#+}(%u|U5=93X*Bq=aWviQ9Rdr!>MTl}_z)BOo9Pvw0@qhxE{68sj{eFwiI z>K5QPzpl23$fN5P?4yf{s9fUvD}F0{MD$b+ z-#tn$QGRijCq(|Jm&*J_4FTDji{)*KJ;&vW`yW+8uHgqvyG;kE{CH2Q6zl$LEMFcM zqw=mE{`FXQB*yQj@?U!hF--SW8m5!V%V)m+Uo%}hLtCYr$@+K@^u*?idpj|)`QUDi zJI`*NMC4VyTDLBoKt$m7b?49hXz77sX{mc(v&p^9X*#9}3$)%j#b4vT-K9S^*KNvj zxKiDQq11J|E5l_P<~fiq&2tm$nV?y+0N(Ar#PT0O&jHVFjien;aw^US=*gC{$(!Dfd%ebm)R|pTi{Q5 zJ!iLmrtanMm)A<9LA#mAi(*8CUtSr@*?+f^(M!mMWI|Z2kJS?QK^;AAD`s)l618dr7Ozi7jjLZm=dv(z`Y48qHAlAJvMl9S(yEA=< zJ~Ym~K~ImT?chQ)^qS+TJLm`+igmZdh}oE@3y;tnLQTDqOK|78-W+1Hd2h~?X5GPz^jV}J)uc!X9PBC?*dm9z>=Z)Uyk z4vKD)FT9}D3&)8Jc2}keglaKPFW7TKXx)Lc9y^ies8}Jy27EADN|NGG`Ix!hpyiII zR6ShIg_7}`T%y*)vwBi=#PE~5@9D3{3$B8Z@r>pIhGs55wWJ^V@O|^%;(%xQ-Y0-% za5dv6%Btqx@>WIMCQ6^W>nn+6RbZq=7hwJGr^WCYQgE*nPZup(Nq=hGXzfvNmPrv4 zg=Sq@_)HV%p+MH?4l6m^Na0~6bDMRvAz+cL^8dP2x=YjkxL~{Sh>}^86}m&w3>78B za2pq}`si4#lo<@w5{r1UKo)hwLMN0=LfU;q+ioYeQj{X`nzev-{3@qm{U^63QMvwGD81P^lzS-L?g?o(2kJlWy7Qgn%3EnKgQ1VCm=yfmLu1kG?K2 zI8++?)IAnGtFHvjNQU6Du?2zZE}!dDx2}xEY9&o*+1P9r=h2lV?b;D`kSq&#LYyFV zk^8EoB9tv~%>gYGed%kamV`ibSb?l3BrF(iJ!2RDcE%^3I77rgpV5UihS_H<(!4X` zQqX^+1>+Fse~O|3@3Be7JYpvDBVUdJx3RZT-n9E?`jnu?nsm%`M0h7{_|Kh6K-`zL zuii;NqN3?QwH&jV4rDhU)ANCOIrokl&ak1sC>zvvc(@Wsmt&Mu=+LCVP@{4NkS3F2gePkQSN-RMHmnY1Ia~-e z3|-M&r|)5~O1L9WWj|Gx(RL{HVxTqKsN(~zxuyfH*`@yDME_vJj zKsGqE`X7y$?x`&O6&2anhHrmLDf(@c*lP0HdEz4$!C!iSlgJhkeVFhi6-y7GjQreN z%5IjfMb1RYBoe<)+L^V@neGQOzYB1@-VEg zM9N|-q)~a@DfpEGFANR< z6I&T7lcX)ubKo8%JVK!EdYuLw*DRZ;*+oKB-07sJD~FO0DcoNBa2D5YAVM~HueVjl zeaLv`1D8mFpmNu2MF;N6)x#pPO)6Z<1E+wOFA`=P3-4ErMdqOd9CYXy&9jX za_0D2YRTOZrrPr#vvRjy61@a#04HLOJx;~mGgwoq6Qx}6y4Vd*HXS`j=xN2}Gd66H z4xTsOqTPF=M9c!?gk)csq>wH<(Vk@7r@G5dXZ370}%L(m4ZU&DAY-T8zgcudK&!jbz+XD8JM5 zH2m8xkecD8q=DZ|MjKB%Bg1KxB$ShU{w2P_EfvZoUm8LzPnCpRS7N7p$;uK9N#p~J zYd8cFGD)oEd;l$aZjh84TAi!?kjR;rY$XeCVe;uNiRKAq9Mae3XhNdbW`U%fw>Fnp z4L^M}Ff5chT7QzrB%VK~rj2qJf@=QFN1BsE#O%)1hVthxoWJPiyqoR0Gji&j-KSoW zb`G`Y8XAbGQ4&bPX2aH2;Gy*#DSr4&Y5{O2+=lUztLs5sM~CHseQ#_EV#MA*XQYL) z=`trJY;H}VfzhHqB)aRc<`j!uNHo*7R>E5i4K$#)YESW!16-NNN^ioaOd_D5PZH9J zd%{an>LguYPx!u+O#FT)#Sy012QbA7MEzzBHwC1!U3%o7IR-_M9S8ykX zgf%IelT=(MrG%E}cAg<)xsN-BPA%s{-khCIa{323dxnxQHL|ucSp0`CSI|=!`ANk5 z+c2q43YQ5_D`ln;0ZuH=Cu8Z!wa(G`Ml+YY4SgUV-`o7t-tZ;32<^>zWQdd)wj~M* zeZTX}PNef5CW+AF6=o7zVnzvpplycDDKBkr7xb}Vq?wA2EZW}Y*(E@aztubKZn zhRv4dfzkz=^?B;7U(k~qB4aeCc2#hv=}zC>BxDl@=6omzIvcsSjTnv4+J4p3>ZWe{ z{DC>*yx`+2T!KlqaV`<(A8{^G*29abO^(kQtuYBpr8V+IQ{Wu=>2zr#=F!PZoTM;H z%fCWtkf~KlD6#1sg`~7 z4%zuob(b$azbEm0VmJ}$Vv6S2t6?$G(32?BkRHFJ2xC5-p3dBx5=su*D-w@owR47h z9p=6E3NF}KR@BBB-fSOoFA0F>))UB+-=FSAUC^?c}#wn|C@_s=1txsT0W1EkJsnG?pCpH`^p zFnDW1=c*(-uLN7U)SbI{Yy)Cx%FS)`K&0hI*Ih3Pmivy3LT) zpF{rG?0ypbd~gVqCxj}rr@3~4Pgm#^Tk!?VVUl9F5;LZl4;u9JLza__)}Eef1~e0( zB5Z$zj6sMnzvkp<@gXM*aUt*ze2wPnu7^E8aXxDOFyYVY);@=hXQsFlg@!~>_y1oro zb+Ub!!`(;KwNsrRLFK!WA;i+AT2ii&(6QzSk)vz{Jgyj;c((dID=xJ_PvNhuqsw#K zk11z<{W?duOR*TOt;*pR2wv#tyPs`({~R4h%-W6G8EeYlKgXL=B{yKTUrcEtke~h@ zi^Ys?$bkt)7n2OiYSWvUw86B#R)xu;X09xr?qnnBRl0w|}yIb|qU@^3r(W5g1i*u_mN7-zv zyja*g(Y@VCgKsMYvLdHhp-nh$cR~j^9qXRet8s19GdV!&^4<5hGsL2tjCDH@`+!XB z5w_Ae21`tLCh|iH@7|= zU6pJt?LZ&JNv(2%ula0d%{I9HuQX#p+qg$Y`1|9^{h9A%wjMZZvftab4)IJD zWpb?G_f#H*Qik^eR8L1H<7gp83x(dKcf-WOT^be9_1yw`zeW7 zGX2cn!a~ZiOm}8^y;C1Z4D-xFL&z{k_PlH=3B!!8%iXj6w%tu(Bex<!d-_lM*Qe2i5GA z2$P!vzi3Wpw!Z{g=(X^H+YwXIYz!qen?~z{q>!bUMsgtmD~1u4z$PgYD#X4QF*?|5-oMB} zoS>4y7avC6G@zy=ihcV5py)Lra3H9e^>Ww2Fy7Yp6*X=0m1`@aNwpk4!o(>hj}=YVS*G7_wVXie}V7Oc8%!KM(?qw z`3IM^^sKwUA?EjCQJd?}>(O2+Z%fpO6K-wO%&Kn7D9`jS&gsLSp~1PLoll&2j1*=0 zp=Wo~9(}Z_$Jb5peLF1bbNynE{*u&|s1t8^qqpnQzqf1krfGV4c)}mUqHa`=E}Gbr z&|B``g%w2{`z!xFOw&uat4)_E_(4?(S?vji>Fqk(CY8qX*9< zNE|eY>5SVynZ8>n%S4HojjB5OfwMVwPbF`)M{Io@d617;9~ehoSiYHY@IQk0PA+A> z$uGb@v6*qm+HEvSrPlH5Iab#pct0?8j3%*49CdN%}4NQ+@e&=9>=u7M2yK7d&J0d00WJF8? zgF_08A>yxVX};iF3y!5j>%>xD?wFhaG@aZT@4SC!d7K|UhBCnH}JK5 zAuZmf)T|Wzt%@s;T*z2K+$URd<)<&sikQu;Pc)B+Mh{grY~sJGdgk5YO{*5HTqVw1 zvS>-|LrcV4ZgPr_)r)H%NHP*q6|vN?+NhTnWd(_udK?iAVn@vTQ-lztaL%YFpSsiB z=r=#y{Y3V}@+=o|JEN=2h@paOP9&zSid>THk<7~0$c0-{BBKP9(;bd*`syy9hJ>cL z>`Wq-hx8J^&ArnZJxXmh7x82M`kkvE6r}~Lm#xB3nJq~H(K09~xPuCBaz+=Yp$6^{ z{3aJ0N5q&A1I+Lub{g<2sPQa~VUG19pd_XfQCR4V&JwF4WFE`UdNF+g5jQM|)56B4 zq_D=ADCwjc$!?ByyT8nH*GS{AzD>F;x@qY&3;z*;ri2;rY-Ln)e`WOWt+OKIEf_%q z;3dH608@bReqS z@jhRRk9sfUxnN~p4CiOQzFuI zb4GLoqf)>;v-jJAIV+mTs0uI-e2Xxb^}*Z(n3zk^_xi$5UOaHtXQy(}eilEF8~=a7 z^eDEbp$GH(pl7@_5OOA@y#MMbGQNR%TvpdKxbhWEa0AEsf%F$ z3u$IHr&-z`!8-`7yrTR;>=zxxxVzJC40oD(bU{bt0kN@TxAAw;^~kZilVppX)Y#P7 zYNR_0<+Mw)B1I~eskbTu(^C<{$?PEQG;P?^>996-?97IngfiLVI(hhCoMZ+J=Ev9g ziw=so7bflLJgCNvLtJA=WA>Jd_m~?xAXuUHrQzoz85 z4sz#+PR^RwskN4L^48`~p{k^lOd8jzsd~M$d=ks&>mb&{{&DCNe8w#b6%FB1o>+ms za1NjCB~}gOuOH6iT&c~C9qWuj2z6)g>P$Ai-f7Qf5ctzg@Uha)=m-liENt!|8%jGJ zS1{!YGyWDsx$2Os4!O1lxuyeO2v4eO-g@GHAZ`7mo!fsg?ZUh3TYGo4+C$GxZ#}fL z)&Bl_vu-s0<=-a5c=5YeUViyatl|XU$)g8Zr?T~ReG_auTQ@_WAy%#QaG)~s*_x_| zVrO%d7P1)t+$M~pn3ZKuK>F}0T$2O8*yN8Enjo|BSV`|hZir(v?|p@Aqd!fOt1c7E z`~b?#V6c!&UE`pQ<;|4(^ORmDVv&?5#be%AG)+nJn9EFe9UGV(8DFZd)dFd-!=_Xs zVW++z*8O7aaF`_iDom3zu`Bk{-Stjq@QsQg0ij*Tzm|2oca68+IWd^nuA}uiiGj`D zeclG=xZsf-UEqZGBGQV3n(JS8hJxtj3L>J_lokq}qEJ<2xhmBXFYH-`<||>n$Fyyv z{BOO3w^6fE{@_bspeZec(jK+P(DJ5r-S-+P6jV>XmH2*{x?gHXR{Js%`eC^!X(DhhGx$ z4(wQAhh_v(V^)9p3zTWqrv@zUBDW!%EBfRp`_iIQC*t3~s9&z=e;qq|W>5G?3K!&n zldRr&@9tuK>+8g<2NvBges95*p0IvA-jpGsC-cx!F3oFfrXzb9u2!POT!KU;lNYDD zEOt5UgU!=3JNj`A>nWqVY>D+#w<*^BiK5#AI;s+k+6Ml4k!QU|@9#n%3Ync<(?I;1 z&D+~G1*BagLbo<$fIee)q zQm(FoalEm3(4;R({@W#n%Rb8Oi#vIVwUo7z)!5QP%qkVDklwo#>&}hQ8?>8sTlTkX zb2cBH5e+Zle;ywrnqUFVlY9oB6edIFpK=+n?g6Z1i}#n1iGUqYD%wd=La+r_I63ik z$(Tv4!ve*b$?ZfByZ*8v3Z3<$b8v8L^O*U$uxGvKOb^})n!m^Ui1$TjVvsA*1-5$M z^lEHdouL3%stJ*Ti6L5wy=NOSyDCck)Xe`}#b1I*>(Ls9Glnf-SFjY%Hv?Gs*=4|m?(id-YT+XmNw3a`fwIMaU1}+d zW`MIm6Lr6%XLcy)Ev}*R>TgX310GzwJl6kh$%$9n`-J{>w3pc(hswjgwf1U6UOsV+ z-1c9`tpHdp-x92={Wj=WzkTR8xR?vnO^ADT1Mne+xOD->pA>WG5UuD18E>NZOGwUVfMVWm(;!{-^i&6Fk>q_KhX^68{cX^>?emBAGoqJnomdVG>I#%})9grKF!0U%;=9IpJ*ED4 zjR((Hq!t%h{AR%IhnX?XT0SGX(B*7%q_Xi7QJ!AMZN`4H@w(zy!!g)3i|cfj5hp%5 zOXQq_@1Je}00&tr9Q?KHXd^KYtac)_*hP!ARSe>O;M z2dSe?KW4}0tbap`879h(E$Dm{RQFgvMP(lZ9uA#9%%w=vLLTH;(76q+4tciq=XscJ znIw@S!Eb~H<#jl}F(2K+=#vx;VU}UkA1llYL8f#t9D=()46<a`&h{nG1YVl@XavZF=%4rc^cI<`YM4-K{vBRIhB<+#%XJ0mUyft+0 z7p8=pzDO;$;LL^t{sQ@PrLkk*(8i88i|2lknt<<6wxd1wi!}K6y@H)?_*wkkCvmRG zbQ{VvcIlM?RiskHnbf&pk2JsT2^WVkYzOMf+mwurqk`7rA#aOwN<; zl8R(VIF=m$eCZbH^f@hcLT4yv>GG(HtS|I>SgA}uay}e5eU2|-J=Oo@edM#qi!Yr% zM@uYn=EbJY>HE;y9tN8mA$Pj>p{+f(&`5dSmp6Se3^*_)SmarKxVc?n+OFK`+IrI$ zLyK#qJJ64*mkQ-OV>nwS&HW+^d9S~8(-*_hr#3|^IldU4AWF%|)!6I!VrT*#&sy}T zb6VH;UvuR$eRmHucCjDY|9RWYSlWUJbT={S>Xkw5IU$hRvt7wnb7=CgIc*w6u~zenl}#p5bP&XfW1dl7%5 z(gZ8LMdk;@{~Gb*6wMUb^-d5ixUI3n23vt`AKbh4Nd1h)j$3#zHWR0Jk z9W1XQCi*6=;v@nF1gQ=GmMV51p`1o=O+(1lYkmUXnuSXT8CqE~qFDTZfAX|bDKqRS z9WO7hwTpHF-I(HJr+*qP=FUturw@N0nZPXlVCQ*ocf9VddG_ zL19aBz*fZMnljbKWb1UI{3yU2ZG^SsYAvuh8M6;`9)xx2kab+A6S))Aa9Uq2<2nycRBA3oH&4Ep@78#&?#%_C#S7OM?B$h&UcF4xtsln}il1Ey2pd>3uLuIuj=` zm}S;DOg;`177cpmp3X8@yi!=ptrK9oVpw1{B49#=XX`BM$HWzQz(gD#EIbSoC7l}U zS7EYp3?8gC#>S2h09RwogRQKw<9%4;m{sn5*voj}gMW{E3Nv88m{+s1T0 z@vV%>bt;yLaZKmaD)w!t>DwBC#*Uw;*k`S8?0DYFU^jMbvYOE=TPN+~A{Aeb>3sGd z_GO*KC@e|(uh3ZBYBmTf$I3p=?Z3!4Uk$iMp}gX9p?sriQG5LJ|G(3qxzmCXVKg`v zBW6|UPV6jFX-@h3RqPc1!*Tkr^MPc*Og8@h?^5)Ab?*uquxDmup33>DClU5RQ`|oI zEb;%x*+o;uz!TGyCojG=vG9$l&ork`ef<^F)B@V`)|$Jg?a%nlZ&iEX<4rT7M^u@E z?eLZbvGb6RRhr+Vj5kr-o4Z^`&FkUcx_px|0Wo$tQpX`?f{B>#Sd!&#bmuNRwLHmf zA3L(kH!{gp5pBI?Hj{L;ufooc!zK1`*$z^mq<-wfM zmD|jG0(|UC@jdiuWt5D7bfsZde$R?8yI;KAFAsXWI-F8rw*kft6Wr9SYY<%f=Qvc2Z$YJpUUXY-e(-(`rWjB-IByMn3gXAMg7lpZ68#r~7sz%=_L@ ze@7v_=+1?iyzi!Z`#9dWslNGwXD#nLR?qv=pFk|!Cb(m8=^GG++XQzEF8xV_;Woh? zgG+x3VYp3j$KcYRMi_1r+%dTHXAp+l1a}NB{aJ+JHo+Zzmh%a-M{z8ny7*`6)KdCcZ(pxv|4D3G>6qm>uIK$A2*eZp*XIpB>e0VJUzxwcfdzL7E6S zD4i9iiJ5+sCDXc|c(wyx8NxUb8G29REwQe}uOXK_LNWOg0om6Q_i?~&?#V~NIo`bwb5!>Ru)^&4ID3xo^(iFsmaj4@sMLa; z9b;9bo**A=r}c#G^k62hVHChdAMpkz@icbq&)x#c=-V|@)8OBb37JR48npMK52sTZ z{Xn8%J69X9W? zv(rCU@?ayrIE384qM{q{tX?Bvr2$yjG>A7t>0_~`7O@NO5v(y^dQ+Ji- zQKpddBAu~ zjfW*BQ;RcCycK*>gFFJ+45an}3qmVV?c#qR_q&5pRNQA$Sjs+?z>7Q{WOrrhRz-aA1VQj^>+>3`tc zo%1K@IfeHLR~qtrKePw@sbmiO#6gkmwdCNe^)dimV3UM zd%iSY$qua=HdDG~4a*vt@?J!0BjD@v{wvz33FMCJumE(_;u}CV{*Gz<76jX zAK6e*@4r)82W$SFQi(D|%vH3WR~1ggsamc~+MxDw9cpF090HlTg1^&;+X~zUXQjki zwa&TF+ux>NdAA~s|5~{Wk^h-y!Yj^EiZIq6B#o>maHCic;1d12=vGF(ePgfDv^%q2 z$;wi+V!7J)`4a0l!+x4b$Bu%PF*k63&Q|2$ZRKhk=c66*9O*U=Inr!Nfmd=GSq|}8 z^x=f`IMPo@rAitZr3@k5&lo*2u0h#JAR!{**U%a{=i*pYd6m&?Y%eEwfP(o; zr!jV96p>#8C;G?p@P+ndj<;%XcAOq1`L|&uV$|JF)vF~&)7ElE-6Lc^nZtR!m&1aV zFbT;({6agguael#3>))1)qb;a$q+LY-abbf2@Kwu^CYPJ9<|5!NY^9n=Q&R~=U_DM z0X;r~(NJUUOMS2UPUh_Q&QUQmFu&%yUskmFjs*L0zWQ+1BZED7831YyV z3MMNW@LGc4W+zZMiveffTIT|P^i8Ei<;Sedtmvo`^niN~r=AdRqL!DHQ0k-r?vo*A zKP0(s!dXY6!#S*c@NOPX zC-`rb*1M+V^F3VT53UU^>UPM3DRm8RWuO?iopD1aZ;s>E5gHgAl zZY5n==bO^rfzXRW+hKQ{&gPDfu`L|=DG@hJbk`nRyLDvm?Q;@$A(>s1go4 zw`1SnV$?t%?U=QJ%>c8iEzyy~<;PKboC45J^VQLxCHgwjHuTqDaixB(hf!b?+JO2! z40{gm9nB;xCjatma!ue|QiXolS;P4?`9{u`_6Zb)OzgEOoHcthNO` zdq|a7b*g@;!PwCi9U0L+4uPc~wX_RSW9Lc|SO-N@e!Ai_hj;&oI)EN=WPiHFur^d3CK#fgj zD}n06O7LJhTM6Ph*h&!3;ky<#yQ4@G+1iFtUxC``OfL$ZW^TlF|rG9J^v>akU_f89%j|CuxYC#6}7`b!&8`hhdLqW_cf z>|E8=`ZN4OUIL)ZI@7;GG$@s+Oa}4c+t0DtJh;j?aO2?0KIRltJnt0KKhFE#A$ydD z{?%v!N6tREM3rsK=wrXcqsk^~ELW87(m`U6OC<(iCLz>6ZUZr|N6P;cU9$7!S4Y6t zw@&y{)3*)!PpuP6aDMtn5V7`g!K8bG7y3}uSyccJALofR!Ds`BV9u? zj+Fzch7h*4qm0T!-Ck|qimb(oZ15V32A|+Yd~%k`d5JomAY>Sg8OcN#krmR77!uNq z7!=}1q=!Id>Z*)6v=Xb5sv#5&Gq`6&)-G&~Z05*_jnH-I2&CzWh25&w;)X|j#rRBd zd#Ra8R5#$27+R1ke{u=;X|70fT2+!RxA(6EI^4CARe9uUpNXX`FeCaGRc584fLZbU z3PuNP-p4tV;Hh6xzfxFP?-5oUS^4d9sOg^RS1@`zPG`ooi3N}rN2u!{UX~+T_t_Ss zrSJqD$xil+)CtDKWK&wk;9NpR=7ji>*&%LZW=K17a7Z&UBV-tvhI_iEkbYz`!);uu z&f``ZN9qQIbtAczkVy4svD!1Or5~dc71sca=E^q!pWFRRL+O`Iclz<{SxrAinS{@9 zIx~7HUXzz`kE@d5SNY5}vLMR-CuG6Zsw}AeE~sm~swZjj+5~lL!=vz$kTz_>Nwzwt z=A-durbA_#-8I^hT>Z=vjP!1_X!Ggz8z!c7OZvXS3K zdFVB>BZt*;3~ra2OYfqEHj%Y8LmYeal@T%@TrrR%Gn@|8VV=20hk5229VS;^+&hr! zW&1wm|^{YMt7`?{z4ro+DFU8 zJ1a7jvL2}1Ax`IC8LuI7iT|5qr~kKf^8aDH{&(9fqPH_kNFSBOrMErl|BUW5|D$xL z>hvqoKG?k^N}8JvTwSFycg>FcMdeV$%XVF;g`E?q1z$UNHBb!Fx*G_J;}QCKgS%*>gHT_h4^nvXddKb$o9c zkM`Z!)cHH3RU*Zi{yUwocRJP6qp(3rrt(brQcpjB!WwanyM~gU`?JVK+JZ>$(N2dF!Xu)ZHF#F*9Ek7Jxe1h%n-P=Z8g@LQE53X!F07(&N zXHP8wHLx>~grR`j*aZQrd5O@b~Jc{ljFKMSHvWDG;Yr=Zgw{n^t4>ldhWKu!j zyr&2g|JE&Arm_9E#~}TD*2hgv&06)0J(D5^$Wf4J>~eh_?$xPQkAPyz#I0R*7moK* z*~IN?Ps1Cq->W-#9uG5Hu_nqZ2H5vdvlsHn5a>m#p%G<36VgEkN@v;+G@YU76SF^K zf1hgcWqVgTe3@;IX(|ajoBJt;ohmS?_r`$>b&bQ?EpC*yO3CuiF5VLq?;vH=U+oJq94VfdiP1RXbSFv>4CRah6~je zgVs>uuJUfdX%=xDvwvg_%aoOiGo$-e+!8OO2vrsY{`z>Z-UHqnUqKP~VtfVl43WQo zslN|;^dVWEe39W}CfkkRmZK#n)L9{*E^2|aul8qRP}Lazg?*IdanR*HR(nFO*hDkY zi@20*^l+$=-KmH>9ll050gi*yz$K8|q<0k}T3zKK>Ycbt)#jr6Rhe?2E;%cY;Upfz ztQ6PO8}EVIyZ4lSU3aE*n=}S;;=#T4Cdj0hXVrQlI$G`p<5M zvKsqq%k;3iSUvr<;J(WUNKW@7j?GCtu1rQk<1>%~c3!~JLMQMRON%d(Tu0hl^%+Vb zEdJwyGb4}IpahfaEMEliMk}#&OtR^LB*ZOhNN&RNeU-ZJ`a{g{@2($ zd0H{Keyt?C=ueFDo*tZRf2gMU7fK5Gg<>)h+)ku&3eI$s!MM-V{71_#eS1> z7nPo9_U%O)S>wjNPvH@ZaDvSSHtMAhZ&ajIp=?orh%dG96Ek5yU4WAX@91&khpg@T z;cHcqCXw}3gO{psJ|P-g{UFon`&S4v!My~g`Rf7~Yb#WXKjAhy4`Y<#`nkcI+Pvmr zQuJnE(o%{W9D4#+xzZXc?5d0^sZ?Zb5j?DotPh6l>xz<(#(gD42DM*??2;n2&uVJ> zF;*^qgHP}@RBlD7%_#i@+Sac}nwq^26U%b}1#jI>>w5OJ{yuM1)}$I(y=iglPb#a| z1SihRzRs%F3tx#=$H2=W2dR4Z0n+}Zd#bv<;mOX+UjnNr0DlMxgg+W z7(#hvEKY^(dbhUGUD?KIe(o~T5g0VB*!sWo0<|wzZ7A;G4%S=9ZECquTj?lIL9P#5)G4+xCF0!Foy)1-xCL zxvt(_~_7?M=3^7$}^F9LI(KsW9QaMxKhq};awqoiT|3Gcon(Mc z`1AQ?>8=@WU6J+##Vb?ViMsH3&Jap0Vxh`S`VQ!}BxTPufSc@jOOlO1g{n z1$Ca!aPvJh{OSeAgXXedI#XSff^T7jbV2rJgQLqw-^14y8UrocR5VJBeJgBAabPcn zrQ~Ccn?8>5SpyrDg&2jB?Y&&u#zfYF8H8*kTb^hpTj)gQTX4lU@ro~1^|j&D5gU16 z3okpdJAR(sKWf6Ocgu+7fglmzKc~S-91f4DVm#8&c5oirFfo7-8x>OLydAm9qBcqz zz=3I1Ex5E6G`6y8K~*hZfKgh>D1kH>K`ETpDKiTzUhu=WKi(;Gh%>mU%u&`_ocy_u zZ=f$(Br1=)$U-|V_*}z718Z@15w!*m-#8q>Z3m?YF37C-k_NKbCej982MufO3*$JJ-G0*+69bnJ@|*Ed^KT>JYM(VJ6hd` z6qrQzE@y3? z?BkpZ@|GF*zOXF2nRDmiTrB6BCf3`VfRRUW5`p4(0x>TqMYjbQWM;KtwVP0Tnp(RF zJDdaa>O7QeAUv-@=ZgS>_>YX{kfjB#sO0xj^o{ zNqs5x-XJ&Hg|+OKz=SvFhmzbK+1k<06RhroffRQvTRV30i6QQoeqUc`yc*io7aFaG z{u+Gc3}vs2)@7hJ_LiLk@3B7s>8>jJO8(rQb>VlTFXwOX*eh*yL}wbz9CXJr;9Wi0cLIF7 z0jIdRA~DEr-hPDeJ6iLHfpdd%#QYSj2Z`s0J97ihTlBgIiB9p@#K7vttS4-Q(2|0s*l!f)Tl~YF$AXp1WBNca z#XZHTK^<%zcP@F#bz@(ML+EMOROdN0O@q)guD_l^n?q=`$XTm`Xs^iT%scpggi}>) z-n@e^hncf(nYB5dF7&0dy!49ysNNO;ERmi5(pra|V0j@^42Vnbi^~}hXXuMd8xZ%G zkHkFZk~sD9c}XGPl~C=OY5llTX`8CFea{)Dzt=V4jtYZ{ckib`m*muPUp;T{LUIb;^ko z<8g}BH^h0q8pmY4svLh2Bs!7cuPNChYtuG5?ecf)W=20$EhI+Zl*l*NNzpIng61gO zfkv)WCIjC2>C9+XpGAd$!rZVX^`8|YY`+`lBk!ucQfHDvE8-aTwvjut%+$8CiA9CMW7;Z|gCN)9M0vS=l_!Bd)Y~2FtJZ z&WdEKR-18N&btxws-SM1msy)wdYt|T%Uj;Oww)QUk;P92q23{Ig;G?f&H~>(&sfUH&=F6L{DgFZzS$?P(L;FF3~roond0jn0X#*2vR1 z$-OSlBkHB7u@*W_rNB~sIalan$5;^X5^81 zxJ#A|_}n_2!UvD>%?<(n#Cc9u!~Bo`75qt8;AdO|KW!jOxM#~N* zb4r{~8C^mi=hDD2{sqa3-8c0!NNhfx@nNQ{0_8L7DWhwCp%-WSj6=PDW!#PJ9q>cF zyBK%t^RM5Fom%w`FznRw+26q7_;n=jdF7dLkT2&lOE_0j(adb*;8>Fuo&N)OS~uPA zD`8w@RwP3Q&YBO-!Z4-d`&!rE%J0>Kn^i0UuSs!zHSGrXG~+j?T<=DFK{8ISj4D9; zzXr8?jsC(~{Wm~-_?zRu_YdOVcvyE~tu7g-*R~D*rh2|t|IO|D*De2QUzf16lsYn6 z@D~gl@17ame*Ld5{C3ce3%9RsjU?%IJAHB!?$+ov*MABcT8OrAL%jHl26C&9~CBJ8$RNNL=T^nB@1jV?dc@-w-#yqotxv zS5O&!bdUQ46y$*ki>4Ec~j4yA~4IXnPW<-~-yu9rXx`8p|=JK{5T@&L&jD(nP zsOy{R&zTwh;(PD3INEe(v|T^ZH?e+dJ%t{K`mdMTXzJA%Se7LAmc_sra;wx7&DFCa2k#qM-WnN= zG!bb~HiT{*v*8Ck8)|H0l{cO{0k*c}$k)TM#L?8Gl-r8+-LJ)=U? zqvGlhqED}`ikb@;-15FUCuy8$%?;X_(YnDqE}XcfHDX1|P}EqanGj+ljr&x59M0F^ ztI$pCbTBdXaVlrjH{pY5?&{f5dMm4Ed0#y@Ym!6dQQwjeqD@O?MC#DD#PCC!*2re0 zm--XKOEihDehgv8_O<*O@WME^qItc4|5Hw^d-j_%BQwn_?YZ6wwbsj*{fwt(F_wt8{+ zYiLsR>}_lnE04HlAs-vbM7Fw2a+gJ2i$7z#K={j(FGxnnUM&6_TQce!W2c;IDQn~M z(%71*wgqjlv3l8?iW8*8lD2(4H`&cH!W$o(E4DeKV4$_#AFu>leJij zMAI=~{8n}K(Xp@;eQ(|kUi5%t>(1lQMoK(aTNUemb3gD$NGa0~)-~bwB+k^63N9S` z9@Y}AkXIHZbE?~ZTd>oK*JmIf(`5aa(*I4|n}A1EWPRgx@9jMy=`27Jw%Z9yldvS< zf)Ta5lXTc5382Q64vW(PW(Ywss3RQ^2#64rEr1f=8Q;MTLZc3dP9lp&M#m1q2nbI5 zj)Ei-J548j2}$_>&h73bppMM@ee?bMd2ZjTx>cu6Rh`;SojRu)A&KC}LnjAm_QI0? z1ufcv^uH-?-3Jbx3K|ud=XFQ8UDv9)99yrA^tkFIMGf&^nRk;zhuvAMM}?EXa>uiF zA@MW2)3hPu9n-LkXKk7G`SrYRV7->lsqY)BXw~={lGn@oItKF?`P1sYl@-Ot4HQylP6xh?zk-^Y(Duc%$?}YuKfP zjOq2C3RD8#_JMN#NaC5^M=}@Ryzj_s+uMrWABg@Wq)^r0`U= zCtMjh@J-VnGT3%StFk#I!-CMSQQH&kmU^x7jF4ZQi1**Ha{gLUh}#7U4TUKXrV-EZ z6qeBvo*4?)p(VG4Q30)ne z<>L2sitT??XIAsur`IcHv8MlV2;09^=eoG$-u*@TMms?2Jx zVtRdP0c-lwp{USOIb{FSCs10w!Bffo4e#!l5_g~KjQFgRz7gcIbHTD71B_49lU+O@ zPXvT;{m+#<0NEx({{N%g3c1{YaC4-wAG>F`opJzjt_y+6_ zz^x2WCFpdCuP2r78p>Sa3&Tm>f08y&pX*K~-Eq3MG~)U0-@2#iil;!SsZC`bCUjl0 zA>ijLKf9oO0=kr*P>=>oC9}WUd@ilb!f)nXfxFWt??cd>nhh-koVEtMHk=(WZZqu> zxI%sx_~~Y@fP;2)J`5`+Gq;|r;5KtOe-$*uqo%uIIUbdcBX{r{c$f%h_B2>LQ82EY z+X9(F6JP#nGqVAc{hvv(j?Z9i^s4{Mwj5t&E>2CLhcXQoV-;Hh;eSOqo1?^;3J={+ ziY=;g`hL}4-DdUbahk$2=+0J_q=HhECc}{nObxydopcu>-4whRI=){DEkmZ;6@!h| zBNtRjM=ogIJ#s;}a^%boe?BmXp1sP2^G+P4+7E#y%v(9f;^fb!!ChwcgF#UZbjIrB7XD}1}uPan01I5JUgOAF)4MY9J>T5nk+^-WdPnOepcfTp0! zBFq+Ljl|e%?IucItKaGf%JFx-xF&=@tF#TUYd-^~kId_C+e;_z1hzV^WQ< zeSuXFm;SZ={nj;p*nIIW(N596+sx)$a0-xFH)>BRELPRqkbW(fetJcMor4TD^tpfo zdP^U~TQcX^*K2$2|9Tx8QS91ee^(uL;_I~>tp46fDIYw6lxNp+cbr|p25qvpsQ<@B zG5_H@VK?Aw#muwo@NFeG=l+p%Xp^3M$1a=8=NRD;zhj2dvXA7?;77$JyUUNh0e??OIjZ@d}b$31>r3)i4 zKD65Je{88eT6G9(6bjkXFlA)VItqEOTS&MZvZq^!AcuT){7>iU#MaH3Z54LZY7Xy$ zy_oqz9i1YYFveHRLFjZf`F$*p<^s$YcoQX3J>}Il>?~{l2l5m{{r{OfO@Ea8yG3#K)dHM2W@7^MultY6e zeG%>nguifkjQz_Ce7w3zkMp~6)4r4jI&vk0=oo~v;m4CrScmfo8KW{R*c({t!|_D$ zf0<*c*YNFgMrGVNH#y_?b4O)7GcP&g1H6+nG>`_hcuc$rF!tNoAdKPM1pDB^Bc2YR3w|*{mnt)4w3v9d9(9fpGQ$I_ zj<#q>C}?B}1DdMT)UnktC##A#I+pky&9Y%#(Tk>?p`Gc^VzvbDoNvd10z;3UcOeIA`5Ya;{rA%;0o) zhfHPSgJrsL$ox+rM-}xmEdL2)eGsz#hH=*X1ajV@TMYR>flN{Z)D8hjksE0T?N1>W z^*5ya1hN=}EZ#8AVLySqe$lN4&NBeK1=27u*~?`&cZ5<`@UGdObhaOvIf1;40VGqMyeW&nlbn}Crv$x|eR zWtdy8E(pYrJX4%ykRh78LA<>a;!Tj4p}3U3{}~JN2&7ByggOX$B)JB6%eAiyJdj|# zUgVasiNs!;LJ3kBY)*&VN*BTrBY+`SnB+4p49iIJIJjknSQWYt+esGS_zdtA3SfQ6 zU4UJ=xiD%bXUHp{+98gaUY0F!bjtEMKA>~kv9e^s9U}JmH90L$6rX_&)TDZz{}lX# zF#BC8ea}u$5zpFEa$I{cR?l-4rIdgj5s`jnxrXWgch0#`25Iv^LOa8p__6_0#&{VCp9if;3j$WI29rIadI9>zM_mo zPbCi=(*QU6TDZRfTs@cO{?#)19OnMra{2eLhe~(Y)1~^M9oHRthX1j8v;ia^UjRSh zs2$cpi*GxwE1VMaS!7>y(8tTZW8g$k%!4y-JFY3LQ4grDg|DV)K4vft)}~BlmP43r zK31m`VhVk5u`e$#jJb=Q55 zbA8OR{~P;h6I<5Y`)l^o6rLyAeri8$TtV?HF=#-|@y>^Z+#bgVrE`zYU21u>j-(I2 z#%@ADPXXmFQM-nbVx-|I7Wf#lR6&f)gNm`OMw!ZC3+-Sb?=uzC$}HA( zurL~>(Yt`JJm2BOYaz-Z&x2bmayjI1=H~m6Y#7Zx^SjBd4&^*2Pk6>9-t6^4G)lR zOI(Y2QTDPb4m$xJ@~vi3k=(D2-+D|PH$Y+) zBT#_fMVueXk9LiWeF&A}TzRK9HMDl8Q~}(3Dw#pyscKbt9)l*e!2VlrXVp=#v$6+! z?}(Ejm$uWT=yWxln%t7~5a#dj>Pyqtosl>;*{hGhE;sd4xS5DA5uZv6812N+zJdX~ zIII>0aJltAUecEqzY7=p8}5dHugLWFcss4*<8ATwRqDRSDQA8t^ENriAKU4_Ap65R z{olxbMbPg)bRoGs#N7;LN!Xx{;vSNa{U|!f6D;ejxR4QW3c0Mf~5S$MAj(?-IOA@O~Qar}1{+ z?cis;%WW~)xeBel^pd8`k=+5?TGn|53+>SAc8~bm`Lkw|{5sv132IVRM&V=|@`!^| z94U^WjuDQO!M)&0aom+O6#v7L#(Ncy#W;YoVgqD5AtUuDMi>>Boa#t&K{8Yi*#yQJ z6QECvmy$WwKQP%~qqVrM82#`vom?Hqw$s(xoCa zE^fF2_<%IfMUt^MvHx3e?f)UTWB)^N_5UHb-?j$oH|d)CjsL}V%kdS=NW(cHwc5>S zwIe@iHBg2;gOV(FyZ`O?0y2@#<{y`w#k#z#nlxqZZQikjTzAFX*Y}aW)y{XFcO@)J zcqW4lx)uA}nn}q6p;t}$Zga1@jL@6f>()2Hr&H)^oWmSO2dvIHTu!4SMMNmmz*h@j z9Ns6Lpu<9=V;J3W(vBWU-(SNjC^S)zENS(p6X#;W_`mFc5);vxy4oWv%Y9myXl|oK)+$RbZUTA^@4s|+VH{9 zY#Z77oCmispov*yeZM-NY%e%pwD4AhH`P`rSf8`JU(H*$S#$ex&;fB0+KtFQ@{e*$ z{{uFWAIOS5rnjaU9x z)Q+?yw)v|6Y)9ZMK(%#opZ{tI zQ-0?CnY=U1xz!S8JzOnVHP)rhd>J#eGj|eY=#Jeo=WEWW?JrseSL>|_);N@Za^`)R zY{OOmjt+ZYr86tbbymL(_9wE9&NSm4XH*p*H>L6SCxkhLiUBBrIiaQxcSYC2t_aZI z@a$#fi<_@V9#w^MyC!qfmCH@M^Zv}A!zEBlLo&PS>8F_7E93EC2i;&yGamaYP#5SY zCd>m4tU=_tgToqxw@*pvnH+YE-m%GQ$m-Kukl#I%e}tv=8gmpR_iiw*B?fZpF%B1L zI^<#;bU(?G^a@tzVUD<@Fh?D9Gs;Z3#V7!j929Hbs3h@D}8E z&lDLKOAS)!5&L#LBvD8y2|pfXxsmiokY4yV;@1+!iKCK8)9x+6JPVHsPcJ;T;&~j; z6L_ZK3CDAz<+ufKHU9=4>4<;NzY#xK##;w`sDAH~Q{G7Zkp6S3*Fd^|z{Oz>{&gBL z$T4q>p2qweafixrHSPdimSN8lz(y@oY|msNNV$!t0`|j}SBc3ro;OOfwGktk_fMtk zvRuDAnqK0bK}s8+E%8Jd1Z4QO57 zikYBDjX7k9Lv-ZSCy1isd#8w5gu4@8FpmsqT_K5>OXzDHzIxyqCek;T)4_6D$`<6d zF7=S!a$TI}p|jE$x1u~3x?qIz@)Ew>Rl=3)7qfD~&E2YjWMEz?Cvq>dR&}#ti%`LD zRo1IC>}8{As43Y5H@NFer*uQJuP&!`b@S}3f1Y;lL0A~8o`~Bqzje;eN^+!~p6KC& z?i9F%pnK5iuqH)VPU~|>m*hD2RX;cQ$ANLoMv2gZ4=Y< zP8e6c#Ii3-b9Mt(02LP9Nt^X$>jun+YWH?)j8z9KpA{D0DZO>Ad?I0k&T5iF8dFX3 zQo9-ip62ALhH#a(;Z#vhy-fiQH1ujLvYeF$R-s3-eOb3S(LbR%Zd<;0oHP8 zdB$2c_H9cKYuGUnwp2!0Q^+1}`Bne&R?&JJ{5p3k!V^2g7q`NSJp8u@<1J_{>EC^= z6kHYSpLM2M-?W4sBU`y4m=Cs+%`yx8IydE&6oiq3@Sz9~`LkQ)mEJOH*JV{_FGSeS zUI>4jy%_Ic1EInA8AyyQ#7HBLKF6Mens85Z%SLW@Ax8gE)JJR^~XGOLy!;0=X z|Gw&Xt^2diBAu#1r~VTpRzE}RJDs)F+pykTb?IeG%Hof#W!3`gX=`|cz|~gow_?o@ z|8NN#p5^K>d>e4y=-MJ_fZs;KGxZJ2dcrgH$38;C$Ao1nVHJklDaW-fd$M+w0NbMe znZUCAkoCVIXSuKXWh?)3Z@DC+o!fz3SzBc5>PuvQV0)IjK`Ri3e*i`Dn+9Mtx~iqN z`k?jCmXV83T5rp&t*)@%cOI}kNYhkmQGdm@^r!%>x?gXIU|g!k!EZ&HCOvFpsJ;r{ z5>dfBUTfgxF9CbJ)P~EeBDbrp3g|x1Vy^yMur?=Kt`78}KpXPq!V3e?CM-F_^;&}t zN?w(=hzf_3t-s%zVdb!beV|R-#Iec-9mic!7L0HCT9z4!a=^}nx74{LxzsxN*pm-E zl6%L~k z5}MKVu(YQe{v+D*l8Y#Ded%_z<_DG^zSu5lO|M$MKZ#rVrdJ!(klj71+%3!FKVABx z{4Ob--n!cV*#(xXklR|ti1PRs^pME7NxHR)2TjE)h^l~zVk4vw#yH1SCtBB_pVQhQ z$CJ!*>SG7e?0=JkYY11sO10t>=Vwyinl#5N&Yb!vLz?3oXPRR@+93sTqYM-`%~40~ z!cM|!XPRTbQ>#mJTz0;Pc>$vxzLInn#e+4?QhPsTxq8d)z8v()Y{3{hp+8R3an9Gq z5g!IJ4g)pr{|2q7w`g8cZRKv>h+7C;DNd$0;%1#gxdm}_<(IMI*diDeTbM^+O6!ng z%&6XS&UF+L^shL^l#I*F%`#ou?wDRbsR*_~!c}!KTL!c~=VSJ;CN50zu+3T3boH({ z?C6TDsg7B!4DCUA>Y5ZfqctzqEcOL(eDn_%MyRs?(6FI7i-3Uj(QUHqy6YF{MNJ5q?)s! z^O1{h%Y68pR+d^0?C;lAb=TSwDSuH|OZJ5L+N>mZZ~yZ)e67+*%B?Znd0r0fv0;6J7G;p6)OL5Rh8_np=`*?>Pr?7cyh;yo98| z>DB;CDAH8-u$D{rM^#A=a2YMyDY+S>xfuF2droS(sz21SHFY^`7AUPDJ?=^y^mpQ> z+!k=muc{UdS#GKEHs4!TJ$}eL(B?U4$kTg5_9B>ll%Wc{)Ip-jyE*!4vd&%8;Xlyv zjwSqLR~)lJRW?XWw?3A{Hg)*l?8t2SBKJe@4E8E4+OF5auB`xV7m#Y~lZp^kPD`8# za_U9g6_&q68<0(UC*17auBvHxAwJvtP7!o2(LEmq?wGaNKjZ4emR<5GP#UygHTxg9 zn(aLx^Tmkg+3cTk6?%+dv$%3FG$@hAEgg0ils;_U{sR~xmRq!S{O&QMbm63F@tjP|x?GxF?UY<{3H9Z9Vb;GhC^f6X~o6!C`RyG^ ztOc=qRH=00%EaeTvH<1Ld_(q&6wkfhjr!S8vu7sm;k_-Nd+AEjyVGnj=ecJes8Umk zoalxs)rz)+dH`@2)a4iGo}PRTs4dw~_w<79Rr?<(5lA~N1XxE%JX^R*rR;`T|M^=sg-sIZZhc%d(7LUXEdjqWOW`M?JYxY;$?IU> zEbZ*e#ouB59NMV6mun}=wN5VAy08wv3gx2H5u9h?%;r=Zm1`;2Ri@+ZR3=p!YF&#` z4IJRc{aBY#E>l>yGOrhlMJAE8Vi4es#2)Rx^+9-w=asOTppnk$z`O(oLEvv(L; zDml~jD)^ezQmXEy+Kf^;I{fQUs!CWI54Du)C*>L#EZ3>da{aw-9?BJ_rP=$BNL$(2 zUP#?lwqOpA$Ys-VgRGyP548wc> zf>ub}E`>#N{R15I^J%opG2RZPyII;D7l$Wn5};v0e@7~;wd-=$u5i*#)H}p=hEdi{ zNw|@^nr-gzw_iaVcE`%5rM&s|~aOyE8 z?`zSlJjYjCgkIyTeX7I%<(2G~GPy0NEITenBt&|pJi5n4IU~p9T=1-${jao9p44~Z zDiviMIK*twK=hwMMayRd6P;#_lrk2&e9{mu}9XEt}QwaL{jw(={ma|&9A)lUCZGS+7 zMfE&e1}v&aLHZRM^)!KT$i68lXKjve{{pUIN>7XTIov!TOLFHPM_AE112ka**U48f zS}mG64Er zfHThQ8e>1%>bp{@)Ai~#BtD+KD-|2wm5R~?_q)>X;F_0a_LkGw&S`cw`|Gd7BYcq| z68}YpaNKLW$5i8;#I)sEUQ5woZ@NuWuEDp*3}$adXR6Py^xKt+Ij+V3YP)7P?TwrL z#*SwHgpM$y_M|v475>bQo2Jm))DdoM`J&%zW8m)9ff@>qFpyhYgYmI4$1@fuIOu<9 zXHgy}Gp}jeR}AtFxiZlETAIh9-E_Hak!!fa`EnP0tTrm!LoG}= z9fel8fR4WPQZ{Gb!^Gz$BZFNO(-E&()Rt$qOu>q0ny4x7;a)G@ind@L{qjlB_Ccd4 zZ~yoes^c-8wH49cqe;}ITJumB0LEbw# zGMsAb-rE27%kWxTptVC~7$!?%QyCtR%kZ#VhWzWwFib8(j&IFlH_{GI{D;eseN7oa zufa7u-;@e^gl^uZSWZ)ty9as^(6e*cXV9OS(K1d11?Q^VR`D%RH2DvEb8JM>lhNy^ zrS{vUDG&7Ux36^3>@980{`|Hsnw`=XpxMc7T{Jte+e(o}@l?6COx~Q>KyBSjWBIH> zgD}RkspH05r=<^?Mechi!8Ic7Q4#Kp+W-kBTeZ=>v5u?*z(W5tADe zX}n1uX*K%Maid3%7V*$;@RPwBy@?%enaldRgMRNQ6ZvC1{b?fk!`-4B-`a9Zs-n|9 zI`w0;2wKBywFSnPYkN`uAO#)^QsA%kqnrQ3^`yL}p1N=~8`M|{?A4pRA4iYJT-NSC zeigbE0xL4;n3?Lr$~`b2z&EK5EB4NBS@3mfqq!3@i-FoVA?Dc&N@(<;`A%m{!_G*O zcWlg_f1q35-u~LGb&4`J7;~K#SR`N+3p085#|a~PyHv1f884|sT|+k3UuHuJ)(;rh zs-U~1mAeHi@B$5e8A1CXjpIA)m>L6ll-QudH#CQte=!g6H2-BT^L{p$kn|se4)5H$ z?sYT%n!2%cs~h)$U#gjr-D+lBx0+#tsa_&mQVVaqR$_k^UucfWMGeV1cqeyjOAqb6 zf-PA?d#|9oywj>kuod;V$qXxAI-{~XuH9-lglkzoaE(U`>d=CHLUkL57Svwbf|VWq zzg!NqVAwS+nC*2J1#lhrGw_G~8}QfuEPUJuWRU5^-U+(7d(~Xx^32elQFBSKKm(&t zbBRwQy_@hS!q3AWMSkXqByNq0VU|t9?ll~i<_=w5jTD7(KN;^><-eDCM z?720xf=?=fZeV!_&DE*5e7_eQ4Nm_St?G=BgYfw~I%HnK5QGRuoHOu=Y;#Y~pJ{BC z^=^!@o4gyr!SfY2`;bWEMy5(FHjj`l4jmi&O;dMP_2At?^pfRsYxTSz1zM ztOxWHnnZ3Bc1A22HBVBJn@&-7j$RnxK&cajvR=-ZBqze(WS^iLWwc3gZk8M>qJ zo}GXP8m4AX5F7bq?5Pq)H44dZH)hIvLs_SPGPM08=EL~H@Wq@AP4clJJ!ocxn393D zf4}YsWI$d0cH_7NiUrMKSna;LG_7%5@&}Ez=`q-6 zLNlAJkxkh&R@L(?jg!cYbWbtb<1ssSc?s&WqPV5bD(5-_?QYure{G|jLR5j>tIk02 zbgXGCA6W*S8(g__wR5SVQjYyLsKe>ZUON^$)lEsl3k2bH*!g=?zDF;T4FcKrBFeLx zu#7ql$iY3?wGc!3Ki4LfK^CWAV#`iiIZ<5Rv?t8o+YWtTkPChmR_{aygKXn6S&tW4 zI0!*kZ0vb{~z1xaXb|W?-NS zmDGSe;^%9rd{rplIs=s?3#DU2RL{}qtj9@Tx=x<625}>MyVMQYns`Z#8EYJ7tlt|( z2WPByzx672EA?3=eHlq*pc#v5pK6}reo_1V1e4Kd0I1Vss5zboG(ot^0NkwW;3fp& zY8vq6XW#}g_1YKbcvc5tmj4P&Pe%aPUxOJ3nAJg;M}7t7M>hzwwlK%z3&L#u6`0#^ z5M~K(-fw)8S}Di3rQ~1NXYmcfG-6)9FSuHHI8F$({V%l9xEq9d^8Os(M?pM4Df!nh z``;kUGQj*M2=m)tf$3}ixpp6L@uRK8M^rZ54%#a-@Yd&(=p??~U(>qJxuTnYU#qg| zj_cy=YK;QW9OVi?dbb+h~lY-GH3|W>S7Hv?r27oRrfNaN|5xy7{x|lbW$I2 z#dgRc_7=87@v0tA59T(Z^QFh`XM|y8E=VAu$ z7vvf=`!BT-$H3=*qTT1OXjcU}EI$8>GRMH@U)QddIV_ZF_H`VCfmP}<;BW+bDXA`Nr z@eLU9Hf!4bp0)tr_GT+L_~>~{OPsti3~&VN5>O*Dm!7zSq-$`;#m`_-=EDR-BcA|k zx;oU798O^&&ZqJ;Vq(m%k-uUsya*#8?8VBvgy8xT^Jp68QH}#2ZpN`47eDGuZMszB z*m3dDb^e;`{2yHBfA2c~Ti5ybUgxj6&i{w&{FT@FU%Jl!$~FE2;Q!u(Rlv&5(1*|N zxVXI2_XvDTJAKpPb9DM_@cq8iR{-BroxU0Hm2~=M!ndf?Hw(Unoxa)dE$H+;3g7QK zeZPTkUZ>9vUtvP3NUil2EV^d2Ox4r8{Au+eZ;B0%=@HDV&r24T;hm~~OER$syZqQ0 zo7mJYe=7MWcKJ=@&*<{!lK<8&zm5DOyZlAu9}@DnOl9Opp9tg+%*fx{or-(|m;yh2 z#I=4=b*(>Dk8`{L&GmcB2%c!Ozt0stG}HV1JFfWrA6`+){gwO&t_+((?>}Erp}*>P z_Iu6efBs6>`Xsw$Snr8zv~;efX6wTZn-V#U6}MgO@Lz=fwjK+go8SGX(x8X?{ zmC90X!gEV18#HT{<+lj?A+O}FbqAZrGNwBOJLP!V4nlAN&$oC^;`tO$4W24I+wqj) zvEwn}`2mj`&v87pc;3hJM?72b#2viPVr4icW%uCeI=af_$+&K( zc5GO9^@j_%;_3fHsa2ea(ORV`nzSbsr)=snhH=+Z{2E5nHh&H59fvpr*a`gxC(+nN zqNW#8?VyLtXWYsS@w6`vp6pfZ=So)Xzn@O`WX-lX-Ge39>reNXXUH0feuKA}sQZe?^eDG~zU^e)ojY2+N{VgzkJ2)47g0R3` z@NHsX%&(C{La_p2@3!r@_}ywYkX3(2tH=jvjuIO+x>S4c^dliQQ9B&-RN~49xTdk} z8#PG^t;FeDj^}x3c3z70VVnQvtNP(I&TI*j_rj5u++Z- zYj+UVJDspHe-YLf_bx^Og6=pth&RkY?|&Z4#%?T&-Nq#8rEy7zQQUaSGPv{~h*>{THcr_Gn7r z)UShbRFGUU@a1}USDc4BLf=g-{zn7fDJE!oP7l};fxT_Rltj?9?lUR8vfacfW6?rCbeeaZ11qIAWSrrp1+m7oRECgMuc z{>ZTFU9G{r#l;RfAFaY(l`N-f2HbM@tS1Vihceo;Z89H_in$#ZYm!5|N9>|?2XIGk z$HfEbyu72dB;#x~88BAg=MKbv=VxM9b&tIov6D@R{X4+fnLw})Nb|Tr&*yX;{5vkb zg7u9V>zwVYvBpUrirDiIdwVeU??SOxQ|cWTH)G##!h1dK@paLNy$G?_B@1vp2G^SI zI8pv`iT5@}Y)x#`22X~ybTa&8aMW47axc~9$IZlvnPsYN{<3JTb2-{I0cVuB!viY6 zBDbo^`zp8}h|$O4Ov4#*0?vCme0{f_q_umv2ucFlaTeO+%Oc_@QF;zz)~oH{3dno$ zo6j1tCa0V!HrC=3G=Y4J6v+2^=L#oySAb1-huWa?jAEt9FcscE>mANuuK4!UujV?Z zePYWWWr+@5$u{X$*qGB><8T5C+)|=&=ET7=ANi1nKBNC0X-3h~zFVAw41v5$+mu_u z&so5dgB%?Wt7n<3Q@bFpT2qYs*xmR!A?^}qFc+uV1w#gAmWkl0MOD)LegBnI?7-i@ zhvae~H$)sJCHlL9>S(j!u|#e|yFZ)ac>6_>R1HZ6!LAKL$6ne09jl&_&)Bqka8`2P zlypq*%z9s@{GO6!mEYz`8S?vTghC@kDl}{G6zUb4?RZz>slc-y&+ibXz;hMuGQ0^^ z??2a#>HX)tG4rFBrGGYhD?PW-yuP{Hj2^Y8w=9Den$vY9mToh;xhU`zy>Y$T6qIUqm`rGKl}0rQa(n_dogO> zDUViKj8?JoXcZWx-_ zp#P6>jRdau0@rDsxaxiZ*RkEs9XCVgM)w*!hg!FylGVbxq9ljOl79^7VW4p2MWwiE2}Ylpw-B(SX2q$FVuod zflrOxf=hwTH?5`?aZvyps)40H!DP)1W3rzx3G%E%Rv{IuwB7Q~@s$=)ZB)v&0pF8t z{z_nwy9jlGHn>-&9n4x)r2i^VH;A<$L_OA@;POi?vJl#`ID=x zLvueQ7Z(sElg8IH>vND{F$PuuNH<)r7gz=yiWZ{!(T=gxXr@?IB|VhOHR)6ga+FC? zixN#O^g1?8Uz^pAYGf| z2vv!kV`DJK5>UdK%e{7@#|C>s$#r?H`bl0ykD>go?YZwrUA?e-Ij5*Ra;`bPy~Tl& zdXR7BHTin3%lGbI%C}D#YX91N7kB21x;9{=L~@4qP%STlw#~P(c1eoL@%D!VMnVORa9q%lthayl-Rz(w(zTldLf;2E__a+u0ySQ9-1+x+^DN#1^R zBBI0TmHq>%7vqL1>OPQS%X8{;!$y&uFpsbN9~*MHXnQDJlj)Qu)aX*RO}YFU=YUp+ zw8<%2tpZ@@BM==uMVO)!L(F8>de$Sz5hsA?jyA zpgv?7_KcNP6Ib7Vp#G#tGb`iNHgxzryPgQ$5Dk=3j6b zw>V6<7&r$L>CTxEuIukfFAm<5E(zX~E(zX~UW|LvW9&r7i#j-*OM?1Iv%7|qtx!|ro+VM#Y*oz1QDBTj}~+D@0E)75ZFUSARigyRy)ey*-)^@7#Ky5iME zx&=pz!5@~n8X6nq=;d{+#)flcnVzmfdKxFg@`{8j!fB@*O0GoN1^c=SI7l$t12G&S znQYE+)$eVW+i4kcEDyM7tXNhx!TZ~LfQxcy3GV%6s7PnBuwXw{7`d$6(lS`jh7dhK zZX28t-qY`DvwuG9EDuXTXc!xqZxvB%9M&Su{>_r(09n?d_+6oEB%>V423{NPkZK{D zetqo6r6M^rkZTai=4OAPq~E`*GQsl_PF?%4S;iT4box5W_(&Za@ete`+a~e)ZIU2r zGPg+zy&nHc*{zb@YT2!k-CEhLligvmJ6v}6klhioJ5qM*Wp|Y9j+WgqvO89G_mthe zWOr}b9VffvWp{$??jyVV%kBYi6JCAwW5(3r1{w2w?HK2cn}vE&h-GlyGs#SO0C9qoT|$38N0Tu!4gv3H)>4s}VL_d_xLnxsa%cz@70+MQ=!YkT2<0Ow}Luicx=s z#8G19b5cK3g6EMdD$i1!vjlpKvBVMVClkC`snmPe5VRTUj7y|mMEzxXd*EK+gIQCi zJS(c{V=5rI=ID!o2B;!4(Iw$mELAwDZM|n413qi zDI(6w%52a~7g6ICc+n%U58;O1LXF9T8-#f0_@1?C3iMXRm~dBE;Wm}_1pQ}LhZRn* zUpAAMeV*g{=5R(;-M&K3D7ebzlJ=~!nM@Rtkdv@d`e1ZU%aYL_N}Aj7-2S0t#M_AX zIK0Q-rwV`Nyjr5Y4JAVJr3`2c-!%|<6VY#8Qweb z-idcD-nDq^H6Kc`c=~EUizo~DhGUq==LjqO3YrJ0B!^}O{;51)seU{jD77M;dA@OI z3LPjl{byEd5Z7VjW#5m-$JncyDsxO8O=(8UO^nGp=6P63VP;T^mULs1okY-zmWPc= za4o}MX@2IZ98Y8fO9W5c6RAr>-(TMstKL)r_8Pe+SoKgpm!YoDWuG8yTxPhZub`fz zgVo_7u=7trdnB!_xu>sY zdbVq&=0RzQO#wZ(5rEm>0;ynSd>vs-*hbh#z@FV?{7<&6#s69xEOm7ILTLvBkBQQd zR2gRj*UI~WGj||^tIt&t&XY40o;V?G4#BU;NF$8(`w3e;u+F*8PUUlWvmq#d?6yXm_BlO{(aDhr44-D0sCBEO1Za~ak9BgB7us@n+TOhv|*!IZp zJ%s5s-w8@Z#zg#2K2Oq2A&vFr()%&o(7rBc0E8aA_me>TBS`lj=zav~-bgy^K=;O_ zbGT$x-O@rXS#YhM3msXbR?lS6Plwbp*JQG{OxBFAF>to(OF8SRFU?;^{gLgU9?3*d zy^vZIh)e4-qbz~Um2gA<_j)O_y@wZd*Gq|6LVYX_gI?=YaHDFz4BANly}em z?fl}!Ah@NnCG9hBjDn#(K+`x*Zqa)QyJR)qH%7I-`ZmTG^=emSG70qi%?s;0%D(Xd}WB z<*0CM6dlGBGPoJLHQu|D{x0cp~#Lox^&JlQP5GP^#MfTpyxKXp^B0FmKvfLdP%OFiv zHUZBAc;?`F@*fw=ba)c*B;!fPGr{C7Gb8@Me_UMg0G>H`p4@S9#cDiT87#Ok;ygg2 zh$+}0$Wgui1p4u?#=)nDH!?g!PJht&S$%(SW5FRkO{b#!s=2!&;NREyxB5F8C)bZ? z)YN~___x*p2;19m-^e=~wXJ<2ot0D1hH$XM6p8R-kQ_L6k=wejF{kxTe7UXh`__?- z5%qUA8j+p@9Np;^7a8Elc_nwtD;;@(|19SPd^90^lJ`Pi`W=nhddh3^X{_uTmZ~T& zWYAjwevwa)6K9Q;Y${3iGZcKW~m>elmX(DrMAT^ZW` zgCF$IL4QOkV^3zW+L77J)Hj>i>aEN+2Ue{oTA3RsFsv2gPzSO`k6w5e1K+gCS9}+9 z+zw&k+doG5$E-5E7H&KTvf+kE*6rg4SXPZ$b~zEONtTJ{aQA*r8bF~d)T;=GUD+vI z5$Tu&ZSt@zn?x2SqKRV)4W+9RG?{U*aL*X5%Nh{IX>6oVl-BR7uzvqP9XT-a-9ls1 z-*JEBq!i0))Mn3!W#l@E(T7=oIpH{sYdmCe2HVCM~^RY zNG-COOBogwEHgxM(_DP=Z*XSoDdtTxU9j?jJ9Z8xB*DtZ451;qCre_@{L_0IHb&;u zN5`bPUe`?P&ttX|hK;iJ{sMCdtXXa3@3CMkn>Natk6A)-bCiwVdGh~y`M=J_H|hBw z>sIbTynFJoHswY}*|aWl@gwtW3Kzoj^0Ag(Z*xhpiA`~zqNeNOs19nRSeX|P>qeX@ z`4XQ>wn#pb4v&UgR6%Cxq;GIZ`aZBKV1T=i z?DoWQUG5q)xmC>z764y-XMM~b2ja3mZk454)1YOrhiO8)Y>Ldt8D74WS+zolDf&2YS%VE+qfMyGe)TA75}52Q75X8oiJI)Woiu2;I={7 zSZYhPyR0tBBwkq!)odB zs7=yG3pYt03rf!bMRq+K_PW%1aFbLUvq}0Si63UJ=f&5hHvV1dC~n$({#H{-RBox7vP7AvYqvu9uoMZFg)^v8EFaf)ed9MiPW2z7@N1 zTT?|AVW*Tv`xy3VlS@%P(}(V`X2+tv7L#YaoI+1$)JVU=QI3_LD3;lv!@_gfzod=Y zT2wD-zGZj8d0+~gZ$hbRJ8&Fv&MhWM9s~Wj73JBn-w+1;4#cIMyXqiL ztV~$3zu-`BCmS~07#=5?>m0&MXNk6;FLqI>dOKGk+trcFNa;xv28*EdCeP!gZ%Z9K zPKZ54s0&e07-0P-ngbu&QA~Dk_`(`#9H=eV%_Y}J)7+Zs8VP!0?8lr@oA1J zp{ZT(*>gp%VdP413BK?Wza#4#)DWXD%PbUr)%U|L)RgHi-}k$yrpj#pJV#&Y+utR9 zbY8uVOQ_@K-Jd0#B8p{0V~*b_r3dQt#1+b$b5kvcAX5!5-&J)^1gCE9ZuNH2>M-a% z`By}&a`c{QR|2&~=`OmpDfDLEKBfQoKE|VCS6Dok!o_pB+&uiR;ojlY+l809>iVq! zWue^s4n8?hr>`wlZ|9SaOFljawMexsFx+AgGo|pz<5H|}SUNe1vnCbHEGYRVfJ<}` zmk8iO`M>5SDqu1$2dxjggqOG!=2_|xQYt~!YaHq=<|R-IFCAxwZTFT5leDKF-kRLUEvB(X~|CNT%7FLEj8S;ExY=uWlJ zP{ZR+8g?XnxK@>4%{Tw02;-Lfko4`rZ$WXD&@S-#pxDhb7pf?CFsfK3Zwpx@&&F$8hx0+;gmIz zm1PHN;J^O|^q4%Nt}8DJH}^*mkjGYsM_ZaHX@2BkN$U(OX~hX#QeZ@xS@4H~z^L-< zEMazNL^(XG@qaMi>oH=G3^}ZY)p(x8h!(*6*&yDI%b_+1)#Ts4n_eGoQU}{#a7FJ| zZ_#_^T~=>Z7^oJnuS1r!OwKg}HoOkOh8K-t1+Cr3*YoE%+_tH-s<#szMtK~90%roT z)ecyqaNw@wUB1tEflgJp8^-WOrc#yy`omo_qEZLulmr?_4-u|Jv&L^^9OXVhEwaS- z{w^1An}A+WTbf}OX-NWuA{6 zJlgdO2ZJ_3%n#cI1C8&$u`wgKG|_j#8XoDzM-1bd)yM$=VRxHZl9GJ ziv#=DXqQ78Q^eWTo+Scyu*O7R#|-RXjpT-nooI4nuli4_(`)N9PZ|dMtl@5~ZZJ1| zD8*efH@tJ1jf}14MNU*#lmhovZo$~MPQ8sc#PuV-1Nw6GA?RHC0@m6N!R}}j%{U7| z8+Vn@iYYuO<<@X12j%(3HA`=Q3H>TS4ZlYzsAn&hhzfdQbRpW~p2i$0u%Y~p@aobz zAI=F-tIEoYMMb&a)Hv0~yIQ{76`H5=6S(H9i_%J{_1Vw}%)8K71RjBUJJA83SRmIJX6wYm z7u1PVb7+yVwYCWbTuPudK9wdh+6|m`n!f4YC*s|N0XH{POKQ~82dH6QrX!*u;`kkw z6mFU0_cLn(mK3PV?)@qJo33)9H%F0=_8{64)SB%s*p=*}%J|*akDh^1A=E=rC*jmf zvDT<@yMuiK_9v(=!kfl&Yot3;sTM%Pqe~^;&86syMAzgJ!Oo4Kbzx4uB^p#aGqzf2 zPMs+<>u0{4KiJ25y`1|=35N?N{7|8;E+73iuP&Eh60CoZ$~U?LBV(z(Z_xFjy?4;X z6-EbL=E5GKc!io!yh0%quaizckP`Lrd)3>h+U2w+jCCIQL<|}?P0FQcLpwHbn#LusW>WFCd z!EccsG(k9ZUnf!=PF?|F#aM$CBc5qBTphI`jkS8u8&@g)RsZepr`nm@WHlEqEsQda z7femAQkN7L=aTvwqf7%@OC^#RW1b_Wsx7qJ)7y|vr-YUXR!zH-y$$);mE>!fXLsrJ z`r0C&D}-OFUFV5ZVlM<(HyK&OgqV8MG47c23vi61z*!W+lsl%^|8+r5{e*Z0w5|Q! zq1wg`{6e}Z4t9vW>oX3)1>Jw#8e3W+H&j=5wBaa6`?uh=#(YOWnDoJl!z=ovB^QHqU(I(nYD0jT-1_XKkIxcmjJMFjQ4{-CaqvNrU1J^3F z#_fN++aB(Jv7aM+R88X;EM!LWLubK4rY3s5CH~Z^1oX0`=bX$QGdrfx5TKq#Y!Ld| z{BqFEJ?LRHx)Rl#?Vuhx%H6-tU07!6^Tl!L`{*)D?_(62Biq3Y_RvAgXDKmrXCdto zc@EWks^zqYrSGRi%OeXLRAU#(re?GN=`BY#jhK<1%65XKl=l}kl4c54l~ZVU5j%xT zu9VvHsJDktVVL&<)Uu&NxnqL_BN;{oPO_ebYkGZHk);~#!!+2fq8)7kAMInO*G~-k zOtSAeSW#d?;5#pegxQufyLW+7(x^?)<8h0{!8mK4l>4L33j5BVpUb+6`5iGvvfv@iO$Y^oMoPxW&RP)y_XRY>G5ipUdiT@>~|>bXSXW*9qw+jbbZj zSZ^xJI0E<1bK_F6dMZ~m>u2%h%4SDyPQC6HHTK5H<7VWc1Z?%Nr*{DI0PFTWG4}Sp zLW4S7z3m`Q?6(zS4t8&I5~pK-CgnBi)K}n(yUz zaKZ&xNm>Dy)(v`$U5^#I0`}O~OBWL{|0kLYIS(_N3j%#!s}x~VQ4{xxI*xji9J>@T z6>?nES%0z@0UY|L&ADyQ2H3=){#%NLNjPb zZd-mtbLyjnd0VckK~TJE^W{^Se7U}S3iaitxo}fiksiO%mVQ4`R-%tZr{|^LZ}G4h z>3OOK1;5cY>V66xl{ZQb9hKJ`X-xb9Ilq@|2lA7AEapJ&f&2^)n|UC2Z`@0^y>i&z z+`V$x-rS>wM4c877k#vV=I>E+Eqm&uXJPR%4CgM-id<7BuX0Z)w0Kj5gbb{T!0#g~ zhG9aCO=rg_4BKuUGjtf~`FR+R7j6xV$0;n?aGWJ#bV|WIlJbeu;_LEpLoJ>-KJ?83 zn=0hi*8SYhm#EZ{7oyTtikuUm>v)s*YVrCEPhApLYzmy&2Z3g1z|@UN|9_HYyadDj32#L&h^Xj>pkC z28;*%OnZS^OSwQYn7CXHj1=STat?MwhS?U-dI@VfX}}0Fby;51sLxx56lZwZR9$9` zH(c0i0hfUH+W2ERzM?$)d2@?t#^)`4iZi{YB#IG<81&7S_rUpAnjhmcTO14jt67gx zAv*XaH}CHAuQV+yzS}F#{IBNo&^;Lw{9?*8ouBBn0p52rCVOq830|+@x755*=kNA1 zVDq;b*H zw7@@2)(}uzT`J%2%u7gWT1cwFG5t*S9I zd32)*Iu2NR2xHtag?@xg+{_YmfP#lhPHQx!FU8#t+&xVoJb<^H6Xk$j46I2$#EEOH}S}u7|Ya!itrBdLl zuInq6pH+_@JvIHaPbb}3d&|^x-IqyY@6g?MC&}^azWl>jIujy&n*4K1AqDDr5~YT` zAqTC{!@`&`->PfU<4#$eRcRmB^6h<0BxyJ{TvKgoSu==xQE(4Tt!mC$(#v(*Lp1Z> z8Mk27^Oi){ZE?D%xMaTW=<1D@c$e-OF1fyL!kUei^L1QPoGKcpwTl5s!QwohUFO6% zkEwO1GtP5IEAqIPWDq$w*A%Xr*zy_a$lz0-Twq^sjfPz520JV%lKc~CHc^l)TrE!i zllOecL~E1(u?~t^D=ADB63*aS6pv+lD@h7h@&B>+Ch$#GSs(CyvL`JiW$gweZJ`SY zDLWNynwGQ_9E!V@(G)>TMG1>o3PV#sD7Y0x6m$k~bVNj<#Zho;!3{xXT43fymYFc) zh_oiAu}vN*(C>flleB3mj5G7T-|v0D-v_^^d7g96z4zR6&)v^GhhiA)+iZks2Il=7 z6DwN>Vdi*WSv18LN4J6b4n1Lx1SViy!kYX!SEl){*uR8zSZ0*PKWI}r6Vs|tZdsFD zHlS|l4B1e5{qqCm=3zr4>y}0hTq+wbufKDk$~ zL65a@QBX$uKt`hX-4r!v?Nb&bmyllj4z1h3<%6aE0QuNpIZyJT43&?MQ9n^0(}k^| z@CKsr4)8?D(aS!uSfTqfn;!-7Qng#?(bx)1#gf6HT-`qe#i9 zdrmZ&bOSJZY%(zy_95j$R?7MM@!9E4W}p~)D(`Z#BWp??TDM+IG|>C*7IRrroe3>y zYMHyfqfKP-GQTH6E5e`)sjYDwzC(nTge}VRJ25CBYNxCzkwL#2vPGOpWWn0Y@kT+i z`^*kVcA)kELG6JHsJ)YyHeaw6ZgDl!y|H4t8^0S5-8Uu6Dzj~|o`V2D~?nvMRI z=?0_!qkfk9TioQgZN5;LNzz2U81l*s(oyBk%&T%|Ax#amG=vz3ONQQ6p675W(uuxU z&yovlSdh9S$m2+Wy07v6btme+0_3Z1sQVn$eU7ltyQMDA`)>Z`3o|o`TmL$BsUg(8 zgFM~|Q1>?8-|j@+TY$XP4RvpTx;GH^Mz_>iP?MW4Jn(Pw4$|GuyT_2nV*%gEG7zZ>cv0d-49E`aR(ct38p;Beqi*P%db9uCDL3uTUYK$BkKUTXwGU>TUU}zDCH)*3 zFCJQ*p+DAEQ~lY3jL$u#H#O(mGhVg0YrfxR%Xs6))i-_qlp~|eQ<1UwyDK$)Z?$Kv zd*jucdcO5=#_hlTd(FT*@5=al&7PZn8n+^&Y2niuv6Zbg4gNL8t*GCMrcB_Ax-{Q zF2CP+=ZL>R4;mI>Rld4!&Q12M3Pb8)4vYtJOuuCS{B!7``T9GD-he$H?O{LhzegUVYc1u`w>&B4O}H0^cTJ_NPH$iV-}EM#upT;m zvfgu8%Xg-%W=B@=Yr*>kdZ`EzvpP+?RW zRqJJM`u&?kD(@*VSx;q>#Xkun%rAdGktJmHFB+PaugHCg$#x;eA0hGu4~D-;ZmTMvu3S0ZJ0 zM$E1h36=jc^uKL%X!hlUptaUnq6tqCYH}9B+xEx4tEg-FjFYuYU_SCEpTn)}Mmc5gO^= zZ(-98i)KB0@vxY!N9`10U7m?mMA1&`WR?6lc`r2!0?Mqg?SN;IQ_w+u@8ar~_b;yT zKcX$uR<*EsISop|?Ahg*Ts2;l#qPIJo*#;1^}+F!MeI^vb6*lQqqHtJUv+>QZB%+;Q*@`41=3y!Z29noJmvG#S!lEP1?@dERh5 zQS`R@hvWL9+L)@w{1Huco18Mk=T16j1IHc($7;c`2zVZbR{nIokaB0e#HSw}3gVKU z86`fAw}Cg{)6L+M2|OB&XDsIv@rU?C^h;ctP-Fy`CfFtvZRcE?z_~O5Tnf+s5Zoot zE}uK7)U{OVd}i$F6pMz4vM|(v4H&!}H=`Ukvk_K?cD2*7x2RVALp|tZ4qg&Zo!l_V z`omcnai{h^=bWmS&>n<^r$iH`pn@jArp%a+-O2W)X9}vXM7j8m{K;PK> zUse&<8jtraav`rfMl{#yx5y2%ttrl+_g)4I8s+o%d+#fRg@QXCbWd{%o=xf-H;7dD)HZ-{Tx~J z6+B_HvVlkU6=_vvEh~2sWOw8A5q;|=NNGDHJOG*B4v}-n>EjQbD=A`|3?3&mgW0qa zo2(9ZR_4j;$HR-WnT>}8C9~Zv?0z*t;BR@n4YnxxFE0-xtCsnUcx(0;7$lb&4D@&6 zxnQfxq*i4od6m_@kF;mwo7%Z!o&d|u)Rt!u#Pkw)!905%EJ>0%5!oB zgG**lc>uaC1iH9h!hA6VHkZTxT>q4_BZoDea+rLSVlAr+Nu9@87y=o`A;lq(;T&?R z-WEugsqXy~8`44dV5G`a)3L8kt>-<^9ETW8l^X)-%^?{f5FLk{IzGmEH@NR)ciZ|n z<%UuCBJN2LHRqwCPTh_}{@FBC4r#?DaKrx_NJTFn6UE#nSpMK_Ax}PbN;q;QMpKWnX zz?^de%~r8)GjkgoSV_f9!~Oi+8fB8Kag_|5h<*`aov)dP{mUuI(ozZY(+I{K+ck4h758HbPRF~O=7yQss}pyJE9!Xc!n9G9eM}d z+k^%P-KAK`Y8J%FEzkV@w^{f-Kzm%zV;(7aG>R;Vtm@5gTwq+(=6T;6y8`uxnWIW! zHB|_>?{NOgyExlYo;Forht1@uZu2{@m@0ZSg@-AlldD-GOFmIE*t#9FG-uh}u)1Ux z$WP$@zGEC7|H#X?ci0__#_hYTZN_|pYm~{|74`RvHr1G&(MpRKj_0Uy+1|L{eZh+E9Gey3;WTZ(7MB5 z_Kv_^@_o2VJ_fh;cMKN&=@((^=^^B*Ci!LXlwiPIn59mx+uo?c4Sh3H;&D_maQ6kw{4Au^AVmruQlISmnXMp|OR|#2KtgcSsb)&N0 za5S3N%^B2aIYm*;}KWY;OtUvUqcY0TdDV<{%el*AI_d6_JA<3s$eTwNx1sia$wgvZU6wF9C3ZWv9Z6-9JpKeFt%GCrt$X9jqesB!Pha>Sp_-Z9`p;V? zB$A)`>w4|Ez)aLig;x1B+Gqk>7YU5VV?FnXp>5P;O%Jru=@;1GF0J&19f>4Y;P%5p zlGH}QKW?!bEj2Y4?G*kU(MCH<0((I~i+HG&S}FJP2q_>*pmqvBV0x*grW{=We>JD- zE4YuxZ>W_j;o&iibY)&g-AY_z2_t8aBy?(_NPRp~rxqGUUZoy}1cYt3vcX^dlU6E- z*bzkkBs1RjgMMgG&X1E;9_}J@iAY4x?L4~2$)$mMAN2C*6*}sw^`b2x-ILj?)xq*8 ztcHLDINK%Qbp7nOD_O$0^C1#|YctidE-4qHsl6&;UC8g>-Ujo$D0L?#MMYHjr(7d!u4mtlZ%_Ut@ z9N2~CrJUxaoaW{$TU?)Yq1o;Kl61d9B%>>z-|Lct>%xC38+*E>_}PWdvhg0L`8`ha zX3)IpDw-epCp0&8$>I6`m}YmE6pOpi+{9^a;xwB<^P^YM+`9|SL#R)t|NLK~89%lM zA2gW%7Sb-S?nQ@Rqa?;a%lvD5%RF_BmTC5;V2%>n+7*Ga91FC~PNON>=ZVX~JESzi zXO_^|o(x}mXW%Su?xJdRo076iGjiQ_+e2VAyd5*Z3LxUX!mupcRZh2U{2U> zX@qtLoHG$mrpJ6EXk#a6f#*o97Vn=(BZCRB>JhYO!{TM!p8$t1wCC*pJ-EmBOAS@ES+&)* z+EwB+f~`c+WZ*u2i~}^VOC=2M<(YLsNY%nZC4_SESs@rsbkMy*iT2vAwEN7$zeam-#5s+PieV^3vz*O=b{65hD~&Pn0YQZ$}Nf-+&{K&hdsob&YFGyWh=Mba0Yjd?d6ujGlW&^eaFE( zigJoY@##&EP>PpqqIgEcyA5IEPn&(8>dP&Q@H-lNw9NCh!|c0+Hw8}0KhqO#7;xVQ zZZ>e9GyvzPrvf<4a~f}-AwFSWVuam{Th68P?m21pov=~4m}k3#!m;;cqwsGLo;&Y0 zgx`)dndcRxuorKHk;^fT;pFgnq&XY;ov;zkI}S>d@;JdU4mi!eoP3lP7!;RzUIj)j z$JoouSA{ex5Hi0G_p9xcI`NY7e+_Yo;yHED1VCvh)!TWpE6L$9G-T$Wd`UWxhXcc?R1 z_95+Q8i6^Q5oR3Tq#XO>P2vQdV@u`l0hlBO8oWu|mm?Lard|>SrfJeBS#q*f)0C*f zDKUN(YVrW9fd-wX#)w{Tbt~b~3HxxXsYp{Z5x(NF*3As}Ecp;!2;lY{YVo0MbqQLE zbT_}FtvrghqB=D(CA@C^zKMqIusIsxoRy-jn+QE;#=lk0S^QV#l)`@=ePgdlUkd2! z++OE`hNx3!?_kvO4X3MGHkRFk)_bt5*84PC+*wHBS$GKl8-6dAq4vE)K+jwu?QB&` zzp@c0t6FxI{Sx?Rfxiv-evZdHqJuC=Dp2Q;q`5-WS+my#$e1%`?{vsZ5q@vQFZ1jJ z?tAD93X#uVhZLuj$GPG}8z>u3y-C@!Q?yr4m=xzI@+sx?yl0}+&pW?NnR0p-cD6S= zHl`?Q4st60;xv2LBF~)D5;e^8EaLU!@z!ySBhCvc_nzK}c<(q4BHl(0J%D^il--Te z^#e_>BiD6-vh?G0RU>?3*&~qeT}X?p;}Q*TAU8Mg9t1p>=W#2i?Pce|l)}1;NFC!V z$9xW$lwtueM{rCx&v!M_-BmUp(1SePT^xHUpt)ra*Gc^1y$XlVb6!lD4cv{uy~yJ~ z=qv;l(Lh)uIMxiv_F4XR7qu1sb}QZr`P(f{qCC$jmD>uqRKiTWNx4tNTQ0{P=ah08 z1&EaPaJ)%nPr;j%OCri9m3t6iQZ6xEisuUb5GKh_B*G+KgmbPD6>6tcYjVyVg8V#b zueoro)>uuRt8iMtg!SS>7{_F2&$!W7Ju5Z2W|pB`e2BNn4xFNHaEiL%JlGA+gB+)0 zyx$;}M3S#};k2-C_s2b=zOU9LC)el(OJn~kv1B^+5z_b{>6wl5MtJY}n8yE(vHutO zyFc#QRu`kK_Cjm8cz+_K&v9QQ#@g||A3_k;%8OTFA$1Ato z0b3n<#0!p_i-r4n&sc7m5Exg|+s6Q@w_Ys#0%=j4kz^Z$%NA+9Y=;%&Ox{nITSf%p zjDa*>ygxWHEk>U$#YiM;A~wpEzA0uR|c|7a5oh9BCdzb0RIXRO?c= z*XV#bTL@|bNHemIbRW28oCmHMXVx|2%(`Zr`$OpO1N!@bs{6apU)&8&aTlC9-QdjW zf;01)^v%2`eYR`Hv0XDx;WgtFc8Sw@Y+Hc#qC53vO5f9S_DoC}Q8z0vGAs~scn{w1 zw&yiHMIA`)N3vfZg5JF!6fCpf2O`~0i>A5<^{q4-pefz zq62-~0)ebiNI1}g;5cEtk6VDgPKrY_59$XO{H(q%%rm*Idnpd{Oswf#cDppL`&t>= z=NV~kJPor;nhBR+Hb*nYC0%BWcHwQziD*VV1el|MIR!DLS@bH06qn&1aOWAaU3d=M zJUGvE>Q1CLnqf9DPwI`N`JG)@fmCU}O?1%g_91XEcOK1GbMvLKie_JS;ZfkxEHfP; zgm)vy!>ohP=j_6L&V73@Le3jscMs3;7KES03=>@D@qdB%G+VVIe&}q~j-E=I_1T4q z9EWln2i~9M@kTo7djvxEn&>SRAreJ{@wSWO1oMb%k43XfnsM6EQ%UnoJ9?^M8k+W) z7|-~O)BZE-_^vD0s^h#*I{K4`L)xbf?VNg_hr6`T86h~qF>eOP(f{7+o5l4Gr!|`k zfAqg1=6GlE6|MBWL7e2BX`_|wwC2h}x8HMRlJ9SZj_>dBJ(KU&<30q!o$wLe%;U2} zh0Tn0GOaf$>_`8j;uPOyj!S*w6kjuc$8JIUDqa8aWUTop2KmsW^F_Xn^<@i`W5^oZ zcRShF{1?{X&~`V{_^&I~XCJ86r@mC9$M`=G{2FM`EU@PU!w)S94ZjoNcNz}P?+9PV z<3}KV1medbJkC%N5?{B3CCg5eCaFD#Qo0C?c^)OxAdQao0OcmF-7BzKZ_gXIal4Cq zkXH2VYl)7yxz~VKgwUIZ@^qf<XkFT$;x>(*c zS<8~41)mUYj;fJaW%YH{vy+v_>Z)fYSJcdkCrn5n*U-&`RWSp%DkdAyt7xjvVXO5X zGOw`6zQLKIF4-xo4{z!T!#25Ihq=}}5WXg5N5h-KSTA@hH=D>?xdaJkTIW=+BQ3ls zg4KGzid=`=72!=iAPHMs8tzenc7Ev06SV88_Gt$QW#Rj0q(k3PrihR-;r(ZpDdK;1 znZiTLg!i9WrttsOWeN)^6W)JjnZo`TmWg&JA%BJ~Eq;n5=pvW|<=m zniU;=M_xDmS}yvf?&(tfi*%_v(IxfCcSm;ZllT5BeX_lLe)$4n0c`)z-%s|Ed5e~j zy<|Qr$gtP?pV~{Nel9ppR*9v?Sqb`9xnTuXeHArw>r2&G%`B+2B-iw|ZWBw>>^WEO ztFG!Ys|-zVnQ>$wy^(?R$Rc7ey=6$Rqt7NPf_t@&PGfVGxPr!J>?aAJ>>x&qgNAM5 z3XaoV{B7cL9wya6zOic^I7wpug>lhAd((lH@e@WGe{|55Q#s9++G>3aX~&gwo8P&a zxZ?qv-(>F_cE4#n7BsdW{a;=Q?yS?8-d&y2ji_L)(hmB6TC0waJ1DLQnb!p8J{8yG zUAg#lC*H3Y*M-DagZFBbcAfB}f5s=>@xEMq@;Yg+?vnOXNZV4)QcvK9{DEpYQkNt3 zr;z#$pJ40?;rrSUDuQ@x1&@E1`0@7+^N;?%!0#yY8l#Zh<5d1Yb@B-A!9LD7Trqt3 z@F5zcz6PmZ`M7f6f$Cc|mWEnASyzeUSPE@yL~Lwq6xAnTHGV7$ynXkvBJg(hV}0Q5 z^vA)}{tJ5#ld(HWQbjc^$=$c{0lAY=JH?rZPcbqecYw5?iFAJ=(yf&Icei}3fu=pX z`xft?CxKGfMTg9WDXe%8GwvgOLsrq^&ukOm{UGT1TPVu${(a&8pM-pKgM;nop1;lb zm5r1&u8`q7N!@6{udM$R-?xvc8`r2|A4tgYe!F<8H;&G!o>w+tGH^$7~9Q7W7XQ@^mj(h%|-V&s20okm-kOoeW#az1KLeu92Qi}^NmAD zT8noX{tmEz1O4AGtN$cwYIP$bk8N$zsi*pmWM8TN+V^$#?^+eJzSF20S1aCV%+~0^ zb=5!_^rcyZ!+N}0H%xy_mkw;a1KavqtIFD}Q8cbnz1nD%>4)G3JA7=D{>Il5?gZfG zd`-AHueGXkE)lMJ8)}JCR`g*`mUt*>-pt$Z{8&_`8hjj9x5oWw8&u>jTC5s)d|;6; z_C3xc`tH~M-SY%}N3?&d`M0Y5`>)?9jMJUJXwazJsx1vVS%%_hwJ|HFK^Fg2b!1kK zuWZ4zW{0ZQTP9OAgy%$>CuF7A-XyJU5oX7WCRqFBcMI>iN&sIR9 z=?0~)q3AhP;_(>nv4CokzT?`zd-Cr-?cZwt9p3&;6snwpky549$bwN@eDO@wkDeJ( zS9;JY0pUXfW+t$yb;%+fZ*o5xWhqK)%+Y?L2D)%UUFyC*P7QSG%q8=Z57s3^ zx22Y8xo+L8K-$8KKL0ZpilF1fy#|M&++n;o81h5~c}`pgEeJV$Oh2O)hR0yRHC20WW?&|C|fLSWs1{rI6vEOVBv}25N;8;}0RPm)z472) z?@rtsZ=KN2y+fB!3w%r^YP2QbVWq%%r~*|R!sO)tSH}`>a6)fLd9GdGD#%6BxC(M{ zij{4Yco=;_2koK#(cqwZhgCi}$VFfWxHt&baL6A?S;`7)?9u-1eC!K8syQFOsN2z~ zfCf@63kz_P(idLv`5Q#g!i3mkDt5<9^sao3DGTzFd}MJ+ zd<5PbyO+eot9iLq79x+Q1M)cRUy{d#;?I@Gn&$YoC5e>PjocRtA88!qsaUB0xcj_Dejp@<+hL=@kn3gh zVWk19@#oVn`@=iMmF5AOG_;_=Y*U&CXflaLswJUg9)NknwR9so<~5^3W8__Nm*0o!}w(TUutQSMrdE)6lu(Z`#uXoC1GSoQ`tJ`CFeeiqsX@uPj}# zO}yD?&zb7W2iHi~&%!Jf5=_A{8&2O^6&h+1Wy7R!UA*)gA_y@lq~k2@ExAfwoFER#lP|3da2lw4Nm&bxm7+LF zH=M@BFx(_S8W_AA3fN51UD^D26kl4-ow4Ak11!G_^OtFl)Y5568`QSvOc4r=b!Vu zMRhdFI@UNA8gMQCnu{u@9$zt5>HGbQ9rY+Il>Di5-)8UWQhx@8Y{Sj1?C{TTl`6vg|TXa4u2Cf zhktaIuBDK!N~B)QB`i#rBpZx$bty|7aq}7!8eufeyUZ;1;uBoDC}px093o-39jmBq@gIVQ zJ8RSk!>zKxhaqL(wD0?y>cM|#&zL>0mXl{L==0Ht$nXfVqOhXPmZg|wb@U+5oc;MS zdIy)$JDhX}iZOVYlj?`BY@_(Q61s#Ft58df#x@3@WG((-&<;Nppbx(4wD47@#dsIB zwN*>)4z}SLi_o$XRii4h92VoiVMv9!9HV3&8;o>x@XhTM#;vg^oAgSDXH@xx>TRvs zUhg&b8uU~BNh)oECE9!sd9ds0x#1$E$4b6C7utgQU68#JeHJ!slt@qc0`|Uxc4e3+ zqMQ|JY*Lw8{eNvuDS~DsS(s2JSKtN<`5@5biUz%4yyVZm9K7Q(ykhqS;z8YHXk$LK z+JbaRpHOY~>~P4rpH-KCLo3#5Y(lrUWrS8$Cq4YT#UYaZ{o*LkrcV0z$<~ov|NaO6 zdb*pS?W}>1S%2j;&kkLS|22`}wgRm$5}Vh9^s{M%bg z;RbNzBisYVMee9i9=Z#TcQz!FktAZ91+ z`<+%{u<*icYm0v|ZY?m_og}))S+B2q-{Q{_gPb2;5#(%;ucX)dA}j`h$Nb(ESzjVM zMDyclM>xfyKC=n6%8Yc*k>a4!4d}ZTA~xL;i@|8I02YmCr$I(xA7O|2wi2?qAtOD~ zq0qw}p!JMt*RZAC8oG_iU^7Q*E8s19G3@CD`mo@*H}_uTL46+6S4llHykp%%RBr+d zXLz~TU(g7+V};uTEV6!DH-6=^tip?}eovd*Z@EaZXzv<%n?WsRvQtW)EjdyWlbxO& zwj?z>CVR?~XP3leA6}B4-Ln*5rRmwv;`cCqdoIQIQhek0Fn)V3!}l_L8MqkK=B!I0?2zOms&AFeN0h*>NY{81^vZv#hHyR`0TpaA5s|vX-`4 ze93&*S_uC1;9N86HNYd{Ws3GYGhWbcI}>@#)v$zzFbf3J&CojmQi{o0FloxJI} z{p?~z7l{9YxeLU7L9>TAOrptG|M7iC?YouRXm@b6kM2Oi7<&e5)mPdWJ-?22uYk{(yeJmCS7Ajj$IyJc{|Wl; z)BfF)e}}h!tNFL0{TsVi#)BBkkggtU(;}_n-Wl8>A!|eXqE2|4H&K+Fhwp=CY15Z!DRBGN+-;kT?V9O6s(b zHdc#vwHwb?Je7DHRd;Uf?fGph?r08XH7@^qt?%MSzuEJ$Lr5kIAT4z-@Ro;GN4p40 zv^g_c+U{hO<6TqNHn__!wW<#9)efvuAa_10MTt+m)f29eN@LA8ly@_l5KeUqwD_$pVtuY{&iqM%+TP=!m2B9Aq7L z#X~LHL?dUx4x2WNJTFl^aGLvF65!nyDa!}J>(YemXd5f)@VPVxdzazUT@V&g%@~gU_rV7pVAFBi1NY8T*!Zmm>4;*HM z*Cox!BFx%M--wJ#CG=eKa_one`;Hy>$vm=G)ssuu(A(>4e{$&8mQ-5$*0Ypg4}slg z(|XYwN#}okoyeD;#f95x^bXvcZpS4&8i8TM4Y3L#zxz41wj{dBTpi(wy%O)7;`<}N zF{>+)PM>md>SV#`(?OgcXzb?dJg*%-3~Gs2m^Cq+eyNRxcov$Q3XyxCMo z3OwMY!ph(oV!I>9_d97cM=hH@Tk-wtknfiquAaJh%)y_B-MbfELMn4b@b8WIX09GO*ak(I z3}G^ag$Kf92n!2@$q@$cI4)fvOpY*ZAWVTU1;R9eFa^TYfiNY)ln7G=!juS82EtSb zgMT)cA`qrRm^=`sMwl95vOt&`VFC{`q|qvZ>?5%zGh2<8N47hsc&7(i5=+kX=ppsg z>I6?1e^BX?NPmcTih^-xPv8muYg_2Ska{5#?22yfGW@IQ7*Fx>CD=a8}z zwX?u5Kwf!FbB4X%c$CQ-vxI34wc#4!4|rcGD4w6zAdmhdyGM|}d>lIlEW)ti$oYYT zkDQO_AF)Z^sMJMg!~VKP-l$a$ijp^~!sLzNvfki(BGipbL6_0;YkpdH4Ytf zvEodZP*Cq;1z2Toh6L;w?@nr1<_=po#{IjK>G0w>#{EFSSof^rg$>{Gi*_NPm=jbwQfj z5(E2-spH(X^fdS1^2WIj7B6*A)h%#m$Dqxpj&LRx?eUW=>f!+PBE)RJubpNfu0)8xXzp6Wgcn479 z12?C+3z4fO6}?OPIJY&g=a$jnQyTKzn62TISqj3yLG(f~pTO!)LN>fB7CecT(AGR* zS4OJYd#3!zd9Ce;Xs%RlVnZsbHI<6ZkOz3(g(L_vXh*`kOjEd)yo0DK55o3@suJfQ zFJ=0|b5z+_d#KfoU&zRg2~Kx=KkXWTR>O4IbM$ z9xNPUYMcW;?TX=$X$_x-MGNXiIpRn2`0&vppVsh2_!;1RDu3D)#i8L%3P4o@Z_Ezg z__V7(heZH(WA@Xoe*7zpV_2gI(w9SU(~F1q;a`f8=?Lkq<91ilCg8mnryym()2>L} zgzO{Y=*qASkeA{=ShrfwoY!tWb3S4gEb^nwgEmDps+19pLbx7pim>_F5sge8(WsM0 zz?ssaJ0Qy^`@4h&$n{0Y?>flc2avZz%ejm_yJLy_$qzj4x#^|u zrw%Q1zjg8#z+dYA;e)?GZvO=NI_+W&r(EnJag2H{a5WWFr{Cn>nU~>y2X)MfQLCkk zVIBE#w=(98D<gH{z<=2NT8z#8dMerreqYl)jCZ^HZ@Nj4h|iJk zQuiF)GIufL)0#KNom22@SKOg9uD*CT6pwZnmOkhXPfcaV zKM85gK|4{Ro$N1z? z^Y0ebW=*49g_B?*SCpG`MRop^qQ{FC+NRmoR~)M_Vl*ZEyF~$BJLUPBMnMgHMXo61 z1K(Cyw6ti6?E%|uYa`c6c=CLTL-;{Fic?rb*o3=&?a{Rl*a(MwH3QEMpPj%JLy5xRZ`)-+8#RK545OV8 z1IgD_VaH5iTH(?oBpy$~RiP`;p4Yn)@eJ-Jj9UE=JW1Vz^#(i{Pf9moeE?6zGqjtq zzJL$Ib3->_{Qw`1XGAw){Q)=ON$Vyo3he*~0H28Grf$Lp0)8`|jH|)~)aMGNd>TxT<{V4 zvcB-79N+M_$EsV}%HT1g_X+5a{@SwJomqgVc;pF9;}dFilLmg1Gm8m2!rIRzD_s`% ziUqw_LClGM=<`1cd_|qW%(C=S;EQ=TIWUtfRg5Fdv2Jbg7K^G$ulmb3YUfzD2ENLb zCnNACFUG!As`eD+gwdjOtXo!`gkGIu5mnmLn#PqFT|0+R>B4bZ2b%j6ZRnc{%<#y9 z@}+huUwT5r-xuvcN+;m^<|AG<6UwzprNWKqV7Z1^`=VU)jaQTRfs#s*9E2|oq->o+Q?R6`Xfd+<^TL#a%w1dM{6%0!f8_)WVsjcc$I-Z?Ds z+*r2)oT>4Z>~cu&LrWHm4^P+ytQBc@KxN|Vz-PLw3s-kq7cR$1)zz!Qh1fCZSQE~z|2102AlIgt z*P6@%-CvmJd7Q0>p7?rKWa@fXFN|okLhPMa4%kSt(2Y@Y6o>UmEyt)bn!|eYu=TFK zfb|Ky_rrVNzeZEVS1(B>N}DTuFei{nEGBNaavuHjGOQhmtVgmjL_Ck@!rbU6|xd$ zFnj+R*~7COcDI`Ifz4iUdB{&fs;R`euVWRy8}GptxMN>;d{&0=Il1ZIAhCd5%TwNN}#Lvb#%p~r?0rzk52EXR* zUsinO@GsV+XB3_jv%AGT?@#sBSeawI#-lA51h4j(Q>SCKt|J?INRMmw^YrkQtuvKS zZlvj-t=k(?&Wez7-ra3E&7P(wOeOznoYz*Pr9$rZ$Cyg!lqp!&Or0kr_u7!$E4$5I z9l~W=`3-XfIgPdAU33NsuB$0^T2Ib7QvnXl^PpqpJnxWll5nh{V`#qvTuR4YMjlB# zq#csgE4>`y>mk`B;p<)f($~BCcZ1&t_|yXW0lz=+qkta`{21WJc7q=Ud};wPz>fuf z9PkGKe<1J&<(W-gDcarg{XCL?$UR~WM65x1o6*WEggjr}bh=GJr*l+B?x~sYqrq!{ zJ68w)cTovZm~Hb}ca#ZtJJ4D(Q>nbfot-slm>FV48iSrW6*~ynyJ55rgM4MB5*>u~ zZU~kPSTbPIO6=NLa$w1UCA%(`0$2)Q$*+s01QzWnDL74(E73%>bNy5;r;^+nBLoPd>we)}w zxs%mekGpNEceH_8tWd&I?yN)Wim3el8TOm+ZTaatai>i8%x6c=-+brh?}sbu&i7dK z#luI=oBzv$2LwW@u5rD`!Bs>7o)L{jvIdP88i4{)RVABZrE7zE<4gU8p$&!^v zLv|^jWr-8EXF`|rY4JPr+v2z827lcpv-QaNaoI=CkHIqvPg?fdVy$@u_B3w5*HAnu z*$w{nm*mN{o)P89L0B;`ZF_@%&m}YL8e@elOxP}uZ}6Kg;T|5eK$1dWLjYr*u`4sZ zw+kJZu^egy^cNveJ%`@0((JQ^q@d)`zJR*89Yo6OmnhqXR!aG~Rc7CXc6fu|&7mJX zVfOh#pnvDkp{vclpF*G~ICSLdOz#w-gSI0adTd3e_pT7=0S=vr6jDQId!IuK08I>m z?&Q#qR+xRuL!jF@^dN9Ah0p*?u_%RXO{O=1S_#Ughd>|W&?#%o zzKtQd6?5o(Kre+r@8{6PfUXLG-p--3kZx{Bx|2Ed3E+APJGgT*hpq$k?;$CS;?QS7 z@4Ao_k^wb)Qp!ITKVzn3Wqtd2iSq)2rNOUo)jL5bog%#XU1y0>^K@IvJImCii^Q{* z^3F2V#GzW0B2=cn$XTdFeZZlIKv}3n z?c&fHP!=jtuW@MaCpt^iCMjK{5Gqm6aOiIU4V9>s9QunVI!jauhgJYLRH7c?&|iUu zP>Gt!p$h;Fm8iQo)QA=kDp6B8bOzE5m8eV(old!h)cjZuwE`L{QA0WOUZfi;Q3eh* z0XI~l`f})CKtm-;$DuKxH&mk9E>O+SUER|+EWhX3Y0b;$V^??Pw#GctY?5J5{H|1w zTnk&pD%i&KU0P0~Jv=WhW$~<4_LICJ0(*8V<;q4ugWaYW`23S&H&v&=j#pOJE0~MD zT#fMqdwDD6s{=dRFJVNZohb`^9%N;$#{M_$slNiKydjLIwi;_V+Dm^4qnd;%=a^vu zOj*p!)l!P#0X#X!Ta7(Gk?EqN9>_4Co9A<$RPZ zzN4JvOM*`GB5rP8x)bGULb+$GtwveHm5nRpRHB6w&ylYBewG z3KiCIx;Ca5NAvr~v-iOxBle|qH#gtD^R777s`e=x*T^Y_J=Njh1m0o}M@wmZs#4%C z5v7A2JKZU!7)5C;L>jW5w5M}(^T?gDk@;EBEaj9q7^Op}N)-VrY6YxS!SNN`nshBw zm?#B%HqFPb_jXc^rRjr`h)m*C$MNc0e})x|c&M3f1ugoKoMC(fssIsYl6Z zt^h_jJYOkTrZv)Y_F~z$9kELh_G=zn5noZLn>nSJ4J~V)w^K-%G86Lw=!TX*9&P$g(w%<}>7RE?JXA! zd(I+9IaUFN%wm?xJU^AQl(OcD$oun|pi90qG#QPzlAYilO|NOF zuQuZ>>byVgiUIo&4gOnTx@-yw6)*h%Wn``5Mwr7mR`$=rA@bN)*P+!dnO=lvyZH$pB6cVQc~ z!928vvgW-z9Mw-1YGz7bRXc?EJZgIhXmvOjEngUEMcd2hJ?DT}!Jtb7qip1v{3)D% z9}riW$;-6XGvs2Mf5Qi)F(@$T9PzV0nm@GLF~VCw=eFA$oY2OA4uN!g`@iF!Z%^;L zwlDudt$gwhv+pI|$`uJK3gt7=0|0vS4rnS+DdCH={BePL7!&m{wW7mND^{4~)WZy| zQnlD^GZjZxZez|lXGwWJ9RPx)E(^KuqxCds!`~U@0!E}+>HthIv69n!gFd#H52wEf?a z&K{_>rF)6jCE&q*oYyM~l?gX|7p%Rx+dKI5AaK45)SfE7&EN3hwMOjoe&ywC?=6|N zUXx(V@61U}U<8dn(Ruug4XNWaTgaA=!< z>W4w@jtPnPJ}_2r40}ktoq+z4LuZFTw*h*RLkmKn8v(86&}r>lQ-tKO8sT;xp7EX8 z_sMkXG1IVbaNhqHtSHNx-8(wywF5VY<5m=EP}j{LbcD@B*z-KB&Hvp8!Ls}@B=&S* zEaMou042+tb9Qy4kcsfSdHBVDNb#39j|&M;LwJ55hm9Wu*TM{%-yLZT0?wfT&Tl`! zo`n;#Zs0x_W;hHxKtnbmcEtvewBZJ@a-LsKK$ss#~(FhW!`RhVBRB- z6h1mD-N4|P6yJpl9(wGN*^e$-2ngxuN_ulo{Ws{%-Qu0d>1^^3!fnjsl5dQX@z9a0 zw`27J{Wsd7?Ykye9tQus9=P>A5>gg1B3wE?AAKK@q z6mz^MO0maE_ab!bu;ST_2PX#ugSTVgli6@cWK2glv?J8@zrj08hOIcyvV4Nm_X}cr zxxR`#)v4-+c*v74K{Yij`32loRBb%CXR6m2+^aMQDbP<6RQ1^VEW`>?s2BCUwZ(b9 zw-OHdb|h?TmG>{zyxJ=7eUFCjrR;CKOAu0?w@O-@(A*rQsgXC{74~6EZQ019W^Y;V z!!5N-e%lx(4{NENFCVJ)$d&e^Ea6LM(DP#L!#J}uvLg5#f81Q;sIF}>FF2--s?p(2 z$SgDNX9%>5dH}z$*|w0qsP}BVNF1P2QJEh*tIvj&w)u1~i|Ey4OL2hjTQqX3x7@6s z@JCgMXjuw{BtJ9VtW+MrI-i)uhLGjbXf4`qt_vT+K%sG19}dPzAA zs)wf8^r8aT%DEYU%Ymf=T=~$mfD3@j0GD9$2YNMys}I`_)vJCpRHA7?fF{`yu}@`+ zM;;+-WLi*1rD6K;` zpc^4fCfr~V6U?|5x@3QebqSRoGt~xFq3Sm>oXg5?m~PY5eY&K42`i0NWbrU&tF(Q{ zy(4aQywxNyd2$$RB19Y|pOvhpar)kywr~4&M$4gVgUW(zRmIgJ4e=2H*2u)hqTcwYbV(&&4tBA%&J0ic-6T3AJ1j;SKEqB+AMCUQdAY5fiyxt!!|FgQ_amMkHGPJqWMxS&1vm&U z$!7*-^CRq`WQr+X}00THzz?j^uGZt_bjv!kDeXMlCnf%W!{* zX>0dTn;uh-Z?s;rLuj7g;7=8)cBw|00eA28TIjz_#;*fwX>-|u^Fri-^Kv{&JZd~z zJYjfx;OU7c5>IbDeev|i6OAVp&w$AKhyGkoIU>KIlYQUMHG3;^kuU8og=4(b5N%QD zRhXw&`Ds{$+2Y!QK5h$E_gm8Of!Mz@U|+C>R3WqL1C5^R!8$3tpfL=sTG9m|)rk-KR})q_mXXs3#;*LvEY7W($6SYK{f7)gp_MA^c@r^SHW?V>-c|GE}nKsxw=jJdgT(v zQOUMg7{9-VmFSmW7^ZdS5>U&IsR`LZY;JTUdBGxY z3YViCgZH2ne&LeozQjWwRCmL&xd*4Nx)TSJJvdiaotTZ^9GwTJ^rmCbh2gXnBCT?s z-gRgz{iyM-P}-89Q=&rr(m#W?KX*@C4ADk(X`u}l)+kp8>G{INV!p)l-#}O1M~%0K z(v?VhFrX{19(2|J6S}@YTlf-BfUcMfU-B-_DZC}@;=FZmBA5Zkn2~vteANS-|M*aqh8FECKr_UV>1B8DxwKOK&XMyvoUv(G*qdbELFf7HMT90}zN^u_Y37j47qvT* zt+mEc2)*x;W`{ZOe)lD;?ytF z%P3|4KssvkPtQ2kiw{Sh9Hf8`-k!d#X|mMuHHtJkUEAx<&P#ABq2;GYABBAjoUq0$ zc2XWv8puh#1-Ce*kwLLZ(Ui<+FImwPj?4LW*pt!obCs^WjK=fw6~Z1Cz}5p>^_!xq z9PcZ9U*~H4l~=&)L_BwZ->_R0ynkh0@V)p)!H$s}NGLP;P*wn_|xYz8z%GmjN(m0w0c9ai%=d~q2IS3;mo%(?9 z=@=dJpvRU+T>PvCd`X2x zyY5`1OR(QI$z-rXZd9bIj{O$)0;?~s@ZPG8G%M<+d6rMd-cpQUn}9v~f!NJiK3%Xu z4-fjDqCKks60$2?mc$@i$>N!bEhHg}5(whwo0 zvhc1xR*rQT)=yg8@pr=BhJJXoy-3rj2)BqS>@+a7&=q8Zf8Tibh%7+Vbpd=$5Px^k zY52Xjh{=pR#*#PtEHT-x;_31`{XA8F5hzNwhz9#Gj#3Djf4UN1a8dp?AA$!g$i6vr?++uL0(!}l1RliO#TimHla zMdpe`oe!!uCI5=b@R{6 zRffIa?s8ss7M!(%o3QydL!ce_;5r0*M%0a@%?hrPA98Dy*#gD55AS-VRT$a z{pJ>z$VW-)i!D0dhsFeNDg0m2-@bY_x49ncTFn;Mfpu&!ZUaC&U9P5fgks%CA&S^H4Lo7P~$-ML8$nxjx!htWUSY*)wb?YSW&=CJmdI#n>{R-31=yLgcy z@oosj3&t_cv~?TD-`YW?ehks5gO>I0(eJ674C<|gheZP`YcAb2)tijnQh}c)G0!e& zDs^s2QVYVlco!M|@+uh)w43JI1r{6HDsMM$pJlwgnXE3q?J~7a0X@UcE@^GMMq0J; z7F)K@7Edj3u(Knj8{1P_(VLsW;;{XOKlZVdaH*dguFqDC+P&Fx38O`}`tFZgJ7x#B*_j!n0z$5RnE3M$)*!RxF?r|!e5r5KnD|T^} z!QJV2p>YN6;$kPT`(0eUi*9%siVbdc=}F+wj`eDM)4n=(z>E7K?zD!HIqJFvag)U% zcAaxxua)Vz!*^3LtUa$*d?vERGH-dWxpAO2?`Kk*1!`kJt^5=0U4~F=lsA^kL2b-2 zP;!j#U3K0|M{iL&S&X;;6N+P|HKbX}ns41TwPBI~Dskq5vlMT6->K)iju=wwN~jAR zm7?prj!E6MEZF*;X->)HQf#rl{9Q-u*x8B`;`#h0q+qaX;GemPe02yKdw7Snm1l!1 z0KVF&kk$o{h{4rDX3+}RLQH^-4y|V z#vEVxgM(ZfFuVUX+D3h5`hIvc$Gdx3j(6u$nn@w9O1>U{Bk<5o&8UPkkQ?X=D~SoIg|qGPAqBp=GW%N&3GUha{l&M3xwp%+q+ z^(rCl(B~XN{EgPbz{H-rA;yWB1rP0A@)KqgvAiGZUGgc-G6L}DX_gUyKd^6_6Lz>w z@ZHqqqR=)Znw1nw-5Q6heph7tR}MxsJF%)GLAuG zg|sGW^KIA_3_VywC4BlE&tr2;_6L?DC;A`o+ocAL&zJguBccL*9H(;~!kbn9u=Yl0Bp8dH_7Lxy-bIpF| zr8d6?b=;v@kkS18J2>Z02A{dbOS6wn5lm#2%7LALhZKhid0tcgHtfP!d?i=yj%;y#OC$XMh>e}jyECJ)JG1Pn{QuwDogo`d;K$>z zGvS~Xs;Ic(MoCG>ZnW5LHn2F1>Z8PK;;G4Qz<@*{r00S zIiL0Ib{{Au8L_6eVBUh8sJe035@ryCV(`|M zN}*DkDx|667{N5D6-roATIxVr>VKM+25D)oo0c|^miC{fr9)b}>!uYJNGpt|CG{N# z(pi&m9!wkbs{u|U$6UII!lTuQ|yO-ot|(gN--+ueOPEud*dO}R+z zx=T_JD_*vMi-@iiU42@-I5=dq*1gQjIt5BT=@GQ^irA3%KhcYrTPd^zGP5MS{f;wunef%tT~rW_Jq zpBu%VN07QI6~Jt#c?@yV;OTb#qt?*QXr64*Dm_c=?@CC%;Oo_f87 zc|`Elo}U%CN!n=%hlZsW1m922t9(TlM!RWue+{5AzCXWyrS#^nNk93#(${>>-l*_r zFM~Gig`YuRm#z)d*Xl`Wm(5}d3Yp_GvI#9aCuCY{V_V-HP0V$u{NIt_^p|Pr?&=T+4 zd|r9RVUPDcj5mXKEBNgzjh)Y}j*gw_=dV0=+6IlCwVzU5#Fpzwf4PcnS6RT3H+E%6 z*gZ^lP-X>X(l{Qjr*NyV__E}j5ggW)FuJihjL}sVmGAv?(Ap6*j$c0rmq|l#xyo{& zADc+a^y58!f3bjbQII8}e1v=^qW!ThJ_8ysyiUHgmE7FANK2L?lghVkww4OV`LA8ShT7)=LH%aX_o%KXS|QDZmSwOf4IkU{(&C9^=!DuKZZB;_(#rOxqq$b=a!6T z2luZ3SR2bFF}?+FL`TZ?mhh+=9&4WyuRPYai7MZkvm@PCPPP7PQr#meeBFJQk1E#7 z`*Ht>R|$L2R~k3UoY9f&4Ux3ggVxkUA*Qdgow4Og`y@IST>A;}fY7sa!{i$7hTrX3 zG5wjx_T4b=mETUszSQ*v1qIW$Jo@Mx`28rF!W{faFRdyYH;Ii&OL5Kz-Sr0MG%Gg; zPlmrh8ok>B?(^A-z#?hHUQIseBK$)7jxpU1|2pXF%^c$y^XeFn;jJ+q{Rb5R>jJn> z;|Cq2pBbaO5m=AXEnxKsH{D?dmj(@V0=UXz?A;~kpal`T!ihRanXgm%WvZQ^f6Q{a z0_bO8Oc=+Q@>1*#6HmScAsC5K;2xEXc7PuSjs`E?bXFG`!BwVtRmNOz2{b*j>I9$A zmy452gEvm6=ut6wUSS<`ZM%0iorbF4?3PdXOSj$|uiJMbT5g0tTNX%>_WM!R^zi5l z%tGSu5I)Jpc)sj|@o1sv05xqGNMtS95lEmtW1$-sTvOLz3De`Tr=KUw*{s zf6~uQM6jAgSP>Qx9H2FvQDW7?oCq!Hn;H0{mCDyDPSmnFb{A`Kz||^Fg74;T4!%iR z#!w7#9fwVAGiB30np!&9DYR5rWt|HwuUWurh?@3tHwUaUWdZbB4a`B7FMEu~-&&$zGW3`(AdQYR+$@= zRmn(Yi6zTa2-@Hqk#2S2uc5S5VmyGKFZpQaoDSabDH6Gyr`oHJHGVFygHsF#lKgbG4 znsQBs6QTOErim}%tUK6E_>J)ttYb1F=Q673nGD~WRZ92aWq+V^7y3THl1PNg-Szg}g^C zB>B?%=u9Uzmx0n85L2&^4&P@a`4m#gQ#$VfMyl)Nk3y@sUrCV3zsN6?k~m_q(sAlSDqe`Jw-D);pT<}^)n3#8dZW}X^#4M1Ls#M zYL@0`wk^#`u=qIn8j*KoHK;_ncBI;{Y^0`U*~o-#%RV@jluY=>t3O{BkF;Z#KO`n0 z#kKPKLg(RC@|qPRHQQETN4o%_l2A5PzX%64sz~1wb+IYeWN-dusd|z)xd@gc2Xe zX5_z)dq;8p+&C5Pd9UhS^#Yv*Q0<0K2dFM_J}~Gk`Tf`x{##o;|HN7HKaDhcN6(VA zzE#qS|Hi-(NT9oDHldv-#CsR<_*b`j-ci%s0!c5Ve_P^2iH9`5n&*v!mJdjKfJSLF z1ytQGypupxbV5nH%Zy&=aggRe@Wf$h0s=T~I|1xZe1P9+oN$$G z_ov7~Ln@pbDM8VtIA_DH3(^m!_iEI;yj?i;gZ4Fvdx)3(RH~glRTFZ-P0($PVytsu zAq$e;S~cy`(rSm!?IrIlyoUPw*1;Pa5lb~Buu0olnv4u_H8(^ zYldt6Z5t#>l3JYEHA`|NRg1N@jkc?o9K20o%j|VzDy(!aVxbdp@KCH`qI5PpaK=n} zD@i{=^t=`)gfaO{y)2*WzH>f`GhH{E#+87dD6m*8tF6=1dFV*nZ(S$JuZ)2F%9sw4 zWPv@ceu)+iXY5p}f%8Mbekt_X&M1!_<;7^`h>jXn5-;}5xhQ86=EZQL8N-X=MDtsD zv0TfK!1cV_&-DP8K^#v{$aIl-LgEAaLQYumV{X7tI>(OQyVcykF6Q2?IcOip<{*CD z)mOA0LY715RPH|A$WolfX=5#i%!HkDnsq#(nu|9E{yu_QE*JBX*uduITH(X46V4fj zBaTdj-J6p!GEA?;$S}3+gyo1r0j09;9MIpeyJ1#fxwL{|?VQht-IK5e4hA-=`oF|z z;~;t5l+NDJsf(t7wgQ$+)V-l&7jV}(Wp+R(2Mz)-l9PpuoJO2@s#?tOt0u2zU5_0Gkuo@1DQ zju~kl2;VRMMB2w6xL>Tq_oEmu!}p7~dOie~&j1ETV>MPxxk)s#0t;A2iCXV!KdWWb zHf^b3W%PP0&M}M20$WWdi542I5rep7UgN^a=q>O` zTp7)g(X~TC_m~!T${Ram*Nt&ZID~ew-|`yj*k1V>Nhc$%a(d&K*Ff(p$^F|#r9VT? zfRBZ)Vfl47^>tUdK#O+*vSPCB5%G5o%mks6xlY~9WQ}p)yp*id^K8}_JL{$p6|8Cb zRwP-0O%33YO+ohe;tYez#u!;EzS{#!7>md@G%o>1L2DqAYR7 z>dPTr8MA_4Nb3NyHdq#1BS{`G+2x%kbH`am<-)=b4+$vZL@J9||uEJ}?4UDe@Xs z(3RxGDSwhr%@~n$DG(>5)9C8j1N`00^ z9~hrbHNfQPuv-1tkr*u0_qu=YnGG8F4PvtsYTtAdw6Z|%+!ixQ{m74$1=mLS2vbLHquRh1<_^HX9Z-)Bco?^Oz91fE zT^iQgM0bh4_xcD@HfVANM&WIQS4?RoyuL$eZQY4MyY{z(v0C?SSOFt7WRa++MX-5D z!iKmI)TJ~>ZuNBOSR2tVn-}It7=t_qcApRBHL`hmc9IP*!`#-_H^y}N{pCH|O(r@A z{QhOqSvxw|sjMAR9a0^~mc(&|`c=3SgAXtoU+u-*MvT;UqFKGPgP$`>fc0#__owr0 zw)Vr_JMLrVVukU0aZ(cLl97{5RB^V}A>OFZgH^XGZCUVaxE(_} z*rm5+TA&5M04)H9@{)G{kEhQH_O6VK@sK!V8O{5qyJ+0@hvKn1J|0;ev)L+_*3D*= zF7=1l{%~3U$Qr(Tk$g`sEX97YcrnRe$W6XK+*1+IhsRr*v-F@9-Tf(BJ-?7RK=5%A zCrP8318vBp7g!nWmXTcNR?khkq!Mx$t9dKU+7*HEbEyo}k6J~O0ek2e|CUI*HH;B6 zVQw78gw<YsM(6SU)S!D9shbL6!wCNIf0yA{r;L zlVT+J@Nc0}hur9I#@D@jjKic`&Lu{S?M3*$4l@>uejvq%l)O&W_&P>uCI|epPPYCU z(t0VuRyFd)tYPRKSRpG=K!TO^-{`}6q-J>={1QGZiDS;s?foc}ZK z+)_SDKdTJ7{^x|8@)@h~-4@BG@FpfRT%PThVx^IADYD zcL&*y-{;QEY8 zq@Z_VjZAsEM5MONZnM-b5PTiBDk-8lUo8+p=m%-IW2O@86c= zhrme(obTV3!VoxpXRq9ru{>G0m$XXttG)u|CUz6uesK13I_drz*f$2Yr<;OjDws@+ zmpuBMniEj3(O?TO%8Ka$GCwPe zQm<;f4(BvQlHMxS75nyQ;FXVuZaz!n)sg=3>d=XkJBS*Z&V}hUy( z$i8k4$)b5dCY6EaknouM9`5C^&>*Doy(rN%lcBg))BV~prZYT8#lnvpucz~@Z>^_; zBGnU(8{s^Thu##~Y)-2ELnlB3S=2_L0NDC+$l7cS>Tvm4#pY+M*IN`;b`q;UbDY+$ z#M5*sX$6ZJRvzv<@mHY2;gRuklXy8DJ_zgVUo2)Nz9=`Q^`kBC+@Ze0_!otD`w)1G zW8htB9E@F?V-1bVk>#CYXw&&@@MoXVY6|N`;)Lj)EOrI3cFdMIDcZRX^F#vne)bW^ z#R{;i=MJy5@pZez$x!OZN*bJIS>S}kU-FH_O;)F7jiWl{?0316$C)4#JFth~v^$qB z16TY~m>8jq(p9Oy>Noj8o*CsJJ(|bR9mTpW=?>+J?>^Df^ifKLj!0uL9g9L~AEOsY#umy*8jSyEHXvr%tDG6@QvIMp#l?L^<@4p5- z{ZGeu_To%(FZfrJx~+#*we=+Mf4v5CK$g!PEv>U5yw`D!ilx%gZigz_-Mu3kI*oRD zq)_2dbUnzXsh6%>idBg{+-jo^-xzqDlCWkPZP2UsX~bQ) z6PCgyZad74r%CJMlRGz~{awU^YN@15V;jlH4tvk#n zl(w3c2i3S`po!RY<$Ru9G5+5%6Byqtr);{vbXn=0r7KEF?^ExGxO-hqx2-37iz_m` z9DZa4m9N<4-B&Rj)MHj97?nprdwHLdmTs1vSd23cf;HAj5dKLJwtRmGNCmq4zWE|m z{kNrha0s?*PB41(*5!pnV=@+&*t2apHkB?eC+;A<)j7%|;Wsb6<8r<{6y2X@(eALR z$-E^Bve;XYpNC#MM_S>iEuihH=JU{t=WqsQ4f|W+PdtnBFsZF7d&#eazgD8k%3dw} zePTtRS4z)}m0NLoES>I#zdd-4(SH$o`cg$eP-apZWg+f_d=Yy5k|~&blR0qmPGNhz zKaEvAi&uD8}#&7b3Zo9Y(ZRIl**|zmmF}p;)wk>OiI45^l z%YXLFRWci#J6_$PhlHOewB?c*IxUK!b!WjlcYYq)eDP)I$)P!iW~`vAve!X1z=Zqr zuR7`dD`)|WzWKx9FW&cvE{Q6&wj|VS$C-1h5|2b(>VB8J-_`Rz(|PFxY`*;T)gGyr zD#4*R>70i43+XHiXEWvV0qZ$SRavoqe$8$6C5uUQBvZU%QQaN-(i@BQ%lZRL zs(!4WeMgO?Z1Sd=H%`_sTD+v@E=lzyh94i+pwV?_EdL#MUFtWiXFd;ofi-9BT>d%s zlB09^|D31@l;;xtFt!_mt84ZJuF1pfKDO;V-9uyL?}-lPK27_1zmNFHIA8O{ze2yX zVl&OjsByW>+84U&b-MR9bzd%KwB>(* zmiT~t*M5kU&}G&|dsxybCb=tAw9Zd3Lc&I^JfiGzN|s3VUNv&Xz6VAYH9{}Phfyos zWEbv_oj=97D)M32iC4laA%a)Cgco7)rYLL6?Z;`{_r?i(+Q?UZa41f!TIS|IBz%y^ z*Wk1NVz{1cG584oD}1=G#Rt|F`tcFI2A|(X@X^QMqiO353Up6NYPG%~_rmvWH(tW2 zulK{}`+~=w57&r>IeHvDm@JghZWE1T(2(h?{VeqJOA6S?9NxxeC%hlEB~AJ@9doz! zbg@x;(4U8{60!Hyc4NRn8UkQH&IG;L`&Lv0TGaYdCX1*WKj;$Rgi2qdipflL-y=@6 zlOFM}`qVvZ8JBoi%l@cKJfa=ppUf<>V1JB;E}i0S6APW_F;7^qW$T zOaOn@wbk=4_4EGW43nOpHO!;ZeNMI_q9^OR{G9C0sg_}oGS!R2$3(yE_UE%n=v|-O zlz&}z=a2ARsY`&JX8JzIvEQGspp*IA?Gz^(L!o=fLEocapC9y9u6uXTSMQ*&GM&O1 zoA$bfSjarC*2jLmeb84<_t2oP&ky>_>fRmn)f@Ab&Sw&uBam4tQO;828>tg@L2)zH z!;9!~oIjhZTe_`I8hM<$F-dB+h3bSb|0CFb;u3*g$)LkuVC+c7#p%WGym| zNonv!s8m=I5~xyecZRY{7Sldlqqp?-+Ng^HovZAGfdf&KG9Qm>yjALKF|GpQIM5 zod(SK;gtzvLu)9R76$dnC>5=8H=CEAqda3@$@rd!9YB{B@gd_{O!Y6F-NGG*`j_}i zxCJI1v%F@QTd~DyHd&^igj@@FHx1?XI_jTvK2n~~ifX;f<*R;fMwdSy+E)HPLw>|* zwxfm?Sdb!;o>Kc#N?Xp&)A(8LS@S;BzZCc2a?5(O*e@(;h`Zjb*iwp|(pq30T>UKC zs<}nu=VU*!JSVl7`q1nd!jbh-{a0JAZc(C*Y41g`b-me)bXT|RX<;XZ<320O>;mE| zV&W4`48-N`8;Gm0Um5mOF|bWid@AL$&S=svuo@D`(y`z3M*yQKJg;YNK*?t|nGcHxQo#1r)?xqajgb`?-~AKa)<$*m-Juq&OOj)e#2 zp+4b8eIguGmrPFwAcOMY2JImn)W_4)0XHZRZqT6Q?pn4Dt*{NPunn!S4Xtos-avg- z_TD?U3UQy7o_nR|C(^SIPjjFxkDk^BJcD|22i-MQ^GX7326|e_9n_OM=tlg~KwA<$ zt>g~s$sKeTSD}X5SbAE?9n_OM=+2{OTSFD<$qKh6peJ|GZJ=jcO%>|N3U^sRPwt>Q ziJon9t58o?xXS~2atGZkJ==<_P)}C4X9o0=+uZ;+w8+tu@W-hw+!X=BzamKZSKu_X z3bjS}&k7L!vx03&Pl|5#NwH1-q-a$=DeB`Q z&@`QQDrAuN+Vcu#bcxE@7R>nJ(H*WZuhSROyiS}7ViSK2*@ivy1P9V{jG-@d@wN7s zD+1Y7_zFv(Y|h9lN?rkzbdtV8Dt?shK3z`fNNcS0)s2C>8G_Igdh#5dqkR_IaB=WH za9MD(mii$Sadu$sDRBcTXm7d?`zeK;?YppZB4Nm+sqLm<3XAfxj`TPyXKmlbFpfbu zUIQG912PR{-{-ORccGmEc|+TPJsp}Sv|%h$09hzau_A;q2bV{7cxMKmld$Ls%{g~6 zbP#(n(cMJi6&<^FophLjA#*3mr`^+zQAUzpqx(1+%1vTfQjrBVwk0X$3d=$4v7YTv z`1P_@Nc|fuc02U2$c4@vj6aOebdhc@7FvYPa#(B-I>*D}GUL0UFS^(wp|}%)y71k^K(5lj7L1OR#T5>qbWPif!k8MK)*6Yb_gV zuwQnK0k&hg>QkYo`gXPyVr?-HvZYU_e`Q&DK+gQ9n26tUhr(yNkZ)rxv=_@7n9c&* zV>)hJ+(CM?FLA7nDf?@10 zN6%X6T-W4V??BI$wBA@7Wf2R0GQR-X{^*L&0Ug*{Ik-nT=_t)1j+NmW+04w-b0I@N z#>Lv1MmO$Lx7+CpW7Bq!cGJx}UlQ+TasC@Q>mT6K*ciF_zR*{Yn@?eKY*hDLW9Di0 z{{fh9!Wz`mT9U^njEI)F#<<2UW}(x*i)&N^-`tMxg59o@c2i&I$ye>*m!&^&wDz*_ zO$*La9B|n81Ya_(vovETMr#qQ#ew05E^yhX(YspA$Xmr7g#D{2Pq>SNGo>#r<}T=J zn;ERC>4L;(i}}k#eByUVxCX$hK;#suHPhf0Gpftr1>>`eZBX-Fe8wc)D`%R^z9eU5@E9E$s^2z^yk=58nJjTowbFePz$R&>ZMwg+-5w#YyjXlm#D`&hAMDR_=TB(g)aK zbmCsdT#Fp*fT?E@AGaDwhPkwAfZB@fkBV%&%CBc;2V@GeIVE)BE;~_$LT<~onMP(G zo)u((@o8X{akLCt#!)j9(=Bc0SD;B*o$_IcuGA8EVgA0r{&ZM5OaCCAJI{u=^GR%x zZkzv%eeYLY^V`l$HLdosEHh5%)Q-fx9X;ebp%d_7N!wl$^d)S%u+?*W-25-JG14;mO~h)wF}U+(u8!ik#tuh-8Q5v!M&HZ z6wsG&0Bf;<^$wF=MUuji6%Ug=6TYaN26QZUt6b&4#H67X0GkWJ6sl=2gUnF1Mi6uykENz z@0$U~d={?WnAAayb*_*4jn8p5!xfOY*dfOAvay6w2z|4I^T{+zA^wh^^Ov z#_?u^m~YD?-})^mL-HspJI2~;mVVOEz6+ygG33k_p`~T|7PuBb;yjaRc`@x)CrLujbo6`qItZ3kA|erqAg%1xqeCV33B~>3VJHn37y_{)DYdk z2wsrrnYFR7pvYS7W!TTTQl8R|u7DeLQOcI+toC^xUSV^1pWI+TIz zd{u$I)4(S!fuF`Z4Rfu~S!-EWgKzp~AIm*NH}%qVb|x*~vFA+d!e6R{Yq57XqXj2% zt)!h)KF;yjj*^@2t8>97wz||5;D@u8F7c1Ke4RmTt;9GkKCUN=*xOA)XP4NfCyUsu z&v+rbC3>)U@hk0Z0)c#lpjh7?PbyQS>K$#baCy9TPDm} ze3!oJj-|^1Cz>wqo70i$RVhU@{#W8R;#vIT(wUXS#}J-Yt!xOMhlZpdz~!$)zQxu7 zP6NPKY7M)CeGO{c{kBS&VtXg3Lcf?i6|V4k?z*pDV}Lo8lXET=FT@Lb+F-=9lumMBXXJLjx^g!uzMl9$e-W6CUTw=R~Gju2M_b>1IieJdFNP*HM55$*q9KOttUqN5OxiI+%F*(Jn zkP9Hn8UGai!4*#N>PRYR#^mGfbc!S0sIOe2!FJFklU?iL8rOBKPDQP0l0|m>(hgl? zQ%7}UbH|oOjbEl=jJ#jQ`@7X{ilKDR_OTino}7lolh^#}+yenF9rTjG_n4{wC8!_~xqE8nTtS?cfI=ruEA5P{R40GmE1C#C$FXjnugs~hn zQv);qGquwXWu(~PftPpMYb?Gl-h9sa)Q z%o%M>!cnqo{?x2oTWZ!Rn%bwD?O;or!qpTBhzzvTB7Ml|;EA7a$8Ep0g z;_D^Xbax|H*|~&XeaUXP*fUyd<>@&|r3*4-*@y4=3g;yYN=`pCKfR>nbhFv)bIddM zGG=v^&W$qVB5gTyF2NT~o7(NVeEE_7Y%}YZ_nOvSQ?9a4Nma}{S)Hr>6r11PCIWGx&=K(Z}8we zYdFXFx^_5rnH!<^nqiF(AvdO{BuS2vGH;@MHRE>1x#51BMGF>mkabo5u-ca9bC}gu zwGHJPrnWA7?O&o+%PlDh#PR!rH!a&@<`1FO$3e5{VJ59h)Q-dKHSWzFh~4jFbIiUJ zF8a;v>oSvD)tzvrp`lA0eht!JQ|xmXy6~oYAj}-Z&s45&$Bw;#6|&$B{ox{$g}SYJ z=ag!tqBTj+W++<~unr|Wd@@u3e&2L6`Wp2ARrbzo_%!rO>|0EfW|rB(!`JT@$wmhY zJuPjJp%hfmv9svyQ&>gn6#ITr|Ki)Hc;))tZzG4dPsOc#Ox%@BQqv{D zT>1Ut>&vu{ymKmH5Fe&g@PZ?)Gx$ zSbt$>p(O)rcNVQTCs0z%u!xJhx^n`8mQtb4T6z8b;x2xg{;v`gQ?T@=F8MFOx54r* z1L=D3@Vv}vGXO`Y^+QdfFTxSBMI zTQtqZ*L|oIq^DeZa?;Ze-gBz^LoquSuzeInJXV<$ZuZA<|wcIPbj0sF9p89#Z0%O9#uq)rFIudosBCY~s&!G=R zS=EXc83UUJ?mC_6{9Di8lyR^Nx>lT)`|%F8;2F_u|9HnP^IG)Nwc>Q`epmp`TikO5 zRG-eIF`ekmd|c0J<-Ots1Dk(n#~;l1iWiga75kF@cFLLbH&HvxbL2yDy#2#d{Et37 zrAVbdCPTjw@YCR@#Sc3rVhVn#`04S>z%LuW@%ZK9Hxa)={H7TyJ5WDonEEvI?B<2d zw^E-ShA~{0O`&9$8PKz(aOpeZrBOW8C*G7da~;;4uwo7=Hoc;o)}PF{luqguyqkQ* zKAy!lZDsG88n(t@kxfkm{cKPacXDXA=Gw^fJqq(3uJkbii&>Qwv<9|DHZ9)8*1d_i zEaL922|wlUQJC*=I3DWl+P6T>Z;8Y4OVu*IQ;z!4@0{ZQCP&VIr{PH>pX{Ae>_hVP z%!ca^jfGWf=FAB6$<~s};HoK1#sblbxxUpxI^q%cX2t1G8p;t?E>``$TwHMX0@0Kz zo5)L{CWPi9v=E{C)MsXdL-h#NA~fahBPc6{VKuHj0J*XQkjoML4&m?J@-5Xb(oDIK z{l@~fr*s4}9(k5ZIWCf&KCu$M+5f1*2p3#6ku^@KdC8jW8d(}w&lxqRk1dOX>xG} zjGxI}hT_`d`r=+kk`wRddbVd+G5OF3lE{yKkw;-{PjVz&kisbp-wZBMi2cr@M<#&_ z)B^{GR)-aVyCp7jzbNC)!D-Suvm#KHw_hC2{}byh#AEl1Daoyv{mj9M(przr*)OIR zjB%~5$BJ~E12em#ySa`v@~(C0t&aS43)f);Ww~`$%-h$c`FL(O-reZ6Y3RL>_|0aZ z=jQcfj8)jp%8*vXf;CCncV|%QLM&!RrxtSfu-6C{0-~1b-)g;$->{(*&aEU1eAKnp7%NT#| zV)W&;_FezqSocDH@jI`3nY2BNsMM9c&Sg~Us4Ycb))b>F@KPV1QcZs?A2W{nbZ`ZVzG1xpA zkYho7Nq@;m>p`?2;-`0?Qtm~cO5o45E_?CJg*%{|Gd-^M@lt6wKwOlcT(?L3#hyK) z?NfH#lVTMobnX$Yd$cz0%(GBO_S^rXLAR|l=NaT zHSwTrt|(~2IMQ0zX^>g^LQkF5Tbd#9vlZ{vct3WQ8H;;7hOrBuUNrXRzR*U5a|r(( z-aOv-YGJX2fGjM` zy}J+EBks55L0jPFvOaz8a^#mhwIdthGS@m=j-?f$*$CD0>|JaQ#;D(LIb0|Bh=$@7 zL<9Jhv6jl zLO+LlH8>V(Z8obfG#`Fe`j)luKNn@8wkr_AMPir2%|~MQg>Havje!ST)O`c$9=`(( zeWASm@V?Nvv*Y#ud5b%=@Zxxb+=4piKM4gcj@P?G)d-<_{v>qzVg~&4E@r^5h5yrw z)K(1Iipjja_W9bwpz8WM>MI%GI>tAHlc{CLwTUT9slQ~WF=rGFvUMmq1y{+%TaxH&q|fCv$}B9b#&HuAE_TB7 z?(Hq*9kT4(Tt)8fEex(G@!7Yy*zAICt&K@bu@dzp`K-)?qFn#qkUl1>l6ssizUn;P zLNe|@ataE@e>=1%EnS|woCno@#!+4E6R9_}fXmaSfkRKHRWZ24T5yN?tg%hJkVo~V zslD|R)D}3oa2|2UL(o^bCh&WpjhcBfO;z9$B5Sm&VAuct1sZS}TrQc3omQr=9ZsqA$urO|TQ1g`GhMT*5g`^455hqMnl)OfCU&}r)n zj)%oBI3BKN9UQ3fvwWm3xGeRv54yuChdU`HmSl)O9JbcODHEMvmogsKV|&7B0~4G~ z=Eo z#cylGh?vQtbyE1ei2w9Jt)xZDg*k*<%n`ilO#0eItg!^J_5;?z9ck_`9%<0f@~?;+ z8iMsK)eSeaZsPrMT=OErjzP~Dz=`qg6`9OkZoS1}xD@K>qq~=vLjT>zXTAt*hXdQy zz!qzl%*|!bx_ernd1f5rdq$!c&_^EVBM-EJIiztE51RaJ7^sCP1^pM4QX^3c?otw^ zQ2%`Zdt`+T+wZEot8vB76-=f>$|XFn-;0rw4tqYFSzw^>-9mbae=))qZ<=xvGg>3Ffp_R!LBDq|+ z6s~FU5}%oC;oE(?>@Ux1T#tQUc3dWQY=*m;p4rL7Q}-=DBx>va5foRv8&JxKPv*~P z7hdn0WExd7s%|Wv587mY=BDRotm(Y5=6TDgI@#$2*^FLjAHw(XC3zAgz=*r!t6|Ju zyy3>KK%f1NuRgNCf9ssO2W`xC{F&T^DS$A#4*4*aUM6#N4dZ93M%QJVa!XiaN=V6E7YK3t#qK8ebv{ zP8qu%OmHI3W`vRyt39UNlLpG20sK}(%Do);$fM=H(KXSOT9aBg9?u7Cqw5lSWODX~ zm(Ta2yt`3H^3(fDCzhnvEJr=u@zuwrFKH%YN>8ehT~Q>{05^J&A*J@gfmwxefb;;&p!2yfa}jAqU_swz)w^7(5OSQ{oC zf>kqx;`B!T=Vqoe!6qvhQ8mXlqUs_1-nFr~Q8uFLt8Q99O?SoF=ni~xRgr5JTpV1~ zQuo=Cs_eT)gOW7z>qiO8Z_TOR!Usg4W;l{UpA}K$d#yWfI?W0)3FM=^bGTq?C09&iptrFkZmStiT?g z5_5};aZj_FFs^w*Pm4@vu5G(Hc#t%4GM(ZHrt`9<3slQBIFS=^_^C*o~22O~@h_LxOTpk&M%R>?_&HJ+8+d$RYP#OGlGjc zhF(&C5L~7X!6jG1#S+lOw9!Amr%d~RENNIeQCd^|FZ=xQ(6PS3Z3rD$kTXZ0A4J=Z ze;ZdCiN0H(KOXwS5L_OP;1WyQML!5GKOKV090`}=KrB7=eovY5fi{dveYA=(^7mnB zx_pak1WfZ^ukV}v=r?L8#sz~2!=Y4~st^myOl^ zIq$v{p`reeS0r3W{(bI%HSW6S;SuEg?>4A!{A$P?$D8ovx5&v zCl9S+v6js-`Cf8HU5~(JLKx%wy_0n6o3uCyXfLh(Ywd?(s-E*HIk}N_@kXv2C)>3+ zbL%xNu*1Wzx)xm95C zHUqQ=#RXlkg&<+S#=#+sY%k4SoU~+wjbGn=8+N{1amJVWPpprpTE^piGT(}GmbRUK zQ=$yF9B;66SkGA5Ez=F_P286C);t@(rPw!u&9mw{@^I2Cz(sEsZ^DqUn}-wXR4vFNk($5ly({-HIw8=WJw`5uFxBkP*Z=Ih08f=ybxpB4<-v~a= zN^c?ZX7QfkW~91luwm7KjlNzo@`D9u%I^FNY*UipAIad?Kj-E!?NCXP&9QN6o28!BuqHM#FUd{ z$+X@AD_S|0t1R2B(+%u7!T-7SQL6!~P}(yX!5XZ*%OJI#0ii#!Q0p12$q{^T5|7ho zj)kO$mfCTqTz^=BmF?aciII8>$6#Y(BuX*fo z^pR5u+Bt#v`3&~rS)N-Iw`kA8Ie|w@_AHtccsZBC@MGRycwkXXzc1d>9{+;I;;2;g86Z131UBl*k2rqhvdn}p+y&Tbk2vn01R#^GsV#P0C^aO zH5G32n>bi4Vxf=3qlJ|LZAGgm2^M^ls#`t7V2OBGJ=xT5^&}T<@FX`m5N8s!$T&Pn zu+_~Wd^q9`H#$7SVAY#Pcrq+}vk1Qm;a4Gic*H*f{t@tB74csU|JCr1i1<_BPl5kx z$?x!_0LDn*GZNuC$$~m8m{3FlVNX!ysV45P@-IKGe3IXt7u8oAn(@9>OKn{s7&t9pO^LiF~@(NpTbcI}Wu zUas==RipptXVs7-h!tgyx0k(;nG(AeiEvVaE?>*JG0Eb-f+WN()!?lP#*{iQV;$hWU zUao1ZS5`gAtE|?09Zi$H%KEi{bi~6!e~z<>!VL(gcczz@-g<9yQ;pXNI9xSZu08^t zXPI7E{S>dFJ_=(j;u0(a;#$2<-C7S{pC`qY=_tP`UilEXYdx~+BOW;{UxeWk>{_n_ z^`~!&!jHmT>yb;akHGF}EpVZ@HIcYc_)&bsv`KRzXM!#1a91SfEyQqtN9LaH4(V+-vMrX1a882fSVA3oA_;Tn?Mis;d)(L zPwg}rbdcrc>nC}oHmbkHE0gGyy+P?WDc5=un^yHc@;oyhHcYwcy^YTw9Z5G|h_VeX z*d=Xx4f};e<96>opk)?xtN0gZruRGwsb^A8q@GD}s8>@O>Pgfy z!rv&aQXM-IAWjqF*2lIGdS-tMt@S9IvR8U zX~90Dz5TrSm3=fb_GRo5bFE59&c1UhX&n#wMrI-7Gd5SA-k4B%x`o$ntUO)LCvFsa z%K3ziFADJ+v46~KHfG|f?pe*N;g|8MjcUJ^mv4leV*%f@iQno;SIag&-P6LqZi(AC z3~j1?i~~-Zp4A#y2(M`Nutm)nE1O{@`3*mtkdIL`;Ea2MwjgYrSD&MCq5Rx6_DZ*9@F%+5KQ^14%K6C?}UwJ9e&WoXe!!iA&bp7 zSr%GWxN%y`EVTSo3ZZY2IJ#ec!gP{d*2iI00(MQSAM-HvM88`+tnqOVTaoT%i^hU} zGrep*=vcQ2v`G463%s(T$MBuTX=+uXQ3{{zl~ru!#hkg>p>evUFNivV13zrRGij2 zYzxha7-@8iE%RK%wx9*7Nn0fP4*EU|THw&9xhBwf#d}i!XLXsVEgCa0_eyWflSZ0L zDNN{2*n&JX-O}uI?ZLkQchEXu5zWg1OAc5Hz@piOxKL#^W)B^B=0WfXmce@u;BpdN z6XZL<@otcV)@aV`^4;$+6%lML#xMr3lZr4F0`{v~{J@G{c!S@rabc{2PdlHJmarMT7SQC)@aoDxj_)UD8 z*I+c|Q^-zO=6>9=s<-il$1U0|jB$Ez_wyJ*k(w!jd_3?Vc+-3LBUG9*iny52*AObr z1Vz!99mh9#1hkoedR3v#R81Q^YP6X;Qm=7nv$!GkN|;ca9X%zWopLqgr?%?sHNNoT ziQ|?Ooamx2sN!52%T1te&O#@)W{J+VmRXjO?h?yR#Md3n0iDwsuGy;SCaXrEblG-4 z$4j&>lW3iDTDSXoUW;`prO_UOK0`VYmT}e!(7V~X&em*w6TL#q?Y2^^FT1smzTbhl zLyek&C2nX#RbS=8oS>sRv0KRI5|=}InAHg7bgifp3ZoF#z17pMPUvY#U?*S&q+aNv zwSAl`-7;U4#U)AQD27Yft+te-9H#zqSfz4Qp&Zbtz;n>5fO0TOcN-)fH1KQKRw@Uh zwxsr#!+>vEKFL~RlNHXjZnLeoelGRo_pB5P<=FDUKsk(8EQj&y%kf*O93094-K*Wb zmIdx`S#&h={92I8VuDNF&7v&BQ5GG>odr@k$}#dl2P`M#Q7IJe&ER)5T#Ho$KhN|^ zC5S(yovJOGCu(8*x){&-wprGVw)?GzY;Rk?>eg;{Nw|YDb!;}_K3r-8^j#yD9j)(h z3xGEn)B;s%@KGA99M#+tkZSDNlz5G+UEsHBF&?-1VbQ>adr`Tp@p|YdljZ&zPa*d; zJTwds;wPggPXf;$G4B%6( zO1NZNF8eNPYA5n$Ua=-ilF`URufgrWBFab47dBB&`d29@{o|H%wMxuDSEIy#IQUP< z2M~WrJhrp_T954h=62FcQ3*+twL7jp=Lj)AWn8vN`#9aZ+v+)>W*%XC?gelCPYt`_ zNxxpjSyHm%rMZal>*f2bzs&Ut4eUrZ?HW zFX8SvjzZS$sK1(b>S>GdnIJ_O$hemb+h&YK3FGqbu5>&6VPux_RI8QLRhD#Qqq zb)4LDejjs-kf^_||Q zvA4n1=XkRuIGGZhxCoq#iU#i=0Vln}?)@X+q*Y8o8IT@$WdM$>ViNMG^JZ17@cyv^ z`z_Tu-b@M3SP70M0w=ww!TS{8q!rn{PXP{BGzDcx`l87wE7BKD0*_Ca1taqIY^)9Z8jpk&hFg?I9a+WUIXA{>Lz;)fHPJ%$!h?d49r8@)?qIPanp4L zUPDo>_dcv=5&_3RbziX*FmjN;-P^22*?|w&1l)lS)oBLs;i_}I>Bd6T*=CQ@nBz^8 z@JaKk)=^vOu}Wvv+cCc$d44;1L+sp2eTjX7XpE?>9aM)OQ6EtuQ6o{MPG3OWRf1oi zFuixt^Wc2f5uZeKcscz=X)j811GKgVZN@Ya{bqSv))D;@O-=8e26!ysae$Xuf2Tyx zWAP?>&ZwU((Q-Q8M8j!#6YX+%6Fjy)+nco|a}d1YfX4wI4|rp%S4i+O@FsZaphfB% zX?PPnqD_LAg*UjR<%$z{{vuF2PI3o8YCvt_i{8@FsX!coV!# zya^s(k?kG3C1Vi0eSjweJUQT{7cGiX*Z@n7zf;39BAHh)60}s6AsIPRqWfj@Tn`oET%z%eCtDEyV^EjS3E8@o)!6RjZM>6q()n=0wm0P#lTC68+2dvq30`7U1*KDtAsX1A9 zpeDOssI}FX*KV(GsXbYLpf>wfVWI8T@`c-PZCUu`t#ZGK`NzVM(7E$J-EzxF%W%w9 zDwom5X5&Q1RS%t%6_}?8HU}Fxvak)D-zk?c{wjH5ZP^mOsIvCnCBLiv+me6O=H~I^ zUOIU6gyZFFJ=*)p@?D#M8Wa;l`d{;eM+@F8$@qk4SP|I?dcu=j;fHOB*Ci{S&-oMi zm%L_mG{mfa&YysglKzkqgv2AHR0??osY(H3xbBtC=fHbKEe}yR!M;igj~fy`LJC(8 z3BOtjR}BeIk-~)`;UlGR<&bcl6s{N&evK3^9}=F5IvIsJ8C~>*XG{f^Mb;lDS~EOC z2GA|zZhl&Vy{&_Wzig5Q+zy1y%NRj=uVR!>J$t7k`jtLMe)m%L?aA4arR z&r21po|lVSJ%2W~dj6uL+JB|f=PlFqdMy|WYmCtli?P=`!|3zY7DYp56!m&bi+tXN z715B=ie7I?h0nXFIvP?^-Rm`1`@DFy+*g(ZZ=mCnvm|S{r{bNZzl~nGw;p!z4<@*I``J8s#B*F@s_v=9Let$V z!%*AGmL?};?mZjI8uxFNpcPF{gx=frPE+0n+}rqG7@GIqJ5BlTl{FstyaKnTgrWI$ zWsUDQywfzLuA*sbdl)*U{hg+1?KO=DHwaAyGG3u++SZ!J4|cUQ6>Sxo7Rh*prlR*+ znih*8;!Z`=y*lbki{;){IhZ&?c!>}DJXM3G_pAx~Ja5bInP|Uv^7_gUcE{G98PN z=b#w3&-20HOGg0Rf4k4)8Qjl#@Mq&j^2q>QF=sohp4{+e$2}LgD4fOBXc}J9i1$
t1b+ z21M$e1jz)X4+05 z;o2+U2_jr`1>7XU)mOk1MY!q;coKLr2s}xK{+W_bby4=D33EmPh%|1)oZ$hvlrtP4 z5@*!SRyC!|(!J_XUXL}Sa#f3M4_4VqCX~9V6~erd@MpvS$JWMJ)7Hj*Beuc@a(OJ3 z6CAacC6X&u@}7wEuhSxHSQXO zeve)0P1wDb!Z8TPA{;A+V-fC$a6dWR58*h35F;RHFHfUpT+lN>f7oQQCu98N?y3E?C;oP_Woga^stK?o-!oGgcv z5l%rk#iRfiq&lYPc&wVCZ*Z$x9+CE~b4u-Q|0cJ=fv?y{aIgj8I~q6cDq4)w=sFGb z>M;&GzN%~pxstZoAFW%RJ<8hVAGA;0C~3PCa(cG{|#~dKCCVo;J0FBOPC4h5~nniolm0 zaBKR{==FcgSKNC|@-U5G~BK}My{=5bL ztn1;=GsGXj4S=_tT5%3%MBtN*;m)3ky6_1R*nv&30mFtHJHY}S8s|as$p)4R8zk(# zIBUS^1~)12-hVN@55bxcXFgWa`%vu59KqC#m^B+i`0Q@Ey ze_{mwq}zM(4~oE_ys{U6%0I+!9ZRW{V_vK+Z`7H}VR@yykSd$1O}HIQ)+R7d;yeg5 z;cHHD?YVo`u3!B-=VdY=7bDNhjA_c&(RrD%O%A|&&&yl`jfT$4jDf6;JTD`Kd(X>A z;okEyQn>fL423U0FOz|JJMz4Yl&AN+%os@X$n!E|M4Efg%Mi_x=Viu-v`gn@#(?f$ zI4_g4uHpr(+zk#xy2hb}Z-B3*Z@!C-`_*Jgdotf2pUceqv0BIJM(vxhM!`1+ORmMO zKsrEl@Dajr7qU@R$~DKZC#o2}XZ~?Hd+x`;VR#`G(eI*qT)Zi1f;IKEq3>M%d>b>{{4a9236WFmI2~9W%D@+KUc297toB=&!kg`% z0SwcaqAWThugZIQnK_|?mQNq1`WkC`Z$6?LYEZ*IOx>KzKT(z8V4Hso%Iv z*kg&WqR%5%L}liPTwN`5RWFtr-)%0YI4;BN;+kXG4scm&E8ft#i5#{i1F@ zB(5U9_#Jri8F3~$O?~2BZ(i%kaBrzph<4Yh{=Zp~4}M0e-3nLt)`pe-h*NS?{7GW% zI1!Ka!phb8Nwrmq8{$1Nj^c0WYvreKE0I<_TWcw@Cu>Vht{(#rbefB-trHh#m8whG zaJ0EjHB`DUAtoy7>VY*HUyj}s)ZkX}jm%x8vcS*L^Irv(?w&;@zGx%(LgNoU@vh*?Pya2hn7wR-z2+Zt~tS0cB6URXj^Hs9}sZpgo z%3+%#M&!^R0|lKoi2a$Q=T!gkEa0PFu1q*%Z(SmKIgTxgE#@X{uz%{Anl!}A-SBx8 zKLYoci9TSTbUK!Z1Qxqb*8TYisHO;bNjz^hsC1U+Y8{eCQ3WuiDTVqx{Q#8`e4Gsmq>$DV) z$9>eiC$IJNT+|o-%)mG9U0+Pfq?Wcf;fs*uL4gpsZNIc$hX3zW`2Xh1>?@8ioC_ZS z&)A_jyMGS6D6!}dTepsbe-s`3U>I1c!57Wd*7LX22F>oPOu3$i?XTH~I`(<4bxcBN zm3@zW7j`)Jc}$Mi;D_4e*ki8^4k_E`ndsQ(qx;t%w!dW`h4kYb`+ZD9Id)->^mQ@q zTjJl1_fqIaN3!XQdn56ui1>HGs<=l?C*jxMN1=&Gop)a(_9&#zvu{V-3d}Lig9yr6Xkt~n}N{dN2jz_BiCXvreF_zozH-; zbA%o`3Qy;F-zvt~_rTXVFNKyIg{O1mIWERry9d6`fx8Z&`A6aDJQbeKV~M`BJ@9po zJpB>6{U|)0Bac;#N!$Zp=fItVP$4KNL!RG=G127dJQkkL5z2+HbcQ^;#TbtKoFnuR zLX(cd%lUG6IiF!|3%}wemAce(L0>=p)Gy{!Zv}55v?;>7=*n7t8k9Rb7Xc^aDpnNv?5HnVCW_3ly!EL3MqL+(G=WbhHhHuTEcX8vHr?Zxz zOj#;IupX3P>$i)rZNIUyYWS1z2AMD?$kJxkJR5wF6;-mK&V=gUFyR>B(*e&H;oP-r z?f#>LIgBUreS4|7h}#rn;Wu#>#U}a=gxmRjpsigh|4O}?yKD>8el|=SZu~(?kE7X;x zN=HT!_a?UqdJ4Y6l-jFH=l)l%q%|}4dmx~^=|q8z_ciSJl!i{>s`gzuY_9Nu-CbT8=2(if38 z1NgfvOrM*+h`7w*JscN?_rh?>$bdRnv+$&MhId_=Zp-Xont{PDjxz0CJ2Y&5!}NRm;1d*zl|xBl zNH2%_iy@^P`u=1eZ~XqWMP|^SHn*2&@I<;7OSh`qI;FGCT)q+a@d~(sbvQ2P|!OX zw;bSa+Jdwt)f$`da0yXvZV4KRS}Awh0yg}s`aX}uZcf9>EuOauMwaGGgV%qaXLye- zi`^o{F&%t}h;aqDhmqku9EY@sgM%mJ`!D!TM}2$Z;C%+ZUO6$2zu0v*!+SWNRp3Q9 z!?PEr6=AwJnV=P+1;WQJ_=9d)#qxu=*{C(%iZWdA#Zs7$wKY7c!6z1rvsx&mFn<+H z!`F#=O$NT&Qa}G);B<&twB|$p7<`b#U1Y|M4t*IngklZPeuoeL&W^08tVI{)ThD3si+d=3N&V{EFz5Rxuqnq|fH>0E)K9E0HaTWY2Z?aDFtL;(bO_`5@H)R$xz(M(~sXC{@kx+y@*B-jS zTUO&6SS40l`3}VmD$F3`aZCGE4Lna?ilR8Td6~=XMCumzqyIW}rRy+uHB9gW_?HWO zYO-UEpiwACrg0%#d4p!z^~=0&at3Jp`~2Cc_@cs!c`3l zF;AJ5ak&p7H8bPJ8;9RGS>{5R`tcI=;~i-t^-O>d)nF=YIvMccrmWVHM{95ofA!WD zW+XrDsMS1%cajsj&0=^aMmM84ee({E;hp00{D)nbHCS~$8|WSi8XjiNaU7n}z>u}p zSzWJ~x8)GRG1c|j71hBsw_-?jz5d&81D|zIX&sfJZ^n4RDi$f`5stOakC8{Y9O2mo zf_oXT@OWwwURhnQzJ;)q%oB0Mej9kbJI}wsrEhkMcT%~}uR+Of(9YnUDvpPjyKV4- z=YIJwgo$z=&W>sP~@-l%PA;J6zUyee7~;KRJ0{dqMc16y_of89u}?9ZB$xRSZ6&$|_bN5WcN zuQL%&%I^RTOF%=0$OVy$mMd|@bF0sn0mEw0&tlxes7DY5REwdYKnWh?iabF4Li}dQ zwYf(#w}`MIZrq-hpf9}GFn~5=$ zjj=5!+&c{OL;4z#p8!z|^w z?w9gV`t+XkYlGLY0)e@l$e~HSJiS`>njV>c9MZd;lzwund;CAjks49LP0VQChV;E@ z$9vYJ2I}@G(Is2fCE2gsGEHXUpG2QFVHRzR`<@LGnyBG5; zzQUioKezQbz7%GxBxrRx=bItJ{uDA7!K0p=A6^o)xek%Oro-q|0AG}~)w3Kpbr_ur zJfk*c?!yVSLvuCrRJF(I`M(Zd#1Ce}s=f4X9!~zWa9h^!nZdLNy^}pTRp;i{`fb{@l?Txbwb47fNGRWPW^s zf26V_&^iH{R+@pg%)P*gdIfIP@|TVQY!ojTc|`jg^FS`N&}#ny_3%Z1zQ|T7YpG5KPa}Jiz1wlIc}nY7nH=n;d91P{ z*!*Sdmzkljd;?;-(yu+~Tta$-bHQU?NsEeR>a?h%mupe0S{@)83@X&@ zDRWqRntP!DTB@W~L8Ils8>==Q++n=1aE%i88I-O8_srlPAZy-3|6O)X6xZMwklCQ- zZph^39a?lZbQjM(B zEXR4+FwpRDMkZvp6Mh8E&IOzq{fJ?0A@;^8t*4j4|M+zDP>u~>g`1|B2Iv1-Xz)|j zhw4?2d>8m#D8IGSHKlby9PA@m)RVcPpp3@mn4u4s1kJ9)^}69d&MgUMxJu4H!OV{S zJ@+AC?tbKOz2c#J=6)M!Zqp5m-D!qi61y;N^SAIPt0(P11w2OGo1}YTp3}yZ6|@*f z(s%A(1;=C6mVwoqwc^d-jjle(Gdw89)a#1A4Orlllr$B68Nt2{Bm|=fUz8Mz4NmrV zvONEs1)ODi7Oe*{6DdLWvXWqYnN0OSGs)tN!@(p#GEfgr^9$6kAnKoKiykUde;ZNX z5=2?INwkAfPzg%wjTKb7M6EYa6Y4uGOs_ZaSqN55M)P5TV76KP%Gl4LV;Bd!&#z;^ zQ;6B{k?MNY^`FemS%7&2?>fvmhDV;9uNq4FnC@o7bI^VjF=pKxU)A>orQZjB4C=rG z12~`?*5^6n=I;ZigL$nbqMZctK@ZIhvm|q&7wMJF@rw9V$eqf=^*mZd`N-N2593CQ zpXNOqEX?@u0L}O%!RfAOw4UJ+lm|UqocqO_DYSFpqp`(pm2_XatA!Wmd)>~kMqJS1 zw5~qSDYRR3J3;3|(Er-ai;rPmP?b3sA49q7GRUFVj@2vY9IIFJdM_KS{yi*F_&$^w z7;gQ-6T2l$%MUIAMYy4&%+{de#$=`oRz-T|7x>!1)?k2k!5&D+jLB4OMXN@~WZD|E z@YTSKN(;uwevyh!&d(*MyC^e(V9u0 z#jPH%JBQDOB*rexPtNZH4MDUCt%T5HzYo;5KO4M}Ss`&Def8j!j!Oe?qKL0}>X@+G z&6)Ys5~M1@9S-KA%%asr^De0S+591r(6E1II{Tcq_Jo$7u4r}_FkzkBn$3hK+$CPb zjXjK;xytNIPut+JUdr`Q4NG0>b(*nOGQ-9LZzNdRj(UbOAD33BlUmz$NRWX&5J84S z_dw3c5S0u$4Ox0-U$$RstM*%$Wd}zkalkFFy}3yj|7!=WzWMY?t)ElQr1gM()B~&T zfgR|9z1st;lwl`O#_oOKnOqZN4c_fkt0-?4{z`RK)u6oqrF!$V7Qv01H%LNFgu+VP z%xfJrPI{HX|RG zHo1mcuA)`<1~dvyX8s1wmM)qkT} zdy$b%N1bk&pStdRKdfY=8hu=^81l~C?*h9*x}6zfeSY8ed_;Y4V+f;LLNflp*9TOf zK15Gm>ykL_MJu(Dl3Iuj{bX3l`T3X${)C!jhiL4C+vA^)kpEAbn zT!`tV{ap9|b=vd%of&zpZw$DV_6OB$XssoyHD%tq^Mk2JVZPAMMvof$alLBxcL6u{ z?j@O)0hxAB@c(+h(mhv)lOdeNig^b&x!@ktI7V|2tt}<}Ww@Dhwgp<-!#>rGMKO?D zl^0j8iars3i|Tn(_PqH{;vP)t>_I8bIDK0`b+F;hzXo*nnksNowR0A3$+VzHa9l=| zcsFLeI~IGLrvp!R?7QS<%+rC#I|v(>hI|TLO}uz^hUyW?3{%?4@>&2G<-kZ zx1(?CDA1zEK0n=N#Bqmk=MjhdrPS$)8cu|8PMiPR={OSsEjG~pUEt|+qcYu&*qw^h$S@r8lQu>85F zsEn+|_%pD#ZZxV39*a1*y6?Y7#j z$h#nIHlAf1vn&{H_5VI;L$E9c=N1%q7Qa}0ruh0S(~99)S7%Mgx@*NtD<))}T`@K* zc_l(C$7a2R=UF_He}nLE5XSQ?p2?3Q{3ybBp2aiyF@zsO7|*kKCO?kw;|Sw<7SH5W z2(LmI&r^7&jG3FQ@bQ`hwQW_UcF@BqYptz^#J1`9HcMQ8^wy@i^S@V{KNg=BYjbth z@c#p~35m6N_FJ3(F{{+Ge%qGszwc)BEOkb4@qUtttjyjz%&7eX8;(|F(6dsh2fx>G z8upNI+cMZI(W=XosoY@p?CHQYUHcA%_jV)U!@F)3;cN)uii|OJ3HyI3M>IwX!KKoB za%?^w;JVWG!|$O?_t>_K;v$*ee1CQZ(JPJ*ncjIFQv2BaFNry$K`u~lfK-^;0Xf00^M>2W z;gzf=BbGd)x#{8)yCsXsO|7N7CCJJi$SN7KtOxS23@MT!r%!eVmK-4bz2)`qh$w&A zslcgD+!4Kuk!hr89#niRt;sw~ZqjQW* zxPLW06*YbR!Regv18zT{o_i|rM&|;v8D~nI&T9V>vd8JCwYmmcTc3iB^ZDgiV`Eji z(!~v~_J6H`ZNO3zjH}o-cQ96@+6Q1susG+dvFAMwhZswO#jvo~{dqqibd`3y|x42OvV>`6VjK9@uxgQgvOw3ov(iU_DCWhj!7p_(# z&)Q%XTN|8G@lh~$+eg8vW%aedXni&KBr0Ffa`iIMm}+g^_JH!@yN{Lxv$<;jsMrr% zw+w#jd^C##o!7+_w5(nBYH(2uQGIU=a>pDF+VE__O`0uuUm0^FVtyTyYF26mo(g^sh!6X1?jhBCL1GvZzQXiqa(Y%#H(3P&lq9+*b@6 zdcqnp+&i0|jhId2i)b#5FQS=Lb&Nv1_}71&nZHe@5mNHcN0@l~^|d`Cj8f08jOgJQ zVK27P2oTqq5#wM}TSO}K)LS|(9|vnO4l-O#fhVBv{1LbA5$`_s!{?~*Am)L0#1YMz zwXSD_Ytb_wg-25bcXukCp)_{zMvNz=8McGu_5z{WdYy%HrG~t2 znG~mML~XPxrmj17Z@Iz-1?4-HQ%%V}q5JFC6Mkxb;;XGDTV z%DYdzC#F*Jcho4yUAO)RUH&Mw`;HToSN(#%S*fQxm0^$MG!82$e6tqRFxJc&UdVb5 z-zl7b8}xH|rMX$~NTH2t=2(SD_k<%U?d$z1?WL{1ay)Oh)I>G&%6AZx;2wR%UA4}h z0*HdI(dDNlxQCqBCPQF>Y&dBqnCV0r!5qhH4PHIIn|aou^saWO@XklQ@6b4IIk(-B zf-g9E!;Eu!cz}G?(Q|N7_{yJhK;yGKu1^x(qCYsJlZ_b+;I(cC4A zR?dBJ(bD^`y6P%+N5SM@n;m(M0`r55?pwIrJS%VN_2xxL21QAXo4NGv2hGbDKCtjX z^Q;-SvR8e2#&DihjbE77f|kv&LxySXZkwC5JtEc1Rdm|C6la?aj!?^zJ$e2K(&<@8 zU0_T*&G)g6}%ZSM|I~w|l(9XGuu)4f{UuYxqSDpNKyg9A>NtIx=3vd?C@h z8htz=m4hv5W0%cubV_sL1D#X-JH+(}ZsoIL4=t<2seAxCVp-S`%W?nzcbyK5{TC~`{c`%~PMoFFKr$^r&(s1R&PT@R zxuLqZV1XCUO;H*9iuSLcfO|>~i1(D}635Vqf?K_T^`ZTx55x`M{QDkAHouOW zL0%6&Sk@I7-kDm&Ip|Iw&N8^@4<>%3{s=v}k(v|IQz1V2k&t?o8{qeTL$f0NqodAB zn}3K=;=_RsoBx3f@!j%M;D@d-z5f$huepNO5hAU*eibMUQ(Mz;9uOm0v;A^CC^w)@WxhpKkn*(lq-Dnx=>} z8O^;EU1-0&<^E+qL%V&1Gs;rm|DW`wK3CAA6zM5wS?}tlXx9}K{crZA54$e!OYgxy z{XX%|pkA7s|52J&TtSmdq>1hh>ZNJGf0U-Hub^p|NE6+a(@WErZI}0^|IN1hvGek_ zJKafbM|Yg`(zND3O4A>%py?TrCb~PPm!|RmHceCf>5@fn2+oW}DP3;tYw=N^VfMHA zcgwSrCpZP3_Mz{nah^Brwi?`uz(^aW8xZOOk9Fnv7g&444_$I2LS3M?Bl1Rsy1-`$ z_uhz57dX@rz7av`#JZAJx4>)!=IOv(E81q2uAJ63@!ndcYl=Tjq*&={3mDql0wY44 zIm4ON>J*{4{QxMhcGvCV|e_s)~wL%|keNQB<=utw#ktwl7Y3shX8at;gcP zE~;Opt@eksfxw0!Zc9X(VekV##({77S$jlAH&aPUsMKRps!2T}oRo&ZRFcXYI*s;=p(;D(C?+xteaI~sKddE24{LD-oc`wo)o!#9_E#Y_=hGWn!h%v2^e&}IC^F%^?7|WH zBlKJ_LUTfTD#Yi)5r03b#R_77q1GZ{3S*&XzF$1wgk2*ES09(kW%G{{>&S$Cp~?QI zW;t4GZn690ZUsM-C|P401`Q88w*@#!b(8xOobj#;xH=zoI$8}2Ci`b0Z9JVH>El<>c0<;vB7~ZwX_JNC8~=NRi_=44LeA;z1usu3o;*rC#>&a(io%jB#@7K5-SQa@t#mh_Dc>`1$_D68$cF>rfHO z;Vo5sv-|rl0BhQ2yWZqvVZW5-a8`Dc{c{C}Np!&xWN0C9c{ zd+b%+;nSS8L4o1^zguA=#Mxni-HubrXh|)d-p!|z=i-h)DdfuJ))r|k4cf7XVI^!# zcp9hNIz>3`ExZwTh^&mtf4moRzfAeE?tivt(z~YwOHvMW>nN0_VMABYzS7O&zLL7~ zm-m&1p;vbA_uYK8qLxcjH*@ONn!3P@?qAwhQZ;ibX;$6&-$o za`35#E^sy;tJaE+W=K@n3p=Tj@bTZqL8z%iWfnOJ72^u;bE8{=aw@9#iA z7srUA7_EE@zF!z6q@vw5?GNGWg(QuI8%bXEfuv#T1#baveBs#zbIDWc5Z1zQu`MVq1IR8Yom0M6U*xY zj|RhImla{e@`%&Xh$Ku%%Rp;PY_SG$)eK>Lr`ysGag6+C#5e%K9n*BvrP%@>%=s9v z3dAa=B_lLpy|x_QT=5%$GPKL(*__$4-ahIev4rSFYAL zcy7*zMTFySR5vTtxehP9qu;UmHuw&OcZK{+JmD$m_Kl8f;PnYU7V_B$cD0|jziiw9fgNBZ5QEY#BXlw4|snarI*435FQ|=lfnZL9vBghM>xJGO!+o9 zCM0q(t@xqL1B0*!0R2DeJVJ@|acpVX4S zXGjyvzXpEyO29|umNDQS^T5B%?azUK;kyvlA`A~RfW<_>VgQQ;EH(lb3s^tE`bEI{ z0Tu^XTm&o*u>OGckAU?DYye;bB47gm8wl9I2-rZt;sJ|~fW-rr09ZlY>`UXXm>O$+b5@9~8%-S@%5Z|>_}n0)_Dci(yc<9EMw{|9%Uy1(P@leJuy?p|{i zKR^FoMY(-C+t>k3jObGIfdQ>Crqi&Rmk2%Wwj3`pLjs_+q2oMG`#& z7Sk|xR#e`2lMcM$?D6nU4$m$scy^Ka0gvSWLw?Xb#1cPT>3^&Ja}(?F6gA2DNL=EY-e{FFKj%F6vjL!ntO; z6U>^Xb@X%I;xynkD2t=aS?e?wk=|o;W_T~f`Rhw?nrivMz?s1YXD(C}RhryIDI(6{ zE$-ojGsSs3?$t8GYs(hrX`FB;obk?Id6`Jp_3|@Z!Z(4pyB%+bLsA`vUQ%8n?(;%< zMrN@s8y{Q8@D}o%4SYUn%8cme8Zkx6Av%ZYpSAxJiMm7hn38lsttCEJBM50nWrwWyzS4IX>^O) zxHP_oN;-Zn8s0j2*<0sOcu5(0w@&mI{dC27M<0h;tl7zcsvF){aPssG8)>fiap78x zMU4{0dX;zoXX~ZDqF!CW-g@;BHLP%3ZG_*_6M<jFoBb$AUyCH`Z7CyMyL3y}TUrkei2C?oLA6g6wb3$wV4G2%%r zivO_|`nR?&P!W8`ck9(BpjBf9s%@<~dhS-xqmHEKHj$R7>rSM!yMz1SF?b7ZSLd`6 zHEcNDQ&Sgs3bb717!pBE_J}plMj)ng0nK?fgJQD*G;Z<__a8fSHJX5&GIA3dY+E&pBlf6G0?!q;x`_@Yw)`czZ>w&#BUsa z*D^Ny{(J9V`pEs}`<5ysOT^R=K3_8p(8p_;nP@``v%$#9ooP+&&xiIy$Zj%zJa!a z218as!zN8+-U{C*NPWv#!a%7%LF!MC`j#;gu1^?ZmxpnE!XuVP?6QJ})pKnP&%_io zteIzTD2pv@q_2ra|h3}Qu&$tZo&+k&Bt-Cz-yE% z$L(JA_+yX$X61_FM;?Cop$8vWzHFIm>HYWLw`9q^ix)2{D!S+HyB984Fn|8MxpSS) zyY9O4&R_p}&YU~$xc&CqZoBo?TW-1e=Gn7n&zd!J=8PFL3Ja%CpI%TS@sh-$oSmLk~fE2dO zW?0EEA~G8oMrPa5TeLOj91UuW8Ql4RJCIY(^lLWvJ?j~esdF%k`Iv_qd}v_NcR(*L)hM+;Vtb7e9_MouLB#e4ySfBaO(Fx6uOQilmb6|JI=Ug&tcq8 zalNzE@q5Rn^W&N3(=m{Pxt?(inFhu2P~fMoP{17$P#gBt{=y5IpbCZp z_sS_DV@THY$Qg~#pm+sx=9T7d#WRqwOnBS`5G@jeaS2SSR(PELh%f|PO$MS4BbM~msByOVwDX_Q`% z^vOOA-Um*O_vy9PX2#-u(fV6`Onm>HiRzD^`bN)ZnRh3~dG`8XIk!-#HL;*!VAk*k zWp-GqDLe2@F)$iBRILMi8iyTHO4(uP9N;tHJ;|QvQ>$L%Pj)s@i9Qri3 zKC&NE7&Xiu(x5^s$K+TbErGKIG7Wgu%`rZWEz37BO9i|!J_Fv9AoF5#Ql)f$IbBcr zluj-`dvQFCqg>1#ktMovh|AZb*T&c_4LnMX%NYV}e21o4#?}(&(`4BoVR>MS^BM4- z1R2pkXQ-6!Vq~e=zJb}4j!Ml&tz#1kf3ehGsAaf3e=H9Ybx5LmBdAUQ zb@6&$_^5;MN+k|LcuW`Zffo)TEm1wVU8*6ap&H%?e2I8gBA#mStG4^R3Sm6zL%CiP zspN&Nz-rRNe`_P#&C34R$WWR|#xSLm!nU82#4y#97d8M}Jg~)yeBp&AP?)5j*i#p^ z*a9gLby+6X_PU-FQX54_q;Sf$jg#AGkX(K>(wIQ&bg>4!pcLb!HX}NTp7su@mN%gk zqyFSiQa^{1H;LZjM`z9IutZrAUKNg!o?bCtdKV^X=EPky?6pldGUmiDoUd)toC%CA z#!vd!lgIiRbn18T+Xp@SWBiPJXXZ)cO1)9DrPLl%r+$H@!wO}A53h^vl)fi8^Vflc z-3NbG_l%*B-p|QfZI3_gz(~`c`eUP79~ryreS%1JoCSOHB(Xojw?M}vFGlJN^$RaI zubLN=$zc>ukaHRjmN(vj-Z^16ePcZ43}GN{Nm$?{r!gaZu?a~MYI{ElG+$AR^#3RA=-)-*hn@(*aP#K zFAL|Mr!UPvQ6O|$D|}gfQ;$?MeX0w<`*=oEwXPLUXOkIe%XGXjt(}7nF%GMcL7uaX zqjlx@RW{j7XB$W9wBYb4T@dzWOOs`T%6qmk9d{RLarcQ6>4qcCaQH6Q>T+?1dU@ke zlNNUzooyUqlEQ<Q| zH6@OSI%5Qn;=qw7Q1>T5r*fm~U~IFg?{#&whKs79cmv`eL;PcMd}&kZ)&#MZJ3FOX z_Jhr~47J|gsd7m5f3Z{PkkV}KRKk9%8m+BWj>2=4vX-SOJv?eWsH>tWPsV9yRX$ta z2`8z%1hm!A)iTy;N5vYDx2# zRaYz4nyAPF6?vdSdVX~7)234Nn(ZjZG2$$KHr!iP-k1$+sR(Du;mi@@{Y`*b(1$pb zn9*br`-zMC09JR_zG)t+Z`2V1JpuHHTsU~%g}M0lgYfyGxPxi2Mi1HaHI!N_rqJ5e zu@P^ewkFihgud^_{aZ}zIn-;KAahUk{mg^kq*HzKtoT)5(HEVKH+`u4>-E$pv5Q`v zy6ds`@U-GNVf32|5B_f7@rix+#(XgM()hf4G_rq?>z7F*1j|8 zrbKQ&k!ChS4VM;O6Atld1K~M<6DGD11`c{XJ;SjSlhGzg(lv;Yq#y1n4@t1%UYJx# z@o$Hv9x_W3 zEy-${8Gi$LYt+Bfy!@^YJdz|e9_*F)OAt#En4~jF;5RT=k_4u?n{v+yW_Yj9EpHsZ zvBaJfQR-j2IpKXsZxg7HW&zBz5x7jiwNA!0AsFo)0jgsn^1p@rFN!k;FD#Fc@WzAY z+~O?tPF7^?TNpI67Jd-a3n*wHR(6>#{c0ktYFH->u|sBlb5A^S=1Vz=uMkQJ&$x>PM8vcp4_Iyx8pxU z`;FI^H$E!n=7lGrKNw{Fp)a&D6XG6`dkg8Fj{qy_t)xj(k2~IpZwRk=wBVIVX-(p7Kk&>kVaQAipAy3qYzHbjDjHJMyskJmeMRT1j~Cj2P&8fi3MA3>i- z)SJs2Z_&?rJFKbj!c)+446<%3X)nBx1g#_q^)8Y1(U;NRXq-GOYtmag@J$J~v}Kj6 z#6Ij4=TlDSM2(r9lg1p4F{g|-Jnl$G6)@fsfcMr=FW08XI9;Olb@nGMETSt5fwQo@ z@hZJGi!e&qr5gRUt&bxcEu(Z$I28CfNHZxD{u%;wvPaf?segxcChGE%-n7nIm@)de zG4IK0d(YUQSYcAt%fh5@uEu!4kN$P98M!d0e_+xYIn0<>)Vm(OamBTNzoB6wEXX%e zx)&c}r8oX?{o^fHQK;viReE!A#=SU`kY6AqSm)=lRMN#rH!HQzI>9{6(7Z^~g7&40 z6X#x3W`qlMg_D^8k5_=2 zo7}qJWGQ^B6-RLrYszHl9jC5L`IBuZPYiQzBc0Q7HMub#XVXvDaDd7oJxVHTweEfB zhGxvZqc&2tq-ouWnIo)EQtJrGt6v>-qxt^%6aU`z&`krE zkD25|8!o_aoYa<+8GC#hW24qlYH{AWL{Fp{xVkxHt?sL-rILEXBWn;gN&58CPKG!- zm$I~>X1Y-b!A4JYHXfvS2CYLnv%;*a?Y%KZ6G186+zZK!S|$TJwv-2YkgXEBOOjYy zXe#WJTGE311$+5=VjuFG*kFYdb`5(KII;t_6-FM`bE?o9y1J#p0L59{udZ;KNef46 zO1V^c%wX_+H^Y?0BWK8J6&{^V^ov##@B?BKplQ6&hF#_9zq4LH* z$g@Oeg#JLe?v``S6S1+;N)O3M!f2|Y65kf}52-|2|I;i|2m1`^0dI-3kwk$BxU7gL z_{(h)e@Q2zdHC@NT7J4@mCFgwVAe{|Q%R4bB(e%o=?TD=0E$fdWw|8#hsDzz#tD4^ zOVQt#d$_q!sl530kcy@PX#Pue3RpXsumkf%3UX6RwF6s{etvE^1z9_ouvwf*q!jht z<1(dr=0(tyq-Ue1oGEWCjG*;|IFoV0F_8zeF>4J1_C#R+0uZu}Bq2<6{RVhxX7L~g zwao)!IlPc9b1ET%Q_z_G>)|!H&m22p3}Rb znfH6?T<$RFerxTz(|>G?g*~hUBR~gjO}kOLN5u>6%e%Y0@mpwMIcL5tC~y287R?_v zQflHFwJp0U=?rWes6AwXU0V~@VtAZ|#upi!-YfMo(g~)>yvh}0;NjvNCp;_aVv#vH zVMF_+IWgWu+X*K;CdOcv%Gh=8!`vPA6ZLx`D7HXYj&Q=BuJT4tqNLT$ZRdp7 zJ2mL}9P~sQ$tJYnOQJQA6VlMrsqIMrqS5e7*V*8wFH?hDY1cMj*Iui61`u%6bmJvbNgCdAPxtZG392!RJ;St><2g z&iXAuEzI&v)`qN@%4f3qlM3%M+1wGpaFXsrq_Jg937U;uGQM1AhfnicSTmcxwlBZs zOugbBH>iqpbHX@Lr_MkdIXcT5XUQ%1C7_A=@qqpc&_Wq{2+(+V%EtN>(5W)?T~WSK zJ4s{oZs?%I)p_FDj}z`}`wIRtm{|)AR*N)J4~9KJ=6*VsrF~1PMM2K+ z(T|?wtuXb$p4&EQ%=~$#x$o3Wq<1NWQw%@gQe3qm;gw%?SZ;vrkg+E|{p`MsyME}A z3;Dybu`*1`0qsWAW$_ekzIaZM?8R*pVGNFO=wk>@X2G!Fj{C7(o!6)lql|Pan?)2`rKF-mOY#h zjW(rGG*;XLp*1P!19d66+wAW{V@*!PZa|{?)T{7C&tZg!`vMW`!lo{1Ux4}+l|(bq z58|3rDuYTsEssy5^drXd|+f(7z*-Tzf?+y>V(X^L@m^ zwhvnAUMr~CKuA_;&Bh6Kv4;00E0GTIJybHGQIrvs{#-3T`nnqB=%gC;=p<1Ob-_v! z#$f4qwoxbcY$hBPH3_Uo0n^H`&qO@E>7x)Q+0Gec6u~(m)c!2`2XTX8zlCH|Z~A7$ z@iMMg0XoXodVn%HujXMyxmWG#xD2w)fD_-qUZPJ^)=4=et+j)u)bnMt9)n zHhKLQq*$^ls46m0D`}0)3Ga$JAI0@j@&;fD`ccqLq}?X$c;_yRoMB{VsA zy@>gq^a7I9G#}C456K1!Kh<8|Xo=`yG`Fw8Y((kpRpdUc%Nhzi>A7}4VGZxFx(BPsNsC~V4iG3;*cn%UVTQ!uE5ixbs`j4+P&we$X`(U(n^5*i`*AoXT9x0@GmL%|B0hm`gf_F&nw#bq?k+XdGdPdVA$>_r|~?RB)v(0 z!~3jSs}zpMvrN{R2vQ@%`f(3-@i%Y#a(`pcxtUr)7IE%|?Dve`Z2cH)7$T%kO`fcX-NbyB*_@vjKLWAg-GH#|Xi~7EX)mt^wWEF(s+^D3*#SsHcV%# zn3DQ1afl=?#uK7h{I2&4wtC8%wNh$+~pl{IF3 zQ@gS&Y+;u)AWG3s)RdX<3Cf{$DCJB5+yg9BhC+`2Gr}Yr*30_cuY+Wjm+B?W3eZPI z`OgUjL6c)guJBQY?QCiXJHmT)@Kj^TuH@mWGc>x z`qQnD?O~1$lR35+DTq@oaw`kn^;jFMTvG?#KYT_fY`-D76P7(B4@mBrv1Ti~@xu>} z;N6%r-v`sC(U2Z2TijPditTzvo!lF7k`^QNc<8^6Rqnlyzr5|k1lJy#A z9|~99Ffg6$$Y*g+J4+|I)u(PRb_wWf&J$!`PWu$!4Lv@6AO13{D@T_POM%~LPx4%v zN_hseg<>$Q2->W&Ojpk5{=8-QMi4f3(h0i*>x0xQjR(WwN^!TD!bDAXV0H&t$BhTq z;GQeS!ESApW)HrC@_~+jN^|sOG{1^->8_lLW>ygI(dW8y^!cA#e}QJ2;Vz>Y`|=)% zZYmL0dQ*46C({r-$)c-KLWcBWjfk%P{0<#>s2B@X-&-JHAJ`%hWn-0L-kT_ zd;%*O!oF3k5AB8!Hb}&c;n{~)ZIX5XEun8*4Nkb25>OiH58?A+m!?sAXx`b;K{5@N zN1U%=au1*#w92Mxao0!6L%T3K@$28-u#~^FgQ$^qT+n~gbv0V}c+k|Su+MuuaGLBB zHS{eBk1(xN))aGWC4N_?{rHNs%aLv|eycI_;)J@`=g}MSPE@#Z@NVY>micnCb4C^R zK+-%%npZ8+pM>Afq|AoD@OIyHS3Jf|n#YV&8?-ayPI(_+gt~GH8f=E7i!6mdqJ;$f z#N*n_gw76(#`U2>KZSsUhpG2Wl)0%EG}7(TdC#cfZrk=IF6UQ`-&QMqe}= zjHeB^MdLe?XhnOp2%~p8>x=I|Q^p>l{n^;|Xg}@S-HJL`lg8uJj2-81Ne;w`HL@W! zYNF_env4g0dvmpo2|pd+Mr8UF{2R??!|CRf=&@9vXq0%t2+x)_;C;szb5~8xcD!*H z-7$GuD(kL>3>JNKI!u3RPCa@dx8QNe%t_qrKd(`cz6~^Qs4x7a8u~X4%;dhb-9* z9}c!POfSs*f84zbU{uw$H-09Q{i-vCrP?z1Cj)aVBk*S4?Vi3MaK~{@f!KISuz$z(?&#Z70nPepvpQ z?|lnb7iGt?y0&)O-{;QjNo{YP`?Hw;KfYJ-vsiZ1wj*{)NyF24lM_4R53Y%2)KPi| zWB#T;b{c`EPUGt(4bOJ^a@WKHQ}M(+7|UIPze?VSUma<}`xeXP(^Y ze!aTksU!B7Yuhf)o;~g}owmtm*!lj2OWLx&gL&>VCbeZ>zoxV0;ukyZYeRo1xj3u+ zx3`Rl_yWH6z@S$<6E|nPt=|l&8BuXf1GF`4McZ$~8GF34l0DS9zJuofx?xh=&cgDx zahKx_mf@4yc73j*?ZV1QZM#qZSuEpDGv>cOE0%Hnu(sUb)P^;Fm+b5Gxe92qR+EyPhQp312gpL5TGN>&O zGIj>slX#At!C#Rzpf?|DN-d$1WkzJ#2DzhklppO^9WOH?=5Ny5%(+BrhLbfvcNw3~ zxkUBEy1R}X{xI^vf4Bejy@P)~u-|R@)82R9e(TLWyWiNkZ;mE|C`iy#T7q2 zV$ay!In2MUVRz^7K;TcPQ&E98>MGhUuRQyxKVH|if8j6N_s^MgN#4KxR`2+DZNni=;;_3fdtii3fBg{o5n@>{?56>{Fp#s?gIy`GBXch4S21AEv2e4n ze?b+27p*5kzM|V`@L)$;(bra7+E8Bc+)?{b9^Rnok9TcO!@F_zjEr_ataRMv8SQTj z_u3y{Yf{_swG885qlXWoq6Zs#FrbI!_5T{Y>ymhT^n-CR;4b#zZMOz^F zAifyq+3=Xmuea@~es=uuwuysIZ<~11xWo1!_CC*chO3{9WlVe`=AU`asaLiKvhX+S zCQiirQBTA&3h=H;_RMit;I1ERl5dWkbl3v$(l5x7^qc<{= zvBdM`T>QrLSrLEkzeh7?ol}vuNB5suC!<##{I+i--j~t2Lg4LONaT%$f%b_3zGKSg zISmurcQ4FzCq;4sKFDP^pJsjjXx2yB5i|Qmypx)}E4Tg506Ch7s9tr+zV`x=egiW2 zX4tqVj~K(Xx743{ao)wgk(1kfnfVQSju=B~;jxUIbLw+)o;YIQJ<4L=oJ+1~^Bos+ z(xZs^7NgD721cG!_b`S$c1+Akk5CeGF4Qq+9AXY*RPfh46#*z}5TS8VPq@68@EPY0hF$R5sK74u&_#&|b&-M62NWgmPh zX1@35*mPtg+d9jqN+z-@mi_0S#&Z7hZtOp%JQ>S6__J8%d;4SGzB9kGFzXwgM)uSF z%G>U&dcNP&okMeSB390CI{OC;{(uZ(a{YUe8lxe8_q7N`E@7k~Zy zv%mcL&sP8R$(4^k_UOY8J^14X?q7Ou-4E}+3q4)!;@fMUh~c-zV!jV^+ADH?)4pV+ z`R17Xsj$sA-z+a+hWbY9n9t6`{eSH#A9f4wf7g0#+eAol`85OI$lC4cin#F|NBw*~ zF?+At$UD4=sQU-@q3OA`b)@nc$*s*@brECYiSz5_Y5BDgdCry$`zAlM(0-GjT40`i zMsJ>d;V0zTqxKx1V;3~6gB>!%4m4a=AftxC?HReur}Gi7e8`n5l9~0e+$nz`eCG4p zJBJ~6SH*9>nTy<0;xTscp}h zBMuwi9t4jcy7T#?H6IQ*@2Sr|HEb7r?nmWCc;Ecg3-2%YwQs+L(2O$)h4Te{2%J+R#mUl6HZ5&5SuDTEz#O}bPw$i|b<)MahGtgNz`J_k!GA)Q0 zH~ZfRpeAA9eBp&{m(MA0+s?6Iq$G;p<}{24CbeM&s;d@zBGcmIC3&!+i(>(dSUHyD zofR9Bb{CJ;rQIEgotbv`#rU$cyVK*?HKC!TMg6aisL0%d{sC{IgeMJpdlKG7cmY=u z@ptw{d_HBwe;Aw$;;8>{X2i_=bu!}DBI4(zMSL?yNBnY*D)Czi7gykS4t90g zx8fI_Fp|xy#|%&ZN%(zK=R>EsunliuM#|^xM;WuB@WfK&d>j##XBd&|>`8~ifk4|E zCvol~zv042mcO8F=ivMXWZuXEzRApc;>0$iaAKQpII_gik5=UU>GVj3pAj~D_l3AB z|Lm*C!xl$;$Rx{+RY&Zz-*27N_PL3#wx2#JXYXAV*}DsNK7qdj;2>VJR=IK7u2c35 z+zHEy^EVZYqk+gOyjQvlBcj23kqscrM1MPnyx?~lA{oKI>}&zVaswmov)lhSX*mQ{kKwO1#NGHGBD%9mIh{ru!AbBhL6KuPA7|Zn@@&CoK8MD{?4;^ zb#BZk|8S&z`q7L|^hJTQ8ZferY{r!zXU;QSJIVMEe@QK(&>x+SR-4h{#I?&SHX(YI zG+Z`JDEcU2G$7BT1bwv8o7>zuwzlj&U0;25PggiOO9MMKii*!3J?1OtoLe$>T*Zas zFS@wAXlh#7^y_APd*=1!__<5`7HAIZ| z!>u3JZ~V-So33v-v*nCmefJxKtj<}xUK>~c%Ep~fZM^k{bFVKuoHq!cCmZg#GI8n? zzG>D=d!JcxYSWO0v6Tn!e0|5xvM+7vchgHf_iW5O`jbZJQj)f!(}wr_Cf=HBOCd#|1c$E)wb^V(&U zYfhiwF{_+MV;c{FavsZ<^LT1G4u!97x&Gd=Zq(|{q?7tCh=a0lxGfAa4k@1tdFD>2tm{lLcGKL6|b6(0;Q+f#Da#=jnY8kXC4KNH?R?X!;wbm;v| zn=UIng4fXf8(29h&z88bL5c+uiHEB{tHWgF=KLK`fIPWoOjcR zm)u=nt7tpxvh0Ef-so4*ep;gJXQQ4!@|D})s~!K!S)ZS?{IBDxul@Dd<{yncJobvk zKfJbf`S~Ne>(x)9q2R+=Ek7Le<&Mzo{?m3;pELWVe!u_1_149czSMT@ime;IaNB~; zzqEIaH7{s;pz@yQuZ_*h`PRLmi;MiFg5u@du-c%i4+18hzI3IIgwzci{HI};d z$_8Hdtpl?=?NQ_M2Oib*@I1$TRO5g1@rh*%e*Q!K<@K9A!^)3sOLzsb4>c_>+S&!BvH zuBufTgv0Z5ml%G^n&TpuAg|DuRi01atzJ;u9nG9 zCw^f4_jj*tn0{*Z3FSC+_C8&2sqct&@||-}C`b1@UokG9e~y+T&-MrBXgTui#3am& z|HfqF#<`W+j<$b!MVC?=yUsA=Ja@>7t}Yd8DQUWG{kmnr+NK488ST@asmw6$u8gYJ zrv+wwZC1zPTk3|a?s{!Q%U0ituBaN?`eJ>s_VsCN#`=d(&ne8o-*w9|u>my$XQkvE z#ko9ZRsPK4krSF03|akXb3NL|RP5lGwF73%EUwAROx9-W47AS1*`I{Md!?j2fwRDj zs{{ErOt`#mYSW7v*8Jv<#cRe+&l3vR#srLPoITh)d$41mXnNj(!T~dGTmKx|_ozqE ze*CC(T%?5@WF=|gna|TX<2~W_sH!}VGOtct6&kDZe?RTT`dc zRSfqytDA-4WFAJ%nN<=;_! zcjdivf3$4M+OF5;H@(vmYsoZ*wtfh$ZfGfKd~p4)xe3_QqMGSI=)c1@_bp%Xn~ouy$m{ z=6tph*yVYwPtFOyJg0SBbN%Ch-wj(hb5@P!ygW^ZQgi&MGiN-wzO=OvaF42zrlMN> z=8C3-cH+r0p<2hjSpTSo*0I2&)#HzNW3ZlaIpaq?yI^Y5NWhAz-OEQ#5UlB1O(+A< z*&?BCsJyD_AuaQhR*x8!l9i{u9<@2Y{kr_dFKKvtTQ09#Q|#gNWJE0|AfKg6Vmbf`^UV*W}Hfbs?S}Rb$qU7$um+rto(zjn;7+ znyZq$j6kiJx?uuoqbx`-znvPuEdb{P5kv_4QYvegg8i0h(t-lU9PeecC*(Qkxnl)-+tZqbgQoV+k5R!+Exmv> zzyTySJ@3%+TL7U8uqh{63VClGcTL9xwCGkPjTa^Dta0q34nj$&qS`7A18@+t87sS9 zyIDgya~84&w5J`F=iE^|w3Sez>bAA3bR-n$cUR`3RH|*oDDcity@lA zO6(s8-`dbjIB~!s{miC4Esa@CSP5-WDQhYO2RCYpu4?LyhG;oG@2V!DsJT8FaW%i5 zq(_VjYG`H!A`LhZStsUhqf~ADYZ-6ribYWV+GqL<6~h?A@H3!<0M`8%o!=U)#MFr zovx+XT<_&Qhn^zL1Yp+m($84cHv&=j)X}DY|eATjOrX@uDg{}uYlgIOU^ zi)yFq9Ll3rpw4d^qM@u?&KA-?PtTj$bS>b-)NRK|qNK4LwUHSgENN=4@2W3pe55&c z@TAp^V<{(Empb)Uc-=FoEDRqE0S#30gqW*V_36eC8;;?JDH zY~iTd+j4g$=rgK7CnL5*G_e)2w8ESmlpjjw(4?n4=f(OJT`xC3i!7IIi7SCjE7lSK z%!GQYe!7k^#6Lan(dMgxKdPoS5eI#SS;B_q^ECZa)^5f=K~GcOnGjQ&D9rJ^n7ntx zH^;1fCYddXXNzBsS?zJp`?!uG%vy+FsWWE~y7Y^MIj>J6{)DrQq4A;H%ORkIxYkbS^-;mAa*lbi~z*^`c9uu{mGpcvAa{=%%EpMAux@p|zlK zr6P^A6OBuKp@vj&RFSGF8lYrJ+0kU|;r&DFCCWF#+6hnKe7O87Efa|pQiiMxv_vr$ zSu@s)LF9k9=1Jm_)btN$gl8W}eUOUAu>x;og;%m`IrG{$G9227Xqd9E(lTWPN|&YN z9gMjW;~70XYO8WKG=CG+_F$AVJ;@<8wK-oflpqf|HVXykd4faqLO-G{mgn5EjBz@y z0yENe)3KNss5wefwA+5&IjD)v>aVRrww$7;9I$!s$%S-^Rn_D@xSr9P9w2r}*{m5W z)YJb23QIq#{|aj22TuWAY~33z5ia3#tT|%T{AP)TG9ncFdbIgUP@BLQZk47)?BqZp zA$9}zs(b^OXuT4bg*xi=bAU}9`iDQ-e6ptWzPag~O|BzkrG~5~Oj1Ef5126qb>kW` z;nFj`UeJ>lr7d{2E{t(qujQl7QFWk@FbtG#&KIgL(m4S6tH~?v;7F|pQ3tttnw0wLP3&28#op^w zP0cgYn7QZ(0B+x^ze3X;Yw>#Ea@e~-e}vYp>wZ2dFZiD3jdWc);j#+B3a-f5}S{Eisz$&~g-XiBwTm(J@KgeDy$L{_uso~G+D4^P>gLS!XO zc!;(l@}khceHnG*$k|DqXob>4mI@lh?w9I#V zY4z+`X2pn6B2h~0OK2y3Fll&jJz*x0+lYUNFI+l-FgdRv^a%gAtsSoEJ*BZ}fmv|? zQN^Q`dOsU*B`2-ITovbPdXgR_l3g>FFqM*QH)SzXn@B+nnaqG02MRH6(pduA5F*c- zCS&$2fq4f;+)}OpUtqqjB`48gdS0xB7J}Y!{T;ge;!fTu-P8SW{uI zM5pJ8M8!IV_nJJhy2*94ca-&dJSjW}WyG*KpAw?giB}A5yWIwcy)+}aQU3@7-7rv$TMlYVFF?H z=#hv#SFjU-Idhc295G6`&8zib2|tp-QFk7VRXKN83cR8^>V^0eWNzJt8U#X3 z-l7h%WX{77y4W{nB(=4?K8>=(xHo5L>*q8b4=kHmEWOa!_2 zl(gHmoRGw;3v&)F=bRwpJ~{SEF`hA?rc&^AEaqrcr0>DGvsmooq4lKO<7USK>76(u zDv&UjP%M#r%G&WszR8m(O=3S#NLUG2ONxgvYYFKn#*m(!-L9ij0-hmurYS*IR+v+g z29K7oDt}RzctHC!;Y27@m^UEQcyHE3+7&V}W-4)YX!)9A_JOoC_C#!Z0cvpm;*n;7 zctI40L|O#rh*P5$AYE%3SE@;q7Y$^9vfj2GRiv^AA;G>(<5 zW`2_=N7lT%lDQfsaG;P@o6eiiS(tO%TIrFetR)vh=j^#tK&Mh$dLtD1?Lj{{5?M6s zkxq|Wh1DCO=w2O(tFW~MBi5$~qg%k@=D*c(*FL^4{EjktxZZ~w8v@^u3*|WX@UK7e&10k;I7-MrZf-y$@nKM>t zNwSv?(mw5i&1q-SA7EotnpX*i|vvI!gkiqtMc8_Bb(P;yG6(0PZRKb1PStrtj=5%t2q zE(g%M;Fp-!f+Sg&5UO$r(W8{(l%cJ(HH?DlJ-M*wTb0j|auhuWTT>UD4H?=hb4MR6 zSOp3xL(e)V0xBhpnQ9HKeVRo3*>k69KC!Zk8X}QkZ6@l(RemF~u%ryhW0j_|Jg+Z> zg*neIkP$_!MP`}>=OfJm`Os4-J&eGZg!-Z1h;GugE>?eOAO5GKk0taT63pHln->KXqB$YN;E}S%CfKopos=}W znyOdiV|sn43bUyK7q&3>yJ&kd8A_f`zmm|uYwE8Br zL=t@TR+Z<;n5{OnaQ2IBMsTJ% z$@irbZq@aJwJc+OTGq%3GE>Gm8JUw73s{3y!MUhU@ACRR&(cQuug*(9)!Z2 ziX`T|TK3U#HD+}x1{3#z{Haaz(UN-{1QX}PNH3qR!YG>am`ZhEL?Zl4En4n@!l_LQ zG{^1N@hMvnH*s>^iF2$ynAhN(GkK6XEA~YrhEHu;q+5P%7F*KRh?Bh-`~5i1%!v!N z?R$2fPXbx*?i|WSp^0uZ}as_7N>6qBQb==U_8r@>d+EsZ%**d*?!I&m%H?rn0 zeI(Yar`78EB~9MyqZd6>vs@#SG*i!B6kCX7vc2F?+ic$k~Cy=K8wH7mqs+0?(s*TSz`#h|wXl6s(o6m$_`o=>&FMvHu=f z@ksNJG}Nn_Mq&+0o)P*N0nO7$IjAWFwQI&QU*T%uEp_9QGXcUuG8X0UUjCrwdI)y+ zfC_SQF5UlVZ=*bZ(DnJrI(+i=Fq(UqbBdnS&sl(4>E-Vg)-Ad7?ccGUnvo; z^ikgUd(ugIr(>7Z{N~4WyMoqd=Wfw4FO>y&5Xh0I3opu07c3u;JPWl#4=qAwNU34k zg-|>Wx-My4sqro9$gAb936TlnY|M4`o1k^&n%uVZxZT&uY#eT#t2Wa4yf&X(XIL5)R90>jM3FeuVo~d1l3~}cyaW-fhO^NxIae5d22bufB!J?6|AQ`Qb`eObf0rlYyOWASAZxZ*D~kR$w% zEhVTq_Rfs3oAX=8-8*;8>J2TM(VAIo*J~%OZo+=QkrgwGH|O_5+bCvAMvQ74dw1pR zxwkBPas4|jdr&vSSk!eikI{Z(7k41>%nXU=Q!?btNXz>#oi67y22$5AeY9=9-`G~H zRLde0H4Du-C^zWchZ?G;J%6tL7*ISJ@6d$Q3KP9s|Z8?y$%2>=kdV=puvx zxF?+#fy0D);iGMnh4QY^q)4gvULiH;iS)hHNKu`oxdXpHASFHEu500nw-~R0d5=)M zvsfr*39)G&lJp*5=e<{iUQ!4N%_qHaMHnl(-rp0@w8W+ewd6-|u(r^`Hl!FbN1MKs zuohznlRtd#{jQMx~7_JUw`}mC{~&4IdC}tE7m-u^T$biL zv1Cu_X#oBH=oMXF>}50-UObH*8+R$6V|n=`dlOz_Q$9^zQaJ{4Akf1+g_4hhddXqr zE;dE(QhxKd_42oPN#QVc@AdKZ|2{2=&C`as;+XQI9?gsRkXVrDMXW*c2TzC6vv|CD zy-(kmAieB~?JY%vM0r|+aQE?6`j2uaYm?FnD<7jhUlQ8W{ijD;sOL*w&zp*E!k6b2 z)c@ZTxl{eur>!^POz2@`c%fPPp;S-mc~=5DP5ITac~IAAdbdOw(FilHzlXO^E9;S! z+muypFOFcxa(a&D#YBu&r+8x%+OF`DdP=QO;$Ci=%2hqRvDH7XPZCZ@k7PNd$MX-7 z?e?=%T9O=AEKKZCvQJ7PrwMuGeliqkrsPlBn(Gw*oJ?PG}H*WMsIf7mCfxfY{FMVE(e}ul+l&?xZ zt;f^g8sm7eLykq0R$Clf$i3WgP`LZe?LXM2X9AF8RUTTnaihc!@<+&PqsV%8O6SAFk6P1;PrL-|6%wns5jFy)V+n)Jw>%(%;)q}kARz-gA zy}~{t)$@B)B&}3GmAJ9wAEMx>mJA~4WUm)Hic#?PAM9PkxtI6%FmLg+_+hUUS9Edq zNF?gXS?qY{5w?giqeJ`0y583rI3bX(SW-t_Q{I1!^^U4TSFCvJu`X&y`uRAg!QKI+1MgLZ`jbRP`duzY4`k}So>foDdN)_zOFy=QY>`9x2`@Y;9PUA+T z;90Lo#?v@C6VId_acn{FPvr$4s@<4b^K6lFVLg$u#C;F1%o2T^^#})hTW*^5er06A z1+5!Ckz%nQ#0o7}+HqeGOX2jSnggmio@3wj-`f8~BOb+~%XBRXy)o_EsW_1Cr6;2K zqvPzIUMf_0*&Zb%F-oEuMx9eqG43cLl#D@Xr?m2Dk_LL>3mY*|D;=($<&+#}j^S9D#_8d>muXCKj=5K^PWicT_XF$|d%yCiy0vb|;{#FWrdeHhKaW1zReuw9j4&$PG~=PQQuff= zoA6HG`;|Q^aCLF*hGyDXLS5CkV{WF@L~E9L5k=niIQ~9{6$+tLXzaoL;pGFb_F&&y zSI{alJeH$NHAdRG^kB!%#;~WLwWK0%ckev4NIm5n)B$Z?Ae|`Yix1JhJdCLxG&#Dw zVq215>fysadic`SH_f0#_qMF)S_J+~EhENQPxeysrK=xpmbiFTW8iASNkG2&jZ&76 zvTR*eT>CBEGNJZ1-!wzY0#{$9>m4icBY2nNOVB5=A+DS z6vdRYc+K|WmsV^~uHn!MNtNeMlnnb#W}9CA<7G1Bu}Ax?_$0?s?6XCJFMT9=529%0 zD;o*3Z!9>PjCAxWZ^l~Yb&{EFWpXS4zxo|ocO!1Twf^gn_tIJUjT`g|LPB#z`#!98 z%#GI1Z~7KwA)E%TzHRMQjk~eB>-Bq{PFNC$&A(NDRpYI-yrXPMp;BMb{(}+nviVJi zu}7KDhOCxne6Q*K%5L?-va1@S@Ey^-K+SIysJGT$)i}RNuqv!6OH1i}Jzx1NIQi9F ziKi>Ne$YhE-Mx%j{8mSC?faEqy85N{^P2?2ujaD1ptX}L!QpXwWgnU5>Fg;Lsfjt& zN~y7p^o#DK9f-|JY>=#=uXMz!gyWQSeBHbsJ4xf2GExv!O<)+`CNIu<&6}LhT zOJ~L4S0Y)Z9>N<>E4q&Kp}#MlzTAFOOGkRV*pxvhkWMTO)~72Q`u_#33Vign9LnZf z9Se#(ZtR$WPug`c3-jm|H^8K2$2O|zd(zZ z$o{{<8-AgnHE$vNC8fTXjBTkH;py{}IV))0f!O!Lvb`;gfsA|9kofNZ4rkOje;tK= z3N6sfpUo7LDY=`KN8OtCF5C&U&=17W74nYGD6cb<~JSdQ-s0{@PbzHhkq6C z5`Bf*^j<5y$4i$(-~QxyIe2_~*@AaJWVJ+%u6p*~?8Di25&MbyD~_X16qODoqte%A z9A5qwqFZ`DnjV$@R%UbJzT1<+;pMTG?`ch8hV2*&?^eYNzp{GB<6c%P+V^^3=1NyJ zDzp+kpI8bB9lK;)!F*Pvke=DRRZsXICxry;WHR0=dmq0`C!D0T;(6+cGHucnPqs+K zBx2|IMKWxqUebA90(+|e(VSawGkTFWr4BFWh>87G-%LB*wkK=5Pi4-STRwa5qLa}B z9mX#u6xTBIJC;X6{d-1q$MY%_dZRk8|B=$aHLhEFf^nTE?FaC?2IN@AhxT|FmXM_iA^fFg26n!gK8M;Due9EM_Djv%et^*}W}jqc-D^!)sc{u;Cw)H5!|l;bZ|Lf! zEX50ejE6nVa^TX1+dMiwnGdWDnRgrF)2k=%NycINWzK-iQYBQdgUW;Jr;U-{8$RS88YIG_s*@6 zo~~a0WuBQc&cyl25}<7{>c|?BtX%h{*|P+hW9M3#c%tkl={sAQnkgcGGB+etc-j`v zftBOknQg`0h&6|<*tk(gf9Qj@+!y;tX|YRqr-h6BJ&BV`uD6J-(N4WsDCSP?Ckh1eqei+zUH}b!z=?E)Af001V3Yk56|T}H|lbpjX%xS<>jY# zkNrRy7QehcBj>ASD^@(Lzr22Wa3(<+9=^lF{W<)t+>I#bnZNmKW0Unf`Zo{?93CuX+!yD+@BW}F$TI0L^X z5>=|=jG2jd&$u=l?}{gk=*+~m**vd~^SH7&eD0my2lqYN-Il>$$Rh;97+{Pv&N9Ac ztT0f=*s|5$sp1q7SB*d6kOH6_Vw^95>;WM(Ay?cfQ1+dM`gyp{t*jLB1ml;uO z#xU#7?$sLyJ8cjkA>o(T@$aai^uL)2UlPmzpLm(wZ{=6MKI1p%yxB3Z#2VRu?D&`0 zk8OK*^m(WK^xpG&?)&w5D;i%s@2h9sdER$F`|{Xz-@NDL%g?QS`Nc)IpZC)8ADsWl z4gS*jqmxR{`raL-XFPCk={K#LOPg2sFI~}m{&~*LzkFr*2hYCptzRuU|CO7!m-d`B z^MV&5^%uPMeScZq+F@nSJu<57&sP?fl~?-9&ik)tFSzIF!57^B*w|MWd^EoPk6*v9 zerD~%^|6QUtiSFD7uWyd{`Obz-8}Bq-!}jIhRpk~J->YG;PV&N#W&2l`^{HM|8m-^ z=PmrVS1+&s%&Q-K@Xjm0{7?Ip>8&jrhCR9MWv6Rx$vw57d(pjg*~?wu&Db#f>46)D zJoM+6U+j0^%d>`LzC73-@teFU4KMP`YnJgD>##1{uq|N_7GV-LaS#`A5;tieEu@LG zkw(%=nn^o(ATQ*Jypc!pN}kC(Wk6X_CX@|jL|IX0lpSSASyHByEoDquQ|6RCbwFKE zC)5pfL|sv5)E#w5T~epiEp<#?Q|Ht@ZGhH(;!mbgidb{}vrJ>+3CoYKDJ7Xk9%9PJ zJ^i1TXBxFh_=msX&spSC+8;S3qZF4zKOf%W;>{={+wYWD2Xg1wTk-?NMr)CI&?qf80t=0$?x|aS z`9;NxGYaOECo)YZXjJ=`2a1ZER$tU_m-)8ebn0^pokRYn(8`0u3e*!hb=Ma^+*n*U zBWFg=^g!Y5`CIT0_tzEQ_1o)=ywk5faPN-{{M}Ed2sArIygE_^>N5g)g_}|bBV=}N zExIXaM~3;Gc|o6Bn!9QFE%A_%vqRO*Aix>>^Vs*9sn5 zQgvehzXHE7Xy^OvQqz8WE}Ehs*YCVNG<$m8@Ve32VU_S3Rj6j|=(dZpjh0YruHoXo z$gGL7e$edRQuLobd-T>slhvygm!U{l@nxOLz8 zs1Llws83er+-QOob9zU7zFm}6^YGmGF#O3DzY{Tn_-hQN&yAJ_@ssutZz=vft`7aw zs*!8V^F>%ZXIK!mbBs{vgQ0#0g3I>TI4bQmv|CQs5g9iRH-R!FDs@yq&qCinWNq&AP zYQ>8RiUMEs>E)! z@%A17%Qamy5{v|Q2Hzgaf7?g%U*in^+oC_47P}!MZn_uLd=M;}zol_lex!AA>1poG zK1iv|=lI=VJZRo&G#aCgp@wPu9q1`y%rnkGLB5keuQU|*M-8s)2U4G0d=R%L&>v z#4@8L*NRv+gqLkpo$!Ajv8QhA4{|McA=)^nImPx2-&TKicA%i3D8H)MnQL5c{LvTj zxiCe;EjrD1zh~CGJuG|9!u(Co9SCfx8yzTVEc#CVriZ_iJ^k&|7FU%Hv?FDHXHL)u z_cvgsC2rMzXNzyUFA5;GX@?-OR{x#ZxdpIlr=Ti(PA)CGpd?U~ORIsc`0T#_z>M_C8i5kehYqmf@$?E#73S z#DTgZ%Z%>d4(l$kcGNlB0|&Ntl+N)q7Tvca*Ig)@^t(6b?%R$(>e4!Nu^3p%xdn+3 zeo01~f9a0cQa8#-Xxh>9ms&Hn>$m~~PzeAZxFxhda{k+m;rAsv8pFQRvo*4iQOEBr zJZU6hoXoD3m$FwlZ;rdgCA4@>cvHkMcaoij(k5b z{l21uflY7cLrf~NBXsk=_`G<2X<%4(&~Mf(b~d?Hk)nAh$lq~pM`&>pE`d9m(iAPB zO_3e<#v>nOYYX-}WoD!!zN1bDfaB=y1l^=$N4R85pa}n+GK4?_mLDneMauBUa*P?L zs^@j5(3*xcQhh(42EEdReA{`QTZ zIVbp8bE$u^y~(Ni0P};JA_YEs-cWzh)}rgP-5UokE}0RSkz2KWsK2Td)(L9ddAYEO zNPfWd*D!wMBDy%IZl~xUxl{K}1yvN2if`3-fzj-+8s{2w%KH5|oO_Fv^V~gHA^IEF*VN9?o|g8QEDl|Io-fB`2f9 zc$8<<`?+D7{cwKH=szGg<0E4u>X{h|aWNZ1t8Qbnk&%&&`z?k!*fctf-Nt}H0|BSq z$Qm@zA2B{k-sk$H{PW3kS@Qgi(9)}Jcab!k|lDk;XUBoo7J!10Lc5{te|h8$}}F$%F4_} z`QurEfdhSqjpwrhn*Qxs{Rakc|EH`0`u?M=0s47PcGh6R8IsNN!^W4gAxGSg&(0W} z1$>ufXP%M`x~|0Y0LpJ-InHyl2Ms(4^_OP%*KnT57I^Ej1JM6rV|zCBec1SOcJ{y= zoIgN4;#b*Od8V(^=x0j1&zgaO0pqZ7mN{tPAe<+d0|pMj`5JS;V4iP7J+`~k6nlKo z%s3?*bUnsyPwU#%0>m*`+lXo)h|3>Wj4`-B5_AY8P9WG0fN21HqbvrHY68KZ z1a}BT*EUgXrx%x(9KT}(-Fb#D5j2d@eis@5 zB1_r0NCMibu)^Q@;~YY%at(6{N+OnP4AV(v$TsF7QwbVY9DlM&*`)@vuMl!n ze-~1M>NxVhmG(UUp=i)ZSfFJ|1Q8wN#)FANk`aQ52yh@p#n%9^W0(fH2FNv#9vMI+ zA6zrMLb4|4!DBywP->(TEiBwZ+QBGpL4DMWK&a3{HS7idO&3lI-7KW07otFy`XbOd z9+VMPnJ5lGqQo8tE`VoKfJgQb#S>^VjQWN@VL1R#tN=ttSc+SMhwN-kw%-PL{Q-D> zLWb$$gj@)M2lYOvmDGoM3$x)Zh6T|uZp(bk2!Y>%7Eu~>2yCII7E&R#@BmUvLBTu- zK7=BG#{qOiae%s569uRl$3L_WI$?4P4Z8pjyNc5CeAGNzg&;suuStsIBFL){r5&Qa zLaY~bEgJ_|z3D=#{s=uOLhw;Q#u+4+5qZc)ouCs0RS_I~@gU%Yd9aq^5F}WwNgs&8 z6CU&xANYvRr^4_^$lHSLMnW|Se>_-|FcLv0VOUGtgb_LrsW!}5#6s05P=@1l#<=NX z}hWrb;z&6Beat{y+&|HKWgCkfAUP~u|*kLY6uz@ zp;Ci>7kDDj>O24{1^!_gF|uMookYv#3sEad<2GGV6tYPP3Nf^gn9v#vs*U)uw`jm; zx+*H7C4(;FqKpqPV#OHG9tHw%LHmMDLECUV+bH#I+P`B*SW9UuLIT{!@hKy;(CCf? zm)g-X(}@%ymg7S^Mq^qCEWrK;3#981b)=5}L!h31gTY{KZtk#!(MSrk?l5pmHv`)R zbQUxuw-A45tX1Ioh$FN8TWdRuT73vOc3 zUR{OJTnP4UMj?EOIT>z!9$oPg_fnOIE z2Fx|eR(1#jVjr2Xmf$(K^Tok-7@U!1{~-vA(xfCIZOmODT;Lwgg+d#`K_P>k35mib z3=^&Wkfyl;MT}KV%~wyGCdWR2Kn>abU4RjuG67*xAVfKq6+88Kx^F`BA71 z0KCvb{0a|%0jM05fM`Tq;es4!dFb{O5c(U#LS1Uz>~alX4+_FzR9^|S2S7(O0j5K) zPnikyrQpg5iC!X_7u{j#97%@~5(q9t`dUto&_GEW%EePup%MFHz;9LKDYe%LvJaPd;1VhU?z6u`l!*EC7PFSf(2$l&2vUgITG0C=rT7*Tw#6ozz1!XAE!a;EG zb;EH1htiA+oI}bDJHfc+7>Y)406HhJl%l7v6T|IAbRq?s5hV&t9IHULuL9{0A?4tU z_yGH{6n!r2hFo;@E_!+w9X;AC0d25Hlk`z-+9k*@g+NlsV#q;WzaJ7hgkP}4cT)@^ zQ;A?aj6}8ylmJJ4%&!H*fj_@yC+6ojU1!|ZSjKl!1V&?p%t!vJr=Ff|QZU=I!> zZ5aO`Mc2d^+HfION5eo+|9D$)lZqzgWl5^Fwm$X&2+^jo5Vbu%P1NqyF9ks6J*Df~ zpIn&UJe+K9ve45!5fNT*IAg8e51onJh7nc5Vo*ldg@M{ZL`#3vvL);TLTIUL1Y4oE zzWo9O8$x@44quw`qu;AT`JA~M+uxnW!9 zb(j6M!>N`9ZNSfqPi>9+IUJ24$LNk)DhiiIz{4=u z@JpuwinRE_5%uivhP_4sJ`O!3Km&VqEj{*!z0w0)HrTR3IkA4@-R z_3;xb?BB8^x1gkTUuiFZ0$ed`)BPIINI#ZL9aI!J@eNZN{GtMB34tb)X!wQl(aJzA z)MRu0Shw>-YA3t{EUAemx^K~;pR{;P3MaisM?d|PK5!u3qNg~OU zrjJbNK8RfDj7eJ&`8G9Ms7&9{Jw6KfRKCI+n-K=T1nLQk{ib$8q9z^k@i|~I4$v6u z;2T!x6cxrUW&$A+_P}h5zTrpMGP_)ZVJx`M)?y?I{AawRDZy|stkD95V`&wh;5M9W z05aGhga(!^u@;ROVRZO0KK8@Mri<1NTH9!C^tQ$o5tcs%n)w+=h-2^2t>B$`jj0BSn4STv79#uP{b2fx}BwbE`V#GfB>$;F4c#(BoK&zsAF4r9H$*KZv-}*!cEFK zj7u$N-FX@&6G)Kl@W^V^FFqCzumpS{4}7Y5NItW1N$bEfmq)@pzA}OVJ{mH5d^GN2 zfwj_RV-_%p1ya@!{c~UpO=y~(uni{2kL4nI;X*SAu|mXTUJp_6SW83aULXV_0a5r; zF1UrzAR^4X;NViGQEjC(V@z(LhKp*!C>Zc-$5AB4TI!;(6~r-BlPt^7EO1<=X9-~U zsAwuw)Vi0s%P?hP0iu|J?gzEL{j8|fulsc|kT5G+O4qi5P($oMMJ*_Q45;-Cg%+0f z3QYXj00SC*9H3xC2xBc%g3p91*4q!P#f#RovNC2LJNNy4aFnzJ@+^8fc#0dmc4 z2p8ly9>#&@^AADMZUFQ&J}soblNK~FTppls8W1fA1kf^gq`kYa1X?IA5FJp>a?L1@ zAs0tF8IIZzF|NS%64DYTArc>qL^Upx-mYmgOcw>osG#jc{9mGngauXva;Cu~ffnk( zQW>#G6x)Z0)F{(YME7St8azf3B*5(8F}TCUk>iULNx1gMNwpq>7#JSo8UrpHs4zZ3 z>RL+qm&E=0T!mSTzCcm=`n#OTf5@F?f`mD{Gm+#b!4QlotT=B zDTQj-F|b>JZ7|A*Y(3v%x-g)B`a=X+KU5ro6)A>shZ3WShhPMV(u|&A%kf7M1h>Xb ztfr!S)T0E4IW~hbW}9hu3gjCK6dQ^hC~~As7Y8z>$fRB=QREZQctAx()|G*Z=!oE1 zlm>{wAejQe!Qvrgdln^*BQye;)Bh2QTz!OqOr4PXJ6OrzrS?Hk zG1Y-ecxMNd;;I{!s>94B%Phu33wi^frD~~T1ed6-E|wM4e)PxtnI!sM456TOEapS& z7-(Q+66^aeMpLQ=)1@%mxKRc>M)HlkAVhP)=D468j+-u);}cl;bYbFgF527J%8CXd ztWY6|aWH>}hcGuRYGK%e6|^!Y9T2T$~I8!QZ6tYy)=zbjwf1hVLq|=Bt+3dj;y%PLB$Ri#>=cp zbwiTjco-F#;e`~&S3$K{do2Cc4clbg0UI#=Fqd~h6LS`rJ}APVj)OH+CTnUCIzI=>~+wFQ+G z`$9cY;Ky7ciNQe+OZ5anu+@cebG|^crKb-h3+8NsRMe9Tp{=MGBE--pKtvLX4^j;r zEFA0*4xC<>RVu}9*kZ*XClUPU9$+Md7!KKZf^Gz>j4n_gAZop?!~Pn3m~cRFM;%rS z9aE9&O{cK|6}4wDe9_r-1P2*5is6VjAcTS{cm-1C5K6F{8$QkY+T%Hyu2(=2Pf01K zW}r8K(nDk;WBSpk-9eWhXts!Z}0;puq-^eGclh0zX$rF@XW{Fc@%f05d^GA@n9-5CNE2fm9m} z8d$_^%p(b(^a0Uij9DMr@Ib*c^#Udr2S1tjk+%Q$D?)nzD?^Td2Hxs0n9-eDB5cDe zU{2`DTv`U~0G5H-BNLi;b=-$d!W_fw$hFOKv658DJe3Bc%}fTu+!8>LHmqS2%)=iI zIk1izeaRRfW@9sA>PrwJldG7vvT=!?03%~u>SIUkM|0E5QlNrxA`Y^JxU$SwoD zE&^wuHS0-AriWkJgqF_5Y&nBe z3lshnBF=io4cUzD9`qSP!6LJktanEAL#pQ($dmLea@^8qy1i#NWOLVo=|Ue!!SxxVC-3aXRywYMAeYBEWb1PRXGTPv zeGKH)-WdZm%9}j}^A~-l?odZ>jlmglN#BQgW*ulTgzIC^79`4Yo|$!dXG)m&Ay>4( zGj)!0*v1K~yA-X17MlQG0(%&PO6bg_R$xi6Agp-dzcdot(*_r|QG{_N5!1AM3ABOM z!K~gCVVKP8C1*s>jZ59xh!G_%2dD`fpD-`EQkAqaU00e}4S1%5x4;duQ}@M*O(TY` z03u8ZVQW}N!hi*R6`2&$!7N6?njy^_9%0O`Io8Z?1tgg&3Uxp{i1|49dq_;E70-04>Y{ETy49vNKue z2)V?XoLeJtTmnZGMY>Fdg5-1bKp3b(LZ#=Co=7?z-2wd*i!Fr4L>LF1IAhr? zIfLBFD+d%o5JDlvq@ABa0_pf_)zdn^u{$tLbMC`cfS`3Dm zcnE#UHy#c>pGBX6om;L>C1F`*7)b9ke4W^ZUS^nEu*r9!QD)jf8*?2Y>;i`(aKO7> z2HtuNVkQN%yP=@%JK!2+3k`Dz%+1Ay1rLdLwiWk}qXR+5sdw@66+9h%EyOS;$H)jU zi-!vNIBrakO@jSm?z=Rj@}LXxv~C^t&5zov^oJL5yC8qgOmj?`xyms zI1UhQ`vavAhSwS>_qQE}3#y?h|J1frJ zhSgR#tPVxOswWDWAh`-9AQJ_dsFe`e4vO0~f?ePU3;KEsuYx?aPC)(%{e>D0<6-Z% z@eT(NkX2wr??>|J*C=3?YDwIr(R67VLJIFPaXCx5*kq&4+A<)Sm9}0l(|g^pF8EW$VB57NQRVZCGu=;_=db2vgY73RjK6#5xe|8XNe|#67xkNCaO&9;VM{;u#Fzb6tNOt*Wk3f+KNg3C9n(R zjNHtn+aoLjUh-=JF5$B~%IAb{B>)u8x<5l&e%I zq@vhpu#$;m*S;D|y~P;i;L%)kKpzBVEW|q?SMYe)B0{h>4~(3^NXVwSpnnX*PF+1p zME3}rVlPb@|F1Lv+Jql{I@WpO=(wUth!1tR;f{@n*Di*?wl7A?X!5r1FaAj;pL8Zj z1Xt`UC0Of;E+_(%k2V*?W!JHV$00{=dNN@iegr28*imsyNL9;}67AEGL-f5peFzV+ z8_zIXUA-BGvk4gaqCe36C6-swS4*(A5b;cWC0N@49yeebHVh*Ivxo;*DrN3t$LAmw zu+1pM#%Y=`Y?>Vwr;@q)ulJj)G#WlOdNR0J;6f7#2qwBbZf9pa@^>o`sa%WzL8JvD zLk-v_wgX#5_ha5a0-KCtHXaaCA(S*bhGDuA9AR^`xZ^7TBgs>y6Gkr@#?oL|C8FVo zilehkSPnV{M|TVsh+@ZJvSWZv=!J@O@qQv}a4T(4TK?=9U}VxUK>k=X_N%3FQ!kpp z@$kkU_D^H37si0j&-}kh6LrE8#HPq4*vrz*0bs)xY=T3dt0%B9aRB+C+dvfg$1Wf& zb_wVu@P(`pvl86S9>l9l%)Knw6jX-yYs>?XEJp?WBIs#JQxGBL;)^~8t(g+&7c?y> z-^7pviP;1Su|^ zkj>X8`Q9W(LeQMcxi3HnqY(RYkzXtX8Vh)qI);e>nof(De^Unf9j~y9r_>v$(NhPO z1BJTuIP?>teFzY;ox{pJ6RTz;bY5EYf*&2!=&{YMv0`z?J3Vl`VFY;0#>SqQlx;Lk?9C#(!Le z!LtPePQDZfc{9D`yr-5Z-*Dib#Nbvp6~=){=cjql z^C=_{Q!f&37UA|#jiePm!Rce2m#+Lj?7azmRMp)-d}hhqnPid~LevO>8TNoI2_Oat zb0!OrutpX^Z8ZTBKm&;ZG+@XKU;(WPw%SHp1^Z}gwF_$NLtDwc5ewLY;!;c1%2nDz z7fY-t0Yc{ee$TyOarw8;^Y(o|&-3}`CUf@XcYfPB_jk`d*HFC%j$mBxLH!^D%Ont* zVyl7rPzR0Z8gC^Fi9fqhlX^lkRS}>XdmKPc@PuZv4M=Z0Iv`*YKhRld$AKR}?jYnG zn&j{>0zP7*cq?-lDxnYj;>g4gV1rpLmZ8GH=+tj9DsXZDL6r5eQ%683xI9ri^|n9< zF>19CvnqzrSZ5Gz1AA;%UJ#4}c2q%X%nn{q1;K>f&;?ZxM4z9!lu#Mcx|g8}G5AKa z;9Keu5rPTha}qSuzT2VZ!CeyI1J>gq6T+f&a{OG0FKpw&ULZ1%Bi!aezkdq6&qiCZ ze2YsHH{_&t==0#auh8be%7RVRq_xK>dFuf_@2=jz5`;ANbh#J`xP-m z3>Z1c@roGZ=ocO2{FRvD96w4rUlDs#yQvk5^;-H=awb%t#y%61_fzqyDONn&ZYzO`u=D!LGxiCfK*zVD~YxGibpzy<6zYK}q{X&-6lB;@mI#WegiV!f`;9TrzO< z2+si_FCBK(DA#_m>axM3MZkX!+V%AxIhO4$AAo$cvwGm* zt41LH^+AJ2UxD@>$<7`_IDRmA=;#r^&##6=M;F1rX{Z(7JZ!jv|JmV#Mqh#Sr$?j~ zWuTwlIToIu%t0LKm*w{C*#~r6k$Z(}P)D-3BR4H26XS2n?Uy~s^|qKivd@@7?gL`r zsBm_F=i6e>D8y0k@zH%${*)`bjm`i(KfAKem4lFf|CrvR2ce&NS9wMU;Wu98FA9RL zN3IHw?vHk!&9l1`SGjM?4VeJD|Vg9WhFd9570}BYH;rsQ6E!eMU3=s|CFW^mo1^ zE-mb)`0?>VcTqaz7e{j(Y|s0 zdi*x5Z;uqU#N+XG>xvrN_v~)LwR=x{VuL5phEwiT;1B}wNS_^LUU$UhD%gfo&eq}l z3*{zS-N6EP@RkGS`a3pn-ErvdJ2q}u?+xRBLu-q9Y~`Av-?)D8-Fu=fEss8Z-<|h- zWz&X8pY63gV$W3+5@)xhWyN-=)~&>pqEI~C0Vwu#;E24IhCSYQ?8e|WqhRh_)ExNimi~9; zU=6)zL2l;Vllq>{tJs(srF&JJuH3#}G-BM@@2+#YPnU+*W~3!uW=wtTYvuRm9l2LV zU%Ycv;YPpM|9bzIZdl>pom2cDcMN_kT6|}2;YPPNY&7KF-6voy9q`@Kfr+*~RejS3 zRvCY)g|<%d;M^{sa3s5(Cq;^Ixsx0xMXJz}z2c;}RA{MQ^ob$*zANeA3}tcKYL}M>wB$q$a1r zf5DEwZ2NE7<^O<_)CkWphlS@49IjO2`yY;^BoER*ad>gStP_W{xSUByKjpCKm9XK} zoSLTLJm)m0h4;&F5FF{*%W1XOSEZj8S2(TljdD7Y$q!dLovALgHx}s{;sthkk<+K8 zp#D_5ovUqso~nOZ+-SGA#OczKQ0`{rv;SM1E}t8#wpDid+ikekJCn6!|%^PX69<*3$ZI4}6O@SgCV=$>eGe5`QfJ8yF? zbT4$@=FLy@l<&WMotYVMjd|hF3Qz8l7dyHStlq!>#j1Y6yC*FiHELwlwD^F=$3@O= zi4z6iY&E>aeS&uscJqq-szU34&)tPp`Q0SXP|S(s?x@en%*x8j%nW+9w*0EyyIr0v z&9!@X70yV!Wx-4TF{uMu$%sKod9{!3#tEdY)eFtuxlQ$c&qw!cXfY2WnsDKyNxwG} zjpV2%^Lu8t?P)PtG{5Qv^tyPw%k@H4z9Di9Ar@^H>-OI3*KpLW1M5NF2O(r+=G|h% zg#}S&US_5rw+(Sut0&Fl*eI%c{UZ03%)Lzu_vikp+p`buKe-W^qBa^ZJpw0%ZM}S5 zQ*eV{zThse^5EFs%u%j#oQWv+c+|nXHEp4dm#^ENua0OnmZWaTtY}qba89Llc(1Gc zmDYr*4p}`L=V^(7wuHf}L%Bv^Lt1&23rAwM;83tJuJRRuK+rn#SHlUK_VK?Sth)`5 z9|&vNpl#_rC~h1Y)t z@tI=P<;EG|P28PkRC|nSe`mmB)XGfO?d@ny6Rr8F8>L8WRENuUo-*4xH2Y-Z9Tlxc zb?Um{LVtxD{O8~iljSYza^7pI-Je}Guuu1#eNENVGDau&&@`7TzAj$A(4W)Ngp({m zoy#3fIeXH|%Z)J}aOCCd4h7C1$(jJFd9E!n?r~wUe`eWpZ!de!yd!A+#Xn&5Z8N9* zJH>|{jVFvfIgPjMFv>rAJ9XpU2?nb5e0fYj10S|FECa9YCT79ASaghEY;pVI@#Ja{ z6Uk$0s&JK`&vLo;G@!=2N361NLBSmRd{L87O`Ei^qV;bJa+|7iNZof&X0`6!n4kOJ z7JvQ1-Mup}sLF15Rpq~X%Z_>;)4SnF4G=0W?9O#q9kMARM+^g}r0zb_SoH}&vSHo5 zd++Tn+ne?m_StbTJIgQj^v=8Q#a~sue(AyQZpz9t_K2bdW3n={@&_&`YUzVw6*~^* zWf6Za(E)^S%nY}*kEyC!{xX^*xWE_Mz6vp;qJLhO_UY0-ln?9b(mqf(UE1gWH|@ha z*Cb`Zu}ytPCwwZt-A?}@?nRPuI`Gfz^nJGfTjrzwAME%?c6os{IqyxNeEmrz1#L5LHQKKf9UWk|K}*5hWN{N zzKOMW65#xU1Mnif)o#b>w8j^3rltmvKEP?UdlllWKiz4aetUy6HI4CAPU}?PJMHv` zoL0Zzx9j}^K2Os<;IvND{WHole%AK8xsnxpeO<0@Noa4d%fjL3Q};e)E(+iPig>t|yyca59IrvlEzBKyRyRCb+MQ)29m${wY+@MRH9lzbJxqOaO%3#yQ z_g#GdehRPft(Fnym2kM73wq0rPq9K4(9TUB^|52l|`;TM~j z-ZYM7@&_?JJcAkAA7T0;^&PSO+Z08$^{TnKMKf>%6(}b9xB7*oX zpGv6_Z61ks?xL5 zSbnQYUxaV~))cmLVk67Hpwe?TvHS^@zBRz|Csg`=gacxrljXm(ndJvM*?tqk0Wn{t zw*^^#zDh4oXZgES`ipn6{9P(t+{NbVc^!K z;LKq8u#5fXT*C6RRQmm(kqcpkhkIE54we3;OIiL7mEMGKKs=|?v$wGPb1Hoi!U1ti zrN4L?%O6weB9rCoIbDmbTUjRRVR-1}O!sOGKYt(7N2_nJ-O-cj?J9iiYfOL9$1*#z zn0|LM!x{H8eNGC)@AP8&P8E(m!1TDE>E002OHvuG{sz;7X$+4JGyRYXH+_@o+XGB5 z@5A)_yD{AQAk#N@XZY^EOs~C+;jC{leSS}dclKj?G{kVpx0#;ZkKsS`XL@iD!z&+V zdeb7cs|M2n5$>WE09NG*o(op~55uDweUyn+7qK!neU4G7A zs3BAzs_%`zG(kb+iN`$B9q*;bapbwd&DgNg;fQTKz08LttT&!uhOC0vZ2I|^VHK>m z3r4IKjX)fSE61%CT_(Q?^o1?#Rs}V5Z52d^*1_c=bdNpaf}cj=LCtItBZR337eK|O znQ(+=!V!Gni${cbOo+$NbhU&DH>6yt+}K{GBs93#o=Uf!F1C}ywchvAOoq8rE+D|UKiHmu$K%-Bfakw>2#-hAI2D|( zf>vU4w+Re$6d}P8;VCR!sYZ$?s2DGvi-u4a<3Kxbxo=Rg7v;7F88+*Mc06HVhX>%{ zJ`X`Y(`P(%#?Mm!LXW00cFwj*ZA;4w{v=hvIpd7deb?Q+G`!2A5J`Msms1uR#Mh5r|$I^rJAV2w!hjP=!QH4CV z8?wc>HJH*{xfmzH`E-p2xSCOq9yHj%*fRQ*39v7EM8oJE4GSC~XP{FsQ4Be-(V=Vr z8yewqUx)~~Otc!YT=kIyw1++|7d;@1Q^P}oU$%k^2q`tnHR$pjbGYF72sl=`=oF{~ z(%K<2n6k6G`d|58S4s zjyJ}pC=Ae|vVj402sB|bDbAL`;t3>i_c&iq$in6s6Z^E}ZtVVuZP|_OrHugtyLre- zCaBKiQn1a*KpPS@(2F|d4SZl?Zw_{f;FW`TC}H9hY1sniiqS^afxUu24R-Kgf0j`1 zZ7XHbvHIvE7;!xj#)JK#TX^<5j$wylFtK?DX&9-(WE?wsR0Kn`UhTLbij&@fmqsuW zxB8(jtFS3%u$2h9K}W5)0pcf_K9hezRjYYcYWQ@2s%fipo(_NULGi4C0@g?{B(Mau zsO@M0Ps2yYIp~jI00!ZSh}C9bo1?W&1`M;-jad{NjXniAG9R_ixRd< zVGkU3)5a3qz8u#eF6w{_Py!~000u4bhaD-{$(RTwVuusk5}|gyWMPoK{=0vI4>PR& zd+e4oAYQ?UwP4c?b~<%%>lH_Ds&{vZf%ZH|xWx*HLEZ(*K{s3bl&m24MTHEthsxf$ z1Wu#GbHf-nkj3I^lT`@Ck%H-j#W^Fe=@2+HhTp{(8o(tDg%l7h1aT(3c;|o{@l3wy z#BFpE`i$G@2%Ns4 zf!RWvOc8-zYXeJ|5=s<%kN`)6(kHE&shNDm1beOGZQvJmtk1$Ej{9@Ri>bpbpcks2L_aL-!vvp2$zZ1DR@?^RQT-FhI_%MSY2@Su*u|}>X3oM z#37FcW?5nm6afnOwU{<+!8-zcY{MHQ;BwL#XaPC31crd|p+_Z&6fR`y5MMG6`!vx# zcWz@vs)P&K7`Fm54){c;4uH}In0PU|JtnlHkRx54An#&l4d*(Owq8cRF+hUOu;r8o zgv+DgAZa#YtLDkw*t#6R*5yzKzQ1C83TNAZGt}H`a4#v}WRX}ALjhB2_%0^!)&`)? zQ60cubV+bRyPEini_#f!shg#&ybq6TX{cqk8^2o63}Lj$B`TOb1pc)8;O&E?_A;r@ zh&n1(8Aq|WiZY40){id(ST6*qmjVzb;@L7MZFYo@SAO1we!t*~eO~bn14F@&%q>lH z&}>1EUv=ma9jWMoD&rhwix0749`SZ-&&_ zq?i{alv8XAL>gO&@iqg=g3c6=-FuGrZTSG&TmS^ks)_0%FJveU4)2RaNWpNC6N;ZJ zj$w7msR9AzICHT$Fo<$cVPJ1`kEjXM!NVA}gh|qIDWM@6Lyk0+0C1Oj8_I_;ICyYy zQv?JU*fF2bOsrNxV`#xfG`tNvy5ll&#tdPph;CeF1CDXUK7Abh7MHDfPa{XAI4d ziQ~W%UismtGk8j!OrRBhKqU_C$G^Y^A_AI7#_99@HC!>pAV3!ncA}DzCLRa!C?*`CnQ+t`gk}{XZC3$<{&3l@YDr$;#(*9u z>F?q++ou zG!s))2#g3RrFK9L(9KWDfh+NfTwL)d$iqxv6Do%*de-EpkjTy$GpcmRIJzT0BDFQf zGJxC!WPp?Jl0b)A(vxV@OhiMtDTap&jL(Zi#l;42p-FX-4{+I;iU}~-Pt}a8GMcG8 z#WV;-RP5935fWS&GB0INfp7Q1G2pUNwRi_3j!r#hrN-3!j2jb01ia|SstCsnct8%K zAuei6>+KVq=73g!33{AO=pm|_4uP_~q&*zwv>_hrtP@ugkAaC2s6>MyxG?F!Ma3Au zF-V%CcBoY|=_>4-99)Rn18$>o6_70`ZXH&q?rKnXi-8m_AVE+Tw{;-yf-&w>EUwA3;8|7*6jnFV=PW7N2QY{TrTx4E`EV{sILiG-wPAkQIiEm8bj#%XJULLuxpD@c&{01R{riu0@sU`gr3guoOFta1i76(UhhNerlf z%4!l<(>!K0UU*sVQ`cb?Z${ZpUR6W2n2>j3=+~f2#GS_3-42!#k(1TFmAhKio-W9JixRrms@BgTk2i}RmgKLUXM zZ6I}GKaK$_j7#Oll#4St6!w87$Tsi}xrb|`Gp^97u;1_*?3*_B<+-b1!hu`sJ(DBnMTWu!bn?PX!i$WEi+I7sqP%Blv>KLih=W3* zOu!I^#RT*rI2~e3p4NC00wx|luFy<4ur31>7Hl8^01wl#5H(OqGhxc0&ct9san6}4 zGjUR7CeHE!2;{)33EhTPVy=@EH5tdvama)sWeM3z1r@_RC5{ET0-Mcg@)DAdR}`GQQsLI{6$CJ7-YgtsnG9-z|uqL~CV z5n*JD%T>o`Oaw1ntZ0hR%Gj^WqiBdk`=YhYzgV32f^nEBP+TSqv<^arcq)J)X|yt8 zFbKjNVB#KNU7`i+sSP{{RcZ-P3#W-B6j=b78k@y;948F|)J-VC;+qm0$P(z#z*>0J zVB%;KWetfbi&Vk{mtd$N-t_|*6Tsjfz`$rt1pa%5N(#i$)@LyjsbwE|{f_GZ`d_|q z_7^TCIUtk*lLiZ1ST(@~9!z*4Y$S)5pdo>`#bg1#ehUu*fr1nd17HE6NW+g=>JE`| z!7Sn$X(1~MH{ez+3M7JT&^quA(o7CS|4ai-2nL7}SDu2<;wa8MysR0})=8bKu75*T zD6X1sR4ykvT$%|7gNQ57%Hlw9VSENWsG+z*2L}r^!$HKT$@$aJO338h2v>0-AO;B% z>wkmeRRad)*d9E%7&iNb2Ny+5IAQ$aockpnK#^v^xrU=H;t@rTyfE!V6gK{zU7>g5us2yW*Ae0D())jP79K%6zxF`;z0gB_GH5A7)X%xqU zLlk$lvAAa4vhh#=go)X}dnk_A_)WS;4Z6qUa6^aTD61sgc7|>73Ny?;(56Y3eV|sH zF8knsd9e?hL%WLqOPEnQ>lNi5R_+Cky-=%FNEK;{BAxUBt0b)S7hKU1Gz{eEe`HoNR4;JW4{OCL4mahhi`2R}3lioRmzMCTA?Q|U{O}MTE zUC;wAA5vhz%9YBEDOb%K3P~ug$5|XC!n8~`)J|8nDIE>dFgVAA>qxY~b*YbYX$dG6 z6sLy=85ReN zpg0ezp^M^hQ5>iu3b8^_t^qg1;&9=7p3XyROy!{gRSQK`dz`bF0sJaF<9MAI3)nV# zVu0gU%XAthVg{fBII1zsFoXx=x50t0}2k#E=M=svfd&VFn-BAbF)EWeAVG1{rZ)0oh@yiKJP;JD!CbDBV(1QIR*W7;0c9E>wbdd@8qDNU zNYPQnD~$(8XRHGp}|qv zKbeXrdTbB|Ak9Q3>LHcSeI($-4H{9+>*{1QA`l=ub&Jk&>?!Vp|*oE##;DpUD3%P1uL z8#5!SacmsvycEjNK?1121^NR*00qF~@Fjdx`Rd4U>lrEHF(k$e>qj&*Oev1V1sv3) z9|8jD16RriyDA?P4lx}rir~USD&^yehRL}4fI9=0%A700W} zmfrx1@rqa-@QH+q2lkrE$3raT^Kf5vVIzyWa5Jqg%L<7eI~&+!sPw=FQ~6qpf&j`> zRSe+}S*F8R6+&2t8!DbqAmdhM9Sc&Iu?NVmvgT?gj#D%hVH>ISP&h;>25;~g9M_H5 zn1=!l;5t61kQgf#8bln{7<|+Q9t~l|K|Z8h@`M}3cNCEj5^%DsrI+C&;fRtI?0&L* zB%wQAmhwfD^(fwfRyCBs`~{AH5Q6+z8lC0GYh&>cT-?;Q@fI4>&3jmVw5#tdb>Li5 zP%Cl9gnKptSE|{l(y7hq+bp$^~+JF?%P)|1x^-Ts6WXjLk0*s+p zB8DOiTKb-2LvfX9%?z5!6ib$DH_j9c^SsNTy&Mny)3DN-8Wy;5pxeYvj>PUN1Dc;t za=~<(iK9Mo#|K!j1sG(*7GUr)wgA&bo(VU$+eC*lK^LvS<=R84kxDh{h~Q)k24*_| zO0BlgKF1bIU<)v7*JEg;xBxsHdW;pgR$>Ke7E}f)!OvWQL4d$0T$b%dXI!8d=t?oa zR64G63ve9OnMZz7+XOHy6~yV+xNvKLkHYgf;KUY_$cAVbLNnPpg_8eB0BVo`X+@71 zVVoESkdUU%!kEfHUNv6;V`wO(R4h=5VXI*0>I|^hMC1U~oP^SGhBoYY!fbLVMSE9oR~4z4!TkeHl{|XB;$W|@slQobkP&3ZE+4# zkr5Ua(vq(IxXIw))rVayVZc|2k%Du`ff_87v6O;o24+U8y9BU9*HCPZdYyt}APhmU zFAMJ`V%FW0cHBVNewwC$u_iw?723d<1jBmv#d8Xwx4__FL$b0pOKT>$AM8pO^LZRa z6l+5GScFocndDm(fNL$Eu$DptfE|ECP)+_L-};wvGCS&_0n~t=K*!d)4Wex@@m$kr z07bA(9?fYcT0sF8QCrQ?3^8gy%4ZRLn8u{bBG&DKHBRm>Lo=Mjgm%=VtC;dab_}tI zx|4y@VG*_H0kRpqNF%cqp_y>7shF;HHE=(DmIyRcS%idkI1b%_whoa$Ud?{wb3WA#Z3AgR6}7sK5$C4by1-F0k6*0eLA(?Uo}h|EGj!BMg}v?A zl7|XV%cK)OCZIkW4rR@a0JP?t^l<{ai4HIsC-_zZK~gt{pfoUugvMAXWWblwdg2?} zw&|#exRz)+Ngw*CQ{7m~2ljn%AS$%-UJ5<5aqkr>U_UB-NM?dQVzD>_#6Nw~f<9>g z&)T3aM3~_VjTs3O2>>6i^|@i5fr+_-V7l7qs?QH$c9U_=cy!f_2g$$?v2uZnF5#+w zt_*Nn1uS8*GU3wjfjI`y!o^tN5|yASYAct|M_3!3aT<*SZR|!fF$hM1Q3M(|HY`BF zGMxF8=vwn1ilY>YBVRKK0N%qvAKWdf`iP?$HTzm-eFY2v07wDzJroC%!G*O~E!yCs zHiifA;Q|u4D63W)aM`ql6YHx$)`u+Bx7ffo=+R;#bcvvS6Kff8t(VP&wv8D;fl1HW zD+5Hu;*6?~@&Ke3^oZIfQN!_I;Jj^Ro1l5fL`5!65k(TK;>WuolqYAf0D??GE&Q{b zDjqrx!y|iF7~zdHDw7h1m5LfDyGeDA&Hr)4WSnCLK8Pko9e5T6NEC$7p`w$}Ool$4 z38ka@W>429@CU~)g@+jDVmlCf$@GA7hI@sN) zHefd5e4!YMb1Y(^IM@OzgKPxPK(?~Z;FgqR##?9>QvrIebS0_HkDj5TGR6TA+T_p+ zRhI*wpb8QHClkuEzI%$e#za0Z;>BmRxCo|o8MuS~cl_JU@pU2fVo(FD9$1BbHr|{0 z>e0QG|KEw*onh1JzvbU<4lhwCF#}1dPZDu%_Qf2e9airPwP7qF5yGz97QFL?m%K3Z zb8BKFUiI35cg?Vlz)Xad#&Lt|ZQ0rCr~EiJDYj#c7h2bf_X!mz!s*)D+O@USpwhCJ z&uW6PR{vdFTh+QH(Y3YJo{l<~0QMY*X@r+Oe_~2e7bXT?R%+MQR-mG5Yiq*Zk=d+R zqd~c9on6$+)m6!4;zOPc~R|Zmwi;wQ|M87w00DF6O>CZhzu%VMM_~2J2q9 zSh;|CLtOu0O+(jOQg>}_{qJHQxDF|dUxfXxt*z>I1oz*#WwGvE40>H#TMY zL`>a%;?@llM8crO0A}8)I$qX-O)c#)6Pid- zlOR1S%U;=Goo65|rg}qhOp%;2J5(AiTKLg`6f%{yD}j)D1V?i@c$jl9%R=jsx#7XJ zERpmOK@k&97(d>NW3vk8{;sX9v@A6MRb5+K!JY<~CsoN;k=ZEcMiSZ!c2ft5wq)>dU(>)P6?L?fcyuC1+I zTU)!fw&IS=vSo%0st7)p#bQG3m9ktsqD2bsE8yZsw`*%_*Va}(^Vdw?`{Thr9y)hz zZRLgsT-Jjw;022hnlLC{xEknmZEZD)h2sX?3wM)tZEfw^+G;>ry0*4iDQ58>Sb7tYKy@G?PM zy}+T)v5(_1*XIZD&HyG)od3=pxe?sLAt2+JP8+cH{K8uNh65Vpiw9V^qAzrcCvZ6T zvRyILKXE#+f!ZLTB4JAFMG&h;JbeLUqU+BKSUuv#GJ%2OHC4V2f&ImJR->6;T+3>Z zkZR~FfD;Y?D0)|SAbeZhjV%&At^L6p2zLi|bK~UB4#SZ+1=%q9JW^d@;ef9VOHX=( z-`xvd>Uu+zh(5#mIo`n6^KvE^cz1~(ICDnixnCE1Tmwf8alJ0S>-GfwDEEvzFzyof z8zPis`FAH}bidsBhIl@ySFZu?cf>%C7D!JzBSw3=C0*kDyO17_C*5;K6noN#rHePj zlb&9~`=Om#T5xzj?G5oGt@rSLsDHiJN_Y7}!wJW8KBS}E!elEym}2GcwEY|XR@{^7 z>67j;MJQDplAdIW;?&-u0j{^j&r*AJAK-pZblC9~X)OP`=n)t{J_`TkU`|1S*AR2k zM-&wJ4Dnj`anS--i}=nZRy=%})Y@LZQSHaZ>U`i}FDO9x$6kF)T6m~r57 z%=jLey`8U%JH`)4>X-0|Z;wy$T>`v*f4nvR5fiNTei*UZTN}0eE3o|4MV5cE*vj8K z$%;=bvBrOEi8cO#Q>^sIrdodA>DKsr%(UW7W!CtcW?AE}onwu^{u*oCZSyR@{#tAN z=5^Ni=YPqn|K^vi@gKd>idR-x@Lajj8vofv>EkcKIM-JujSqU>5Z|jr-0`{?S`|te z;QEvBImbEhK`G<3H$^y|_(mkY0FG}tB9MfyGC7j^JMi)O{!V97e-A#(o^*#RD1ttG zRNk44?^ojM;m#nF*U|H)paG}DgKxpB7Ch%#aM>;Jd*gNsF4clZOLAH*thZXgckUs9 zJ~x4I;4}2>ezb5VdHnsIy@MJ)a1ROf^>_6{Zf}7^KLSYX$;58mG@QQYb0={GY$eIz zU_k5dVEL5(PKVQ#>4^VA_>wlHhLI$+0jQ1^-JZ@mo^y5B^hI@CN$2V)eUa=@pGb>3 zgjWc_FWi|zc*6LQR<;nyIXDV156@vsMATU(qVDqRtevxqyl zinzN;#68@mC zfupcP__6_Mjzgs8IYgku5p|V0qDk`|QLWq&^(}EkQ)(U2)OtrWuo<5a-|C1bH96wi zc1PUzgd?7^!x2y2>4*pRISki9hmmy1VQ8kq@Evm)DXk78wasA!f=;hH)9LkuonCLY z)0>>*^!oFh-n24jiF>}Y#8d7p@h)+eB-c7i{PoU~w5`s~?k4AE&vxf#?-S0=$vd2z z{X3nT(+)cKxeqz_c}(X%?=k1TVqc6n2B@Kwq@mp4%6 zDoL8}D$&YaCB7xDl9XCkNou{TB(T-BIjPCDS=;W~?0dqsIc0}ybLvjl=DCf|Irj>i zyia(Vl6QET{5w5OX{P6p_n7BUa;xW%zs++fEv#kwvbD^V94#|7PsT3WP)e(ID78&H6v+05lXJXbf1Wp-w!~YW zT@yoXQs6J%+xlY8OTY__U9#Mr`0Ae@z*CWN!yY9gnwu96KSo< z$NX){$I^0AvQzU?vIDg#OH%7omIQXBJdwIH<%vLR%CXe8lw*NBe@pLu;G za`ViaBUzD#NLFTkV}54VytVVPvTlgokR>wnGezR)?xP8j*`J}ek0x?T#(B~P4qiQY zU^Ir0@WL4&uggE0e_bq+mF4wjWkq5cePewyZoE<4c%#P`bHqf|oe$hp&g=f~B))UM z*WKv$2E&cvpw~NuHHLV-y@R;?z44cm7s<=7+gdrPD`cW~9f0gCN6)`{&69usX5snr}M~qFy zqPSwtEN3hRT*O#6!WwAw>OMEbe3uWNG!U(fbU9)Umqb|^3P-~s8O@8zg&E$Ag)Vo! z+f{LG>GUGOKApsKmBLx_RxH{rhL~*UmI=30mpzcFW@a z`O#>&Aq((j&?DapZlqtBXgh0$=m zczFBvwG#>p3nxqfmL}x)PxS9!(pXwlb;XGG5mzL9gFguyn;U&DG_@L#7q2bIDJhvU zGA|nKyGE=@POe*nPR1FM z(YG%g?_7MvdhV0_OU%U|@R7i(JD3pQDu%F-4+dLSlxq{;kMa*f~{P}_D(S4B%0!NQiU$d=xqK3 zLI8u+LTEHZ8EA)tGyoX{Kp6=XQJF|o_eQO}5JFKjoe1y()8rMmPnj}hbfeSh@i-gt zw{UK0!9rx@yQ7Wa-eD#>AAJ1i;}2#HDIJnEtbI2tXUa&;7)Ib3EO{d&DO-|QWeKBoT|Prw&|^2o&M1c(wj z(F9gDA%~;4xV+b8=^`H&p;Xt`(n|B6PJSu>irtq^7=ET?!m2B7pWy!fHzvfN`|*S^ zyY@{O@%(!eRy=ch!lqYzkqyyHBR_qrZ{$0{5s_DxkB_|Y%gGVPC37O(zf>NHJyadp z|4MztSi3&*^?Nr*`aX1TWaReyBOA9r6#3&r+au{)z8iU?;Rli93!jM$Dc%_=?bRH) zOL<+|ICK5mPR%GVa%*d)ye~SF#*ME)t<+=|ezcxRK4El0=tQ@~(ygc=z33BmX zDQgcwOTAwtU4NJ;E8m?g(_bx>ZNHc*o!h3%@S2%&!t|?UY36L1`}Q39#|N&FvrFd7 zoBnaF>~a6~a`vz<%cSNTWS>dp@|(Y`z{IdnUi;)Cxj9fJ58b?2=Icx3_k1@=|J0l1 z4I8TE*PmG?A33yKuK2h{dVROZS>5a86rZH>oHmMx0vj9CMJc5E1z+uGoR&HUe!Z=w!`+=F5726?2rAjf5Jg{ z2p8caoP?Kf6Ml|^7k5&4OHMgAh6 zk>AL7))q!Un_|}1U9r)Kl2Oad#K^GnL(LpC2^wL2$9rV*dM;-LkL0290)j?++^wvRl z9rV}12Risc2Vdym4;_4>gI{#;jSl|N!ACmyNe5r);4d9~ri0&f@SP6+)4_*2_)!O6 z>flcue5!+Ab?~hY{?)<9I`~-!U+ds+9el2X-*xc44*u662Rh_Ihg|584;^x%Ltb>q zjSl(IAxApoNrznNkS`r_rbFIz$ej-P(;X1(za;igKb;zv_`PU)GI^FX1Nq z90$k4adCVcC&$ZibNs{u@j<*0Kg1L9MZ6Jz#3S)Zyb`~}Gx1Hl6aSZC%=>L$^VoC$^+$s@!pfz$ zR@Q}AtgNV8S-GfBYI16_7_cZ=Oj=sEVr6*2>Xnt@nyT=u`NJlaO)dzRj<|XpR@}u! z;pwF_Mocf5A1+u{TfKDUszsGZFTFZkwxnW3Ww^4guBHwN;n@|)u3W+3oTbYuhlZCi zJa_rc%WH019xf`F6RxORylPqH@|72qS;g?=nKO&Sh1X8(Bg*P(s+Lw)hWm->MP;LM za`M8%!nxt4%a^XS`Uz)SppBdzE}Aw~4i?u>oHgsq;YoEh%U9M`EU&B%Us!K>&B}09 z&8p>#hK3g{T~V>1dg=1T!-o&I8<|~JI-*oHG_z=G812lQg$9RASM-x8HHln>_RaYztTzJ$rVeN1NnubVC72iU1hBmnOr2wiqM^y zUM%L^Rui7Rbn)_vYTOXbm?+9-O%$`|%?i(%RWM_AcviujiDLR(IJ3}NLFtTe$s{y< zE;j9auw+uWq;zr#;1UI;7_gWIx{9KC!={Pi(#g|+B~dV4%$PJ?%){@$K;nrL%Vy1- zj+VkxD_28)=9NtcNjSuC=?pQww20oc(is!!7nY&lnPrdX3P>ZubCwPE5;}aE0!-(fr80J3Rhu>=HkkgL&MXiPMQr`f)pSG$O*Cm`C?LC z zo2Zz0b$I&HMeM^4vHs*bBo)s9QJ2(Ju2@o2ji!{~7OYydv?jc;W>MwB@HuG}tWsF9 z@aDyJ6kaiLISbT{3@@r&fP{sWohj!N*mePQTgBo^p;R5hD?us?a8W$5aPH)A5g@Ip zt`tQz)irehrE*!NfRKlas%sYBtaO&RrluMiN=&M*Sg|C$suq%ezOxn8HMfN^;PWX3 zPzlxO6iFn^gaM0gE?Gl*P9Xj*Y+ZmRr8l5aKE->~CQRk1tuZiW4?0}4JX}>#P0b}H zO%Kn$WmRSM!X=%UE?K&GiH&L%oCiI)I1CNSu~%0vU%YaOAZn&VgD$J67PFTuty&o_ zU5@Nq5gj=)Cuf<+#cw2jqwpKe_!u~O42;C@%4K2J^Y!F@5Pm z#kEZ7Z))_WksDRShcc-I-;^p6joN&!bA4t zid8FC*rQO*71wdzB9E8V+-8+glBhsZ)I>w)8R`6VVHa3d3$9yHQwM6--MSQNoUM*1 zE`SW!I$q2!nl*9ajPvn=xy7Y3&qo!|)^s4|fVa=pQxY-FnhzFLR#mL3hMwze)Z+13 zl@*J^w$RL;IA>1jjLEaZ%TRDBrb}yDTOlZnC1n_3#flZg!MQLqrgdgujyV?wH=GNj z!nv^3>4nphn!lDpi0bMp7p}A=uM?n)Cb{#46mrNdq6k8*_=ai%k)oN?G2K~Npj9Pk zSFsZEv_d6W8e&G#$nZ+60U%{CL8|%NVtVlShYTXSQ4kayu7*;xYNZ&k zs#D^p)!b$aJVR6&^Oi1$s9mJ>W>!^!2eAMvS)-=Xl{GbLYV3mr;k52+oJ`*S`kw%8UY29o}2)_?HmR&2o6Jwd0ErUk7+9?RXX9zeoG??EaP@ekbB{F16sF zjrhYDPn$iSIf%cC_yUyY_~s(M6!9DF@@0rWj`6uL9_C+-`0o&3ZkL~h_$`QULwm%> zwTMqge3u=+4)MW=@37<7BmThvhxba*gyokaejmn1yWS}DNjeQ1f-wwoc>CG$;fOzq zc!iDA5s3c`@tJl!2l2Itzih{I5l_u_c#8oe+Z&1a$H+eb9I?+)h)+QLEjvCM@m`4k z){bAv@>e*#yY2WG#J`OEg*H!Ih4}Y}IJ_(DG36oto52q6Tswa(;=|DYayvc_aR>6d zqdXt%?^B=)WsFy9uEX1MLHtU{+J~T%^_6NNeu=mb<=#XZ zX>}Xm{QU^7z5VaG=yeh=b*vg4x>?>52V zJq&m{$2=DBG}`TzBL8W?yVB;*DTp6HoR27IgQZJ*>{i(1Xp7we`&<+3u|r|2qfK@M z>~^%vu7nMbw%H`u^Jt%?ZI3oy+WBaw9S)mcf7ohi|D(NjBW!`R+0rgZyX|wZ5z=-` zdm-(&v>n2RBWXvZ9hWvm+Hz@Mq&=6mM%r{~ccfjHHb~lbX^*6Rm$pgTcynQ=q@9;G zOWJyAzofmFwoKZ5Y1gFPmo`q?erfNd{dWp%pR@tf4oW*PZKAXV(>_XjFl;3e+JtF0 zrCpddRN97VPo;gBw$&!sh-qh~otQRP+KOp^rM;N8SlWzfm!;j9Hd@+_X|JXIn6_Km zkZH%I9ho*=+LCGC4Z)sFTQ6}@(_86JVo9jkCE5N zbL2hpAbF8IN!}!nl2^&ItZ!_VgO_1pL zZHOE{?TN$(ZHvSY?To}1ZH~kr?T^GKZIQ$;?UKYd@ZU`Q(_Tq>&~{1s(2hxZ(WXiI z(Y{G~($-1(g5J%fH*KJ#KkcF92iiu-AK;f}@(XRIxlXhgvD{ab@U)q-`&$KmDzG-)+ywe6v`KLXa`T%*f zM^pA`lcwy`E=}2|ZJM%A`!r>rHfqW~?bMWg+Nvr0v{zI1X|ty6({4@Kr|p`uPy01x zpEhjDKJD0)ecG}q`?P0M_G#0m?9;AI*{5xr&*Es`rtIs~0l>XZ9YA|MbpUPm)B&{P zQwPwdPaQz}K6LI;Ij?t z06yoS4&XBn>Ht3fpbmg+>C^#yE~;d4&ZYX>Ht1dp$>p7>(l{! z){C7{`;-^TKIMn9PkEy3Q@$ws zlsC#g<&UyYd8F)9J}LW@SIR!+m$FZJrtDL`Df{Z#lP&)$%rsj+sIshM>kriy+qCtI z>Wh8a`bWV+m~8!|U?t49{!(M%m~8!~#>z3<`cL74II;Dk!WD65>rcwQdIo3fSA}ch z+}6K}7Nm)-pB1f0Gh2TvT9T%=epj?6&29a!c!4}&&ku@M$TRl*p?HZrWzR2)*T{4B z{G)i0JZaBQidV_A_WY%InLKUJZ;IE+^Y;9wWPvha&yPx0C^Po_N!jOos$`2YX3w`u z_9%n)e5_=XGHTD)N_Hv3_I$2nn=(#Wrw)MZ$EgFT3mAv&bA6z61$BVEeo(rEI>26E zC|yGxV6Q)vE}{;w*C$F>5nk#p>HvE^qjVc}fW6*Px{o@*UJohVNF89Wmz3_L4zSl# zO1Dx6*y}B&d#MBL^_bGl)B*N-P3dmx0DC>BbUSr`z1~y0pE|%^530F=I>25ps=0$Y zz+O+PxrI8wUT>279s=13gz+TU)xs5u&Uhk^8k2=6!539M6I>25p ztGSapz+O+Qxs^J=UT>?pmpZ^+kE^+vI>279tGSyxz+TT&zSSJhxt{XQxt}@!VYMdU z+JHKMYX#~Ht{tcYxR#(k;o5>afNKrv8?HU51GpBUKH}PhI)G~x>MO2Yr~|l`p+4i< zhB|<29qK!-eW(Mt7NS1n+K4)UYbEMSuAQg@xR#<0;M$5hfNL%4TduvR1GpBWKIYnt zI)H06>T9mur~|l`qdw=_jyiyAJ?eX|{ip-D7UX=uwIOu?*NU7kxOSut;98RN3D=g? z0bFZxzTw)FI)H0Y&PQCEQU`FY%K3_GSLy(+WjUX5ZA%@%wJzs7@V=xD;98jTA=k## z0bDC{zU11OIsmey*3?{EbAIJon>v7NZ_dA5i>vt+rN)->*4i@L)$O{*bM+5q#XO^ay@DAqU_X5vhI9hZ2n;H=45^E(S&^WH!(fqQGfTx<9YS@ zz9WeoufO}92hq{~m_E3FBzx++htXj7H9HgXPv4B`)!lk#Pi+7=sp&iJPskaiF}?7o zFQZuZU$WQ@3ls9I?=uJnt{xOURpBV}3`_-~Ha3{Q1{Hb7km#-blz_|17`#yKC|?24_!wv$-C< z?06y}bDxRn&s~a+|MNfD@f8~r^6v{{`j0z$WKZq&J@%11J0Y+5d!zpJ*9c$z?JPDZ zH6hEUHtKD20ZX4l9W2*Z?~v;sSgZFa=!e7~wtkWw^u52SLq3tQR`;hX0KeH%pXYtE zB_Y3a%mT3Lu3{U&AMZ-YUp$=Oe%X7mjBB$kBL4O_3HhT#F@4dqB-B5?n~*<<#q`H( zvoDO7~my@`nw(JE40qtX{V!AG_GTvqRpH*Qmd86X46gek-Ws@9w&~L%uh7 ztv; zw5`8q<-eqzmD6+A=vRLW0OoJ5(I+aB3dve?Os9cQK7uvUM5DIt6(M?vV1 zpOtU=8uV2s0nK&0PqE-1KWdkcRsxzAha)jC_BAGI_qEHf|gbo4PpD_gnVdNe*0S%4yWgm%D;_vNKbA||I;UE@lPG9>!YX6%Iu0peeat< z;^sTqN%l9+%9Gh^^`~zmh<}(1lKQ(J2%MGIt+`$Q-nB?u`QAwu+;Drl9Qv)*`ai~u zLW8^ZLc^q{JG-~byGrWyz@r3le{pM`clMeN`ErW|;(e>L8j=K6h4$=HWwU<&4Xq z(1H7@CrP#Lzq{wGd}>&ue#h^6WamHozGCTL4>&8$mmBnwEkw$~8=xiq-K!pImt+6B zMt}V^q=k2XoSlBmo08rxXS`ak4=v3DQij*(# z(|=B+q^4g!GHE=q;_I$gw|B^If83bgKJQk={l6GS%9T`|mESqrsQ>6R+RQtwC=$s$ zD@#tV)ejy9XiKTvIf0xKSy>j`RdG5@{PhZdP7Q&pN?mC`rJ* z^7zMc{7sE|)3?B*{+?y&AIt9ZZ`X4l_%fqko%^v|dPlvkmG@+eu3?`@EqJT`^XHyX z0Q{ujr0jk9O1<}E-I%>@Y@7W0&+7DDL6aTM_*I*H%w5;nq0#ryPtfE~?)gx*gc^1C z+!xqG)8!w^SJwiI>%YzDq+fj~|I+_9eZrI1t06@{l848w)aM>;R~;61oRG~&Zqfg9 z5*RtP$Jh;@$a`e1{*lpp96EF^Iw^lyRbzFS+T$BXf)n!5=8>2GCZBq9t^Uo3la)UH z>fhwn@2%F|e||NeU4FRd1L^$rDt+IrUsn)x9G8o3uG4p%9n8|}@BD{+_n8{~?Ebp| zL28dPJ5R_P_bt<3-8+|EEg!I07lig#_#I`R__=z{A=c)JQv)8TF-@a-xn|x=?5&2^X?XZ`? zN2xu=JpM7?U5{Wo(E8SCGX%P+-B;egT$FNc0F57*tMf8*dp0H%$K|`pi}jyBzn>jG>HLR0 z@JOXS#7kU*z8%Tu0>j_4=$b-(%42JE|GazI%Yqwb>$m%B^|7axG5YqB+w@BoRQ^At zy$f6v)%yoLmt7dx1r`<%5fyb+L?T2`G;{!w@S2(zG)Yn{GcR~YM8xufW?s_N%1lj7 zQ@d(enPX;Ure=A`%u3DF%*x8l3(EU_&diw|HtGL+-c5}Zr?CxAGW@A`7j8;W|r!6e#VB|9L zKJCjwy-gn8_nF##LaCPV=?>De?%B`Pi%TbHe(}!|`ry4^s#>Gb+S?QQ1C4xp$~WqX z-j8YzF1dBG4e_5Gaz1MeB2tvhrWu?~vhq$AH2sS^VlXzga! zX@^m%Ebc9}OL7opaj(-|IXms-UAwR86UKGFR;kW&)3oa?F(La0qpi~&h57fdpHI_1 zY>F9v{i{Ukdaz1;X6-cXxzh(vcFF#ONcV58QtM#V(P|Nfvrx>Fg2K8j!)zSG{@`vj z!EbltHW9ZyUZq~OPt$s{*_i7(CirDntJKtMdS`!QM?o87oPO$Zm3raF^j4*fWJRo3 zu}+K~Z)@g^UaJgP=#1X04A|<73S4wfRN9;ul}@(kp|bREMJRwc1?kX6bBWCuzg5&A#XYY?!Y)^>NmCW9~-A8j`lot1T#>zIAnANXN zP*+cMcTb5e_piIN+z;h8SGMIoy6|hU$9?@e_KN;vUNhU|h2M!d=)TPz+6P)DFAP0~ zW7-{Z-6MMeowM*H4t9SNZGXKP;43($U8haQt+h#Pe}}G_Z-u(Q>86X}O#If`=NbGH z9dxfF_J}3rJ8|l~Pq)s|ovx9F*Ojcy?%CZkd${vEF>8N|IKA$Ev@c5-)1_no)gQWN z-UrOTVbLlvR`ho?5dA#E?EyQw-Isl$L3F#y=s#>vi_K1+c3pITbIHQ}{>IYrHX}`anwZo$u*rFTT zGNaeUWJW)ug!zS?j>eZ(yT$Lm7^Wl_M((I>Q|x}BEfSLwlknn>f9>BavWGqJmt{Ho zBnr1LcF{jONh_hv-&CplwP{*y^dOFy_E=J0z`Cf{bgIfnr&OscgQjWE-zXzA{Eype zwo;}ArcWjGpy!tQ)cO)FbMY8d?7!;&q1F#7q>3HqbT^)X>DIR2^@=_E6r1vEA2&zE z{`FU?vQ-CQ2a~==F{s!d|4g-W%A2U`6R`^0hlxy=Z@wq7>GP`8+{V+irIS&!FJXxt z6jo<2mahBCw2SkoW|wZgMMNdLO6@$SOnaX#goa*5&7OnS)Z>a?v)8|Vir4IJ-&d(e zK25JInaZYG+E+Byx`Op$6U4w%7nb)QAj=i2;NVm=Gk-Xkjp;y8*stTO)a_U%ez=Al z{PQIe`vr@rAF+J9fu&lPN0Uj+e)YE6qH?NsdR9X?`2J=#vw!iE+v?hdQ?*d$;D#e` zF!gYiI{Oki=ya=7;*6G;`B{~^dsBL4Tru;n=gZ{Z2Mepz_Uoo;Ke%A{#TUr%5G+To zVWGeADrSPpY%*MSca^$vSD6+zll4yM;S25+2h@$uVg z%-E?~<->61$|I~eV=zyCWN@jra7YsnPdP79>Y1P4QtfM|Xx}jpSB}Fv=(o};^`Dcv zhduhuGd%nhOW=*;(kthUVIJ0LOdiHZR;iDVnWl}ZLP50(BFpu@zpZvTQ>HzM$#nXh zqbxR&Phq*_DARs^m|~dMf#lw)cU%47bgA~}0yxvQVI?`!b?q&+Xz3JfZ+0^fzu9#r zF5-)Bw^T)OZ!Xb#Jy+4TxN{;V{91UXI=1(=`dYtfnu_&B z7iA05hAVS#t53gLrakaYbdS!1D4%gjx7CTSPt^)29_fo0-@-mj`rEhEcY2m;4)+(x zyZQoF96@23ci&PYJ}=SaPZK~)a~vmPmt4CR7 z8I5`o(RZr$_-JzR?@v%ZPWRKfw^ZluDcY-zK?^)_EiUrrJC$nhkgyW%<)4$_VYk@* z721t*~;fpI*70RjTw){=P-a|GR^4 ztIa&qw5wQMclmh-Sq}U7miq9$W!hU<{&$(f>ir)N-coaS59J0GyUc`=HvNQx73M&)3g)U;A7}rWV>DOTk2Ijr%l5y zO1e^kh+%SYu~NOTx>S30B{?Y_XY0t1^DEW;mjU*>u>wbP7`&j48(t{#uKd+>x zvuSMP7s)r(`+hIe7T)-~kj+e!mfcWOzAx2=IzNHQI%Pv{sDu6~(IWQFCG?}IH`Gsh zPS!3K*N5@wpFX^yzA6@L6TY6#)|R&eZmOGVP15dNe=jZdjHGauR@U!rLpQ??iKeZUkre&4tjqZ5po>@Y& zTl-yC8}yr~ovuBG&`FnnQV~pr9u(24-8)8P>nwZqFZD=v znRe#WwUkPW`%5jkSfXuwZ3dzC7ynYL4o}j`8?#)bet1RQ?U|q*Y)NY^XPx>@uBzYN z8mrA+ok*EJT=0*YP&!7dlkZ0>p}DoLsbjVk>6yg7=!ToLgub2iyXtpys&=m38B)>e zr{B~#G`f|UZxi~&*T1W6Cr#9nf1O6?zKwsVkMtU+eflU{JcRB0OWn1vNISW#h^_V3 ztomDBE05OhPHRI3*S~gEof*Y;%)`G7ivIIlG+WP(oB50S(jM%twZn4GS?9%`zo@T{ zovcNTJ4K6!xo=%kCry~3b$Rav;yx4myE^XL7;WH>sg$KfXa7+1nvT|%E?B}Wrgyla zUWp&6%|B5{7Dv4JxB6Bia|OMnK@PL{^o^g?g;S?$f7QZ(@2nFNd`^vCQ>>M4#H*u% zq9?{&P`fl5uh~-eQJ+=EHsKewBB@C0JpWN*&u#I$di~}I?K3}(nM@e;r@G+n;dm;r zm`whibVVIr@hFdK_x=+p7q<`np!P_cs^v|{CzY$xPpQj}P14>uMl+7+x}iU+7rq{= z?QT-ZEY_ZIUUiHfrENIBh}gHgTvGoyGF*#x{mv||A9`8c-(i?mXZ-fIaiMXJoqwtq zEU!SaVF#`dzS7qGxWutxo@GoVIkziFRbN-7HPb={!Qax5o-XA6@jh`giA{ z+Lwp1a~%}jZ_{ygO_xF16R#|X2SL%bfA~>-;_v|Nx6vcXj$#f<>+a(_e-65RJ%X+KDCZr3uJZR!zJ4M zt((Zgh@-XCiuR+mbwMa7Y)rhAsczXhMElb*fY4FzPgM&(?WdiY<4*?0Ca+OH`K^n# zdFcq)4~nkU`y=(61DRT*C)?2;!HKJ1t3yAzM>kk`Y5YiiDSO|blUhW?RBhsC!${|{ z_Q$l18zySA4;B#m_Y3>9bozR&+dy> zefLGHzWbu_-Iwce$)ekt81A}uSG>y^9p{V=#~Ss)%~-4^IYaZEQbvX%J*Fhv-IdLn zxEA7=K=aGHw?+koG{uUvYLgt=W>2jZI2iC$bRA`m&AqX19c7&@I@1>YrOh2CMukYf zOQP!un|K*7L6qbJ5jHu%7Qg#gm|_>YM&r8{pIHxcMHk$4}Y&h6wD1iM{`2{BN7-@(r=NPb?_AMbkPD1;SK zL~1ByKwYtgi;U$t`aAmJJ2!!pwgr?pEF3#=oTP?0K@PDGzNHgfSE!ye#KGLgkqFZQ zq(ezhA3v@?%#Kuo;D(t%0>a640wPivBQjFxa(Pv$2Ji! zY@;CPVv)C}A%%ybF5(f|IF%V}l8Az9s%z1c#lcBvZe}QgXuO%yK`EQVG+a$E)idf{ zHsp<&hDc%@Oe0Ie-H9R#ioL0`lbiCBWR$<1RJ1S=7)*A+la@viFm{SJF(pkkd5~^Z zt*${m)wR}Ujj>Y}(kv*fwXqaDs~K%k#BQS!F#;kS6Vw8t>8zLmv_t9QqdiHo%E07Q zR@p$$K&`+>CTaGvvsjG-y#uQx0J4o@Wqwj#I(oCFmvfG#AX!7X7v+OI9&X2~O6Z&va_`2Hg*H2m*tWR&#=l=FVxoOf~&ArF>yx@&63(ip? zhETbV^il+2REoC`MXp9$P*^E4QC5s&tijhaH`W>^K^W()sg(8c-e?dyf!c*PXA?;~ zb%K*DIffI(tc(Cmt`=kJ1XzqqsQoZCtesD>piovC$U10zKIPTir#Qj_di`UwX>1qanYM4z1BQIFBR5v#ey^gII;!zXA%@d8Js10J7!)j zW|L+f4M!Iied~A8#Xbb&P5ecu$IEy>U=An#Z+Mgxq?$JOw|i=bq})e9B$5 zK5K!M!dl2eM)7t>i;xQp1l038s84>{!1XbOD%4^zLZsL&L5|4EGmtPNf}AZC>D1sd z?j_<(m0`IU0clG2Y;|A3CoRuG-jV}@`aEpusaB9RXn#SE2Ad*KG*(tm$7+oryod<0 zRtU?hSQ&e(!Rmjdpq9MGTRyCsy~O=D>LKM}Ew2rh$gk7mgL2SIYd!SD1bM6L%ix6RU=X1ZxurY?@Ue*wpqi5>z6vPynv?8qTQnhRoSa99w%lvebY{AC53^NOG1ui#O?5~w)*oeGYjB6sYMPOZ`@ z)&H+LvXOQnPR1tu|Ikr^v~;?506q(F{lCkLf4Ss8UGjfi@_$0|pCS3rl>BE&{<9^e zLQ>{P%3Mi#Qc~tg%2SduUs4v}E5N>amHvl#`KI|_V(gnnx1$IOzF@8Vt6UUTF24Ey zzkU0EpMNTQ7GtU@&LCD7_Jej3b%BmM6QA(2!08*)96#rSU+}>%`rwy*@ZWs!%Rcy@ zKKKyL5(araViUFAAJj0$z6?9;@d_w->yIIxo{*Ji~9k zy_~n2T%E&#ICAi3! z3y|v!*b&qrcIb(i{V?J@8B;4UcJffWY3cLAnbemjJvk;4DKf z)}U8_Udrh+MX3j6>|wXG=VQHmmLsh#&|AOBrk@XvS6Gwq!bv6t|{~>$uHAAs$ZbH zD9c^yS0VY$k^JULeosn%^CZ8gBq+#&{|B=i9sf(@zqz`Cp8ugB6C>w5!}nNpmtBzu ztM3!xdmwyQ;JaUsK#Qkd2Rq-HoaaN%bWHG}bZ`u=t}vS%7N~wuELWlLmc0ICyG!AW zO}a18U50pdbRb^@WI8(O0FM`=G6Yk9L)ZQVlG2Nni`j2vyNjVuLFW91n2u#Spx!8s zOvj@ZSn?uW9NkR@+4=Yr6cc9PhOLanlJcqLF#^_TE)3r_7J#!}y@J=F8TV}0<32G08c4{)Pj zHQO`UCS5t;Vdf(6ex)mLz3(oWVV`N6CC^6tAHm}HDY_`L4}Ar4m?N3^R^Zg;c;B+! z;2ZVSr0)iuY;$@nVDjT2=x+kfLdUPn^q=K7+g@RtBhRJYC&7>@cL>Vskj-+Bn-MTm zndLv*ufjgZHdlTUQXSpHB-F7pTa# z3v`ZO1x|H?r@LK4x-fV3bPa)1x>#J~Ydr8Gz;}vooFVfZWOA8IwXrTgP%r+y)PN|j zR7cN1wvfrPv12@S3gk2Ka>4~A8!+kGk89ypz0Wen0;wc0m&A)4;{*E=fQHJHWIK90 z0=pBXvxD_AXq9|0r~H$y^qrCtiBCSR6d3eNnxq_pZEoXn-NpcFo=jyA$Jjuow3p*y z9msMN=&am-S?kPe;e0H+gjI%(YuhCJt_zKerFObg^N5jx9gN|5d7>IHKH;SZy_ zg}n!}9a51=fN{=qm=Hj%sZTzcLZYIp=7#86b8CS{o6G*@9<_V`#*#-9lig9 zYet9rJ`cWA`-bmmpn4xf<*@=&3tEg*`zE}2Gk)C2f)De-^L+5Gz-f%(>3bRY5ksHw zyrc}ntFSDw$)@rij@U#ZHsOd(7-Hi>Y=RLRXXt#y2$KbL9KHpI==jZ-{1#wr_Qt5| z|0pM6w(?&JG1z0m^j{JkFvT$ILfo6x>%!|sek+jwV|U2!2;?^$`3*yUUC3`R^6Nx? z1Cd|UhvCBm-6QT;ACO~YH`9T-@LvLEflvG&<=`*tS5W3fYbE6xa7!6=aF@VgzY+S3 z2(ig!(;j_1rF!CeOHxL$G#C%F+*2TJNA_ea?uy(~vfR`37AwR;hUCj2Z&_OybIJZ} zsz`N*#+G>)TWDOPI!pKx;4Z*Mzt8Fz(bpPsl#a%~&A?Lt^YQBx@VP9Vca6i>p(7&r zSXpfxH0MZ{z+cJI-g)iC$J2R{f^v$<{cBFs!97ZP)LpE%3G(;kbUp7pp9POgN&(Vx zJQ{coaMDfkw`WO8JYWQAwlM?Ba!;P&KhtlPy}&({#vD`j$p0W4Yv{c;Q&LU>?t!5f zvno`#!R|7B$}doS$adUpNy$e4T;wjTU~@0&B1WOlSzRIiF8I0~`~vb#mw+C5q7<0p zF8g$EHildJa0{OwG|O=Z%02=5c{x%!QMnb>5@=hD`Xus)dBV%E47~2(< zG3rPT%^)f7q$3AB8mmYL;XQ!U{Lzp#<8=yiJBk%gw+eF9&&1-Qben-E1Ge;keOPQz z>A3FiArs7G%GjPs8l^>MPc{&g<>>FTgJb54bEFD+wr!Surr!+ztl-$};0!x<8|>J1 zuw(bZj$Mc>{1_r@OO$r+beXvuHpy*v*JF`Tx5KZX;Z?OY=<}9I2HKsGCT%j0 zLHK-ewlqtgX`5ls3XbBo&rX$;i;%5JCzneom6W#;%l}KRcRA+JHS5dc`VN&z%6tEV z+`MU$viEF`*Ep?Lfj^qf+K*(9^Ypjtj>0a!+a^7rKm*Ebo9NG%&JD}UyRZwU? z!||l<*k1q0>;>O9j?y)JP3TVZ2!bsMIx%kaCNMYpSuprGlSx<~ZF9y$0~!PRwh zUu^It!6Kj5QQwdCiK%ICEBID>$Ghq2xxQ@Ji-SIn3;1!xwiY}W{!x0?ME0RP;0UfT-N`_?zuKt-qiwt+}12@%X31$F0nV>M`Q{ z%i#CELkv0pT4#(m;QPjm^UorWcjB9QP_aMF^dkl{B#Z*yes^Am#O9WF=ucT6&utX9 z$J&DFFynyHz^iBP7lKlPc-PRrGN{a`9oY5>%AT5IhL+{kS^kosT&r1UL5iU>VYi?h z|Ihw04gItejV3rNI8P9Gy5jXHmpV22jDFRU?vyAXY%CAiU+Hz?4&!SY@8`0Y<2eo+ z6Yn^VnsT`>3d&CCts!ovoU%$#Cf2Mkm)o830^*Ax)}Swmx0}LeuvS29c^%oh0Ao0C zYahgQI-eGlr)$<3$K^IZkNHzga>yf(-`*91vV-OM-}4i$Yt`ca%trc@M?Qq2`o7V$HrIPtpbJ=WmipoAFbH}<} z#Ov6IG?DG^a@-kJ9Uq1_ufGD@oPP;#UeC4QJ@DprE1ripuTwwD>xdI?UVpphIb%UM zWU0?d!5i2Y0%l{t4DT4Q2Xu4?ru~z6BR;hU?$0V8d^>Qm&H1H1xD#*4uV>+3@kyT! zJl4XG@xia)jrmsoKp*}FyrKVyCH)b+f!~TJz`hk1eE5f;zncHRdt3B{qdW)?$3=15 zgEzvD0L0<-0o)ZXH<3P5m+MJ~UV27R!(63^%Xw1p+=kVyf35l19F@zZ zwZilKn)R9EX3_WfRR_p*u3?XZ*SYdDs3*v$wa#%{n-7c1tbiJQPvbgLzQZqZa2>{8 z1lKVy`akANm|gSuS3nN#n&nXxQ+*9I0=Si*0zAzU=jFiH1Fs>@!+2j9i#_2TkmLP5 zx4)rob$e@pTkT~4AB=Qd&mrL1To2Y<9>6r7gxABFrJCza9Pewk|MTB{K{4J=@G8^q z9cOsjjO!S~;GEBTG?wROA#{`PynNz-597Lw^5Hxu-g`g`66>6p=W*WOBHKUl9XH}& zpVD)CvB1e5$2~syTG*$(M9yCVoaVONhti+WF9Wyw-~mqYoN)X^D_)BA zg%ux`E3$KDT>qtJ`uP=(uZXXXR|4;9(VyGAI=;WYeio3YkBzO4FM&LjNh~gk$w}BR zV{Pc)^K-I8G6Oq{N?Owz+dI~^|HFRfLfMRYSLC5Pbo08Oj=qn4;&q?fT`~sa0^WdI zLqGGs*~Nm4$HFD)V}7=gFXt9fnb-Kg^yk&I(aiSjc$-@O6@KA~`S0yNxXtlC@!xCU zyzXvA{rjX=jXvb^7{#C-v2!EFeiEmrfIiR?JFa(E3F;{;*E_DM%(Y|eVC-9=jB~yF zh-@E*x6vyFd_N9()B7`Yg?Kh<#Lxr&L1y1(w(CO=-eLaA&_@z~?$L3I*jo#LK6jkK(=zoQ^Sx#q-W{ z1|E+iPYOo=>NM+JA!t;;d6|u$gYlEus=hXffn1Kor@a#e*3bI*6vy?1PZgBmTo2Zv zJTK*CSns1uebUA7`nv0JK{vDQyU^dzT$0E2(AR?UYR!GTnb)qRXeUf3^Vy8$+KbqWxC4Ff z&mwyc%;z3FW~IM~3jLfI?`t^y+6DYN9@k^p*IkI0K~dRq|I^OvI8T=goZ{}QuMqS& z3*C$x=p&eYZ(qT66~I=KB@L&q0zK^x^y4+iE3jeJ!+qb6=MQnP&GC7Ok`imdE0c9T zFPkeaNjYw-x&3ju{SlH>+8c53Wn#bwt`=c2+*JY&J+f-7XMjbI? zoE@Bt$7eP?KJ!EOtl;rkAReDN@%Sv*j)O?pmc;}p)<`?BIi6Fq`t`4NYsTS93yIYU zo@Vpil9G$MeTO}4cGj{GF}s9krI%sDd{#c&PUk)8B&T%9J$^>u{D5BWV(*#4leP8p z8Wej<|1jp@yj~GL(ZDID`*9`UdH!I0N?pBA%B|1uscw*5B%WIb~Y^iL;8Hyy~N)7nI#GfFsTiUWIuWjeB| zkqwNU0?BfWWinnLN_~9bd0h)SDsfB@;YF{Cmd}cpN4XilsjgdG7jKgM^E<2 zTx0bE`3c9_E`s?v0v^XS(04I9JM%ONngej=$sb3$>^Rbevrl8Ce(p)x{P2_hbppLV zr*cjDKhA+rKS**FIM1;apVDS;J>g|P9Cd3RczVf853*An2Xh>p@A^n$ZBIIWhq{4u zHq@O!d=}uMG8A5tlq_!<;w(>=dn_^*jEp&vu|Q-D=hKQ%MaKG^PeZfHbVyzP%|P7f zYYjqg!^Yl~lJW}mQTRT~zs`1daF5S&|Lb&Irh72H?0^Gr<55WFH#0KX5peT61;f_i zTnF>LR6qOP!Cjo?zT>G&9PKN%YQlFsGTl?*KF*ikfzg4Ef3Q4IJ)<)sr_iRTFL@Gu zAF_f!8$}QOWNZ4sUng928iby7NE{IJx2#1$Iz>?)1il-orG|ES*g7%Rrs7$Ytdm?H7OT@U;YqZMrwoy$GD`<{vl7}v#Uo?{GFm8 z3?}Igd8$bxNO}(8$>Y*&4NX0F4C}uf&C%g6FB|?h~698 zu^v~XQ89s|I4@xT5lJ9wG8NA#IqVp4x4f`iIt?J~5^mwU#DDM+Asv;3AetjMpFrwh zU``D{Z31or@DR`%p4|-qf049CC;=d5)EbEof1CUSKFD{;-!5NSE5=4;0eJyLxvo@e z89r?``DHGn*kuoXvsb2HK6;E21=y*ExoVS!XnGiyAqjQ91WECaAzIzf${{sY6h*-i z;#(bmg4~lxPt}nQ7}3J69wY^-IHGt=tgQm5pN*R=#8QPcRM)Emp*$w^wMEEZs7HHh zV;JMOh2a+J^#EfoV|-&_ID~qSf$yE9ZyV8XX7Hgg6g|DMDm@K%e1~+Shs? zABq^$93Qb1x(0UKbUQ!8j%Sq^+aS^;T?DBZrW-UhNxy&;MN(}{(j|~akW?3w^eaeC zNY%>+X$6dl;%{Q()c|UZ1Vs`Xj58U(Lw3IgjdM-XWsnYl)THTp(8yU4<{E4S@;g)Z z9Rv=IL`aU*eL~TD$S#FE#ib zAG-GMp}qGuctky$PWl0)lQ7sI)Fhn(seq&!f~1G=G)PM(Lh5dlbOt0F^yd;DyL{~~5XN!|j0g0xU3o5-Xl$3q6X7Kg zeuZf<5oR09N^PncERUIjyba7iTw;h_o`+&#d5q3Rn-N4o-p`ZXg?KfQ75RHE?t(v~ zVE%7T2u1pLVa;hb6ox@!EF>C%FalB{UU)Qbr!~a&1i2MwhTG*;C^oqhCq&xi7!;a3 zkP{+MiX<_b6KbLGQ6J>TIUx!ykqY%0PNr+O?%5p>%`c_Hn|vGB|cJg ztW?L+bgW#*(sgWxj%Darg^p$G*gS^S?rb*#iWbrb@LpWDPR$fs$N2XEQk}BhE5v`u z;ar;_e+T3VTw;=4KKm3(WdRUYDjkL9O8`f{h}-=b#%(>DIc;4ME(1M+3&U4}3Nm$6 zw(hos9KcmXzfVc%M&fk!2o#!s17hS^+~SDR<}T{bL|aPY-yjKzBqk=hahHVV)Ezdb z_HPI^O&kh_kT{VE%_gD5rMSz9>v6Xw(j$C(;zzjqC4Pasf8r0gD?IrgnOaddq}4if5wc0tv4cvPNusVCFa@av_3?nIn-^lJ%SqWosrpcQX0VU61|GHe zR;SWn*5Z2$d|HR87+d^kfiLMW=}o;#@BoQqQm+x*Ux#lH+)szAEU+}*OSfBK#R5CL zun-x59DV@>w&YfPJQnk}t%VjpmFvxJK$oLL7M}wawVLntY zCAHs3a^MeOw*oON6SqLTXF%u*z))L3YJ;J+Ic0~m(VT~=jRH_z?RKc##nrY4+pD%G zFkNk1@^&wzgqv_61ghtP$5a;rV5%npsIK}95?nS^hczchUx19MKg@8MVz!E04wF1V z+W{CM%B1KXB8J%z_vJ*Jy-ct{pU7%c$->Vaf# zh55R~%9TW1Bv#w7o&aP95$d2^1-)E*vvQ?%lC@lEO(Vv@m2Rjn%$249Sd`iVsP4`h zsCT35v<#9u;9()ZlrfwtnET<%P zl0+Lwe(k9=3dm4HsaD7}P~&b1O4e6MYgAkkC?)}qR4a&fXstQlK#az4(Sg2-xp>WR z(Wel0K>QMfV6v{r!%dg4Qg=VDUmQ@cn zGYO#avl{_<0QwU!6~Ise)&Lkoz^4GF5^xE?90G!2;TZq|vwWE>H#03?@b|S`$tX(| zLO-PPx-bG5b72Mm=E8aa%!SVZFc&TZU@k-`h6`;0Fc$^_@VZbyF5G3hP*eQXGNkgl zuoxI~VG{u6!T|uxg+Bo>7it97!aZz;kepgY99187{TBhw(BV*m+v{*0g4;0|O(8NDb@X>6 zvvm+QB7o>o@yB;(x}qAD!Oc#f(RXL)nRd=_5Ys>q@p3IW4pYH9$G16#WR&1h7W=TPwy2Ihv^sv-~4amqUkNbpx2@A4fJi|HCz5ZFKx72r^2E z>A~9V0#tXc0|GN1(>aNQSe*^SBD-^YPQWs0{BAL}Nw@Bxu(13j+2zY9J)!deE`$Z& zB~UsKw-C+}q0~YsSO+KOa{^X<7f51-g-{3y`4teT*hH-Q22zrpTmq}UQvoFZcdiU@W2hf`8P*^emRC!syE zh_sTsI5^yz2Kt6yZ0;U4Ehk&O6 ze z?@w``()%0SON3f|@Zl%+p$b@cJM~(vsMC6J37}}|zgiw`2(X^`5eD6sv=1xBic}3! zUnP;RM2cwYy96KB;XMQ&(cv!$KB~hf2tJ0HA>_{z{H;!3PhDIDTh*k#OK>EElT)Q< zfF!X5LUL*dVXZmF3MxaSf&!0Wof(##TAKvBGTkO`u$5O>oj19fmNf>ZFVsE|6VRI` zr#2y-n(l9Nf>FVc4^w|Fwz`905;3#%epw~B1A`40vyDaI<~VS2B6h)qev)@N*^I%1UAK~Je0n+_)DNuNR)m`{4EaWIpc$o zlp3be-xXgEFq0V&9^Zu1ABfU>uQB#^IA+^F0bMhg`}Q^G09 zZZpTbS&sF3^{GCxro@uSK$!<+piHi(CJ^;O)~^UDDTItNwT#l^mXb;2#U^>NLGDWA zX(oA^LGDN73X@!6kPC^t!X&R?!K+rErt=iKALSQq)eyO$IR5nSnAXyh=^zPDm|Yh3^>t3|G3mkkG9a4koP(r`M(H0 zEk!}TrMw*Q8L2N|qv@SD8dMCmm6*CxQ}w8|og~ zh1o_N+EDL9O(`XaOzbd&wSxsKiFJ@WBr+nTM3TrCrpOn1X{0nI@_LiJUMCA5K|lWS z$0uvQqiep6r)-@-qc17b7i%RBi1~-tLJ#`r&I-mis>igyy9$#PzZ?t{=XMg8qMa8W zij7l3n^KwQwFDYRh184^<@Eyk4{_O+Y3Nck-&pv8#bRItjBlY`fC2#T67U+Ps2>w> z6ehkTU>kt%066DB=4S%71NfVO^8iG=kP!!O+YIE4-wECz(@cQlL>ni*h~VJ0$2n<2z}!ZNk}8-n@eHu0}lHHH|I3u z@FakU^SBB9*Fd0RlB6ueB_`O_C1BIGlFnHJ>UzexJ6-I-=mU0u+k@1m%Aqpa5PiE2 z!&Km5q>KU{cpg9_0&W0EB;b7jZ3uW7KsEq$tmIurF%GEU!V?XzhY!$$H;ad4Zxw`s zQQ%^xZnMI$*#`YRv)K&*vsnOu*=zuS*$e`p+Z5zlv?zgj(cV(taY&3^BD!KRD_blr zmgGy%vd!aNAY%{tvX1T1u`3LVT8*Twp+b5%ykV5|V7P~#uu#t@6@+`Aut*Pu>y3o! zn>09F@x&ty)i!BJxYKhNM+(DTo)nG@4G$OOp1}MlYkqjvw1PjI2m@she-bATf=oQg z;PK%#xTQb8AemS}9t-BZ#Kd#M;^(Z)azUOBCT(|#c!sza`SPaB5ajt_PA6s{m`B7| zwjNmnqC8N3o%66FI)piSA6lyn+=*7>cL-A<#8=G!oMH4RQ>gm|wWnYVjY0$9P>Mg> zgLHQad!TDXq~45)@_Jv)5s!nI21LZkBza&%?3-r;tA7JGr_h{Qd}MFj;%UNFyIGVN zn(#eRtOiMR3keqhze#YoEuLn#2VqoX=Tv&LEZ^%^T~#LG+%4 zM7#$<%s+r`QymA^w*WHE;TE(CvB`ShEeL-C6GC=CcJ4hmb1@(sk6Vz7tknRjz%yCx zA}PXxP(bfinAo25{)(PYIv|o!(eDBhoSj4j)|~}M2*T%20R4j4?0I_%61K-B9a4g} z!+xF$85+K%uW0fRvID&FP@FdlbcIwM)u$N3Lye~cdrchM6PCn$X67|Alb^~M6uD#< zQ}qVP0BJ{zcL;IaOW=0u5)7GlnGD1h!3+H3kN5R05zX_p^-4l7il8sW#x#dORBPM{ zs5ov(4PD|tlBX+>V^Aty$M+k0a^!|&^YrD&O-X4q0LU1m6)PpIl_^2Y6w)^vx6i;6 z*NKB|!QxV}(MVt!A}Gs6q0v|%_Y?B07~80nbDk5+8%^h&=fzb+=5h`m?}|efaMlZA ztT^OZ&RZ##i$hj&-izWYamWVFTP31z*aB=28CxyJN<-cOMvuzHHF#t<O~#l6xDb^_ik6%O(CgRI&`XTrxa{4XAxtklzP0yt z1~RKVz}ZdQ0%yo0WHlC5+Ac5kj~oi8Gj65gR2yw?yN2vOS>cL1p-n3CFFGc<=8iiQ5P0sb6V zD{)DUq;#?8bD-d_Ey~hplt#~e7?my?Jp^^f^tZP!;GaOGb}xznPPykc8c~yC>$Pau z=}(gp=#cm0w1B?<(a9e(B&r>3du=c1ogzWeRbXeW2UO%V1?4GN5Jl{nWp~GEm*;>* zQ&%lW!lV4Wj8s?RyKE_D3hb$6_r+FIrb)pb+M#vO+TEmJ4=wvaSbhK$8ofmkduV&$ z%W(Xfg+U3EivZKx9K;N`1qD&`I*VB#N)1CBI;EIU&kP{ch@^UVcGNhVKdPoPNC7GKv?Drowi0}ft-c7G}Nhhrg5={olc<-J?N0vfOG?V z5rLdE+@_WXA9hJj<|3(fdG}QKLNBafY0~WSE+X8=39WG+2ukD! zK){b@30MuumB_KToLdU=XwJmhnM0KOnVf)gID;`amY?H<=61Q&R|v;?5Lh_c1lJYoOVO?tCJpFp#MOj`9EA3CXwM4-y$PjS zOWp;!Nc%&eH6z-~B&*cNbW>cu=B)(!LxEZJogrT<#c?xJLym_@uZPDmzn zGeiBNuo@%u6+-DRq!12J7G2DNcS#edaVfBYSmfcQaJr2h)UpF;3;Gy%@u`T@{ses& zdIV%>7dnFNK+gfjcA(b-@Y#Vr2ol?YW{SQ~!}(DP_-D;^Mbqk96|Dfq6uk?;N6~4J zn4(bfh~8Kxe$q-;BE?vh)Cb0tqyz9#QV5b)Nd{9gPggR%nv#`V$@~9S@?$k6MNG*V zr%){?b$L$O{yQgwtL0=lrT-{JcVbwyH77HHv7D>{;FFVmAbE50I#V*odA?eDTOA{P z0{}khJAp*$oeu*z3qW9TrM+YEqwjQYo&d{R%Bz4eZ$1FvqwObM1H1%sCHQIQcq9NO^%Y zIBlY6>|+Mo$SZifl^D0Gd3=j`(^4ajU}I+Ry+F+M7_8^S#?q&Bhe! zSkmQL4*hg{61`Y30sWnrAH5DD$3(IJ5^PTfg4{3(@NxTSV`cD~ zQ2@fr%U6~Al@Nqk#w>hd8CAY;Ohznje4$8p9uXX*#nA$CeANrFK{AewRe}K4> zoGoTM@j>)5)YNrEC}D*5UtnRki@cv9)l(Zb!G1RgS?vJ)3_xJ~3&fu()y1AMf)c(>+(sWi$RE z*-~7A2Sq77{%KHzo+oh6gU^9qic%oHZX=u}vV+KF5G^P1FCcEA6YX)LAF58D1=?Jq ze+BvvqOOSS5^+8;4ujF0*<}WZwvgyYsw*Pe5~3dkeVd_(JR;&!VjQcUfN0MV{cF(Q zwpb=2MJMn$7zxa>5JH4E@1d_s({`P>OrkZ1Nlj%@Ud|qhvFH>bI^wgadJA}T7`YhT z6C>~9WW=o%wS`t4sXe5w1AjHz11qK`s-X11C9acXqnLiFR!plUvzSOW1(&6mSh$GR zis(M&L9{fY`;-UK+7cZ_8*Ygr(b^N;x15NWK@6XAB3d@leaeYwxkRUO`o&^_h@FW+ zkhyV5dTdkn|gxa_ZS>hPRgc1;3py;&>wk*Y=BKE6Rqnc=j<^!g;{tNUk7lcI3vw5 zgL4Sf2eZM!50&bZ<5R2~(|e6VaE9R$f0F94j%zs#i$nC0U>(3u%6U$;pbuS9eUnrEd$G^(>f*VeHJz#;vR>oD2(=E-z5tHUI)~Y9gvVj z+D~c84oJuhHYNm7#?glTBp=NtFzEU8N7oJY!wf=4&mu6JLz&nzk-!|0%?@%1?x@50 z1ZV5;Xo5#EIJK1E(d;>S%Q*y3)McI_c(M+!CU~k2Zy|WP4!=r^o>CotkLYDO{1L&6 zboda#3w8KB!3%WwHo^0CI1B@+_zHuKoONOjCAW+rPA^@m5yAH}IJFtU-PzEWno4jN z1}CSo2cLb6$NoG{OR60K*Wy4OIQ))Kiq~7u}QO)N_Q@V-?h3dGy)}$xFm*%UXdUm~Qe| zx(+&OFmnxNN*J}Q>-3hj_)5%P+p1%DY^8!pnZN%*u z_;R$=FisAUJnMi^dB~x7w6KXIVR(r2CP&|gky5y4FGp%g zQJw=FiIQR(;m4l@4{{{emST;^HWXY*s9UfAaqyr^8aJGvWYp7fr35_ysPE{NfM$T} znn_RvH7Nbq(VWpV!HXG>dn7$>rgKp?oQtw~&qdk#=;5QKlFf21%4RtiWwV@%vRTeW z*(~RxY&aK{vV!dIgD0tP5VT*MctjFXKPUKr4*x{(K?V!s!d?W@jWW}KAev719YN3_ zGc!XeGs5_pL|w^qxXPQu)s#cm3&dW>M8~ZoxE55UyiHIS^5y_RMi+OM;BKtf5$fI` zq$@;H{8s@QPr`Kwnkdp~<5V`DOv1?{z{x}}(GRw@O#*qjLn29f3#T39mS~nM)iPZv)s0w!{a8_yE9Er^P|G z_+SnfDDiF%KjaiTH3Y&s2E3p{K0^mQ6G5SxE%g+gavZD}k6gO6Gtx<2aRhffo+Wg- zpHsSn5)08T_&rIz9rXZZ1j&?gN;gnEB(s=P?gOO=GMzVo!c2xZz90?did(*_f81V)X6K&y?DhPL3=tHF(Lk}vW z=sVUeK=fN?Z|L${WcSntLJie#v0d=g=g1Pf&C{48&)5}DbB-*BEubvdi-zN1m0#JwrM2oE+sD z31mY9*m_=$@r>o16>_Ynm?JO99#0uZR?2bgNplq{gWrpCf~SIWR>?`8`5aj-r+DzQ zH+lfp$Z3sM0%2)hlG8mKIA^V#;dzxK>*Q?DR*tNfa~sjaX_n??xvOU<=WLLBc=m8) zqukrGk0Y=igw^-Uu8$sPcmGNyMiXB}jBS ztPDRjAm(>j2NVax{60W)uXu(KO6YePDAS{(4dSuUF`l@j!0%q5sl^@!_tOF3bm=@$nF5$q`JI(QQ z40vEXfL96V2;e;e9s}?R0OukAUjbmN{t{$rDK7s_#soTyCeLoMH!b(wVn1W%%zTM* zz=%gyzf3TExdedu5_Xrxmn0H{FCT-*e7PS0^Cb-c^JOvs=F5u!OkdIw+&o;SFK)39 zc@w7l(wEQT`%_?6!Rbm|QaxGy4mo1Mkqw8^#aH#!y1W;m{IK_FGYofDm&g&LUf_Yo`E@nd z=m%@!QIW*_P2}1dxOR)XwuYvLx@&8yxwh7H?P(L&+TpY#JyQhJehvWhu#M( z=6^}~$Fpn7saWzE)VisuEfYtg-z=Gyf{CIRpYlj5cA_UUKGpq_SAid!!tlCb_?A!o zRyZ1?woKic^;^s~O-Mc8&=Jg}_qZz$vShPZg&Z{kMZZ{lX( z&nZUV-xi7c;&R&HC|8aB@T3ViW(||lOQIb3J|%ty{-UxUSHKzB<@f;URw|;Bg|q?jHvh2CK?p2P7lf|~SOefk z06ibU?Kr7@Cdd(3*be<9vG;;Koq*o}JVih_SkDsB9>6*P%%RJavR6=$t|ux8*gWXD z)ZWn36#&yS5dhP(0szzV2>??Mey7@^Cy%ffg8~AZK!*7<7e<+$*8wm+$GAU&RS%t1 zWBwrcT@ldbz@^Oy{;D*TD1~|jj8gatMRJ6SC0};+j6{vK(-DzMcXI&W4zR222$Ul zuzukT^}opq>x(ZEjP=9^^>HqT)=kMm-}q^;G6Ggy>D1|sqE&-1=BskRcbn@ScBcAn zwhfwkkyt7EHpW9ervuR#1JhO}rm;2FaANFXU=e{Ikw-4((N}oM4W2|!CbIrjL!R`Q zSN?=o{v?yn{(J%C?}wve_HhDft47TJjljnU?E46ur&*e{_Qp?ogolotVA6f^D3p!F@r{5 zYXjN}W!{3>&S7zC@t#hnzKcEZg$%)|>_B`jlNZJuB%#`-E@r2;!K)(PJW+pUtbbJu zD8)|5esMW?6Db}s4N)_q5^K46^Jg23hwMgRFasLDoIRAnTrDkabTn$hxN( zWZhE?!k(gs_7oG*CW2^BF_t-Z6YT+&4u5>R>N_mw=jV90t8)8cyXq>4X!F>v8eo@S zUn9oGv;!8Ii`(N7AV)Uy;2RGffHcV!@r{RO91arWTL7lIgEzIrx8`t&9G?Z4!YsOM z@x3@bREZzR;jjSq?TwGgvO6&TQP6ia1RNd{Kas-`*dAq#=SxVr%&q6=!M{l9BzzP7 z3r&p8{g6`NlGB=W{uG~NSsH|MhuHG%{4EGHlamVU^5ffZ6ps$5Q#Fwuvdg7JxD5hj zP8y8knnZ9$8arJ>oU$L=HfCGn_!4{s=Ul{Fyzu37L2dvFZBt2jKTXjpF}5~^uReKf z)9*s(T!=82aDMU1U2rK61S%s5r}&E2!Qe11fwPg9Nn$bx6jv$9DbIjQ#_pcH6!f3S z8V)XQT~p1P5OWobCJQkO;3bxR-Nqq?OnTe@2;xuuCA^EbBe%pGpfx8&`3e1K1e3kjBWEBfJI>5 zComhpZve>9v^fjFApm{B%qK9z^u-Rn9Sc0b;1L?V*EFljquF&p4IB!#XPnxTUiG6_ zs~oR7173<`Zgjj_31%IEweU)Pjp+?wjuLnrWd;Bo1LKD!^9iTSv0%ywJXNVoZb!*& z8C7U3%Pdi<_YbFW(~fJZIY61Q&vjGAaOg}yimh&%F}(eof%+1ZV_-_)hj|t5D7``9xuugTG>;B$F;#3S!gUOA zFB*8!G?VTGAoqbpPa#Fsv{DgJ?w1*lJt#58!@L(d0gdd7vXIPX2xxVLYY>A$&3|5iDT@cbIkr$3SNmk{iL9 z4sebLQg;#c8Nm*mxig05cAAl~QFU>?AsWkO^R{Wl@bk+WU5$7~80|<0<;yen>UIK-c;>;FA z?^NhoTKv{jleyU_W357W5lXPsWCMG3wnb8*M+yCGsv@485!g;tg)@uID)c2SrdVnUE8rwRFSEiL{w)ZQTLp>F zKys?!NSK^~ZA(?iw-&kaCQo{rDS-E~wBhh48~(fxCLVg`P{*G-V5SimQOO@}j>-d9 zp?b7sH zww%|jsE@#QCi}`_+)z|0fU7fE6`!~KxeyhB?>!Jdy8uS6h_w7;fL;wCumr?SAo$*x zHTVd#y%J{>=d!RPxM@nfg49pE&>1&RCLM`U|0|v+)j-eVz7%Q6XPY;G znS>NSu(l!nRQ5p3+d+!l8)cr^CDLzq1k;5;3pF+NRa|nu1(O3W%r+`YJ{rVLY;-fE=vh{B3;Aa7 z57?D&2CLwK>dkKk?V^Xm@NkxttL~JNhCz7?lG#y3N=cKztVIf! z)C>hkN!`6AQLhZiEvcDX650i~8Rk~PDs;nI)RFgaTXGF@^Aln71Gz29-T+?u)$hW$ zDS60Jhyzsf*ZP7tyD{DdKJ6 zrC!AMMkPWrAH&0N_)04U%mTiMvJFo0V_5tir}%gjmWYH8jZr)|LiXb}Muj%8_@7by z^}4uU!bfxpYSG=0=@*qIWy}Y&jKE(iTMlt1%Q%giz+U1IB!?-Dz@2On``zDQ0GC^q z5x~`GrY<8sd^I`(wc|);ylIy)33+B9nKezjjFl{-mT8x<2a>&%rlE}DDRUt@$?j+_ zsZ$&kG>Y5I2mK(?{-hpa|C2b9q)baN#+8!Zhv#4EJNNO*XcRYl2w;f0dXgG0xk4nb zCW+7e(21rziXYC=NWdJ8gzy}V1kW6e1kW6e1kW6e1kW6e1kW6e1kW6e1kBMe%A+ek z{ym3hhhF`|kAG<;=-J-{$lgm?m^`!yPc$hAuhFbJJWg*?YSzkl9|{P)fp1WPpMYj` z%-X(;uQXmKI{2f;>jr|qf##u_Y4I7jH85H#(Df36ai9gSKQ5RGTApqi++w6Y3F9@M z#}A)c6rWnKHm5roM|q!Gu()P;G7cW&!%r<(Ofx(g2TLb~C*vsZQwt`HgeT)*ebn%S zGZx6i(vU-EgTFZn#vmwcGMG_E$wX{ZZq7%uQaDX_R9#?S z0pHaV?Zb<=W-j+KeZ7n&C2B-_y&1?>MNj-ful8A#T3zT8?Jv3v}${;xTLYzlgsd3(U~pg+QYqY59D zwxZ*)dYS%K$Pzvfdyrg?wIi|?GJ9_vYoD-+bF38gL2CC0O(qnB985Nn=Qm*($Wdf% z=WhTxo@^SWVtxYHG9;_`tf8ccN7e2k=CE&9;=v~}OQnFx@$BdEj5YnIarRwj{fEKX z1F0r!POt-O&q>yvTNS3hj>o}E&AF8|C$bmVx#bkH!Idlk%ON*w0#^}{m_7m{JLtk~ zm13H(3+OvY_W7A2$1RQ>rDL~S{;l3XCy7&H@-Q+Tr^zy_;%Q1CdeSvHRZL3k{sQR3 zp%m1U-KmU8+oDt|&Y_|n&YcBA#W?6kw zH`A_S)0nDqnc+hj8+Lkt51A*_OK^tP7+TuijAnbr?3~A;z0uabL&bD*&d5e)>Hky& zKE#0Pb|mX*yv*$TA`l6}5CTPkE3ptBeW??eALq>}l-q&~gK7$h77 z_B~P}hkUJia?@vJTPT~iD2`3@KQ>=4lU$xI{B!GAq+%BN>3NWbHno2xKu? z+wB1$hmj5U2_wLcMmk5IKoO6}=@X3N4R^rTxo*vz%PM0OZzYP?vQFK*PwL*g0!rN* zS9K~B+Gu$j%I)jrrS|3P0?Ij!)V_S}76XTy5EKJO25C7XdI-BKuEaeDhwowXa1YaU zAV7QCH0Bv^!OX<2!(9aHoNjqVrFFg$$RL3|9@)^wa1T%+lDE4W3|3BKSiO(#YK-_>-L$lxJOCq)H+)O6M8powCo ztmK$rqNb~1s9n=3vB8TqT|E%Y({zovU>{A_j5oU80LDIiOrd_2hkqv!-lt&+*Enl6 z4i;aVZ?N#OdFSPjT!r(X6xLpiUWw8Ek>FBp#?ONnAlR2SEd|+RgcTWl1gf$$K(rBj zQqwVJaI2>MzTgf`$3_PKt?58?kl(~g-Z+2oxTfO+!9O*f5Fd=F>F6XT1`{=1B`H`( z(@Dv}Oifo!3Fc}#xkj+JrmNKo4%Bo?YVc}JSFaNsujv|TL4Hsx{?x1=T&C$->A@#7 zeL7#hPx|Hh86`8|4H?Yx=_W!BR~(&I?Z0bd&txd`$;C1|QIL)6T(1HJ#BVxLMN| z6$W3?bY}P99!+0d6x^rjX1#*{(RA}Z!Bd)U(KlEHbwc8BNpUb;(=AJaS(^!yj-iQL?eH$90aoDn3rt-Lbr)M# zuxdJMyA-|zMGjA?dRVFhYj+gB1ArZz!WJxBQN;_t1i)^CD_Epc<5vw}(TY*1>V+|> zjs}*bXh@CP0HmE@mB9K0T5AAH{*Gjpo*KXkziH6uaSedga4+I87AZS4#fonFIJgup zCb0)1Uq@`{(Jtc_kla4SmuqB90)3Kno-eo+^xSCB9ioFTYdSwB_?o5*{6;@2q@G5O zraoMbQWEg95prK!>^jmSI29y)_O&#E+(v_k>}zEP=K&YGwG~{V=`3IHA<+C_)t4O+ zd`#1AB8?vJgSi2=BkJNvPT)uyv*22c{S=?k;~R+QP&^gl<9FfI&?PxFLk#OrNV)Zj z!agj|pv$q}(=l(PVI|gfgjl_K15S;Vt^q9B{35h_WCM^WcEjf=D_dC6JuU-sip3p4 zaqEGEy_sHM^aw#L-rR#PT3U=phOyejpICwSBx-vEYKz% zK!$0*ThT?OYw!X0b`CC##=Q}~#8TZ>K}l-FI?Q@s58t~z1w`r@rrTO;iM$R(s^ShH zHBc#<-!MtCvp2s}SqsXIA8rS5w@NG`U@l0zw;X(ydEaDZWY;P&4B7u=mI~tf7JK3} zz6pXSzQ^FnY!xK~eP07Of)v}ng^`d1$n7{Xx3}sZz5k;KDEmF z6#PW;X*PfJK*N|$zMjpm8iZN53N0;O3%qQ?TeAf37eEcr}|BE1^ z9t5!xL{$>gLDU72)D%BPY_)rF0fm2G(E9{zgHRVha!HWCllhrha@o<-_MgfltBUbE z5Fdcx-}uNY@tdIY2Y!L=QXm*oraNg#a3=}w%<}^DO?<1tI>FfDnItAj0vHu$D4gBlsd&9(S@NiTd0# z)#p?FLX?8>WV@78P8L5`zn5&EpP6kfvjvfD29%_vQ6R**o;q7g5Mk$}F0EaMeF;(5 zv0sA|tofGbvxN8m$P^F)WAW~t_IpkK&wAR7c7un)C1xNzYTnf=Ui2|kKm zr?bqdkY#LzEJniE=P;7_DjMeUp@#7|8`E5wG?KLw{4?Yq6h4-HS?^-Fk|eM_HiUnm0ZcDWT_L*BFAu)$M6``Z*$cB zgFr|z9YDzGY6K#jO-ee9QhsAePpSz2VkfKPpHq^@IT^F}Kacl~NNNM#0CSzZ)?l&; zJgqJeU!tqRmuKLMHOx5$Uu$39(!Ok};7eP6?mQJX`o07^Ta|B``e5A$bA8s)6|xO?;2+X)nUWZZrA#`Aulm zz_S%i7K(uP$U_}#)kbM_T>@kx+I=>068ZfV_a6*nCJJwo_#s}=l zv(ISk6~d`i1C1-1$U*ioH1Up^y#4$pv}&NpHIYz?tS694Ro@a+w74|X+jhfQ<+9N# zCqXis#y|A=$01VzTk0Iu6aPj|8i?Pjs{b|kH(kwCH{-vZi#e7v*GE3gjP>T3P$AC@ zPo9;~U(G!Gu`a_uF6kI@(0lc9<&q{>DCusyBseIIGnygCi1(@l)8K$n&rdHeC&AAUwX9+YOqC+n2 zuNT|j&8F#p6}a3WJqkkF;4Bc*25$u6X@h)J7>m-&>A^TNMIIHFgIR;bM+NcmYcRE* zhQ=*emE~#My$<1~R-r@Hx`9tolBYftB7Yfe-1_%6v~IwM+Ze|NJ)MjE2WVr0Sx-ED z7EC#k>**;l&9*>e;@_8|bpyeQrL;%>8MHCUtRtli12Y=QEoCj3gVdP(_oZmvK(BBq zyzPj72GbFc@G1D;##HMD#=AB;Ooxq;JmL44X~L9|S!kviJr2}%o`rwLsST1fZ36J>m_VkQ z-EEUUqaqpO`b`3kiJBo9rWqm1EteCl2jx~zlDf#ABQ|zin11@!Fuf^E8?AT!3(Jo0 zLthX|<*?E7@T6_08d&ahFIJ#J!VS5VBlBvCfEpsp2R?|hJ&@UVN+OKC8}q(4K@k5g zgrAvKwM77o_IxK%iZ?{+OVi4KwG-A(0+9a;h%qE;b;fNeiPj)yf{B#k#1gr9IE&1DIjpJYs^x$I%%&@yD@&>U-o zHV4Y=Q2%5y)Xm?utq^a1&_n;nL;q&eJPUT{e-=BfB=im~p?7Ery-gdp`*!1L1L0Sp zCJcQC=bUz+HGFiNEaPmw*!5K5BljjAV0X*^suK(U8W3`Yy&HsFVXp@f4om-QYa+rZ za1V)tAnqfv3!+sZ@;35^uMzUz;Lm&b$uETPGZJG# zd`Ds)h~G)92VvE6&Rr=AoW(+qVZ}RBPMrOe-=1zJHxBz~44{*{cxZji+()}O4$cB0 z4&DGl9P9&v4xZJoS0NO?z5yYAMRs-kY5;I$fKJpd@2ln82`kA>4VNilt3POCulv>-zJP;DZiwn;a#7)o=XZM2;XMY7DK}cOuUmj#A-tLUAS{d5Q$dK=BS1)G3qgq2ZMvPu z>ldIUUVi~Xygm&=yiP?v*Xy<@u#koF)K5LX*W%>{DA#`*8LlvMAA=?FayAI@atsLZ zvM&hn^0w|CFLQSQW+l!23`Dr1o&+b})`zxun+rm`9R$Ml_E{9Z$MyCf)YKEKK_zDH zeYk%YPbYy8PltjKPdkDTPcOlMx!aU->jD-}`7%H3=@xL}=|K?U=^r4((-h=)t8OB` zu#u|!ANVg-cMk}ux|={q)m;Rla@BnYp>6gK!aL9`5RVI1ne?|{Je@75Lr?QuFT)Mq z>DS+bIKK#vh>znzh>r_Fh>x2bAEnD?S%-KkdG780dX-5RlgCTD_l)ita_;ls*a|u~ z$Sf#jIR6*Uy{(=5RXdl|({b)%5bmjFS$$a+ohy~rbbjZag>s5?&t}0<^}qD4J6w>^ zjRPT}TcEweH1@D}ENqYV?x@{t&hK7JxVDt;4K@ponEy-n-qP;lY-I-{)M8WSEJ~ewdAVVg|elV z|2r6#X7eu)(rh+^kY=+4M7Y^VuA$8J06q%n?c{0zg1PL`_9$@S9LDW@@5ZaS3SG|Q z{mMDsuXwy!clI@mZS=T>J@bBUKg0Nd{H6Brdc9&yza!t)=HCGy`6~D}Hhxt=O3chx`93wZG4FQjG?Ly8^51_ zfLZGXN?jX9d)_R~uY!0sQI^x4_mSSbq4ee{a@~o)+`jH$`WA2Eu)YniJNU<|j<;W5 z0n5@ky#PWwrw>6$zkUpad+k}v62pCZAL-H?N|&C(Dum^ldDS0!O9%P9UWGD?v#4lR>cjHoWtt#X+sN%o5(%r8;D%Tzz)E=F1Jd!INi#x{h8I^}Extd%qVQCf)i~UmBzVj?+ z2%BO3<`L)(q#x=Af;s#bgOC=P3?kejdzV4DpV?X>+iA$|q4r@A_mS8IViky_jra*X z0q#W*hGaX+Y^{*(CiFFutse-<)&@j4TPiroCL@&P2;eRTF-Q63FpXWf1R~*q)AGNG zent+o7TuPPFu8izU&&Ak<+;poJu)2r2N_O5+5aXo+`@y@85&9`EeT~HT!b-h#bg}V zc6^W^9nkCSfSgTZ|HW~l7Kzf?yvs`i{%UWgdKR9cTX)1G?(z3fPG~xN=o5H2oX~MN zrhHEjP9FH=iTZ>oo%X|!$+Prd@<5lh3%oqkIs8xH<-yM3W3R>o+O4o<^KHjoh?xW^ za}OV_|BLS$cOhmo!ITro!Bf$D0A$H;qW2<2V>y%L*7u^m8?oG2Y>}fKy@h?2d?Yjq zrOKx}GeEc-i&1d}QjGpcXlYfvwvms7Y)fr%;G=o)re!7XO%PKT!eKGh5rk`snjMi` zQ{~!J`)YVFKLQTJYF6$LWJ&{ofr?X0fklT0Dxxh3X3YHpGnn?1alkVu;m{I5hnC>k zw2{V{)5aUI=mJ=T`^o=GjGUalBRpTSv;^Z6J)Z~46OQX?&+VGdQ9a+*bUW4a15LMA zM&W0g!AByWVjr6BKLDVkDyGMAkTX!E*$Z=dW^(tYh2U!87%_loq;an(XA)QU)7p%` zdt91hAkZ@jqzoTkq8nF(cKCe%&;;pO%=CD&VwfJlS5O}OU2*&@2p{FH|_S#fWZ%XTYSZ+)Uo z`-xAo>CADUCkt&9*JZoPMY{?~`^n7#ACj?_T%L)L47J##ly8%lNG?&3ZKreTNaCeL zI}lCGCz(&uY19RzaYEEoO6)Hel`=an#T%~&*q9fxF=MHV$SJ6h;y_JiiChC#oUqw< z!2U!NHF*MWQJ0HXw(K{^#50?%G6M6VM3vr^WPp8%H&=DYR^f8~*q_e86q2OJK__t; zf2_g6W&Hh@LHBJC(yAB1$E8TV@IwBwLi}=ID~Mx-_@wYc{)AQ%#scw}ogWsg2DpX- ztPo#8uomEY3a~`HE8tT88vt&mpwbfYTY(#K>=2X zuOQe7a5n{5BHk6SWPRm#t3f)WNX=oi?Rb4K@608fcY+!@K*qF2EEj^1~`C0UioJB7Y z{!}hEn^>iChn_``uOTYyZK{Z|z8gcjJcJv?m8{|1yD+!SNIVsh7y@D=iRmC-An^o< z*Fog%#SiZIKE}WN2G<$}KP%4f3WD3RCX~RS0fYJPLjO-7nDV}#)m&C8la|w$Eyr%U zHYqKpFI!AV+KY`!TM^Rtvlxhp#0wtI3sqPA8)g&#AUde53CKT54wPoDrhptEWF*P{ zEG;pHWQe*g65~kAH-;?|6G@MtHvUrMQ9{$I_(`dQAI?m*Ff-L^7v#*;ywPBtnW;k{ zwmdUaEzitU%QG|8!pzjv;k5cG?3>XD%#>A(_L|30E~ZXK4oBdmZ=1rtEpj$k3DOkl zUS0(&-HWigKXI7B5+Pyk!u-iShJ~8)aCcczrjoJFYjUewBt@<`JJKg z9EmGIY$tISh_^|+1A=Sn<$nX>OAyk_HAeGP!|Yzp@4F5&z|iLWbwNm9R}6xET?e*% zF8IQJPCB?faP%D{c5rww2=`baB1Ig2Mp6ra-zlkKhQvZz+&2&KC4$fn_WZ z-J8EH+_3MM*d?5R?n&yShr`!B;Szigqd6hjeVj+&2Nm_NdayRy}kk9hM|B8^$lPo8R7n=NMPJ0kvx1&2zp_d;PKJ2^@m7mIKmS(yn(} z%K>REmx7hnVzb3yrPu>J9;`#$OiI>VOS#R~T(;bV3wIah9_Pqm9N=M;EQK98z%S@l z$;)8n&~5fGSP6>F*0{kiBwWHKN@x#CGu({B3E*%_CL@P5#KZJ@0KfJhiBpL!n(~Yo zG^SYor0}O6e=_)!#h-lqW=$>y>1BrEAw$)qFf^}ysfd^Vj*+!DG) zE3PG}0&+XpqE!P*?7J$&&X%QmWp#vskEsh#a?>UFNqHDQU*R1|L{gLJh&Y+G&yOl1 z5)7HOFLa_Au`O<# z1iC&R51p5X_2v1`J{b-z71W`ndOEaRByHO06va#5$grJ}9y<;#c}JS8z4mRojkgjn zM+t6pDx{qOVLJmnb{ty#8Q`&Fd5*jZg!>#xz41s`zSrLCdS!L= z9DF1d>nk8yNi*%tf+}I_gmw^audUD4)*tg)r`{t-u62H`7Ph_zqW5Vg&n&1a)}>HF z2MOn!1$*sd>c0pFM(hyr0<$1$1rJqVLWc==Gz;>>Frg!aJDCMFY?$#P^gZFr%z}44 z#)%yz-o-31!d3_!C)~9{nS@RdE;I`g!^R1nBHZ09*yk}$%vb`v2ToGhI3bg8ky&60 zEGvN!N+;Y?M|Zo9?kC>pQqPCvM)zoi=msImq?tZuLAl2nVl9YY?)uK?5^7DjuUT+u z*hfNb3HPfI7eeg`7i-t$ToB45d77-5VQZrGQjHPt-mP);`NN%Zl6-r$O(Mp;bVixT3 zluGOo;zKJ$hR_A;j7Jp zlrT)_1;QiDf~+u1=w-s!m<6|lVM5ypk2DKz4a0 z)cXLB(S~ zgq9L6tKd1IWrXiA3qG?uOgYoUwh^DIUC+_3U*UC~ddrbq*SSA#_`0(lq8&6d-7Kgl zu8Va-M+nc**2ik=bG+86w-d>=-mHT4A0awQGk2Q>*>lopTPNkXIW;wY*eYHag`@LHdM>tn;b7C`JE zag6G9R35%Q6;(?1FLy2zM8h8uM`nfn@0|V*FKa#>c+Mo?PZ`47=%D zXJgn{7StW;E09O~9wr$>~A9PN?9p&R~p1@(pcn*VV@rO2_QLP59xT|w)ieu@QEJ?A-^pIZ48 zVKd3-?<@9MMM831Qd;BEaw+4|#dv0Hz;62w!wfG!LpT4j>k zCjB#@B+Y*S2xoRxhBex z@^>B`hn5SLO&hKGrfb)798KsruWF4k7BI06u4r;WZ7jn96R!p@7gdK}4_*dD96kkO z9ddDX_*=kBAvWJ?_p7iRl%awwj;ZV=pCx>&_dN86q%XDc1Eh0=ZXc*(7%Lf!Zl*8y z2^gpjAa^H-1|YDj)W!JM{+mQxLaFrwYtW!yz~+7qozo!XIugWTHshgwg6oKV-`buv zY(F!7q0HP<(3Z=Kw4An3&7u0Iv}fN%i-2Gr1)ot+5^hoi^C>t~DF?yM6ntJOM-jkY z6nt4J2f;oR9HyX8xD0}QDfp^V4uZuLeC^4>!y5*01O@*IUq0nH#sC~g!H>)_P|7eQ z*hd+Wo(Oi0QYYA#fT$eo#0%_T=N3W8a3kt&uuEZh5{*|1c4KB@u&+g?aIj}U6=Uyb z2Akk)3MvPi;9LqS2b&;9?avJMa)5ZndSkydnY<|-b8EJmw?dJCccxiqP|1NlGeh&XTcxiqPzwS1t z`PqE?whFhK40|aqFts7<1RysXL~lw$SK!me> zr?b88W;=$wW0-A%iCR_sCs?$e7?xBGKRK#@J(d%Kor|O#W|+wg6H(3%z|4}n0)(3> zw-g++wUaDH>y_wBIkt%JN*J~&4BNNRk+4m%!^SJ8gw5esgO{*5yoIq?37gF~mjO*j zb}M8uQ0mB}H?!%ig^+nIB|1$WK)OX514E}VOm-D)k z@y7Drxfv*&)gjM4r+HEh`T!4CgRNA53F;}g+v+%@l`=GkB%EO{Gwel%?&r*Kjm}`; z;STprIO%vpf?IRUH#2Td!wj8e_5i*$3(UoU%?PY2vrnbfycA4b-Vj9@DV_1}g*23w z&?H$!2N!~r2{L{o_@Jg^eZfaHjTN`nftCq5ae?4wjmNv2W~44O45P+F_~G`a7PmjO zJlmgI-tA8<@Ajvbcl%SzyZx!<-Tu__ZhvZdw?DPK+n-wA?N2T5_NUegt8x0+04`j4 z@Xx#bspZ}N)behBYGM1+^c6TB$>ZJr)LLzYw?DPK+n-u%tS;%?{#5dKw?DPK+n-wA z?N2Rke_Gsd9?n)YZbF=WX#Davz$nIy62t5QJh7ZqA;5UHA2?(0jPm;{mnVBi~QzygG@tvtdc^&FpRLB3^*&V4u zhmW#hW;U?PQWfl=7s55%iTz-N9r4SHo_k&M$u_yA~sFvJYZJK^9B6yG1-w_#Hq4C$Ff=_CCXLRrtO}`Nnd{5K6{J}3Y{bp?Nn5K6J zf|2l1e0wV{n4;-D@xi8=emfzU1DZX(`A%Z6Cur6N^Iyrq!J6J%BRCqg*x8pFyh+pV z)i%1$0AsH}SUvmfh7K-0k4xh-MA|w*;KTW!4~Y4{Gk75V%LdapsvhdoZ|Xv~120a4&1w z+@auhX>Q(?;Pz>5{xEO{HMig@aR1TVy~DvB)7-+V!TkwNd|5OCTtY*KTYL?;3pBT6 zB)AJTci*+(vNgAK6u2&$yZ<_H{lLl5E*lN*D$PA`1Gt+sw|oq^GR-|W7Ti3|tr!RH zLCrlh9^7!8Tk&&cDYz#flM49oZQwR*%~cb??b6)pN#H)x+@n*#eW~-UDFgStmOVBV z+#g!Db{e>7v<)e5-E?r(z)5*e%m7zU%huloE~vRDXM)St+=jcs6=-hbEO0%*iIq+F zfExr(&h%5W!CkFoo9BS*uWRy_x!}fW*)#LNx!uWg^TD|t%GL$SNGXrNWeIsrtdMVp zSI9R#E99G=74l8b3i+mIg?!VqLcZx)A>YIb`869xy1h+GGtkpm-nC7V%^|`6pugZ9 zmwBZT9G&C@aF{RXb_-WU2ir<=e@#O07SM7cMkX4Cvk(a~ zs&=gPAtqF_b8B9|aH$g@)i7XP|ERk*g7p~i58$H0ii#&zs%iE#IeUk&C!h-g@?2{mecJBgVqmP zJuSle8QvD2)EZb`_d=9l`7U$}V(G<}@4<%z0CIp>ZnqPis-=M%5mqB)>BCu)%6cWU z0R%>7Q~W9;b#oMMQ(wlh<->#-gry()X(oiFA9%~jGwmCUr5^@s$q+34aE)e$n&}OAmsyD6 zP~VkU`eBTg48v*LG<~l6!@T4|98Vfu;t0mWCfroO<++YrG@PamdBNlkrttDfy zro+E9bCWr)!FyoDgRxlC;S(*n8Ou0)shM%+G(&c9luBnB{TR*Ep@>M0(fBzDJzr0= zezdQf=WO-B*>VdiGJTj6#S9PP=l}fqYHE*$9Xm-%eYuY&JEdL$oGsu4Qd(!P~`d88&OuE^km6*zxaSPmV|3%S4`;b&~ z@pqx^ulTr7{AeZqEf@ccn{()F9hBbsXeaFV+l6~UU(d+!X)Eh#cq`|jr~}wVs-q!32Vhv9{Wb8R&0lg)QXKtYQ+)o zQY$vvwW8KEr&icY{!hFHywr@166uNE!Asb7v&@;PL^atV2+fs_?$3wPkcCIYPV`iO6Ggoo_59 zzLC?N_~PvKU=_FsRX}xojARzcA?rJ;XjTclwdOeZOL1617f=zQ<_LBBBGcFhps@g^ z8E62L-2s*s)1FLznz#2OR*OZf7C&NA<{D0O{gLgtWEOmr#_=<|gn807P9jI<`^a(B9IzxF zyZW$-|8Z5R8n_x<{`GfZD3QcW5Zge+l|vyvX@+6E2@Vhaj}_qk%?H>v4@v#kis2^b znvcYm6*JaK9J&-y#Lx1fq8(Z%Y^Ie2BO2NI0q0v>!h?ezci~G7jU)Oj~GmgZUdUA~9LF&)V>SM~(iV>j9*7#aqySJGY?C>Mu|& zAz%-nVrwo?`I?D~SfF}oCjK#VfeL9Zu-z)W77U-4RRV5MAH*HvSPkH&G-oI7|9(M} z;oXqJJ?P%|0sN@}EHc(|39?K=7SX_(;^nVEV>$qF5qG`Y2+Re*=P`vF+iYf8uCw4y z^$?E1;=JTI1r6MG;)|HX#&oJyEg;*K9>ytr3Q8R7P`G=2c9lx2y=fwt{s`WlyZDihKYyrD!`DIv=?gY+skf(hbRC zsufL@{D9L`LScLQRZ<&6idT|tM^+XPcmxHkM^YJaviRt?pih!-ZYI|(co3h`MaaUV zkC_9>I7@4vKCP|+J6%0t-i-f748MeCwxk4PZGo)(q&a!L$q$-GZnsvE3+Ltc(9_k& z){FRWFSA>Se21Ag%UQ$mg5bT#veA@v1P>+Tku$pS*IB>w3eWnTI(Hxf^VRJY0cGjk zI*BTJ9TL{vwAagg&R(7HJZ8P?a_j7Y?ylMXIXJcJVKP1mX4{&j)`ItC>_2}Ybb3J; zb9A|3G_Ine`y#2$Sm@SXDrBR*%*rk$pG-&clj&rmsT%-lf6E+CJFc#?*DpAas{=d{ z(AfhUTvz3Eo`gwpb&Ki$6{0iG=%IuPXVOTZ`k)jQT{0b&N2YbjSD;}$5xBf7>A*TF zfo_I8YZ;LOXT?=RPG7`7I(s^Epa-g_bM{!D*27>qjdrx0`V`@*sfkhP^8482rXw-Ei?{6Jh0{uk1OsN!+iT}tEojLGvMMsihurZCgj!gVp zM+%|8<4i}MwHL$v+m_|z4%Ej3f#=-p0)CKIT~D4>f9uL$$WU7CjF|lV&s@>D1J_n` zWfCmTr&ZULpa0&KUC7W8mAumFS^v-a8=X6_siG@gV3AEH>`KKRuyU2fWkGhT&8v+* zxl5gR-Youw{L$?3`y^w_tz*1C%$6HjWq)Een-`=+X@7I&8gct3Kf3S$a)e&yHR3)M z`8(W_i7WR}*}hM^k5{&Y9nf7Fi^jcdfpaN%m{%t0EA~M4Es}Z&yN}r`NLT3ljS3w_ z0#(J-L0&M28AF z2nHy?tP(c|%O;pe0p?jGHw~9fEQvT~n7#8QZ3{zGy^xMX5Ah-3PLSD#oa zam;?u`Ye~2!~~Nck~5@SLkb|sm|B?pz$i))cDM=WBO%;L66(A&LQR!C=0>QKB+Pkk zm?>*SS*0)&YfQXym#>Du>g__t5;*~>9a3%$nLrrit1(ich za4rRvLrw5r3Mz$~*dpSULQQN5afbS5PpAnlrJz!%i7g|}Q0oi~H9-kUu~DDc!J*J7_Pm(`KDSs0B}`J{~pR!STv&3maLHi0f>Cco=*fqQ8F_G-{{bfg>Bvai0Iyt31xL9pf60KNTM5$;LR(y$XZ4&Oi@_bgYlu zksj-J2oNws-GQwjFYraz41uZ3(UU}@=`hfDtKV=hnrPMt2JoNj6qvP)jH3~TffHz$ z7x;qQtaDd2(5cZG--7;&cDbbzRYg; zjmR8;-^k2S_>IcE6~ED$)A1XVxe&kp%vJb}&D@0FK;{no#u=#={KV^oMT#d~5A9g7 zLA-~AMEwzAC9hU#sXUIthCsQwomzw=P4z_=<^bTRxjKotD11X&0zihEP6wHa?TnMF{ry{Jp8op@jF#ubr{WM;@ z*7Rye8ig-v?QPh>5W;Tn0uU#naiTsHFPdZ1&Z0nbyfw&ToxkP@*vgDh-BRi}eqDn3 zgI{72OgDF(|CT@wW3;SWy4Gn$1Ufyd0Fe>4))5o8EOc5;W&|U6Czguv%ScsL1Xmf2 zn+e_QV^B&gLz%@9qw3)lbblS^7~afo0dIyxSTfR{0%jCaWOIzPS6gh4w9B(o4WL0J zcd-2g+NC?#J{poS%*Vm@*vQcsBn8*2B}@;Eu>8faR1IKKJ^Uma7vVQ>DX=ymdf$4Z zX{5nJ|1mS&KO5)_0D+r8+zY~prThz6^IwAW3v?dSI@>Gg#6#YiI>({&iPpi_HtRl;*XVb4!k+DYX8_@X_qGmWI|3#KR z=|kpjgWO-}+}}ccl)0aAat~$h7b0U&Z)GXZmB^df3NaZT;fzFem$97TGIC+ryXo1Z zWJ$7{p3V>$f^zI30x{GbMrP2^)e&a$q{=qNOJ-;5EUg+?Q_;j>WG`(E6C<@Ri|kRc zb2_7Q1n`Zq*O{fTvX7Rog)`gFFjB!AtsAI%Uj-Y@&?KZ;jMm;9Jj2jHgzSYMYmFZxEFbPZtlt3~qP_Pp>j)Rm@a;$yqcAzcDg8eYR$nxu!b>!8 zdxX`U_BsQQCVC1}n$Z5b4bVUYmc}_iv zyVH!%yVH!%yVH!X%(K&s&%4u%4`0W-JI(mKJI(mKJI(l}dv==fd3T!ed3T!ed3T!e zd3T!ed3T!ed3T!ed3T!e&GGCsX~yT>X~yT>X~yT>X~yT>X~wtM zv(t>vyVH#CKF>}wKJQL5KJQL5KJQL5KJQL5KJQL5KJQL5KJQL5KJQL5KJQL5KJQL5 zKJQL5KJQL5KJGNr@g95tq>e=5ZoA_%BrlPP2zyD^6Ox|_sTx8?O#m4bvOdX9LbfM~ zX)~}emn0V61o=40VnMf)Oc3%@l6`$p=yaUqG8Wuio@|yy%3W^DWIpD6K={^pp$NCS zgy=^yt;6W}0Gaj7+Ub@_AlpZQY&DN$UKAUMQ8YSRMQ=e;p-VxNFcHy_px(07&HeAI~@it+N*P)np* zZ=YFJ_QkmlDE#;yV9QMAPhmib|Sc z%oum2D%71i*^z1@zGbza39}_~;>QZ8`Kgv0S<#P{jqpkaNrfge|4k^P3^xc8`J1GS z*tfbVqcv0>q1w$6X8bhVWzKMbKn9p1T1dT+R+iAp23T3Et!!{SJqY=6(b+>Z#CE)Y%T5BU)#>E~}}EPDXW6q4%is7IYHtckI07=&+ngV&`}2ybqmht@FO4 zvo;7F*ixZt7-UhOKxe4d`9ySN_3m$>b{t849nn0t1)h4Mk!LvbeH~E~uO&JFs@4NP zIKA&T!7EkjhFa3(H!wLjq*x|8PF%b_BPT=vFT0|4<fG|~V@ zVjpl~(?BvAMF%g&&^@{y6&gUD575i;%P(mgA2_`nd%+{p6P8lvN$AYcI!}gs((zFF znrhEh>PZ`;jP6J(bU*VSMgF&S{-aJA(;#0bI$No85<0(Xos*7E0P;qn^C5M7IG)-V z>k(gl&hde!(#BShzdjnH`>I@fER=N%m$uU~X>sq-py7HgeX z9i8=%9}t~k)Y%Q4m$c4qM`t*$BJ<@cG?h9xLgy>3bEBh^40)dDJVc!l(22tUoE+B( zM`thO--^y_)VUivt+dYFjtPzMVmPW(XYjC8KR9qS>^NGfz0b%sFaPpvb=(cx9R zOzP+`>f8jKhAW(7zsb?@)yH{9QlS~tnE;(0T4#czGrTUw50F%76?N`}&MjK!PDdvd z^7f+hDs^T<=RvJA+tJB`e3Kbca*Mr(nLY0hF=+0(4fXEF+&~G(s66T}GpD z8Q(+gD3WUJlyQV*w06o!hJhM1lI4_<1}5DGP)0LQjJpaAV;OBx#@o7#w&5}k)KSr& zBB^_vG7LQOfU%Fmgn>vJneCJj4<^Y5PzLMITsjz<$}-+S8FL~786);k!jjV7gFy(AiDs-AUYoW7N>#TKjMnZ?SRH)8o=xm10 zUahm)(OC@}`^8Q>>TH9~39Ylu(V?6TMui4aXD4*hRyzl^)6p3N9okZ%+o-b_I$gBR zUPq@pFBUg#Y@L^h&taxr(ElN@950O zX{WeAkya<#%^Wu^L>Rz}jwI&I})ZKWkF6p581w1QV<6MxoLwmSauG4Ml) zP0SYPltZT`-rYz^<&I7b*k~bk(y4PZbUJ9An;o5PIOebAxI0p(Cv>jWIz1g7#^nXk z8AP3d&{?2$20A*4I0tRzJlsN^YoPPI*15*kX?eN~k~jGfEDyeK+7G@(JT;qe8dYcx zvwn}PpX;pOOV;)$YT+FwyNjQ&R!huUyotKN8D$@b%xidV-@(o?_V)DlWJ!|)?BfF* zS$77gf3!N{u z&VOy4);WkgXVR~xkubJvu_?vN8Z|9;>MpMNt5M$lghLSkoNNW+d@@6Z7QAMoRl zZjH3;4?=2yOB@v?FR)JK;*J3+@uuV$e4%a><>5Nm0sJHjOCAJX2Nbvl#`c1ciN@=o z@D5VqKb~kz?GZHj&)+SVcqSU_>;ZlpSH#Ke#V7H zLM9G-A5vB$?x5 zkG2Mn_7^mwiM`>H-5TZTGwUZj-O7+$s<_qaDY~02v^27zI1B2(k-prIkkM^uM_@TM z3zq-BlhUez-(bMgN#!H^KAJdxL$fFI+YL=;38cbl+mziCl+j5e%9nc;1g?`twi(?5 z=^r?$RRdF9%krkO1lhl!iGS{-&JtMbnlj|v4QgXX-+-iQM`2D3zk)dTxocZ5fcc&{ zbwhdjT1A=dG63ME+byVO{mZa5#n>jvRW1+em+w{ZHM)N7UZuYZ>KE=+2ARPWsI$3L z8Da(Nf#z%XD)@ptL(?OogO_T0bRbx$=^GP`#{B$7-ugv&=t#p}l7tg9{CI6q1Fs1U z{^`$r7H1en{ZGQvKa4@3Hv+Vm=D;U17nG~CuG?G$pTDfR4NG&p=_2@QrWSP$xCp-1 zd6YWexClPisY#tvE>bzdBdp^v*7<56Tz^aPJN9On$I*~q`gDm%!fIU* znZ;cvyLs?o`V3mR+eOkMtRHD*F_3{`rCx+J4LX-`3U=ppE{sJ@zK7zdSAg)lXQdi+ z{ugMwXe?*qqr?9O@-9kNsv#DKfiqoybdg38mO-7rT;#$CYd+mc$ke$SV{Qs{s=G)N z?59SZxCb=Zhn(KZy)-k!E;7$6U9%Nb?Bm6m`mg z*hkbN!dgn52Z6{G5p@Y>(9oYJU8H4%wURpJK-jb5y#ijotx{=?y1fHP-o7=*tF<{$ z!o;HkuG&t3fhm|~^BtfZ#wZH_j2E8Jz(o-j)-g*g3|#DJ1QEpT&}aZa9Lk8W)XcIBF>h9v@a zXeI^k>~?9UI+h4{TQfDVM8G~UnK>w@rkQ3eQ5~z;`Yu|5$ZQjA?`Mq8GM3JK=&a((B4(J zE$AHb?OPcA>H26ezY!Sh6VE4sK0@B{e2>G6=lyTOjTN;IeGHAEc8&ze7|%f(^`t@jpmx0pWv%m?I-Fu!n3%kfK`iTbN@& zl572m-2F3fRvd~OQ0?(z+YU95VQ#ce|4kS|aA~Wf7@RSb5fBeNXffju}iWHS)`TvCj zx&)a2TM+HV1XU1Ir2#dk@82oQ2Q(m=SU;{+_7 zL~>8ZA>^}nHkJ5yMC`XQ{+-M~F)~W1H-m6PO^tEXI9sSG9D`IT)P;b$6FzsSiy-br zm2-!h;=xooYp91oB1NAg)bx205<@)+2PC29NLD!16qixu{GpDnXNTItu!)5FZCH^| z9|7TpdKxUvKypK!hJ2n-?}e<(M<}hc8K?tO66y&c+)z`)pvKukP2nk|N}>J`(0;<_ z4)px3(F7bn7R zA&*3OF$jt9CJ=6f6Ja0;$&K*PiV+?I*%21f)e3x#j1u8|3|+Vprp8KYoGro>E=Q^q z;qib<37Mm2*d!;#pKVYlP=QB1NAg!t{A95+fYI0ZD`}1QCuf#p|gOj&SvG zgl9StUWhyr;SdOka485k!kb`VGm;zOl@%j=3bJwgQAnW`*no@@VLvW)ZiK0kL5;IT zn8L;oR*J9*2wMf7FT#-!M^ok85vDkWDrb#wEl8y3b3~Xvw?txu-^Yxk=zI$suFLNcx03a?*rjRm>OG= z&Kh9~w;)xD@LWLi37@+T-wW{~s+>E*6hA_hvqpFgBvSM_B21slkr?6SI3S7eb0ESI zrg#Tc!VxyZbvU}d-48dwBcw$52V|BAM+0#qybA_)Be@YyLq1PGoQ&wsW`w(2f%eEK z5ncwujW9JjQsZoOn8G}yN)fIFD3$QJBU}gKG^(6C!W3sx<*X5I0f`iSjtJA|?nsRA za2$|C_;wKC2vgjPD&Yu^3P-q$6XEZWMO6#bD?{De3cQ1iQkjEWJ)x$?7;2oYGE+DjsZyvr1L{Kf+@UUnxI0zO9cqdPQ01(l z9t?>TeU4Dm=Tanw`Z$hELY;sk3x}HGNmMz1sCPM`o`}p6>P{de)I&kIp)P}^sYq_9 z4^<5H9>_Z4(Iu*n6WFY)CkSaxZFrcA?&mG}m z5D%xyxg$*RSgM>g!s8*4qR$aw`aBBjRWIYiN{-X~%BNW5!L5>OFzed82e)8bI+u>!XAigLI zlkj101T{vOhS?H>8>2AXq(Vb*@l}sufTIyy`eQqoC;2+2qyyOa*T6ncR>m_rBU3Mq ziaZe+lZA)vaiGVOf3j|}*+-tf3GW89hw$@h$x2|OxcfXpHD#!JfY4Wlbr{58hAfzDR|%m#x`mLjZS#mZh*wpJ%VWj_5$W#{W% ztw4nJ#Q>Go`A#5XBp=pFA2!6B58FO>y$@o0C>o1_)!&XG_*$ed+l9M<$bSVHs=z@-vgRj8UdpJ-Kywd$cD zdx>!QG&Ch%8OHUHn~R&In{$InAXzWW`9?+^&^;kG7x;obK=Vsb^WGZ4Vofhh4Gz)z zi_(mg0Z_gKfH|&7Rr7{mBaj^IHvbQG?;dB>^vD12wf8wQXU?3OX-w0|R3oQM+nA=B zGF{Ykk#5p(bT7#uB$XryNfJ^?A3`MwxkMkIBt9tJBuU6EAxUmYAD<)%zvp|Mv-a6D zW>m9Ue#vST){%5M2T^VJ=`5H&vV!1NPG@ceH z{3rGs@mIHo3SR;!JAQo3Gc|%-6ebT6quo4KkqSEBWHua=xPYI)Kgh zZ`NapbEVNXH2LNfbB-7v_Ocfo zZ;g`pVR8<+eX8cO&hL!s<%~f4G&?KYOj+TBjFTKdw$+$CvIemTVAW|)zC%0`sKmt9ilXkSYfVXeg&kX=-|i|5ru0Od#zD5b z$}yy^($0fU&%DY*5%Rb+8XS%G-27Uh_;=U*VY0pma9C}n(~h;XU8KvsF# z56B_~%eq_T-@>v2k{Y&ALjM%s4D^Qg33j>^|H+o65mx^{?nU71Bb9mLe9(syHPlSt zp6L$bxP`#4?!Qr4Az2n-@0%;y_M-hrB4lRTDCezaBC$lMk=o)U0zB0tdev% zE+xK*mc!}za6@aFib?oa^_jsI%D0Lj3=Pxlyed7^cQfFY9!kywV}~*iJc%+s5AY2%8xCaZ zd0-n_b>kiC75-DrrOUi|;8%S1i4UL0Ju1x=AvWm7$Xag8=A;fSQ~6G$C5sv0&gN4%_qIgD z`f^lQmeH#|R^eM_iQE}X3a1+dv&1AOg-f!CXYqF$z!o^@_5)cc|78qJeC$% z{ZF1mBcJC47$())&+8G@S}Uc8i67f}A&zBNRQunu%z(cK)N;<<9s2xzS5C4f@ww(| zriw$SAUCtKZUTp!ziL@`0CgL_i1K-#ohcvow<-Nm&p+>j{(BmhNy7s2LH`%t`h#A{2Yq-S zqW-YY)SvbB*Cs#fFKT-$O?fmvIV5I>NA zAGFKXA@iedZ}D%svOeVBBsJhm{-w#tnU2>i@q7l(V=`<`P=jRL3{eC0s7XtAl_efJ z-iq|B`p6iY@~`}7NR^*FadLuz@E7YirPjdP%Ya$}Y6DILWHlj+Oh;-V;9t2{4xFb1Vy+T=C1|(7tMbJG(+NA)#lFTX1BL0>TL#cSA1bT^?kD(P zk-|K%_F0u#SO6X>`qkNm%?*Arx9|+(zb3D+y}>W#7xp&zF*m4d?Y2ESTZ zIMd+QiVGh%cx{Q5*M&wt6d!vF*&Uv)wAtP z0rz)%XQaP=v@3o!0{ep76;4UQQK_HoiW7}wvmtoJsYtr5jyh~#+!m>;^dhK^ z+85uAG+(3?WjPn$Lsw51(o$8xsaSyY!(T`twrr|cZlp97aVl0DDXcP`ip@q!SJ_U* z2S$phT&H5Mk!qBP0zV__L(Ove;j(c+-M8;8cap(B1l*|x9|*a38~kI$U10FROn0flKV_Sb>72gQ zG6_!XC+|>K@IPcf;&$f0J_yS!x3|HMDK`d|ImUk6c83`JM8F+s@bVNZ?*RhlEF-&~ zp4(q@!}?L-y*SjBf}dE0PZ+!_Q23g`pN0xQHF$TV@V^FsmRT4kj4u0mc42|RU*r~c zHF!^6;c$b$%(wFDQ_(~EPDU+M1qjv!(&)UYpu97*Q96fF2K_=_^%jGSkh}|k3*x6l z-bGbCv9CJbivb#imIfCt!HTnqVm&Du{^P1li zW_^IeR<9f$Q%M75S>aB$cIef_C|FR);+OoyugkP7D#2hCbtYFF6Oz!7`+|LCc^DfDPzWceL?|v@m zyPpgC?&pHO`?;X+elF;{p9}i#=YrhN<@HXX0sYD35lfIqEWzX>mSEx$%l(*xzDF!U z-y@cw?-5IIe!i8n6lZzF666s}F!_ijIM&h~%gRw!(D#TX=zGKxh5ihosLihosLihosLihosLihosLihosLihosLihosLimVFE8eQP|@FM{R4#c_$0${8ll*n&J`3(oZJdV+T*?|OnfV;k3L8biKEFma_; zJRmOip>(UEf_nwK!?cxGjwV+=03EIw>s8sOVfD~-;Ufd+tdoASJ9XC0H zTgl~{`aZCU!EBBDOoTrwm;b|8{*Qo^kC*u?QRZhUv!`;IJ-#w~beVXadwg~74Rq6W zrrk>UBbCb^@s&T~tMh20Oum#ER+*q-K~0eE&xOHw?7`v}uIv}~`9

cdIqOs!+q< z*@P|qfrX9x1I2MqUlF}PXP3-z-Ivc=x-K4Kl`dYM@&wl% z@X=gf9_M-_vgZ0q&9yb)fm`ujl6V*qKPi@I*W#z*7Wq_c{jA_+x=1U1XdcyvruACU zKc;`ZrL_+Gcp~hVVmMSO>>*#+L$-u%rH|^*`l!aM_^&P=4|~`b_LoH1-z03A6F(K> ztu1q85XDd09cZ(%n_2ANe7o=zzCDpBz;H|hZ#4|8KM8Rq8r ztWZ)c?sGi%IX?F}KKFa#?suy)#{K+1Sj-V7FiShsBZch zUm(Yc)XmgmyBneU$!YYMQ?FyYbjvD`A@;PB)@js6UJO9dX&FHOe9s<${vD`RoA{Ot zQirEc2;s3^0He_79wrOD0bvV>1~1Pe}Ma`&07Z#{AJZalf& zm)lPM$xUav+;l#ZC>G+zlSb*=&Oz@aZaX^y^lj%U0Dapz0g$-u)Ga%9jMZAd)3Qxo z%d%~+O*R&>R&7(ZEw{ndv?iP=;BU=fo_*0_FlX2>2NAj)43vd_e{%mmxV*LIj;)_$9P5Aj69Rm51c=#E>j|GchEa z0Q8Uy1Cm2>Cnfce{0SgKvRuC0*+OYOyFY`o49M_30R6~kB0!JIApkup-vIbW8}USLt+%bKP1*ME_ac* zDV4KX&KTdg+>)?XZB>oqaz~i8`qYmW@7m5j?zw(|Y}ica$Yc7(yVmjhf|Kna@q z0`lovQ$V{`WD_7^iE!H233O1gGf{O=7xWar%kaAZpyz~X#_zVEJV}esRs$-}R(B?R zs`i_;^8ahLnwKc%@Aw+(*7L(OfF2c#0D4rs4=@kO+Kr@rrJT^SWTrSzcVTqA3zMV^ zJ0Xfo>iC%_Kd-Cq=OOBQ+Y@KrkATW&UOqnZVQ?uHeVNl6pwGOY2$ekZ>Y~tphHf1TGV4rY~UxMRYpYP%ALy~c^S zd!n5GxaaIh9QWTLNFVn-W-@Mp$sBiUv6{Z0y$vataXmQEy+tm zlaozWcoq*l?tGZlV1W^Z>)Tq^M<@dqTl$IY{(9hwfO|%_`0Iy(OG*p7f*0kYzqG?5 z^|lc%JI*7@lJ>kI#)~_FQLi|6sh&uiCnH_{D$*B7^6)rt#X2N;toxzZuH1<9HBt78 zH2N@7fEJx#<9XFlq%nQL@l9OHX--*%Yb=EhJHod@>LaGpoq)1({=>056=DPZbB+VEf$5W)m8AD~ zhv6s$rw_E;D-9kRaIZIbM996_;EN;fod#c$>CQ9wvTS#e!B^zE%MBi#=e}g{n0$Am z!DFNDZiB}cxQ7g$Q0Uq;O}A%av325;3@!bPFVo6d1zsy%7?86TxI(({P_w-B$!<@e z4Dj?RmV1uDH!JsigQwZ9Y$l+6ZgbqBMn5y)UTN@ML3c7(?sn2=r+CkN)9(qnGtkR( zhV=OncP>~r@4ig;0fQH0yGspzFxMJz+jLH}Pb!^`pZHG4PxK8+tAB{s?)d4bXN6B4 z{nX=b6*7tq83Z!jDQ^;_kLoco(0e!gzW)(LhKLFll4CV@d>frCW7>!Wz$Kj zK2;ni^7|;uzL0wAy~o2O0WAT)0@CH?;!;!KEhi8@2aCSHQ1aDQw*Fo#+p6IbdQ76E zf976H0Nv1A0lJ~10J@jFbSv%uAFZrNl=Qc-0#;S6>6Sv>JeMNf5i|EgkzWOu88gNL8lvXNI>MK$jFQNxv z`}iZYyOj*Si98lj!yc0XI5;r?&!_bRrfG$IzTGefSvPgC4$|(1llYpPqC14jVQ~Kq z4tJpJVt>G%8~}X>EiTW9OF^Jsc`ru7OgUDk1y0Nwgb;3k?&te}67fBZWzy7(sIQcwub?d3B9)Fo+G#2kzuhEt4wcF+`4Xu#7I4Dn zWi$m0_= z>?JvFkHnueYSP8jQcRBq>v3h14~%NhH^p;a{0J{rq7zqsN?G*x$MtusWn7Z1)>l0C zi|8K>DEanga^{CT9GavR`fHrKm0T`~GrM$&<8ukeuEz1XfOfoo%Ga#Q-YKzH)OG{BNiB;or4mXewbG9gliK+JJy+NOeHJzV z=(%DNAaNFsTQ`H)|4y79iBsy|`ur&VEN;3u)jR-uRS$D(++Hzku3TobugvDa3a`wT zM49OAlzF#unRk6<-VOXp8LQ3o13dMR8FtvP7b)=s&&_0qo7_8v<)?y$O}M1_{2AN& zRyg@r(5`dJT0Xr`Wl?WtYWO8O)&e=a6VO4xy@0a;k?(f%qrZSU<2ki*@vqe;E&LPK zTae2;az>S*4AoVs;qb>?JD`YU?&4Sg(yq&~t(+g(_>h#gocrP-)6np8bMb8cXXK;q zKtfUY5DI=m9ce;B^Q36fKPqS4H=3tNwU^kzQ5&o;QDv(Gl3Tx$M~bP~KF_vlZ%H^$ z#yM-n32C)a3Vu-J=jw;Y;h2XmTNOxdm5@jJqbw6JOWH5s3BW*rmG&S3rLfeV^_)ZH z%g}_^(o8bDwf! z0Z8vd*6k4U7%6j@lBN$qmF-+cK1B7qhJS3wLmtCpqIg}TB=8*Z%6y1C@&Un~(0;4P zr{S|q{4Ujg8^q%f9`A^UmDccK+dA~$_=%JDeOlJ($kfx8e^it^-?om>_@ktd3lr;9 z3shXs-EUi>v?$6}&ur$j0!?=28uCawC(H&w+)Z3E#jOxG<#TJAaEo*XZ1?=dw?O<) z$KUq(4>kVRRq_|#9^zkwzoY%jbTbwi|24*+{?^|Bb$E{;MY3V8e*v0s;4=;Yfajwf z`DYE5Jw2CjBqO=0ovy@q5^|SDfKvh1;1cpRV$MtH^a$E>#e5cEh?wj2BE}9eM^nQe zp&f`^XExKtQIxf&g~P9)T!b9?8StclTA%YILnf4Km^ShYm{88uPlu<;bTR|4*?{!j z9JQxt`tzi;!w#oT`S)@l(~ppga+?@_aniDa`aqV~(Fc+i%hrZvgpK5AdV29P(w(DTyq*I+eP+7TOgBJ& zmMXCrr%%zP*sY?;>kxn1-Kxl{Bad7$WR@?g<^@|2?E!k_W9k`8l`T9%S;xen7t15BR>=57hB(_Y?4cu^;e%u^;e%u^;e% zu^;e%u^;e%u^;e%u^-T1>~E2_>?B()Equ>z91Xl-NAEz;4>#VVEq5DXD_alUV}!TZ zdg#%H3Ab%*Jv84~-e&8e2aT|ut%n{l!aHm|wA=_g*m`KC5#F^~WDsMJ zWrc3J(O+Kd4mJ47mW89hw@A!!C502g{}6mlY2oSAukp1V3gubQUEG1&*Oe8@lc2eR zukY-d=Ro!i-K-p*!&un_u+MImZ#VbOD4VnEExIaafz8>q(_qf7FAV1F`rhC(Gu^`m zmu0)Z8QeA34H90*IV;b~n?Zg2V%);`BKADtF7H8fIggQ@MC%>abEWcsgmaf>aR6tX zb9bD@R|L-q=$;LwH_kmJx@VUOzPGhoK`&(;ob!*;!YjeoiT?f$g;NZEpses7gCFWv zxXj>1JqrJA@WXuy-!}M>ejZ!SqcQh8^wJaOv4QUI20t;>%9FK^xueMD)7QXA)i^gW zSVbQH2x}H_$4N|6nZWFY6DyRu)n)>1vU~&9LeAq8qkel*hdY8&# zftkOFwv~oz8nC56t3g0;7lKS!dpqbOr2@BWa4yHwvInNyp}raN8pstdVy>^$pj9+& zIO^>rl^1_c^LZl|axJ-bq-}|DmpC*?33ugFaXUJ8H5$e8V6+V-Vk5ao%|VmZSh6Cr z?5Qd3_!k*YiS7cX1I`oh0$?~`$`xd}6fbYml?z+>Odo0o!+Br86pHXDKp7ybk4RmR z1_B~GC_Yxe5kLhXec)MqS01rLACl5`sbJPf6gBO^(zyNou>8 z+FE}ZuPsYz3fD){wG9F2+P3dW)OHx))pnqk9SYa>)fQez0jV!b>I&b26t68K2iK+~ zZecPf(BXLfbEWl%Z1-96!&V&(luR6i8!+Z;`LaA zoD|EF0ntMSMZVK%E-n#tyj=9AjKtLp&iI&It;gie5pLGyhFuN2RmS9Ib&GnMGGBBS zK_>asgNS+*Z;=v%?+fvLA#G7_+MV9Vv;};b)M+TY1<6jPP}{!FAgAtYoVwjmzd}-j?XK1~6kU@WLVSeSC2JpFc?c*4{0Pu{oJp|> zQcT`;zo5zpljMeAivfQ^iHzb-zFys@>ykk{k;5I9p3$ee-$;K;OJB19&&D z(}^^LlwodO=Ta_1-@I!6cVO#bQ`-=`%PtCPs@r_+qgp&H@u-e!F&-yXq54BqAB(zX zs&`@DEiN@vE#~jVrCO@_&Et60HBc>W4oSPA+M+|6>XQITs>K`;m;X)mngrFiQ&>}7 z4$xGO26$9w5-E%1QN5!w)kCrEl-h>cU6xW%Q~f8v8`a`5OFXKhT8uMERj3|`szTH? zQ++AsQQ}fF)nc9~F4a;!8H=vE2CAja^GQPW7CNM<{tA$!TFeW?B}ui}%kVFy-Sp0V zM-x<^yFWqoi2zM?3BaRzA(0l7JgPI@N~8K)Y$F_M8)kR8f`Xdr%>a*T@yHdA>ZlfD zHpVJcA4GkK^gpQn8S`OrshMgq+Y-E5s#CD&s%xNH+MG`ks^`)nP4&}&B-LW({hI$1 z)um7-6G**p5>$Ul6ixMUfJb!!kzA5Tb-&6~Pr!CSY8!5MX-Oze^=yDgwRkKOkLsuv z;}TL8swbnGBI=q)^-Y+kic8H@i+Q%VR7>?dEV}9%sFpTALlUY7(jiUtHGm}5VqPgO z$x)q?9L$pv41YlxJ#Rb-&KGPdA*l+(DX2oCu9@L5=7_k|%&?g2i%Yc(H^ic=u7P1`b16v}&Zk3~;r4(e z!(#3rE=h(nlMJs(F#H5%G{fTnn&DXhkKr-`bRl^R@2Jf1ENlU}HW^`eSx-UDaKrC> z42#Drq-q%!;~G*GhUcN0FY1~Zz7O*PajBVMF+VOY)iV4P7F~4>3`?6gl7!)J>6vEO zp=U{k#k@sak_=y#WcX-;;j1a58Ey;E495T-!`le3o#Zi`d72r+7H@Ieq5g9BHEg$B z`c)(@{Yp`<6xJ`by9V{8U-A<{U;5oi4f;^51bEYl6yrCwt3MQCtRPi66dh5OiMr-P z(FJoiajE%Gi1|EmsrFFx$D*sQ;ZR7M$CBhwyhVrfq1X>d9ttr}5SQekNK%qKCZ%vI zGxr(aCyt2%=wp%x@Q%qOB26ZF$E2TkO!TGSMr<8YsO>Vl%Xt*kR6h&ws1}dIq-saK z7!Q%EP`wq^Hc{71^>)lV#HD7c#r(OrR7>?NffcvLrq zi*X963f28l4HR|FR1d~HR9tGNTFh67OSM#w!J@0Kfof^^W3I7Eju!>0g} z42!u?T#^j?wzoYBQ!-u5p^RpD5I{3L0pKxQOn{aokKxS1N(@iIc33JI?R0sHf|}u! zAAJmq$1~zl9m8T=PO8H2G*r_?UGo^8fqAC5)XcD$?-Q458GaCpuDS+>rOm5I!mw=o zr5XMKkYrfQYs95mhD%{e7#;u{n&FcHn&A!rkKtDc@EXZuxF6+wW0>33&{UGT%IPwm zf|}v&0B@EMk5cidj$tvDkg70T8&#&LYi2kLbGEqD%&?dni%Yc(H^ri>u7P1`a~Vk( zUOZ~%?Ra1R3XB6$qYt<3OzY>_l7 z8RK+mM?uZ-eE^SP@z_YJmSHijBUNGeK~xJxT{FXrF)tC9ni&@Jv*J=M!_Q;URoB3< zw0Rpz7#=}~G{ZLlk_?M^hqxpe9+_l#O@iTXD5J;lKLMKIZ2*tqodo!h6NC7b=XS7)OL;2Wh({sU~YQIN40nyB2`PZ7!Q!DP`w$|7E#ws^;XQ=#HD7c#k@;g zs-^mKEV}9%sFpS#B?;As=$WQEot`DB7V~j&`QKERLYd6W*HKtg-5H>%9t!ZNwxH1_ zc~tkSOm!b@8-?l#PM3KU)Knh?c%xc8rie#%qgsrUNL8pl4^>RmHB;Rm^FVQ_nQAd# zDlXMheFYX>bq!QYo2Qe6>NRvoQ~f?5Nwt_~ic6B}y2-&jDZy~3|0Ebr189b$0FU8W z1ei_o7@k|1;g7NPlY7K#oi2kZs2N@j@E8`4ka$$buowdvt1$dI>OG|Y!SG(p`^2SY zhQ<7|xKzvV5iGju8W@%~XG*(acq$#z3_k=&GA!n7aY-_KQIg>`35HXDPB8o~g*C$m z03O4+1gKB)7~bJAY&B>(hx1@AUnVu^DClO8Rot5|edUWSi?<;7i&$6l?d}uor_d9r zurm|+csta68cNop@Xlm=7Qeiy;=4osawcEL?rPSu3!GreMUgmv;Z|~@4CT}S^1*2^r8PSxmJk2qFJ%s*8LVgy+WhC<^F1L$AD|&qV;7VH)3$thJy)p}% zf;-CrD9?6#8htFcaFEdt$aAkY`XTx5tp*Q|y7LVlSy1?-@xO$n1gEZLX&DMTu=TO1PbEe;8=I3zC@w)F~-#sC(~a6r4Kb~F<}hoCd`K6WIog+}%= zP{EG;$lhB_3H^~|aaD?0T$K`ETonqUODwLMFW9%ZD#f?BD#f?BD#f?BD#f?BD#f?B zDkZVFDv(0V#NsNMUs4i_t2Dm0gC1o|8CfaUmFZFTgkay|suUJi<<+8LxhImzQZ@A+ zOVyNbsTyC?Czq-z|57#emT#$=@-J0W{-tWlzf_H{;gd_%_-*mzQZ?SQ&$n_OrWvx( zD}{w#DanOiDZYhXDZYhXDZYhXDZYhXDZYhXDZYhXDZYhXDYDQj4FdH6KLKFn$#Lv{ z0*6=5XBFhVg6IMcc)q78&8r@-p+wFqrP9w~;3!|SJ;SRevy2l82+9lDijc}J;8vVftv+NGhLq@pUE{h&9 z!fd-+^e-dKv3o>Aa3E8-B0 zM2n4ZpIs4cV}$$dQPB=YSYVHib}_;O_Sk3-BRptNi1szYL-wTT1x8qCPl*mS!XkTW z^kO3{wx>t0Fv7$3%;-2HEU{-rCmG=pdv5e*BP_M&M`sw}QF}r3ZX+zS7g{-M7(X|3 zWUTaUmYdq%Z37g7QqQ#9&IXqS+`a~P4Y`92?jCV3GPq}^JJ#SnykHk*{N>aoJA^j1 zO?;(pYV)GI5Urwz$yL$6$l1`0+$q{k9ytpHz|fWPlb~K0d{umXsk}8Ay1H1eFO@edL*q)k^`)U}+UWJA+UMF*y}nfY zT-U){UmCi;W8vMzcv@oKP*!-a!8dl(YfWVe4BgyAuQk>9mOkExZs^v2`s7OhIM=V~8mz4;MKCA*P@v1~Ub-ICaSDrh_;B)fb5eD~*x>p-~K6|LGq)mF7dKqBl3D-?F0(0IW>nyBS#E)EPaM1%4 zw2D@ctD@J*?V_FJPSN+|fg%g%V9|->DMhD~r&`^o@UMQl9Lw&kBWEtlZHhF!3u}!o zR*lQj+N)FKeecOA(>f~a6xj)VX#}B@3Y;SAJCBJ_rt(gaMPEg=5IU>;sJYHf>#91O zA{V-y#nMfkY31EasNB2AKBQ_kG-@1EpClJYt55x(IJ=qars1Y%fX|rgZa2c`%yr&1 z@fXZ>vy5dAbKP7ce92sQpAq&l*DW-{SIl*f7-1iC-D5`hnz`;tBkX6cTVaH6nCt#& zgm0PaRvY0v=DL3y;d|z~bw>Dsxo(pY4lvhkHNua~b=!?_kh$)CBm89dvvO8IYzsI| z>)9+ft+~4wqYOE=cXkgL%uqaPFhlV#gWE^kS`^j(9W&iV2A`d6<)&~X-jukl)RoV2 zNv6^YRZ({os^~&;yJ!-5py(d*P|;K5>@zFpP@{GfOf~NXH0h3%dmdR&87rrnSox}G zpOh&&O0J3`8114)|L-(?_JQ7`>k-Ly$izZU9d>+T`)*&>|L-(?_F?-NR{?3 z2v^#>AY5thf^en13&MKuf|qD`&PFoXyC8f)?hc^rU7+;d17DBQ2xCOR8uFpcY*TnU7-AX7x0@E$-N5{ zdl#G{dlzirsHoF?dlx9#yWrwhnBEbS-n$_FTLCgCi>(5gAoKubSJ(Zx$esq_{yF~v z{=c%P!D5D-?gD!nJPDRP4Z;I*RssJn-qWB-UzqRlAnWRPN_$I_M+Pjf!MCNDQ+n>%rS$!mgRA@7nR(!Ynkq?mmI`hh(Q<)cuh%*fzAR^HV(=iWfpm?-Kq z)i_`6Qqcwo*EL45Tk)BGulPJn)>VA=tHyc!B+)4y5uQh>zQ!jx#8a)DH_@I(TWgJP zR#vNpI{-(S>uYfUi;CS4&D3qHC~O0jW(40RHu}xh@(UblNeV3SMoLI1lr!b1tJ)DaOow zDM9DJO-|^vGm&z7kZr@1(XCk|<4pHjpuF{-(a9>D0=@%t2G`?jz_M9!M(2?Gn!#Pt z+zkeI4O@A$aX#%{iMp8VIZPStnuRi2y3>Gi-J4Ou?j`g@UJ}V@ZR?(B+{SV5!$;#Y z0`9}$@#XlG2HhtNZkuBD-ih!M&C6^=CnnzNgwFX6O-5Zb)1+H>I-wJ#6?*cy&^qsR zEJ}5W{SGtZV;Mcz%OTc;{MuM6@`EtSQH@O{K zMDE0%CJ)3`lLupK$x~um$x~w=kcVP>$kSp!kcVSO$kSt?o^p28C$AMNB(ELoNS+bv zN1hoQNnR&5fjldABl!ujJIS+S3&`un9w*OVYSRL}_u~W#4W2ck1h@C;+GS->ARjfC8NvuD4 z>)1u)ZDLoGpAoyByfk(fdE3|`@^-Q3$lJ$WC+`q@pZv_&Zt{+?@5wvGekEUL#e#j< zr^1Szz`ylY>}39Juwtk4Z=)6K#J^2etek(Ft=Prtqs z#J{(!*h>CwvtqCF?`< zF64s#G9!%Of?m$2Pu9_-i@2a4Yb+zVhL@Mvb#pG}8h)w~D!7K9V?tlTHT+Ctxs+@8 zxkk8*Yxu>u>CmINhF@STm-C2XsS&Q=5ydk`xROT{ay>5-p&HF2iq*z)6^|&^8DR{M zC|)+9ujUcOR%02R{7tfRsQ#A`9+&h^kvFuV*T&Y zD*t=5%Ksj%^1nx`{O{2!d5`w2!84gNYVm!IYIT*sI_f_(tkprm8LEGM@Pa$QnJVif z&ZpL8gAwW~X@QY);*yp72PHqCV9}$hac0p!RP+`EIUYs#b6a~Kf=j%j2YK~zgRv}9 z5i7S1>J?IOu4)`AnyR9UjQMtDMIST5EETYFF2eUVTGYHpv*PBhTni{wG;eLW83vaI z++2g(huo75=5yIKn9t>o26xLYJR8ifyjji9&b4wj0mh>!>fJ22sJYua;a9|cVa#Ce z3x^xbec{Ch^N3=U!Q2;KWpHQS-E07bKcZ+pyjgbhbKIvAw&v$r?ka=N3%IWvd_l;4 z$6$VY@NI?TKG#n55iy)T9i^X`2ybeMPVi+T6HR(_h=O*$QDC}FCW!l$x zFUQ)~TJBY-WuVyC1>ErlU(W_>22YDvIdTaxa2B5)W18i+D0NpPY%SVZ?n^ht%eOc) z;J#|~d?WUr!964Hmj<7cS@;`Rz7cD2UUp$ULTWsyu3G?>cC@%C*X?NV<#|@gIE3Is zUiX&zy7y?jdnGrC3me&}R(A>dIBGcHiV<>7Z<|i$$bLRo2hFaHK?xP0Z6mb7# zFdNdpZtxuu_alR6XS!b*d~de)Uz0)i+ZIkyJc-kA5+Xb~WF73^L_l0BHTOqff(Z9`MIE(U+N%=#~LTO*S zw;0uAJrPd1Ga(oM+&OEZrA|}>z#ru-%de2sM6ahNUB>;YROJP5_dCri^y#B6Azo%o+Pxm;D_591l9#JGMnq#}gKa7bz=U<#l+Amc-h&G#fZrst!G({=>SQBvKL!g1P05T)hNp) zkDJBRPR!jf|D~#$#neU2JupwruWA-k4>9+~+@q>hEPch=7i*ces(8&Z)x6x@4jm%} zUkNy)QuK6_;yc=pacI1wExzkXaG$j7G zvbA(4^>5wjfv49U2^=Pw?g(xwGTpfz$G>&wQk3z1)&ROY4+C^}>d2|Jhl-^usk$rA zV~cmCT)J{pTD6XDe2iYYQAajd%WOdry08glybJmiETS0$Nx}7WBlNd!{D8OD4e>3N zW+#q{;F!pCBk$L0x{-!bccVE#ccTj+c~qpZR4!c!>8@OW-FH;PIFuCJAg%KbiBZ#^ zQ6XPv#C5i~Z=(0_5lZ?KUJH37#P`y}AKMeQ<2wSQ~{> z_v&ka?$uF1vR6`AS|Pnk)4fVRTB%oJoJ$IBrdMfRuZ&uHmFDY}xV|FpTX3)Uw?ovB z;9h6M_d$~BjNnxw)0uN|{M$(DfKqp6BtUoOT0pWhQdlaN&eYSLnTg$Zh{X6BDfp(e z&g+a(OK0l&IwP(J#r>^-6M3CbUem?0g=Cs8c%R5L{aGA!(;eS&cnGC#`c8mu`Zs`N z)1|OfB~35TO+SX+*K{%J340q&FYuaf)Y9~Vc+;&xb-7~@-;&<6{eCAya8{4_g-AX& zt5yLgn9Tb{BI$FhLoe2nlY%D^KV2U<{{>d9-+a9n-;N~dy`15KrK~hQT^gV5Z@E~y zkb-#<*=xB`OUu)Jq>JkWac_uwrf!ITPsn_KL&Uca$s7j3qebR0l;fxmgMUxRjwtnE zr~v50a2+6d7^JXNE5SlQBGZ}PIR33Or6_f0h68kG z#sQL@k-}2Bbf%r|%yjI&BO}I-Nx>%4Ix{Uj$oX+9?i=HKkEZ$#&HY68 zdLzzzNv1b~{}h?tY{60YCe?RnUO=gP^C>|0<{%*18!0TcOK&#DuQ%22mAWI=khHHr zx6kX3(Mx|e`ac}Sxuq0vDX{799m*!Y*CBDPEd>&vj)Gm0>Cjm?{;fkTQR)s21n3T3 z2}pKG7uEr!a(#Sm!ohcZq-}aaI!(9F>yXh)hc=nbR0nrpVv=8-4=!-ZKV|2t5rU7h z8SOnp{T@Z+Wxx>uw;ZQq7X#)4Y6I%l7wHM4rXsZ@D}RgY=BdP}H(u2a_rtVHF0sEN z#(n`u06z=J#`LFvmVj`HTyj}+RQEhKmOh88GE(pXXHY+6!7KYBKj`Rd-@)i)O1+f- z)}ZD*e-LZObMbg6?$H*Vc$~$5YtVV*VqGR43-wj_P;GddT$JnkVOZp(7hh{z9~!yL zW_Qn6CsgTwW!cs~^u_q)j#ojsJ;*tM`-OujQ&0|(>-ba9ShbeWbuG3Kk-xzoQOg=3 zb+NAcOT_Z;W^y+yx~uHe@Pt3uLg9-`mhc& z8dbW4dEDq9lm-t-2KvMV`ZXEoHcCm5oEU+Y=s5w|(V_xCqD9Lo zB|$!vK#w@OC2frSqoytypuGt&C>dZa0i^J}3ka|@9^hvqpWq1h$pE8GfIE``J|w_> z6du!`0FUaf$SO(QlI=#{SpxaGvd9Gbw-+cw0?Z>_%2Cx1C7;&MBx^s(O|9tXO9jt3 zX|k>F67W`$pR=>SP1W!GY$uX_U*ao(_r8QUE+y45J0pF|(XJyqBc;h!R}!P$0OIhg zKC<1FkxysKtERH$Rff?-ju2M1y~?ohkCGzUe2O(%TOSI>my2Z#=+D%i~ zmJZGLqFu#SPqDkvL|Rhv0f3dZau{C?4zxofNx?Nv-ItNmIuD8~i%~W>o*N#F`?=_{ zhLDBNLb?nfqyHNDFN6MOz(ngV^1AnvS=LO|ffi)8WNcem-GOO+Hd)qGBIfdK)JcQw z^p1UPYccWx(n&3BJ8~6)`=G2Xk3g29T}EnnU&d7ZOr(HN4IfJ5RRF&5KZxaLDc92G z2IhnHyt9J&q+w@A?jp!n$aQ~`?02$^^QdqascvY4v`o8?688~3BJ2L{oZ=Guexb-HbhY0ixEKzTPWsBO-IMTBang=IB-%AqD!Qa+r!mTNGG*Waki!+Y}X3ddWwJ^Thr0bAbD)9B#y_{4lFyR zoUoNHYz25wkxx3QrK+Ww8lnaZSCK7=W@dyE9tjrG2hgDR(0wSS&Qx~WvpEc&qAh`~ zH1Rov{kZr{io1BSxMVCF&fB4+y64eXD@}U5^Flkce*^_~JG$2*4;R_=`T&Y{T0yV( z`+I!|%Q2GI>yQ1t?m(F`Dd+Y2Qlx2GljyY`9}%9U>qC=qMZ)gy^DcCsOBvH=Poev4 zrM)_vljR~iG@cY(=cH{}#5YFZ;iUWycDDUKiu9Qai@ZdJ7#{*!dfwf^X?5<)G)9e;`j-k zI0*4y*LmU?#Fd_ycJV_DVFKk}OlLL*v#l{GvaQMFS@R@YOcv=$qn;8_&sNrIz{II5 z)7JApeKDPq@~cUWQwTKjGOX-33#Ch6quxVmvhc3~9VEYZIs zA@-rM(nT-2xuR!BIKN(W^F;qHdX@AS-F>2e5B(PjgB%J`J}8FwF`SmHSagd;zq4`$ zqFXBZ4?KNaX^`laiJraabPGjTDT=bW_R46(jL=3qNV}0{DhXeJsxiwWQR+|}=Gh~ea7d9kD1X2+sacBVs8@DwyzC3fvQ}E%d0YW; zXfI`)1UIqM14rLQ7vAqEtF?etfHDEo0KElN0Qv(W1%S~4x&tl(WSCHqb*8vfEg zdV%XX;t#%rI^JvLagi4}2Z%#<+^RP*Si^B|!pq3TK^1=)nO{4O&m|22 z-dy4mppfLvB|9jmFH9`W_5y5~mr=?6cBCr>HN&?9Jch+%3#nR$#ki4Fh2h1hmWaA$ zhL>VqCN4EIEavCMrCNqpW6@RDz_7G=2T2&dhz@CnCjgQRi+QKGBpI&u75fC$>nNS3e_!9 zm591#s@q^L6_=W+7ISxTsg~+qSaj7jP%Uk)APLp~p=X-v06j}mE#^_;^1rDrg)$k{ z{V1%db^)5|GXWmeqlq+zKdq)HXkJk)wj?gP4#?0l4>y@7ndZ}wY4?A z`ALG|J(ST5zYNd}zYXvhwqVaDc?{33%y10b7Gd~7JMv!&YKG59^)W0S)5W8@!7Rq9 zq$&&#Mm1E_H8adk*`X2QQZvJ19wRQ*GCUrOuDS+>rOkJegyA}LNHZJ-BpDX-Y;j34 z9Jj`2<^;oIDWe(g1<(u+19%M2Bfxx;$MBBI4DZ7>^l~bB$d24fLCx?R0B;P7N49uW z$FLYPF;-#td(;O=|AXO!m=B3d%?ykAPjRW1VVi1o)ip3IZO)T+!|)??NHe?&kYrfQ z`Qnmf*uSxBf?bZfD^19gupN?07TS>$2&EYw0Pq+V zkLBV~9m8T=MykT_O{k`dy5=!F4fAwyshMFh&l8tw8NLsTuDS+>rOhiz!f*>Zq#5o8 zNHQ$uRpOFlxVq22QYe!Nc`k)D)mH#C)i(k>s@D+dWs*mAzsgicuAs-HCX4LIqZHIs ze*^HS7LN|%Q61G{EG1Q;Itx{{sB5M=2Xn5t)J(OQn~F=dRJ&Mo)iqEpZSF=As$Zu= zn(Cc^B-LW>Auj)$>PZQz^V1SkA0vvUx)vIb>OMs3OY*3mTbb%5*mAF=w#9a&6$Lfb z*8x1L#bXDlTB^mkjZ}r|$5Aa8bih4~qAshMgqzbr1*QvDhhU3CpqOPfC?3DxCv zNK;(_NK!54-Qtp@+P8=9ngqiuDWeDTodC`7B7n#69s=woc?|EU%y0?pJ|hf2Y)3Xw zP&1s$PhWTpi${fcR5zH#ID%A#;dZDxh`MHmJ7O*qmzo(Cb6;_(mf`cT=&EaASlT?A zBn*E^&osk_0ZE3%JXTzi4Er`S zgiV&%k@G328NMIjF)SWON!2ne#>1p43~xuZL)0}h{2u0=;!-oiV%{q*)iS&vi>|r` zhNaDx^bCf_(IL(7bU>0}F$csY$*^w^-BOs633&%)G{a8;G{dg~JcdIA2$MX9`z0A3 ze>pe**yOIe$s=}}EEwuX|1f>r(8~ui`HK8z=G=6`hSPZ7&aq z#87~t=~;H#Til*cK>nQ6bc|hlI!_BXCgdiC2>Q1oit%nKCA#Nnc__F-m}+XH z%D8Nmsc)1#gyz{T}df*KuZ2W$)%M_o}dQm z8s}73PRi7#FNJ?nZcCMNgLJtUs+LP_#NndMgHle}cACSZ?k)+1%S#9OxhTS)QtlK1 z2LVNZ?4Op++TpYnRMw4LKk<+UK|%R%Ra zU~zt?s(&Tg_;aKl=tqm~kP|-D6mMsW*WT2ZhiRCf7nh$M{pe{c_=w2AIN@_lkrAed zcCp9tSeX?3)q6U1jprcW+%&~FPaKZMzqv88d~?%Ce{*9rkr@=!-`otwe+ntG42}8G zlD0c&;VWoAmuR+fwf?YM*b!k_ zqP61pJxQ&PhmBCCwv>Cb+OV@M&UwYIX2V)Z*mwP5cLklp{A!+Vt@v#x@xwBDUONQ- zS>#Wv3)^OQ4;32ROMa;)?IptA!h-zXDUd7g0IHcP=CMVznKD)UQ# zXB_7MkD80?o%;8w+R9Z9UiQ?o^JE2P`DTvlBvIb00+D*P zl(hpz}Q`ScLh>`vmqb(tY{&7-vKvQUy@4y&3i|UK;?uGZKDAIo@gSF>Ws5>dN zPBji+hUGVuk?DW{ZxKh90O|{P6_5d7RzCU{a}PyoucZ1?yP^JA+K1wx#Oc`5D*u+~ z`BGgs+tKcQah*pBm8g2!eLTVhQQ)4j05IZT{AB2_RC1WIk!y$Z%5gSL>_U}Y{>g8U zl0v=Ji6=O7Z?Vgz9on=R^@n1*T$N@e)v@PQXdo$chb}QSUZU+2uQNkYPXQ_PtU8&& zwY!urNu{NO?2<^kOZf5tMPwr2R{=|}RF>10mCjN$_L>UyC#7yxDYY`YW6r-+aeVle zLSFffj7Mr9(i)NOMsktLAL6_1sc2Gns`RWU5hA}~dI7*+`9fTdiuH5lgs&Z?tjAGA z<^rA|2RI1Gcx9ssWxoNBZLd()?`Wigd-?gTQW~53 zH5E+8uoOi&26$G$62Qv>HUl;TA_oEQ0rU@}Z6VxVQiG?xA4VH824nW58RYbJpzx3l zP3@#U&C|#?Rj7iL`kM-8tlrAcroK&^&cde$KDEY5i4T!3&@IgP7Hgk(@W>jitP1g% zD;}jt`( z^R?Sc%KyFF56b)AO?(fNqE)_k6WtNfSNYyebVo&B<$E{L9TR<(@7+ZAr|7GG?N91v~%zS4YMeZ;1gt*+{LO$F@ z9v}A~iF~Wb*T(ISBR?$iHF5iYkXMU*gO>HH16Qn4q0uDjJh@!voITQ{iFUf4KrRYS+|45NvBZbI#lP#GhubZ|6bwn?Zv5}}MjLS^b!8BOFO z3hNFYl2Er-4Yh|bWiJuxu0$xKkWlq>D5Ht2Frh*W@W}gBL!C#M!j}nkcOsNgBs*v{ zk>5Rw~^}qnVhvM5gpaQe=uRwpISq z3&f&IcB)IQ`x`>7nbLrzI0aX8BOrAhrP#oKtI)}P;{(yi(v37-m(fHHQ%;jRP@>eY zNOnU<8bOr2SBTUv9?8f=3SWw|4rY{*GBi5aSP3?(YOt0BnkB&o1vLdmCRm2SLsU#6 zWQ@jd1}XA<)hHo8@CK4jj>V%GS)v#`MD^EEO7T076gh#V1N!<)PiIq6P7}idJ4<`IYgMR- zq+aH+tlzU8$_{bEx#_a5ZvE>jw2!1-vxC`TeaqhZvczMIegN+=hB$5{1*~^9?9FNkOh+ZoTHDc=`-c;X##6k<7>WCxba^--z7^~7UuOsP(}^AkqG(*m<-y5Z z$zOr6Q#{u5)1d#MDTOFc2o!TKS_+C>iK?4`d4PTbUIGji@Bu(>2CVcvZUc4`Jp@G2l9YR*lNW!7u?KaiFYGQ>uQ^=y%SWpk%??5Ds{CFHjpC}H zvv0AL+3N|T7!!0BzNhl@>hcm@?#X1bRe~*BCD^{L66`v>*Y-3PS+8mPw@Tn6Hn~*- zOR*x+b;ixVRf6r`D#7+|m0?hVH8l~b-GEG15o4FK!CzFc zs~U+$A}&O8OiB*BS0bKnB8q;m=!+8(izQ-9BHCWWBZ;y)qHQ7`N895xiMUK6wl@() z-$nEtg&6Ueuf1LnFBYoBi4py5(eu-+R-0{&tWd*ziM3h01{g2VXNi8Wc;$n}Yp>76 z>k{K7`b$MWO1z}DK%vAsB3{=RFVXLk8Yd=t+CzFeiJnePl6MdXo5H%QQ#E_~^btKj zsKd`4It_%*q1=_vA1BBA#FvP&&{Q%C{Zl0MFqI6Vf|XLqQz{r4!$X6M5h4!*t_JAq z$}ND@6qTw^>*2jsXe3Ea>-5H)I!h6veYk@NDIR)Va5}0{Qv+?~U*IhA4C4^lLD2Ki z)cRJc_yOrcq)6S`%DPg(Ai#A3W&v&m@TaSg@Ux|gG^rxyuOg_cFbaAfJ7Cw=8Eq$6jNwdtpcRFcYtVmpL0~GV_y}jyFkn3kMnOib{=m9 zBWE{M)<021?gzXo;C;ZG0$QJ}tPccS0r*nD!+;+JyaM=5z<&WjsX4Q;vg!zE2WTLm z0?-Ulx3x&Kkva=_8gPz)Er3B{zl1FP++()2j7A=b!B9K7u^EphTirxHacbaB{-v+{ zHSW_CpA%OEg4t68n^1*YX7Z>55U~LJ0Tcgw$IjoJ|A^`mRL2EO1*9J34UH_x8c0?k zSu4ppO4d`d7+GWsz84C(w2rc_5b!225UIW}Gpb^hB9uaU2;28n( z2^e+X5Z{d@SHcz*hoF>T-iG;3mLt0zL%)whw^PTx)e!qF1=bKl$?&q93RduSm>Qr}Cb=8}IMFPC~%DGg)A%Il^h5&97Fdwi% zz%77B1iT7(M!;`?R|PaLQO*tl#{fPPFbD9RfNKDX`xW~N5ED?ouX5@O=nZHj;1R$f z0$P+Rr&7QgKv#g1_XKVww^*vezIXXq#d~2C|0GWKPe|tPM^ytL`V)OhY>&Q>2&1QUmm7p_KwzjJ8KNjpW4+|A1;UYb2(Jti{T{)eLt$;`8;bAh(6`G720pp8 zO50v>ouv@NYEbD$3g|T$x_~|a6n>6F4*$+iu}cBfsU)V@N0_O)P_gEXm9wva(*Q{U ze*qi{z{buc%88s)P`q8=uIm2O=Ai^vcPN*SM0TlRFaUp5Ck9}PnizoV)hs}4S5i3( z1@u2iITr(RXLe`ekSOous{fK(cs_LOTavsSP`&a#J~1PKqN!P4w1B`j!HCWV+#}!$ zz@q|=2RsLe9SnF=Ko7u2fV}2QTxUs`KylP9h~9-yCCnnX8*X8LfdwOQW6$9;4!~b- z8yZ&0Sl(*5>hJXnJ3;6EgvM#+Hn%VrX7p6DQUsXh9Dp0U7&4`Wn^Ax!h9}(Ut$4(n zBkMQ99j>a&`7udJGF>F8U#z6kOq0};wUhe7PO6=W;R&};CzDe4tt2;SJB}>hGEmi zn&uiEdWAX+SN$dJpmcM8qENpv5m2b7BV`wA|FBSXO0S^7PSN53JEb(&q_neXklR0| z^p=U>cX>6b)Om`!Nqs*@T|>>#Z%!#1ayeK1wko0-ye&qS3!|ed&+AQ2u7}tZFiN5q zp#QNjoocx~D~PUsH~ZF{bE=BG!Bsz0woqzXEJ7(rp~M;kq}J(jS%%zlkwshk-si6E zPTy@J+L~AR7wA|=f<6qW{+d>Dr?jy{vi#@Qm}KE=@v%K&tHPY>qZ&tBD{qn z)VFPS$gMxrE{VN{TdO42g;g`Q8IUfCpOJOt#{XGKh=(Lv-;~7L1WlL34pS1<)Cye^ zWq{w6#CL?yB~cH*bV-zk5$lo|kB=^iwSY`XoCl>#;z@v25|QyLvYAVl!~>=z#)jPb z6YY{1Z@9HeqB}*a>%=HPx+JC}JD2NEOF}#((R4}7B51lKzBeWD6|19ts`FKW;8Bv+vvyi(u1RZ1%UWs8 zflQ}WWuhRh6?pu^v?NawbNwgnw9;IY)=#zbb)cPA%0xk0?f+R?zfz-$PA9F$?X=Qd zlh*P>YUS%8$aKD<1lLiJ*6VouS-x~-S;CE7Ds|&ym8%)ILu?YpE_HC7W=Dj*=#!=w zeGT2-kmmBfI#hR>A47<0F!qhkA}QTz>iaVoCGM1b?6i%=2*VBY5pPvjgRg)9{^-Z zVilAwiT41RlF&8aGQ!^}TE`ew@1!4xD3UbS)Z_zd*W~VYO#2|KMc*QE7z?X*g`@#$8RGEtCLD?I+J)#!X}MDPVki55cP?tx0xTX|72t|FBwVwT4XJuO%i5(&~?gzF(0)sfUuz21#pQkwn`QyOg|Af;9RASI?p`Y;Eyl1tSze}Lhp zd(HHS@GlYm12R+7{$=Jhxl~O@k=kOUI>I|g_)qHj9Fml!kE&*fNWE^PR>R-P#gQVe z9*2xhW=xJteGrb=wSlPQl3_Oe6f+WHlt_H2a;slwP32HJLG@RaQ@j%*_5c~$BjAKC z%K1^i6@ctG;8{SSfZqTO1XOk9Rts1HXd~b?-p;!S$myY+et>FOIN|fUF|Ivg3U7p5r7hHcvD5Jx0Mn`dnCZtA z5_Ky^4EI75{)7{~yA2Angep24P!AB>N|1zr?r@p{>O4iT;#Pw0l+^wTzY~;`zv&E? znx08%w`j`}D`7eLrBj*E;wm1dX(#^$(FI1V7@?EV5*flQ$U5J%K)?80V4XKW zvG*&La|u-SNyPBIsN6@zql@8AgAqLuut30(fTaRbfR%t)}qjF2+JY1lK`OtLy4xeuc{Mm-7ZuhAD|WZisZBc zuK+{=ovxe|oJsc{V#}mkg`iIN6u|G&y%|=JE+sT#ri!FyQ$lN`So(J$bH|bF?NE!9 zluH4uQr74jrS>}_RH_d^lqwX3iZi8wd`7E<2xYX|3Z=EmZvO|ZPJ$&`6;IYhf@%X% zYr-5!`;NZHu#r`s^@jJ-!_bY%i0j?n7Qj^%Fox)v03`)!BeBs#oh{WQgW!iHbo7zS?*n zR-pc!FlW!hWAPu;xKq-2l8AH~d7Z*Ejt1z#^GgAiy7P#p&wQfdhyz^`mbx!URIeki zquQ@3jOsXmj_Nu<7?sTBP9&PW64f&0joYmBB(AGxSdCAyo?YE5(SAZ$J-b@3b2z&? z2%sl$7Xj=ET#2*)0^+?m6R)S^H9kSS1s#cZ9f5Vc&zpGn!Jg@OdjP_C^QSK(+m}!$ zo|Sfc%sw0%PUzC>)j`p$KFS#lBi0KrLBKM=Oaboz77A$GS2>pes;|ZANyx~1D$0z; z+3syHf~}iBCW+l#k-sVoZT@@9nW8-87I2_PZ^9U-HGq}Ccb6I!I zRT_0&Q#=mibR=9Szx_P=;K{Kx`^&f7H${HqU}PxV7n5Q zUa&nHkY2Do_e`@h*~MB) z^AO%&j)N#X1*b@zfm=KeC-yKw7Yq0ZupCgm7N;ROY0yOFN1OLz)))qHNp0&1zqH&v zD0&b4_oM|lx;TfD;(D&q!?|l;;rF{rG0*#brC1h*NEc`{W-3KfQz_tBEhRjA*pk-U!f(ZGHu4v)!^^+HC7+CCWV#?J?`#$qkRaVqx~`yZGPR$7&*p1$6{FI#*&-nfO3HL+I2Z~q#YEC6?XHp zgQ!bS^=Q7|i0me;x3e#IR}9=dO#EeBr6av~bPYb*$OR7_ut#2r*YRH3tk3%gX8n87 z{%wNmT6{otSc^{pXj^yE5kk?TiIv|W{3P4A7A0UXz zsrMxLsYJe%13r3C1TRYdCIa3CIJtGm}VM{#u+d;Ee zQ44xf4)x?@kZ}_0DnB}w$nJ(Nd<2K0kf+OYknDFYVXqCWm31@r%FQSn7~YJD0BxN% z0QAlH9uVA&T*>@kVwo#3tu~q0nH2U=VXtzt^T&lUvG#*lBBiy^_pzW08m{r8r@_|w zz51pX=4z2Hi}ezsZZeL99EWWBC|> zJpv8~{3u`|AiETBA)pXYr;0$u*MW34-Xpq?CCVT84&BN90sdvz@dY4P=`E7Fw;#)P zRsVc`oZJMicJPc?F93a8*8F_)iQ>4%Mk7k8t#q0R3M;vYNW zEn!N3W)MveAL_j1R@N$Wm9G6$oyE(!4e#q}>6~W&L!Cup7nkV#@`!&y=Z6q}gR8dA z(H{s~tMf(gRuo-}>(QI5^kGq~gDzyLzN_KgCHzN!Uw!k%>t?QL+L%n!m3Nx1xzkLi zDj{FGfu=Hurov%?bco}msyNYpLovpXR=*lsFeKA~_q$T

*K;{*Z`>Y8SSNHn$ zguhpm{;WxKHNwHOK>oy=nDxJfq^gH2=X@e4zDzVov$rC83!HlL_S}$^O24Z^+KXL1 zsPF;4@=jkg@9(a>Jr})`mwut|k8tMI`DY|EPs^=_nHPG%@1B+)gB85c>w=yqY5x5m zJPZARtS;pRXrC_SrwF1;`2o1$J(KM3lBm8vB-(_k7-XL@G$uHpyCq?310R}K<_ z+{jg0Px;Z4kgb5pZUR;O6!yU>n&%DWhbQJS{KWboG7+FZrd%5a-v2FT-A2>q38;C^at!wPGq6Cz{zfUW8$TjKk24CRPjc}=&lOEu)iFNl% zubLPBVB!Cbp}kHgu;+yl{FV<%i)xf}6igzJ&!OABEDiUF!uXOcYnx38zwyvZyQ73Jk~qG0Q+cxCMgxd8!uTfqRHY(hH2UL?8*Qet?tH7P zJ+HY+H2B46ApDKOcRWTqp8}QY4-D=WsXSKv>x>G&uJG%M)CN9cn5n-qcvhtLHBuYl z|IEeF=5F;>EXNropKdhpyy&sykfV>{px=v(=6Nz!flRg`D4;=){$s3JZ2(wf?n)>Etlp$*)HWS4yJmaH5YO@)tm? zFW_Ooa5eO4q0fhYRlxOt4*-siWQ9c1)6WkhDT+woV(~AQ(9>KA6UGr_uGj;?J5D5q`1J~Zk?`)tCAq7m5GdJVfK$zuG|v5!_R0P}g@-{zC(mHp z7%Afm0Y?c~4;U=qalmK+y=G!l0o9F&WS0bgD3TX_i`&!^MzjfX9RP*BaAFSBAOXAP zE9ZECQ~ek#yqu#5c3;FR+y+r^%;WS2aEkkT(J{n68M<%|PVpj~dXt}^CgI)m6)*Ze z-gBS}&&Mgg9LK5mJ?=8`e>X4s6aG0{QRAXnR1!d}@oZMq19D@}xK8#*PNY9qlVv&k zxECklunHUDR4;y+!^nuE2_H~Jd!y@>Frs4t*8_^&J8x!PjAIpWVl=X#(SD|^6MLE%|^H_E*aa@N} zEt#AyJ~Q0h=%mGb1!0P8S&&n`s&64NE#(rui}W;nkt0j_iu5Eri&w)b_`C*v=8qFZ zmJvkP19X{R2+(Cd1fa`&G9X>%1HxFXGLIH54Hgqbe?U^NNqi5W7Ze$;}Q? zdMt&LjYK9d4jZ&I8Ax6jl?w851R#@_IH~G_IM&V0l^bFh+z?%)hW;OmRPNBm&*%oY zn$$?iWbX1q@HTVFUCxtAQ~}XV1n26b7<4VtH$|BJ#Dg!u%}wDbNrE(#oSc&r{R?$# zqtuJ7II%aOUIJ8?JivzuBGQx-D;^_{O<_cD1hfLgCILDLSOVw`$jiROb)NZ@@0qxY zcj|gx42zwM59BBBcC5)8czweazau-h@L?EuKFtpm=~Xc{VSSJoQoGT)8A-oK{8A%y zVLEWdhkQqUDy?pfpI#8wjO_qjGwufHnlT5UYsLydx@MSI%Kr46>Ag!Ro_nun8J#e*x$xA{7a{(CzJ3$%KBJIH6!v~67O=41uk4amI z5+bB71Quc|fwVfe0JJ*G09u`40Ikj$fOI{m8^$Usg;qIQpT`zmVm~9PYrzKqU22En zm97P)+};+X-egHmbR3VyN$A2B=AQQ#pDU@ox8SAwrmX;7q{{&5A{{6CbI<2442$#x zfX>6`i0M4^groCN2+(;r1duM$dBmWL^m({i@hbsZ@eKg2_-=rGyH|*I*Pz{C>b2JH z2!JRRc1hCbj*@=&I{KENOLFR6^fWb$kWD|zi~cZ&�iIHFNnG0}y)@ut7kBv-pfk zzNI#tB7nX!&dJjOC=}Leu(=h;Dre^`tW!j9; zx=ee))z!2dpbK{&fG*tcNlq8;XK?JQdc!U!@(Ndz0+lOSN!QgX(Fwct2K}&ZJ`V%* zy7gx@5)x zbjkDr=#tq7pi8D4kS-Y$gD#oxiCdSRF zgidE`%DgaJLjgKl9RNC8IRKrlMu1GV!bo+tmg1wc^*%snYYRYU>n4EC){lTNTe{pQ za5o}5>2T$$;k987jKcGASnYE;Ke0YM@vi|m)w8&%JLG>|=+(4;dBrGF>Gul~)o&N`@zQS>I@B17 zZ6#Oy;z)k9g$b2;D>0#pO{n0dst?wC09Sm0yj1nqZ;X90(ZNgAlhnMS_>L8cb9t$H z4F)mol&U!nu{}~Xb^29b@+~=6e3f6Hi2i}j(aX#m(GoF7jIYGk`E?4HLlmyTsrNDu zjU}*)CwS2>Nnj^*;T~~*#3|0+LYsM&no@~O(;h0fa1TV`4>;On4Tarok{6vuI#Tzo zFK((}>B=!2khW6OB`tY^xfrgl7`FnXVgz5?90a8+#ghP2*X2vPx5(=buBN?IE+@9Y zDbkt{0KiSk8S^CDfhi*&^% z8c~=Z$$)+U{w9n}>%Pxx~h}90fjS#dI!OU0+ztO1Q7c>oK*rk!ns+%U4VN9JO_9TfO;};@;$1#U!&gR znP#vHk)RpeM$Js(TPYH+`?-bp!N@J4E;b9f#Sij;RF#r zPWS=%o+A<;6XA0bVFkel!x_U>yjmjcnu%};%u7T(CoA1h8>Azgw~7yW@qI-kqFHrl zffvClD!2+ab~ti30)pX-r=$!I(=yUZ3;$qPPDea2EK)RweM`o<;)iDvz960O^ORwG zdbAH$M5j(!b)wG_b9Wfg z+gGqLjDU4;h67?>0mcHH>NhDZr#~TjWaSio2yw1bd-$o>pYkffqj;I@mvEevUha6@ z<)prXtN23U&w?{fohQD|;XkxsZ=Ua*$OT;Ss;tJkI=qAIZqc}JCeJYx3hv&IB((=$ zUxEzC;#qo-zmfZQ88Lnx@{4D)zbYZF)2Zl4>mptxLD<^JnA$GsNTsM7;@dZw z)RH(1-%62~k&&2QOQIXTM~TF_8Hr2N5(Vfv5MR#3j>MOW#Gq19{Z7;z7V?X~rI7kR zq7`?XH7ao+{SlX{c~?e0n= zoQHYzJa}8MGwbG=PNdIlC(`>}nEN2kUOai;qI+atP}%YF=skvC*ONt(PRVebJx$rQ z<_x#dB6pCRyrDt3jm;1m=wbNiJ#B`%ezKh*ILR*A$q>WX)26E-hPwsHK86_K79|H6 zqQ)&rPL2gixg*^~a-{J&&TW`H$q=L5WO5Zta^BpGO2@m+k`s;3Xtzajx*<+*E0XgJ zF~+S-USy&^(QThxWPHZDRmo+BILYmnT!om9dYs!cxx)CI?DkKtHN+|Iz~rrlIMp4R zyv;;C-W`#=*Z7>~j!JGe!~}Ota-)g*ba!0x8RIk2otS*y5R=@g$(JFt29w?C$#;#< z6n9?oLqkk;&tb@lyBq&I&O5Bfbf0eC-@Q9^@!{d!xW`GIZ}7c->OzC>i=-AIuJ73W zvD8w7A1Fv&X7I+M)JlUNEJ?|7Pc8pYB6Wko4>wHRYVf9H>P~|nX_nex@aD4A0|r0Z zBDKlj$0|~f8~k`>>M4V_v`;-}@Do+3mki$8E%my=Pxee*XR05s{)w-s!6m=o{NLYo zDKUpuS8_hiy_B~5lG`ZhKItaYw}I#GWcoflLu*OjtY>L0>0E82wOnRy*K@R%m4?_( zYguE6=V>kL4e~i$))6dhB%uHZ!*L=WcUd~oQrwbW{8EDhZhWS9_HZ{Lo9O3 zl5ZR0d~Agr!Kw@30&K-chFFZP_{F~lVpjs}Lf6vL4;#AO(clp!w1aI`SQatucsLtKI3Xm5xW?)YRELtKd! z>1l|SSdo5)xC$#W$Pib%vy&qXaSbNr1VgNH&qaoTUf2De?GsH(!kDCnfG1cQX zLwrK@_=_QSQ9bT8#HUn`2Mw{C>ap1npHV%YFvRCnk7o?=1=VA_A@)!`UN*#+Zht3n zPY&huD9#aGDW`I8bd_>Tz2vGcVR0lpCwYS*_V@hc?S^RJMUwX#;s7s}++>J`UO{rJ zArAD4lG_c@$SX;{W{AdKBKe*nl3v5)$A&n_OD6XiqKVfmsZdVeou*z{G79nLX0&bQ zwMZ5lpXOd=az8_)y!OchAgXvvcMtZe#-xl-ndhi6t)S!u-aW+QF{S&=={$*?$85K1 zaYg{YVTn(x3k099cI2_1sI?q;td=QJ!cdn4l#}=Y>A0WE9*7jJxBPrHnBSq^$z_jW z7N>jgPIb!OKh$v^L&iJJPbINrJvxC;Z;?6_EW6BklPXf}z-M0#p3)}O!^oUbnW{E2 zXSPp`FfubbmR(33gQLjLsw%tG;5psOrXh2R@XzX5b_l(f#`F86DvofRDZQBkKf8ab z7r6aY@VV8g_ra1g@4SId{5h1IBgzeGUgjN|8qbD+Vp_bGPU;Tg)wse>B@ofLZ6tM& z!R=zHmIikyNVPM#swmab;BF)_nYmQ4uiJ?L zCn05>I2{D>DlSLoc&r6l}ITc^g5 z`_Fzu=JLw2Q^DIr{)+Zxvkktos_bHeuj*EIy}{S?EPK%4Yx|TvXYiW-=@Rv?8<_eO zzLb=|ZfNQ!gKr$+#M_ck5{7?#^A>)~)Qw^3`mHi0?YHq$4;X&CNa}HeJH%4Y7+h75 zde7jlMd>@{_b5qyY52VpDcO-i>(Q@aN*O(lNv84*9^5QdV(^GEC-EZs=~90i;3TB1 z4Q&Y029g_^7+A+;wYR7DYI}|wGyPiv%TEr3!)#ATIGL%ErYe zS7V~G2gQd$Hx>N`$4>+n0duA|ugDpkszE?f$QkOSPBnOhpPFIts7UH8gHMR1E-?7S zg487jpH!5(#^6&*QtJ&KpGZAm@Pvk`Ee20arrt4lawv*~>@L4K#jKK@s)ZVO6=3Fl| z!tfXQsZ$MJoRyjh789DYG&{9|BS*Cw7e`VH;ftBgxh$4i2G;!L1*t0yUQv`Gi{T5LfyHYI=E6`@YS5W%`;wVixu6dVLHFY*3zD=q5Dt@JM&O1-2oMOj0 z)4e#N`;hHYmGhlhY^upM*1g!*(Y~c)$`@WcoBxjH*QsPwBQ5)`=H(nn=tG;pCoZv2!0K(CIMv8{Bgh**umq@;A&CbQH@&g4_<*4L2yP(OT`W7{}Pe zi8e9MCKhOdk0gmPJ`CquKEaB<)x2l)QQfvb;jJ^eC1~4s;~m}Vr=CYdf<>RIDBBH| z4lnvlW!mINx3$+MU(0N-N}K2C3*DUfCL(!6(m17gi|FC0U34Q-Q=&&WslOZC(NDRE zXns{B6*IVNEVZw}M-`-+fH$4W&N$UYsa}RZuq1V?;SWiqPB;AF4O3?uJTjTO%;3?@ zQa2g-6U&^6OIB0B&tN74%edXPjIV~au={l)obq|)iV3hL)`1%p0wcvq~bjjrn?x{;IkNJwcp}or9?;MnF-Y{N( zzb2BBUvU}{i~Fu>)X;rPCHIFovk{DUxKoKZ5tcN<=_)cGt40a;LzV0ZaVV1_?nlVP ztMEP;{bG}wSH)VUhTthB9it;0X)qn(X$I2~PB*w?EVans&IPFz26rz?-C}UBlGFx+ z`z2CO7<^2_)GG!LPNv>Bcv!R4=LXl5rG7E^_!g-MYHHoaRHRA_KBY3%$l!_Xo$k}u za5IK;FI0<`9W~s4AGsNgaN~#I_*kVnk2h~vXPv$oC;O1=gDO25?>aYUo>uDIn$S03 z!DQw)Zr|UDpN7CJVy)A!c}blkQf+{uP@N7=shnJ2Hi@{bE%3AQHr?)>Wr>$cCEUe-yC{{#d z`A0Tyn4ftK$fxw48NYNX=~xE&d#9wO02biQ8D z`A(%=ys-1#iMMBUzFye*E}&|7R_E(kov&wgzMgcxUl56Oz8;;gm+5>xtMm1&&eyX# zU(f1%J*)Hetj^c7I$zJ~d_Al4^{mdA>oOGJ zB;RVhnL2^&3uz3a!y(wKoAV6iye@5yieNc?7V!Egq`aPoz^ zEaV)oIYO-uITJKz3)DLyXO`v&^>xTuBpjz*4H+ya*(7Rb%~VJu zipAT6P&FyZ(SYnAM{AYpuQN0tTQW5Bax!${Vl8k3M)+)nqT3~d1GB|w2BkD8TbEMe z79`^L;w&VDcXsmvZ%TSF!#l%Cod#R%ymzLbnr!e4UQZ2PK%aTdA8h?dtnvjfWo-S> zk=(h1$wZtNZ*A5UW?f~>y0Xl=vdp>?vpz-wo{l4CT`^;&GBZ}nnz2&WjFq}CGh?N! z87pPYSSf49N?9{j%9^oK){K?1W~`JoW2LMaD`m}CDQm_`Su0nDyf*7dJHP&*AM_X59_V`f1!j*D~v_W!7EGth-{?-yjk( z>n>*9&6su9GV88o)?Lf2yOvpZEwk=gX5F>Sx@(zr*D~v_W!7EGth-{?CzF{tb41## zduH&^3kDAl#h7{F;NfM0Ewk=fgNL3qc<6*$9{^!s?VjV=s{er7XbxCWaDeE`-_8(DupPsfo+ijh4OXXp~l@Py_Kvno@! zg$9%d>6GM6^vLSqrye$Zn$=4N_ll)HFt~3)>Ibk4Rb&k)O4TPyjgKu(H3RE-jxR}d zH2CBM8wp6wmh3qGWN~B8l^E!YUkwa&qA{!z-r4kW4JFGpl(k$#*~>MQyMQy<9`t%Qcj}TtnH*HI%(vL)ptUl)YR-*~>MQy<9`t%Qe(kw<^6{L)ptU zl)YR-*~>MQyS>jH zF?>%_&oYJosv)-Vg8r5vo?{B%%$Ta}OyQe(clA6|_&bf*3#ur&%MdTJC&wTenoo?Q z0+&q1krfq6&aqO~IaaD3dYW^rlzomBjXiUYm9o#VQuaAk%09d(`y4B^uiMax z|A-nzN7IFMuKx>~=0uKnE}#Xx8?;^J?_reKd$%u5qG10sfbUDIDJT>f4G(L*zu@!L zUDH@nrza3SGiB%`1`sY@gLCfrG^n0T0JTavK#9a}?WFPzuJltS1|Jbg9cVD)UYuDS z$TRNM(co@HWk-VVC2If35-0vBU^0xX-pxyxu<0Gj$%M^7gL#i1X)y2cCm76o{5XRd z1v$;&&PC3ogC%o4Fte?AAXY6_;0M_SBhj)`;3ZjUt&66oE7(aKi7(%BI?fkJ`y-nd z`F&G2hmrb6JE;u@5Aaiu8aybHdfwonvDAA84=->g#W7;uc+4r$E7iJO$kRQY@DYM1 z1h~q=M`o~dovOe}d)J!?oG69GKYzx??N1H3^R@rAasS`5ao4LOX|`^!n92=mk5pB+ zcT88+o-(C9Seo@A*Q=h?XZPjYP_D)3fTTxrT2B=G8Xv5LRo#76ZAN zgDkdR^?J}6)~QRN4kmlbJw?Bg9nl}$r+GuwuIx&@t`e{IPO2_!DF?*^{{djJ^ol2w zLk+)6EY-%~ZUw0udEn9VJ&MxP5UOWMdU#SDm2eJPP2}-gaQ;q8s&zO9cxd^}kWTqV zVV28g4sQ8haXlup;g`INBR!fYJ(_3rXrA4pd3KNH**%(P_h_Eoqj`3Z=1Gs{Tu5TI zi?2VqoWiS7FMKi&O#i34Sm)}zv_d1%fG4;MQD}RpkZ>l#*RR-ekm3J6$yp0;|688q zo{|T%S8zVz)~Tkf^HdGpY4Qd- zomWKn79|VP`s*lfkr<(>-+o+t`q7Gq_UBcx;5x|L#GDVE208so$U8O3mSxVq;(LcC z4}xqgi?r_*49Ed^9Zp5Vg_3e@EY)99;k z_kj`Y40AHyl5zQ6Jy-AEa{qWI@)(!T!JK;AtevMikwfO-HO>=J@3=gJ*Z z+O?q$HcVn$O{m-x_v;$bta++6r@h`Uyzx181s`=9-y(43E{SS-6nM1IOZV6G&EQ*w zo*DRW20teB?7+X@1oqhA%3V?HRLeHT(zyI1JwKLxHCu?lC_W8GpLw^QBD#w!>-^%n zazx)VVD}X0W!ZJRA=C(5F7%~t-G0!Afx8KPxtlBUf~O08MR11RDDY^m9Cp&Kx03)4 z^*C#V7dw!oKZlMT36PDSW2XUp2IBG_W;d$kgxMzXJg?m7R(zh69j;!&DN^s^##X`G z1t|WTP#-}33J3zfK|q%~ne_sJn{WqyANbc=fjc9i10P!yrZr;}Ny0=5BU*fPd}vCgxA&SP;JO6krH(|C*sp5e-x7pAct z;tgSNexc5Z5Icl%ZYVKyDp}*oS{q6Taf&c*2qh*!Oc%!bP(p}#!nh@r*a)#j7;M$9 z3(zMMIwM=jnp1rcdRC&R-_y&Ay+GL?3PV?}omA4#xw1|VD%W1Hdph(ax84y54VB!T z;l(~9P&eq}qj6##D2ZW!dFsYTaYbfL@jNB9akUUPxOL^OtstV+64_mDUAe7-*9(1j z;J*RB{Y2oOJ&_%oxU!xJ z`~}B_em3xL0B$1m3xU7ja-m-g{M&##3jJE(FSv)$uLu5v!6Su!C-4_MR_J#F{|Vq} zLhlUx11xX%_%5Np$dt=c6;>#7^X9E(9DdwORasmMD)7>zWY$Bp3t2 zIGaJV5XRt8LWoLX92-ishv+7Z;h}^OeS}dHO00*tQy3?N5<=WBjIp7_Ll9ep!7`zM5sa_GVT$-AunMwbtEfD!?*0>>d(e-I10BSofj_YwRSBp(Bou#F6!|d8~)c z%dCgZv)04rS?gi*7+x{!Ve_o@uz4K{7-7YbYjxA34-LrD%^wFZ4B;zIi}GV(I_1aX zvdp0pT+$8aKRCOaxJDAW3Fj;l zom2iA(oXpvTvZ+&fJ)^_T(7(fu3tVJH>-RqZnl#+7s>cCoR!e(3?>jKrp)I+YO<4B z3|l`ePVrNh8$31QOlo;1@*Ij04tTxaS(Ej6qG{PB@RFyimVGTeG=p1DYK8n(9lF&C z!hc%LLkkwj5kvey99d!DnyDx^n2LHCz8g+ORT8~xO+~rZRFrE?MY-Wr)EgonPDN=P zPDN=PPDN=PPDN=PPDN>KO+~rZRFrE?MY%E+C6j$6f5nkH=*d)+XH7+UBd8wcdoRzP zit_BKD9@gX^6aT7Pfta4B{wn^`d}_(NHUD*R~ZZ6Mls@LP2c{u?`>Lpth~lwappQdo^)A-8QUTa-l zSCkDJXO=q;F+F;%a)Qz88In%7kaFw^$XW@lsZT5 zz)5aNyM?@>R-mEQ@-a7uj9(6Gx~g8oPnRKtzYU-Rid?1SCdV!5EM?wbN-OEopS7uC z?Z}VZT2*t4S7ph446#MENR}Al z300A7V2G`%GTGP=PpbBfn?Wp*be>XG$ubC?g{M`|WD7$)qxv+ifLI}7&#L~74~Mv3 zh;3?AW7*i?P9dICQ(TZ+Yi=1XM7JtCG_{GdKRWpfQv)Jn-jeQGeInAV7&@x%h z{!`DQ@t(}1_5K$MIs+t|?EbDn_a#}i=l2czU!9H~h%qi1h4a4_AhQ?5f60*a@gunH z?nOb@uk>8Fd$CjyDk_}~b&EeUow|r>u<{SpKpH9Q0~#$+RwGq*BW1KV(@2%wNR{14 zmEA}cjWj8Z^vB*zQQM7F*^N}$jTC#7X{0>uXBw%p8z~R+nMTUFcR&0|T)5S(n46)rWO1@`^=lzo8 z$A);pPbBvk;zhq<@;gJk~-A!{DSEBm1!EK-Z{-YRr|!ZnO?+V5JmT8&w6{CEa) z$H*ot%O$Zjs-ZjPKB%=qt;N!fk)2iU7V0{+*Bi41N|*ihe1_t5YbtZfJU%HkWSl(l zew-6LQnx%apmaMqevU|e6!@r`Wt!4H6&pmXMAj%j8K5z=rI5x@QSRCG>V=SP~z26 z!s4T`1P*cQGeoAB=C@ub_^1G{6x>^5r{ZQIR%Dy3tjHF1oWT#mb{|YD`Jm=j#F*4p zGZ1d6)1Q$oX$I-c4scVEn5D5ZxFrN8NU?LhM0b(SNJY2n5P2w_;zMDIgJIK2nqqlY zr|8^=Hlk3*R^`*$hJ6`yS-rYKNUTa7SM2RwOIe1z317h_dI*`o@cM#^X%1op1pd{vsdqV_Ub*) zUcKkptM@#6^`2+1-t)$~Rq54xp1pd{8^;bAX0s*FUcKkpkJz5Qde5_0?|Js>J_GJbU$?XRqG#?A3doy?W2ntM{&@-j&>fBbL<{%j#Q})weCHZ(CO1wyeIkth*(u z?{MCv(s`X3$@7j-$t__G^g3Wz&4N9zBVF#Z#-|g{#V;A6ijlnQ)X!6?zI-MfG>h&L zu{~_dMw?|`LZEK5Oei{PQGoS}?s*zJ-P(%BwIYA~N`b$GPY^XXYDzcZh02p=eog4r zt#T<;Ozdg5UoHajK?YBopU_fjH{D%mdeq*a>4xK`hm;>U2stNl7*0t?9C`n6<^2Qm zlb%X;AEpS*RI+PNCA;=iGSB>(sbtrlN_J%`IdO#~f}PRR^sY?PyVf+lYfsa=Ow%V{ z>`xXdUB|Vj>0Nu8-j!*3r-oZsJF9KU)dyi#kKeeOLYW_ymcLC?EO&8y`I=JApA0N` zS-5BG;!V(jJ0-{A{F4uO8N+ho3vlEMU5_txSqN^v(3OSY<_ld}2yVX6m4)Eu3ti9p zLf5mt(Dke@bUo_}UC;VL*R#IR^{g*+J?jfy&-y~wv%b*vtS@vu>kD0vFLWopv6@2I zCq2BTOKKnaxX@{_c!~C6X_eDbmL(ykE3z)P;-K;-*u2<@vuw-x5ovE#^MrS1>Jp$x zdNZ8Vbq3G%Q+FGDb|m$v!RNj}GKwe?4^m3Q3hqzLvTN27kFv(;C)P{{)-4@)-t> ze7~Q~_xstw_xrK|Uv~KYegP_Fhu`m42)4f8&$hnb&kn!e_pu<^^8J4LkzJa9mVCdT zWqrS&^$uwyiixn~KpgpgKTE#f&$7PXXSN>wWRZ|q2OsOeMmEMe$VN8CI><&g#ya?x zb?`0g;9J(gx2%J2SqI;;4!&g_e9JocmUZwg>)>0~!MCh~Z&?Q)>yXH$fZ|0sG9{B^ zret!0DVeSCbHXW^gJ~@})|5<+H6@c{P08ehQ!>wrd^jbeaX2NTaX2NTaX2NTaX2NT zu{9-=V@=8ASW_}NG9~jYN|wBiBU3V2G9{B`P03{0Q!-51X13tVvZrLS>?xV7QH+0? zE%>tZluR3PBU3UtOv&VAret!gDVZE=N+!pelF6~AWOA%2nH+0MCdZnR$+4zna;zzt z9BWD@$C{GKktvxM&`(dvyajL)azh>Yf<}I+tkhhwZ|kvdZN3TY+bfHiq+Y^FBnRWA z*Feg4ib}RqRMvKi%HB?qtvNE=DRPWOW;;b?Z>Om2?G%;0ouab0Q&jeLib`*%cptjN zAH$Ko1YEP1fE(;3&;-64?j;}}_PN$x0Zv?IqwcNpAKMaIL)r zTx%}@*V;?Kwe}KlyC@4xul2;Y41cL3Gn-}&P2 z5s?quw8mkZ);Mg_8i#FKIBb8_Y2Msu>LZz zZh#*Vek{OS1?L4=HgIA-RMvz#-49&>F^h4AFzs1E+7u`x)D#YPM}e;NBdDmsEcp@?I?>Q*MzKTkyL-N5%`yzEdP-lneID7 z6v`g}V-%N!@Uxku^PkosoOTQFpMcM066AdyWQh*43dZ^{h|^{ZK|T$FFnyat1t(f~ z;gXFVn9M!j1}0PZ>*0s5Srf|l8w{tsDQ>t6M@b(T{{`F9ZbOau>o{UZJ!40`z>d<5 zIbLW-&&O1FmL2shJL*|>)U)iUXW3EDvZG#TM}LqwLpxeWtW9V~HMZ=iXW3EDvZJ2Z zQF#~?JL+Laf44QG*^|Yy?5JniQO~lYo@GZp%Z_@M9reVH{z`hfu}L#?5>j@FLSTG< zoIl$T<9YaILk#&q`QOvFb+@u^?GH|JTSZgs$YDVz=wbO45 zW=ssAs(BmZr-pfI<~rWF-tVjlX(W}qpv4-h5#E!iRDD}NR&&Vv+GtMRd=Ah1VCY4Y zR};|-^R?hi@47JR@t%&l4YZDWLJ;+SM=R$IiTVPM{qn01 zf(+Kd$~1A-9XU?5NJJPtQ8#$G(Q1N=j81uVDa5;3P=f`muw#JvZRzKg`io5b%5 z66X}@An^@4ai`+2HAo&LtA?~r2lBWoG9$i((KOy zb{qM`U=zFWtD3mza}7gjrk8<`grv_XkX}Yzt8b3bvCcxTPScU2gkF=T{c54tYPy|l z#p(*$$8M6Z)qMY#?$YxpaZGI~~!(&U7N%xzq+7dWH#I zDWTiS0jQbKE09~ur98#>ObehT{5qB*Q4o@>93{0G-eOmgO74qr_-f7!VX@>#TMQ4n~YhpH`44zO<8 z@)+d49xUidyntl4QqEh&)VJ2V|TkmF~TJW;>fn{ zO15=Z*0%0y4zq}6TX$t|>#po=-IcwqyRx@+SN68<%HGyp+1t7+ds}y9Z|kn?ZQa?t zHnXj}vbS|t_O|ZI-qu~&+q$a@nUyfxx+{BIcXbi75@uU>WpC@Q>}}nZy{$WTHM6a| zvbS|tm%Ev5-IcwqyRx@+SN68<%HGyp+1t7+ds}y9Z|jZ?$!zPc>}}n#CYf#BF(sL8 z-LWH?ZQU^!!SdYR1+J!|truPU?oq1QFD`JrcRe&|`7 zA9`$ln2_}~@hfnSrFJvOUF02;iZ=+hp7jPfsf5ADs#Mb85pF7uxNKqT9p|ME1ncqN z(SEAT;IWa^5eAQsrH(TAbiQ7n2;s(ZltjR+$yos@RO@pE*9~S)CtWDS=iyFG!IqMc z(lGS`MR!jy3p(jqk-M$7+-;WJ9f8~^V^&m68#)2^J2QBD2R)H)_1{U{)%|%Wn1=~- z*$y`P7=FX$DWP4`XB-&AbQhP~Qf0SSBU-`g@+uOY0D5)dI6Nndz~8g$>D7fRB%+_Q z^PF~lkog*~ZWuPVkBU|em(YJBwCq!*g*S`vJz>ZMK;m}K^+ zm%${nKfMelnf>WyFsUO^O6zs4{pnq6e|ooXW`BCV3r_D(@5=u4`w~z;O*aNOi8kUK z0*o`I_oo9+G7oD8oaAf8e`mnyOOX#>lr#=slr#=slr#=slr#=slr*+pl>X^})0Ze& z@)OQ~a=>XAx%qD%aQX)Q^sW6B!1p#3$E0z*(fEg_2bJW|2uK-(RB)CBWG3mQUMA_KUMA_K!7eHCp5|ZdrI)1p9H~Zb!{j>n&X5Bo zfjby7YsES>eO3mPj$dctjyfXWvNDj;*^w3E{#|vnfzqoUtcQn8ldyAY#X84QYK|2;z|IU~rBzK=IzYc~_-Vw< zt=B6@->|;E-wbuj6m~3H0gWU#;bD)^F2( zX%C}#|7IG=@ zPCt@Dv48}e0|C<+K|F-tj_q(-i2QwZa;tiZ$WTNE3YZBPC13?$ynu~>=>V-%PYE@k zP8|j&$Drs`uJrqvUq36Tyw2&dbiX=MYIDr_fPVFD*VTQyaM(r7+MMFGDju5TTpwkf z>!aT0{lJ`arR;Nklzpy`vd{HV_PIXFKG#Rt=lUr7Tp#tJb*_)H&-GFExjxE1*GJjs z`Y8KcA7!8GqwI5i`1B%ku8*?M^-*8&ez2V~kmBPTjLf+{d|<)3KF82YmK=v8J8Zir zs76(8xWl&8Y`0^$!}i@sxb_a)uGwKbkGAM`Rt?iTY`gXj+pgYWdlR8sc1DgJw&{v@ zqK-6Snb-N;V7lV(4W=vp&0uSXZP(gi+m#)*>l4WVUt@1`oJ0Z~myId@Y~JQg_@*1} zi2T3PjlL)HVK=IA*o|r&cB2}H-KfT4H>&Z!+Ks-4k|omN|0laq+2!}Yxf}fu{d70_ z1;9z@8~P$Bk~3-CQtZQqbZ`3Mg_>kA&uRUo;CuCyi_`taH4t~lWtwGU0HrFocqG8p z*t6D83%(~VmC#8@-JY?TOJW zJn~oIaX**ndvID`;e5`ORqAGqE7ST$EqzxLKCQ3FZsIcf3f>_!`hI|*);DVDyA`I^ z_h*3CxBhxtUkNOUi@s%A-^1_^^>xl=Gh!~$_wcm7!WqDo6+_>)THicN-+^>{X?;bu z3764Va8IGp_i_ZazIm3uGhu3d?*wRlp9N&fR{~4oqHkNR@5lIu`t~`8VL~p^_sF!q z!dcCg^(*zEjn+3}>H8!3P3tSNlevt(f-e&qeUIQtOY0l4^lb=J>pK{r^_>LB=qrIG zanZMp)^`d1p}sfZv6V~o9hBBrI6rb_?L^-OTHjnt-x~>^)>mY=aT$FD?-3e(cOj_t z&9(G>4yM-EGv(W$R{2U`NnG@8p!GdGQ@&qQQ3~-CeNRa1E1Vu&S+Ah){#swx(zmZ& zz9L(~W%L!?PH6O9ilEllwe+0=Q|o&ZK$q_pK&E^pup}<}?yvQIAOE0y=gy{CN@bbA zmE{zsPs}mAoJYOF@W7mQ2V$RQBDWM{do`d8R#hcV7rvZzx&c^;Qntv6?BY^2 zr-{KjF2=W)P4U*&>bRI#E2sMlV&`t!H*E@U+E+h??+n;t{#t$~_~v~z&Rv_vfl0kp z^PP&gc-&QDEb3h)I+{ZRt`6EUgIo6&d{2q+TOT8MgT_w92q6}uPGFc92ilw-aJ9HL z!9H&d4 zTN$7NIPIq5CeLH{A1?m|9bhi3_G;t>{B)X(;Br8h3ju2d+zq%L;Iw-XSAva{pfBs7 zPr&Nh|3#5|6S;TOa$}KUWkbaOEiKnx9is(*OAGEsd^SSsMR11}{00`fI8k&t=r-lV z09~p8B?86*l7K)L33jgp{V<(I*HJo&`N##jNclX3%u6D-Nz46N`P@i=O!@3YxGwj` z->U=Ir{c9)`>;j+{t(coDtkv_xa`p?i1;w zMVQ7)b%8B+*L~VgAL!&h8%gyy{O1TO1CR1FLfr_56Zaj$=UHqA*$ZA~IPrg9HU8ydRU@CY57MjPb+omY+5L_U1g&^Ub6&^Ub6&^Ub6&^Ub6(D+|{)p!jhOLpS?Cto!>lbiqM zSB1aK1ihK8>i4@*B#lb#{beQHg159(HnDdIIUyCpWE^)A7qg73_9S8;qW zbCPI-Z@e?kIwah^%_Htdsrf){YCdsNmmB;kGN)l?MT3la8HjoDqk(w|*GnB*z67RI zel@NtzZsWD3VooF+qL{Y?e(;H@kWoB{#IlEp~O4ZYt+!I@se-jDMJ8EKsm_|Aw(5# z6bJS0F+Rt8k>q#AXEX=(Dk9KfPT-*4s3FF1P;Vi`o12N?M31%SPe_VVa<52=y*xe& zYvm;?O@P*<*ufBONU@6{+S(~rlH!rZ=WtRy+7RtXafl&~;Ar3)L$v2;;IR-oGabB! z$w`Lj$kD*Fj94eHS@Il1RB<%$MTY3i(ZE+2qKj9NTx*D~oD+PDA-aX<1nYFVdsWE| z#;1qZt??#^x2YT6kzUW_)5fQ#cTV^L{3rP3oA2fme}gLd4QHQY2{KgfPd_iY+XU-x z-=Atq>ucjPz%H$0D6Joj&p=AcBQ|}r22omhh8Rp~$<5M=5Aj&5HCdYeTpXGF^5nB7 z&-$#%vp;L{?9ZA!`?Ds`{;bKfKWp;jv!+ScET95M_%yT?1Hi$lt&k0<x z@}2~sYGKW8);f zdh30{H>bC1qSooHZmrW>-CC!&y0uPkb!(m8>ef2F)va}Ut6S^zR=3vat*$=3^*hOu zqUp=&t?r$s9x`emZK3Chb&(-$qbG1GDTy4)jSKG7C)%NUj zwLSY>ZO=Ye+q2Kr_Uv=DJ^Ng3&pub%v(MG`4z|wK_G+E0?dfy1<9Xa8IlR^#9FGHw zfQrLwRp#(oEK&OKT4fzxt43uGuT>{x4zE?#;kC*-yjEF<*DCAqS~Veac&)MyuT|FJ zwaPlYR>|SDkE81W(zZFgc02eH$-&o8+(}*SDB8>Ilkq&$ju6Ef`6y9@mXRL3jDsnxsqU{ zqsYvu&)m6_kOnd-F4HKVr01%1s86W-SP3orsi%dzpLiv5`?2aRRA4_}WLmdg2)luv z1qtN$_eH+7Y=Y}I4EWmG9OyqK{Hc_QesRTpfD+zT& zB%J%w`rZ)HmQTlhLqsfGpw~?r2YRiKXuax6=$iwX7J_fl*coz%5FKho+rf&qgB5L+ z6>TRg+Rj$AU4m#QopTk@o>#jboM+v;^Ypz7?)UlO{eE4-+>(Ac(pkJETW4{1;3c=x z8S?to$f;T?D@$c%sq9-Sds^in$*hRJE4pvU4&*|IVE^H!lSUp#_MKAV>-Dk_d=h1f?W^NVR|%A%Q5pC>;#NhFw=zF*GA~MX&{| ztFC=5D4qy8HWoem{4)IcLt4GxeM~b7o#p=Fsc8( zcgh}-cweOq!>=TkGbOqP%0;OayU13|@1sjSJJzOLCh>SLAXw5SzX7at+vM5Fvs$7( z;Mw!jn|xTpyFRms@P|A}?bq^Q&q}%}TP4!A)O@!E^4(_h_0xP7OXFTonh~iTI3m!2 zBLW?Gir;}wJth{6@LzmC+v!Bd`7Bl8>>C|N;EyVLCVzfV(M$OAcNM*rKYvrv=lJuz zif-qRd>S*otsGZYjw@e|_hAiHF*z=GGF3p1 ztC$?G3&Scd$1Rc~$5o0PS1EE_rO0uWBF9yV99JoFT&2iyl_JNLmE-+kyzvQqM2@SW zxnltT7t3*ZM@!_m8rFCMpvdtMay*ojj)wwrJQR@Q zp@19@1>|@rAjd-iIUW)@-i)Eu;&DF6@dNlHa$F5>Tm&d`JcJw%CFOW1Ajd-iIUWkg z@lZgHhXQgu6p-UAG>IRf4+Z3SC?Ll}0XZHD$nj9}N9fBI$=vImGWUAhxp$Q%$cp1n z!`?CtvoVG`Zi&bsD|X$!cCB`vtCLs~VyEiqC-EyJ9PWyVOa9wIc$?$Ik=LFiuk+&D z&qJcU33Q?Z`KsuNeBEdTUmcyqHx!-4*Tf_DiY@|mq8ISxVH3V?^g6yedOhDz^j5wm zdN*G$`Y_+L=+k`pS;iVt<*wuNzjKe-TK1R+Uf}L!kD2_@uK&)4QZ9Apmh$M9oV8x2ilj^}j`ZVxx=-L>WaCd9FTJF*yoOX7o+h z{LIT4y7f22wVUV(Y`gvzM<%ihS46XvN$kSYK%_7|nO%5;IAsdE@aA!3D!cHOab%iU zNybuGt~^pYgUOM|G(YTFku;NB~ny1 zHAFNur?Gf9iAZx1X>L-axdD;p21J@05NU2eq`3i+<_1KX8xU!3K%}_=k>&1O7^vMTO|aWGK^;y#)b3^;k^0Oy zkKcI^snKOhdx_sD;elcEZKNbck!`d_N?Ofsid0ko0<3MpCbkH>=~219m&TN%B(HB$ z^ZLf-RXnSwC0S)zHgM|OSeoMmc|0}E<9?dHBD5d(%d~a;V=T=mN%MMYn%8X_zb*Kk zKHC;Yv(Z$EAMLYMdsAxWOc^Savc$&1lFCB1H7$3e?RL?bGDhM}O^r7-5N~=c-qpV+ z-bcivT(+w=zmIG@XUg?LTa-#$b7M_Z~JIj(1dv>CU z56gB|y>4M=$}2*+ER~nb0`Hg@Pa+n|+Qp?|9%P*F#G^FGRxhKHO zy>VWyCDI_$GkxRjJjhQk`&3BD^h0843fNt$tkg7FHcgS32_W_6nA4gGR6V~;+m?0x z^m+ZDHXhDLG)EWB(M@WOZa{N%1Dc~7&>Y=>=I91AM>n83x&h6Ro8ARWDN0(>4QP&T zKy!2hnxl*6IOQ;@-~z^j;)C`%y3EbL~5ER0-f@L-?5WnlRuDul!3g-_eIv%0Y9Ma;1O#8u-#YNLFI>cJ!~4`4+jSL zLxDm4NMNx0FmhNj-I3baI|A*nGgkKr5--h--M{fCY?_-~0MdPz?0FI`(}(R6mf;G& zPTBcFI6q~XJzr9fTq%SLWE~i36I>wivuh;uLc1!>TK0nqFXNRXHS(H`ggzbf7oU9` zN8Glpp0<3*4%*TlT zymcc#awca|=i=w4n(KN;Ex(hSrv!AroX=5bgRKyt0^k-2zL!srW?+91pcLRw0^AGm zu>datybgey-4%bQKGaE#yjkjVLagIwZzqba&k45gXCJ&SR-Y5Jtw)b|d3+7&TPNJ} zEpM%DTl)(^YunELLeSRlbDLKi3p-Ojlk`(k(@zPcpAtwvJ&=A{EPdnaNxwaHUTn8* z4u5Rh^8e!3-;sOe{Gb0q7$w9_dfCa2^CHLCeZd~?qrzMH*Y_3zeK|DK z=ss&yey@#$ln_O!Sw=6P>W2N_)4<1?{c&?Sp1;=P2j-<@M~vAcYi|V z+n?FdBM7O-s{aj_d0K0=t6=+qj=ch^{^}I$0JhWa>rlb>arm2XLEX-=@ZDJj`M?8P z@lwsV*&WXS=7l^*eb?|h^++7~ey+MeJsD5(w-4M4)Jt*f@1KCZ4JIN*{qQ;1wmA0V zc6ZD-aYQZ!jL}_U5f!%r#x#v%E*B@pv<0)gYW0;n`Vg=zQCyAM?T)?>Y@}cw%k$_< zsp?yTrKyk}b2XS%Ps1v#$J`jl(p8oob59)0P}zFS6LBn4HPmBXiDOwRSC4rwj@40l zdW_tRvU%23`PylUW0=#zP+~o&@qNIzQYp2geXjbXKz=vFa{Z}OFf$H+7Aja6hd&P& zTo{M9XC+K=>Wl1x)$#DZG>or!jpeGrOlqrmx+%znkymy)O)W!RL9dA*#pD zQ-9#^!Rj`9+jOOjnme41AMhTih3^b?%!GcQgx?h|s1N*waJoLLqg-ROaW-UkYzHi! zNa~)39Sh^|y}1S5;_>gxD>y6;-=AMl8i#9J7mNUIZ~%F3YF98d4nNSb;M_R;U{S#h zarmJw*aRu)T3;($uGWJ%d8oop-UEAvFIO*Gstnw`*Y~7eVY6`dQ*4@D+-Q{>pWABW z6D$U9fl~FKeav<490JnO{8#@Z*m#mE z=;7%(mBQP}5N8N53*c;k;~$5ScZd=?Qe|fxKntYwVXsldk36e!d@Uha zS3!RQ09IZS{4_37yakZCfdVs#Tf{%_aLdvkgqh=r@F!u$GTjBLr8CYY{8$1q-|Ox=*O2swttcZ8xTfL4qHhVxx|Rs$Xn@-R>H{>|Pq6pE z+5?SDv)j)X0i<;nf3Gx3+fBQ0ejs*Sq=XTN=#q|6a zgk?nlz5}p5)C}r@{4-a2(g(plBA+|zC1gp=zTOxCvA$u_z8)UM*z7=5`<&pfFFvja ziVutYx5S6|J|)G+K-xJeKE!+SKPEm_iujNR3K1XTb^05M56)J9UGXuL3>%-y=f5RB z#D%o+yL|R0J}zgCjf)Qp$Hd1i622GlVdKQa$5Rp~CO$0uTZs?(5uAkhklz7w^18`K zegY>Ye7Ta>1f4t?xOva-NsWn*ypJK|?&9-*`=DRk2i3zwoZFnw|K78QyasG78b|nu zPh-gXLWF!@h<6DL#e5;Y6gc1u5sLXj+?Ymq+!w+kDZUV)6kmu?iZ4Vc#TO!!;tLT< z@r4Mb_(FtId?7+9z7Qen3-OUuN&5Eqo^Us`@!!D386Y&QaT@%}$%*0Q#3WBnOyK0i z1Wry&;N-*vPEJhV~i)oeG$l)Dka}9?F$xuzF%71+0XY&mCW}`|0nHjzF*nYe!jb`71WusZZY{b!8}GG z*yb(2P5jp{W=r`^eADTa)=NN8$%FM$u@^M{z7!fy-Un$Vme$pl+doh)Puojh&i>>woC=ugfTPrd)k3q4%cdbZ?-R_u5+eHMrmAICUjIulrn<;6|NYvyE2^}dWQ11*W z%o$b(GqQJgBEsE%vd$8^JQ2Fw54}}F&q;)yBca)1lJ?FQ6NEGDU5PL&5n+~}OjQ%Q zGZDJehE7?#n$VL|TjS(Fznl_ifswHmctO$}lA6YUDaCKK!vkp!^V7Kf=5h;^2cmcj zl3kiNLh*|hGf>HGGmQ{lykkIZn~ab)ssH6;u9H2K@KHMBV@N-OXWRgg!NAXW7N8M8 zqkKM@E&l8}t101G{-t>;J)`n&<#Zx2V*tRx069JRoH#1Xk37ZVrC+6w>I`)vVLhGM ze2>ZnT?)|sGCmmTtNlMW^Cz?YGJZ)Qmek8|*%A&qn4pHvF?=%?lX9Q{D*#3SWYq$U z1K_{RjW4^-2c!+t0BQA=Y&)MK=)`-;_>)+8`iEo_w}xiz5MO|b z?IO~_f1bup#!hx|ab{irsS3~iOb!5cOQ%hWb@}Y0$<3|;lk7;DQweTYf=PDl&$$U) zoG3{lT{&kPxHwpX%L>-5{k_Vuh5BxYTr#)CPPOCa__WJir@M6gbg9~i#-KU-%O@(I zL;0{@@5P_2W5{O^!1154lRcFr;WxeXobNz#yc*f-o|40#tka1W0pNb*JDip8pjKb= z&pT7q@BSA8Xx{JngL?ktHWijitC4A&VBqX{g)bq8;Z-)I4e{pO2W~5Twyj{d-Qc!D zi|lZZS7@}HSrouB8TBpgBrift^&6;sPSv?0l2Nf+LRjH z=-1$Kn7mJFa5JWcjQif9#b_2F!H()z<1GZx~U^{kWw&S;0 z!`-;;*di&Le>cT;?55a`-4xrg8?+sxY6NV@Zi?;LO|c!j3EOdBYU(Cz$I={b!gk!8 z7I9N-#~geHY{zbj?buDR9lI&EW6XE~+p(KsJ9d5B@uxIN3y!d4^Z!?1+5B@;FV{`l zj@^Lm*bUf@-GJ@b4cLy|fbG}~*p7!#ir9|jN87FK*iG7w@!w9^j@^Lm*bUf@-GJ@b z72B~G{uhX1wqrMGJ9Y!MV>e(sb_2F!H()z<1GZx~U^{jLwqrM7J9gjAck=S- zsm2}n$mZXT+m2n|cKoJvW6XAJ;n?Q?uM!^E{JSyRv5gbk{5N1mxv|Z^g#(*^H()z< z1GZx~U^{jLwqrM7J9Y!MV^?g)@4-xBlfMJR$&;y<_uZc1%T--?a`I&0=H&vWq>63+ z%Whc8lpd2Z?Z?<@f4?Qj$j-JuC%^_h7= zRBV6Mc*)P{HWW;rg$OPuI0t& z2v-MN1;6vF0w1alFht6eX*8uZ+95x68q42m^PqkKr9!(em3Ci@_NdU#OQoF`qrD)s zTT*Ga_>75S3dw4wQ~oOPHmAnh9LpmQ{ZuuKDo9h1@|#|Xu5w_bj` zoxX-h-=swPMj}~7TkV!5e57rf-O?{%+cMwThH;)viVvDd4&YB=SzjI#AG<$M;U~}x z+LX>GRPs?hU88r*;*h=1UygK~B?P;FK6bLSbvn;;tD>71Sx}^e-yP}JHKyxJRfK0+ zIB?10*IaMzP%DLvN$OC_X6t07+N8gMge+5&gr~4|pO_+1xVlA^bL;T=^+nm~%)^8z zv&er-l+C85Nm2HS@Rbl{!|2ifm?%4wqFX%A2T^u5e||ermbaDc8-K{>za@E2rePab z@Y$Q>k>xoqc`O{0Jff%VP4d_{G0D?c;>0A6g?}r_vtLk#bO3SkWTxbG-7|c-l6mFi z4cLk2oU4yzvGG@`Z8zD{W%%5oUYESqrR23P znb*2xUh5?UP&Mer%SArZ#r*vP(uAEpePK%_N+sPh&|x z3ncyAPuitGqP&`j@@gQ;mROWSCCbr>C`SjP936|&SE68LiWSr(5GB_}=_=+Kese+->kS3B=V$qMCU|v1KaXxCEJi#pD1hdyY1p3}ER~X%8rOI#IlO+G8L#pu)!p#$u z&tA#s{D)P3J8Au9FB9arao>*d&k*Z#3AvG2{n|U(HdYIXmE(+m3u*=v)cq9dsVUTu zGyV&x?S%ThP}jstuxXn)vo85ag;(;A7t}Wqr=GIIMa@ayi2LG98zLR$%sTjE74E}7 zHS#!#*gPKb@OZ@L%8w|K#@L8wNW{+Zh?C+GJI5kQq-8eZg%YuCJmQt{h;99dUAi(r z-1&_9t~~`>Xl=4xj}O43lCaZdf*`m@sp1dXTc`ochcy5bYDc7uKwxR<>4eI)7yMBNgL+T({fogO%qZj$c8=R=qq7-#NK zUc|6nH89q&(w|#w#P=j3W=E;DnsaJA;)qy8i4?Xy;66aavUtR$@rY%yh!RN#fEu~K zM68HMyfq%N!jI_4Pdn18{1-P#I3sy_B;wD(vOg_?k3BXO1Bg7*E>-h|&5?F_SRf{| zz)LZ|zLrMACbcw+{I|3;c|kO(rOgy9p{0E**ni64@FY#rBA*h`(q0Ds?XmG6I1&v{9CDg z55r8tbRbRyP9E~o$=kAL_;U5RrOLp~lb2#rQpF7pEfzD^ho|gJhbQOy@Z?-S!Or!v zPeol@of3bw#COVWTn=SI3S~kvr-{j&Ci*!&BJtO!#9!~{v|tUCD^e&|By+kVnbVav zC#QfKN>!68MGKa*c6fM+gRT6xkS3~Jh@80?f~xCQepladt`xX|TRD{njII?p-OW3W z$a!t#bE16MKs&jo^SMXpxo%@oNN)#r4{NfLKPEh^(=-a7ha4Lz_eS*myWwPHcL5h1 z1YaY23Ak{9fTaSSEpjefFxWg|mG})GS z*gOKKB+3g-O_Ud!mMAYYLqNxUww@dQDjSpN$Q}<+g{fq9*<%3HY*xzzoNhs3-OP}z z%)?5UH)l!?C%l9_kqVfZeum4UZur(h$#4J}dXts%wi87%^`=NpUJ);MOUB+*i-tiZ zb8lK8b8ouM`~+x{xo6AbH(U@%@N#VC?Bri_3@<&UJ%112~|2d>*yURJ+Y9?wZFM`DGEYzq%BJ{Hn9&366e_Kd| zd?&wAq3hJBqoK-(QEFR~+TDo^CVY_%-%lk83!O+g1f~KBruYQEKs4-`Q0}o50k-MOTkQYiFogScMJCP@#L@Z@k{QxE3iEM>( zu%%3H*{(hFB39SPHVDUC!j%C6)!Yr?bW3*ICNC zAjNfBwuQn#g5}3s8J(3E3I|eB*>2EZwe;5x2vDeOA1Gg1%J%jF3Y9$(3eORcr#dh| zp|Yn#IlxjPJ(?B+*vjTQ9b{f5Rt&d+8<|JU<7~{M12K!7$R!ZQS;FK1;Z!HG27;JW zlv*1gJnTg7g&?OFO8w{)Y_lw+S)PHi!BVd3Y1#1`OgoXcp@^AAsXLAdP&_B{6_igb z<%wPaN|qBzKb4u!uvhAhk^m*wiA13AIvA912Q_U65U+M8;KZWo)^#Jr#O!5bHXodf zNwtrGzVhc%B`*JAqyoOR4 zLjx45eIt~&EoJ4f0EKEl0Oeatx#z?Hg=)V9MNS5kdUTYX=eF7;`5c10l&sX#0Rkz! zGRHaA5?&4v4t65>5Kgg#Hv@#pPUJ8MXIa9h0m2R^G7Q2cmhhubu$6p=UY`QxW=m;x zYI6B`hhD!B%43!?DL{ER&57Iu6(D}UjjV{Q1M&N+ydv^Eh|l`O zipXamexJ;&h@_8>_rsEkNLvuU?XRhb91G$%_k$IYF(7^mXN-+30`UvIacpEYh`;2A zDkJxU_$z$V%E${KiyUdlBP%1HfcQ)KqRNOfCcb)SoF2&q@fYm+XGDrY{587Cgh&a9 zzbxlXiHrvE*V_)WB4>g4Yi##fkqbclb+vp}WF?5dmfk!oayN*-d=}1*JPqQnm|f;X z-U0EK$3x~tz6SAE#3Rp+WR=Gk!4uDmG)^FumqzkI3UE?WYVPj?>qNd2>6D;cyy{MM zDA-^dZ}m-~z|6{b?o_=KwDq@zB16D@X1A@2oC@MsqvKtX$sj(nQ}2q*1@WsfV|`>9 zh|lco4Uyl2_|b9<=$Ema!{H z3qvb{c8#TFe-)&4ht?gM-^3067Fwr1{;)~Pe0 z{b*^6;xvCv4UupO#5_EA6rS`cxw;UqhIoi2o}Wt0hIk{yVV1Z|r=$!++yrr&C0=S$ zh}|JR3(+5Amt(QMOT7cW%0^yOUpam=SnehAmk=MY#QPxHYR72nl{=Po-_l-zX7stC zpoXBN3iXKcHyfoSC&-8;=0R+lA(ekXh$8%K%RsDA?ICs+qHf>eVE*PF!{;ah-KAOk zXS)ltTA#*c4B3IWRas7}N|5=)a2I8TT2BSJvmMCdtZ?fE@n}o3vYfm{#L1soz>~ge zXrhF|8g)hj=~|=CP9TTXsH+pm(KYIh1k$@kJ&{2A*QmD=$lx0FbpkoDM%5k1lWP>K z^!N^LCN-(cXBk|%lcXkPftrlYa#~AGTDO5ZCRmg5U`;BhNsVfq$ah+eIy8aIs!;6P)!@2^oU639a}s%rvyyhim)AkWmO(Fx?m z8Z|qCyjr6!OCWF7sGAeW`!(uO5XK3W__V`0{LQ_b&lUpRu2PA%fl9QGRYKm3cMF1* z=n$+#N2!F2*&6jlBJ&+JDs+0hq_1mKvjp39HDc1X5Q;&PpH+RpjCX z(o99xg7oHvFQpGq9r^*}p1|jALfy}@_Rn=c%4+o}h|CuE6GqY>LF@$CmX+P=B@jD7 zKFvY_`3}s_s*{Q|IwOvBRgvNZa)gTXPawytNM!=)uOdqm$WRqolR!qQ$ipDpEti$5 z!%pGy2R^S8>N<7c@_V04nF;RSgIxZQmEBsnw1fXg;qvQ5R#Q}@{`h#lvs9#00y$en z`X-R`RHQtCT&f~f3FP-GvLb=3Qjtv{m)DiHtW_PZ2FSghPlpLGwNcvg_N>+~5k>aI z?wxS?CWx5A-E|CzkK@Q)S*GR4UBl)5gLy2`YR zw4*eihpukq$OLk<8yT8F`n!?w3FJgKvM7O+yOC=X$P_oSK7q`0BhMrdo;bP~Wsq*- zzqoDveaP!u`{spV3ooY`#BbV~i_~=qLyM}mHk-?YzCwCE`#J$vTf5NeBq+8KwaOY^ zhOZ^qy}p^c?K52Hiu0y})ijd?#p49Np_ydK^$Gr^vNU`WCNK87eW6s=;(i>nZ#m7A zQSoBtzbxk|;?`^9$L&m5YEIoIqk+e$XFSpf-qiPMNLdC+hfL+WV95D==MP!Qcis?r z;bZQQKk}V3V0=fFvE+Ot3zJWZUYLSg?hJ_7|I7c1r;V*wA(Ymdg5) z9Tl*j4J}H72MXxtaBu>2%8mloy@Dm`-iZ=*-$aSJU!p|aKT)DCNtCEd6D7t#DKVBq zX#!;L5l%E4<`HSs8k$XhluSB6{#(h$eW(;Sw2IyswnjSnK}Jbvl|T<6&7G0!Xnggs ztN|mp2>6H{J1+|OsO{)H76K=CCZBf*bVudvpXHvE(^}Hmm19JX(^{fSWOrmvsI_Dx znYgFqgq>pt3CANTR2507`V=Mi;)|_WbCi;J#iJ$4sr>eNY>4SHAD$rLr}?n8a*p;- z|GKcs=t)Y3WY+!Vj+{Gde4MYUO}F9TS3d?`>E|{Tyjt*ab^>(!{$b^; zO5$Cw7r4To9IoUOgMSo`Vz3E>XC~opYaiiSe4wo6kMeU!f{*pfn)?SfWrCMm+&M^^ zsi>ti)gqcIJOTHV*>64uLlhRC=vGK`ueKu#<6TXQ*JlU0wn{yqwG*@^E z22`fjAHk)4!qY6ht29n{x=q{dra$7hPo(f{KklEv?}ef893THH_+x_4uxY!!@|1sM z5U1CFS~<@PeU>XzrCXmH<8!Tpo9d=Xc(7{0@}R&-BYX z@_FUFEBJgLpAG(D0KW%ZR+M;p{ep629F)w`1{x;J$<#FES;6N+3&3M zHQIJo16p6kcKY?&cGfn`Ez()(HTD&#Zas)Eot3`U(z`taE*-|jAW7ft2XN`I^xORS zO-V1yar#Yu{MF#HRHxtW(?^h4Iv{LzgpZXLLbSy%>_@t3VI!XfgAZgzeX(SecU-{1dN@}A*LF6C(LOqVLo%(i>>|Y zc&V&Ti*q+fxYOY{cY}pHo$hmY>IXh|I?FG8Ex2%_>-qR|;KGg0^tt&I-0Cs0_-#JK zX-@L<>5kR%O^TLp0$RR_Y5DF~#co&*OV!9tRDvhPV<*H5rF~-uxS_M9L1w6d!hfhr z8ux_zD6joPpi88uPIv`W*aXInhgs+OWz68H76{Fu43THueu z!~SqNJmW;?7pl8-r0%{$Kv!vG-wWU%3)+#?O&S*y6wx{e6T@C0gHUxmgV2gZ2BDRS z3_`0C8H83#2HEdRs_P}x8MYPW!@NNbwp{b3oul>$|E;`juCFkfw{0@fQ_Oo3!8#(C zcWtP2v-!|Y*WrI529Lxl*^Q`T*_ElKq1!>6VQK|B+Lm}Z-fM|+ysayUU=>sEb(^D% zBk#>bk=|RjNGZpA*OpVI=3h?@^6kusjn{lz$@}soTy{hwA54PM8KHd9HM6gkgstoj zZLNTw2{Fjau}0K_}0$Hkv0=UjjzF6Sfvi5Cz5=fAi?VHpGcRC1Qh?VhGrzzb|@h*3xQKrF2 zv3|RSR#!h!=tW{S8#zkAVhPQjEZ{-5_ooSXq&N^{pOp-qEnpWLVO|nkm;@Ik!KF#? zyd=0R30|B8FB9-!yEnfw30|E9uT6s00v>APt`hJN3tpcD*CylMoCI%6f_Emt^#b~t z-IE0GPlB70;6nm-ww0HW8f&P>lc7%~!RM0Ti%Ia6B=}kqluMy*SDWvf5_-6;(7Q?S z!z8#Z32skP6Q)@5(91$HSc|&}e4%|?xVXE(7y0iDA1Cl7 z{=2Qm2jIa1Um6ky?0dk#l8=*j898^5={D>HqJ&E0lOohlRFjcsNML_)8yY!7zyWd! zu~Q`NZjm<{v$%f4-oV2~m# zqN0&b&qGJ_tDIgwV(^guoevz*|CrKFq;=kKc|}h1kXdiuCL2^ft$g~ZK4lZfkeela z0NEYTzi%Q?0zQ(!K4nwK^eUS%J{ezv@jVH<{mUoxFPm64wmgwafnL{<{8LjsQ}KW)a?{*xvqC=$9;C8aK?COnD<@5y z<~XJ0mE%X9*>wb5$iJTbjvml^M32geBcjm}m45jnrcEDHIdOV)oYb;x?C9udj!hiK z)2IpLMKDcL5zo;lGHRw*P8>Te8Fd28gCC1a=sAz};56&l~ zwAvWmwM3Uznbw}}=5|-+SPzzT-xAYEcPlYHt4x;?!O}c^a8wxR6P_+599d;3&ZJ4? z9#y8Bryq7oOk=MiWK5=~-&G|cmn?3QBE8M9`hm5M6SUEi~SDC3# ze#=Z<8d5TVGxRm{YeUL3)Y}we(hJ|Jzn)Vi6ZV-96Vi*C{k*ZJfWJNM9N4eK6fjwU zp#b~gGBHZaLXAmQnZIA+OLP_-9@ zfnz=W2e(3!Fwb_z6WK-Mrr9NaqQm!+=&?kiFZU&pzS~yU)^De+UsJp8?B^9qE%k;u zCHh%vZtIzXC20PqDShU=68^=nhesSJT+m<_Nf&y=|-u|yOgXP>^5=$`lE-Sg9m1QOXbb+aQw68_(w2mhX46^fcp z-cJ4YoC)LXz<6Sa{{e zv14d%3cHiW8pP@w+DziMwPuh$vBb>woByu8R5i8vl{v)IZz9gRvfk$*j;c(y6)b{*qEtuNMQa6rE4nC9=_|Ql{*jS{~QH1J!EZoKF2z z``%OJgC8@s@=Vdc?FOe;mzgt1^*OrVC_hw`>;HjjLD{tFdno9>5T87C(&+MO(P!ryA>6#;t19=OmG=|wa= z?S-H8^gXj!O`oF}Pp_ZlwpJn;Uh&-V+~W00P#Q8k{r6euEd7_5IuE0@SDB7pf0J2i z(w6A-ou*-LNTsG>FO$QP-NHK_>C;YsU}{5YtRmmfDgke)mylH&EB6nxO7=Y)tv`}= zTh#J)qM*A1A#CoN6*VnUizv?q6&6_$1e1mO1p@c?`k81S(;x6qKr7?DQvPl>y2KP$ zr+g1YMbpGguae*cQ3v?eCclopbylrW>@+;KOKWFUxu-JcPPZyI_Q-!U|9-Wd@2{Y{ z$9BJaQMv!^9eOP5!PCp8S5BK=IeJ=(IzN5VsEL!Nj~?gG*}!CD`0wL%@%P=VdXjql zglS|5kl051dCnwsROOhN$*H+7xhFU8DS7`?_rGUI<=re zl>Syx<_fA&t?BNqV2jy8Kk15|TdeP!C%eUb{RsMQi{k+ps?7(O7X1ZeEEk&Q{bdMW zh04aj{)lJ<C7(iQV6*y-!P642%xQ?I>*T|mMHp8n3=Y4mZPK6~C~ zHrf0DW`(DJn9D}e(|^-DO=f@gj|KT)koRt6$>Ab43#ML z{46rPJ`(CmGHsqsZPbBiagv@gNYzw0vYf#d zB%7I2b%LCR*8(>WD(E)%twB+r?pf6t07z8Av zY`B$>CHsu&v#RzvZfdUo`IHPZxUHk>$lhvl6sWkuq;I5`>enJ;XjX<9Bd$t+X7^K9 zqRY#muq1lk|LfzK-T+U3$nJtnC7w?!HJP1F!}g|ep{ZYB8Zw9qp-3(rVt?cKo`3&6 zy7nkjH;J)PqBpY#Yp8D){-a4mAm%uOzC9M1;j-<=?&j%XRctD$$2U&+1D1-YSm8|l z-X^QRY2-%hn5^SWh#$PfICSp<2F;KtlC}*dFR{Sgj)h8@CZ6tCLMCOVOW zel!*<5q#wpix8=Gb?2R!_wK{&^(3|~?G+QHF^-mn5VbxC!8Xv;3AZxos~~7Ss+#aR zI=8}N<4R19@Az{z5+HOxVFYjq<4r;s3Y1rqd z`Q}+4w6>#!dS)@-;JWNkmhwBe*|UGfCgY){KQq6=L}XhYzC^fq9L>KSScwUPoJqC?6vKJjepFanp#dP;FlgVYK+_9h<^Tqtl1{Pkzj3;&-SgI3cpFcx%$!fzS z!lV;rwaV`IPX(YmGF3Yu3>YpUI+p$Hp;5yUlFt`=3Mxb)!Tp(~%m(b>75^h*=d(bo z>s@*0{zUde+Ii(?re1tw=^xv~ZNtGi`Hyy=az5mY=A>xU=m}k7Q+Lv&>EkD1gOxoV z8e+0pI$z5ZiWUR#(=1t(P@Mn_Ob;yRhQvFDoTHS6@naIzu$W8}nNbwKu`j zYsNlR{g*mX8INiDlBpu`^YlfT zR$T7{)so+NF2mv*hJ}l|p!X{&?Hl`_ar>DrMVPvxsM>{cB!=!n?N_-H*VXULL$HsC zx(!)eCO4MFWfpV%7v=iDy*rIBOmZ;&Z)X`d&$8v~svF5ixxlrzb zVpU@kxRVSwF)wOOlPa+|AzRMe%vm%?`nmedCdVT#5||yt^r>7a$B*B{zDau8B;xd@q#M-rBlLRS%}#p{sCR`9K}H4Y%EXg zN(kv+;L5Jv@1g95IAg6|Ae+@Z?c-^B)q?2H*>K-f_+QkT*QKNv)J~IEWd?eyY#Utg zA8LbNt8){LNZrpzw|@mEl9v_Z^;j?5%GT)If4)ZK)lzTau+=c0KAB&=kpQJvD|QpN z&fn~|Mx?Q}ggUae@N{~H)$MciC1KtH<%o20t?2~=J-h+th+d{+q3HwGghSXK1LTwl zu$LLZ-=nZwcPM3=mJr;}4B>B6uea&m-yGS;H0^5|^*3!wO>S?~sGk7Cp!XoPEEh{m z&LEbSnI*{I#(LLmITk)ZUtmNYdwMq(uexIh%GFDaM8QNzP+nhl!#3hloDPQC0{{=h zBK|C<$w~-E>ng)8-V$12T_jC}Lfgx@5|bmubR1`7+NO)S9w=(^7Fiy)&BjZj*wkHa zyaD4(lX0dMDI=1Swd-QbSbP2DY#Hax!7QuP@zl`|;*p~tomGKd$=l3GcJ#Ga^NIH{lbU`0?=ioI zL1b&6gwby@$p2zlCUCP!tFkF~%(N*lOS37zm?Q z5|zv{YA`Bf?%XpBKGwl*TTgFINkC0%gvsie;S}nYBa>$3ej@^Oi-grJk(6x zL8hfx2d`(6cwGQEg;HH(&tkvf*`W>KZ5%6znQNU&17Mt*5)4a%-rA9BJXjd=9 zCoP?I`M!DKd;&V_XWVMc%i>J5c!_CpIt_=527^ATFW^ux0|yD6Q#;U$Mx*q}Z2nDT zeCI}Sz8M$gFNmE!P4qcTC!*^gWBA!{dSE9Tw9r~Cn`jBr@gSLWHYk=#X666kG|~UB z?cp&Zqz_r+Sl|C|DExoimH+Nkj12`2rIs`0-Hoy?zCYVKas4&q_EM~UEYY&cm;=2^ zp`JTur|G44!h zI~fj(5LSLbkyk=UUr+x9L1FZzs0tp(_!7+jiz<>|V&U;@Oea4#n<9iP+^$(FFP|%` zUQ1*jdoHCPbqITJc7+@Eb+`MDKt!xE%6J)@kZVg#nZt3{FIkQS)KLS5w# zPMamt5{OMUSnmD}`f^5hTR8PP<%Ei5=>NwN%VN>S@6IqPJ zt2Pm;6g5Z5mmMaZv3_<1m3+?NUb=pE_{oqj1rWaz`IlQF!a$b&E(od=A>irXkp)_Z zr=N0gTiar?Hlj+E)MARR7H>sr?dV5mqg)_(A2Vo2>=cn?#z%mqbs+!|iH3R{2RkBd zBvMNdNxu=LPGFIE5+30&2SRpl;>YPW3=T#o!u<52k4gG$o4%zVeRB+rATL{XFcH4J z{X+MMxHldo5k;pY67H(t3rdF|_Z6w7`xV&iSAcOW74XB*EZO`&X6VRx^N7|vz@Wnu z!qLogR6jrbq2zuSjaICmx9xEk?U96QMcsK3R`PpWpA?6Z%F6$|#e}CIABprGC7tk`h)$vZUhG&M zX{kHlor(4`Bc|t9@XjB$^HEc72lgT<=w>^xIr_R4uvTxjZFci)H)<#4tAe6(!h1`+ zo~0{pvSaPlcyGpsm;`6rD0T?l#L^}yqy@$OnbEhE|ZsT{j?=EV;Q!Rak3EGq{4qh28CZY*p`%bR^3EL(`mmLqc+ZH=5Z#C zk(Z7>4Z|y_O}c)UyxX%BrkBI$e+bB|#2!^5`YEG)Zj`06qkfE|$sYJoHRPs78`i>x z>`P00?fHFtPb0k@p3BQ2tWPml`$vuk&+=W#yo?p5!+4g4sj~m&*blv=R=+d1M6YB_%a&U9 zpKmeBnIbg=`TNhtEG5`9empa(&t|Xb#oeNEi^afVrwKX(o+M0Ht*2J+bDqY#UUsv% zA?z)uMaVDl2t#6s{`4GduGeym&JOznc=Yc5XDdOPUo4QkmHuWfg`Ff0q<+Z+QbkI4 zbC?PCZ2yCKwCA54We&{H(-Fux)v)4tVzNgTJv$#KoMs$?aT6hDoC!Z7ik!H1V8q9K zAl@J1c)>*xX)dl?wd-e(>)VKzQK^X(n#O%in6Gat5u-Zhm`%hMP1DhjVSYCj&+(3B zU99-!WYRUM$--Vyf~8z13i7A-@f`YuINeg9_|;9Eq*1B{4o1KFaFmMM!GxPtaxlFxA1?VRdbqS3jBgmemP4$0xL^HuI2;gQaM=PFyu>mX zZ^rHOckV+gUh>AF~v5AnH@_KlSvds=|a8EgCDQ5OR&pCgFI1Y}DA~R2bv( z>(^Il+2fD(Y8m-CQXCTDDO!c?%Y!O>t1oUI#VIo^2NjQy^Uu!J=r4(mLjOG0iVl&Y zDKdakuyubR;_98bI}s-<@w$Eo!*f1HZC!yHzMtN}jWm3b*&XP$xP{|Y@q^X0L;8LM zSa3m0h^t_kKNVN34@kZFtW1xHIfE4lnTPI1E=(f zHsgnI)+*5#VD8Qmi^t^uyYTi6DX}T?dWFJMd7Lxs{Sie6zt3tfQFHe)}G$nfb%nD%n8x>9ON=~t|`uPKI+ss%iUz=7< z%nvzQVn@q$AbV8b%;u_RaYdhyOte4K0Y`tlZfrALWUC#~-_vXdb4u{=tg3kXNSA88 zIpnv`&2#+rc|GLUv5Cjdc6e7=>E;++sP)VWxwE{J%C~3CHS?t5r4HOs5cVtM?7u>HrkjY~ z!1h@qLJTe7&wnz(4&jiP$^bLtKb$Z*7v^FA>N(nJsgO%%`lOIzoN zz8XWA?fLYro;3bVCs$~YZ>gv{*wXv?lG~(VsbuumS{8jl{C1$g+`=^Eol_t4~FfrX1>mE zX7LzdZ2MhPxPrEu86RF4E^nM!5g$S_Fq`WObEBq*Dl84_P z-;Dj|iHXt^%VxY!f29I`ku|?hS?SBG^=r+zh0XFMy<2J0uazmXw%o2iw}#!r6>B#) zmY7@mNyf5W1pIMrtvV0ph-u`re`Feoy-btpw){(0n}A{CXN@1fp?jWFF?G_!>7!=w z42AEo9KfxyWMA&LC0?yb`cm)3#N=D1W=)%3&T}^96KD9A4*ceP;H(J;ck3K;noG5c z#LORinp;Rl(DbP@c^pSxJc?b|Et~Pv%YcdNe1R)>VthGk>L`+qpEWz4cn^D+RTZxu z%qh(d7e|(i!VoVY;Lu;os#{;bh|_ko)YR`Q-)v-ohh9^{iFzSYnez{?)HGOO)C%k7 zZnOGxFS7cMtm?L`FpQ#YlwgB)>&0UYyUN;K`ayA^l^8ByKij z^`nr5oK&S{G@zQ*c-!!b!Y5%&%4q!c`PXn4s!BD}X9aIL_Ba1mime#!vEl-~8`2a_S4xZdgqNF<)BumQK2tA}< zz%vRO59MH3k1-J=y@Oj>hfbDyWsv%+&e@ECX-+iRBSizK*qS{n_V~|L%+pdSSNL6P zDlEU>St;8{pTosP8h8ta_zwC;vlFqt1py$iJu%rrH3bpxD3m%J<7_qHl>i*}Vbrou z9uLityUoAUa9xr$IJFNo*y1Yo4%r!cHJgZZ;bX}HUUA9r71b#EKnwk{s;0!I?1QQ- z{(!wAAUFpJV@*z9`MT^;=mk$7E4`4X4;LqVPah+L$km7A)-%>L=x_Gx9sA-1nqO!d z6q=@T9c(}S7`?VfM+>#dM$>SGX}l4K))lXsW-G`|={x6DCpWqqWEgLtBQi1yWrQIR z3&Uqh4fL{kR3!~ZuRqho?h3BAwQH~uuBw@8W6}P}Y(Kq%_JbM93Qo8kGI!TvqfZ&P zkEAC@Q_8pvbnh*wtunlJunkHKuN55M&P(*o&V8=wkMpaO9hN;5o<3p`sLNc+q!#t5 zMLi~9zRaDCQU!NKvSG##BKo5y*1z{zjq+#vS0>PTvHtyr{`GMgX$^G^8y%wx$#Y|}+zpcFLwk1iP5aQX9vG&^X-@kwr(F8Vpk@k%SfiT z_F+{MR~{MmyIB;1!~XMsr70!^T4F?BSo$5c%wv9w1Df#`+u41~T)8lsoZK7toZ7KT z&3i+$qHb<{kc}Hgey{J@*%$6ZXTKfm>~Gky2CKOCtbbu4)L>*)_OWnMSc*G(63 zjKfW)EZKtl4!GcKO*Y~5-(!s!IS$!mdMxqu8e9)K&g8E=UZLNTbC%UshLOi6Rpo_G zuT>W*))p1@;g|E!L3dlzR{^w&*`H>n(RL zX2Ld$dOy=c*Pe+zXw6)4%Y0k2UtBe}3P4`uJKjWAQKr%#v&>4RHghW|pUKbv^ZhT5 z2E?pjap18!mIIHOkk4Pl?No6>xRHzE>=DU?ouqAw1N3XQX_Q_&U2=ubI~m@1Tzt&= zt(3NH9uB^D&M!%>B?q5K>n~-I7PDQoU0A;AbuwvK$}SYk-?>&*bbI7TFW$f=xmv%3y#w#uJ8@rN z`Payq=cn?V0;fxLN+3TAnbW0v;$)Ky>93D>~ z)|I}fg^4;`ardebFZEWeK)BEb4fI=VcJvBzYG;>`O{N7(R1VHjjhJv$IhQvHo1blR z3%LbiMf4ampdqOZnyN^MqB z8%z_M>6;e2Y;J$rTW+P~CJs$9fU#Y@U&n1n4{W3bmUAsErV?%5D;K z&Bx&(+>GXa7PEsZ9v7GMn+!At&1Id?#M>-NFC2)4rJAc3$FcXjI8(XXyHuTdiByLq?j^q!<$v!3KFj@m|0UkPQ)K?z;YShWJ9cvCa&J3sJt>V?J zio%OESKvDon&AT^P&bgUJCvSKVlGiM*o(}Yo!EQRD&%N}{vFQPmDB@?cc&5)!le@L zB}~zeF!**U{SeQX{asan%Kcvbtmw&O%zph${&6_P%&Vpf`fe*o(SkAiG#ralueG~o zcpLHeua&F7ZK>n|2f+}wzc4237clSUY_TkEQnj8vtmKBgonaYrDU}epGQV#DzM1=b zE7jAu1)69c&!IlMrVWR>*rQ%$zOzU&FJIN}{)#;b7UX8EkFpwi`T-2sq7*-+ z8)0RuJaDw{vn0SzZ|RSU zIW_&nQ736Ea(G+YxaS_lLElym%-xLsIGzmQV&qshSmSg-HGZw4+j#n2d<<}L73&UC zyBf~}Et2zn8?3LBC?8mudn~FHUDf#0iZE*RVn&>$l0PB3A)(boPZ)h0Xsm zN&XiBqjFc1%SL<4z%QCi{GxX{{#d>fMFW=PaEf0>;SnWP;9R|){2Mdrn#i$6F0-c8 zPd+4;`h{5PmtqXwVK3K{&mCxT!ZDUBNfE~pxt2eDyYTw5U+voj{<9C?D)1{7j<*u8 zCHt(t>PNYW{kLT>>Q5PI`(@o}`)_R9kM(%%vRZ$O6$*{z4l3YoHBCCi*yni~+hRZ1 zytsr`h#g9_P?p&>wi3B8hV_~!Ob6Ck5^YoI4h@HiDyoFLuD;XVBj|7%C&t*vDF zJMAjng`t zy){JO?H;M`I2vXvC&2iI+(>}Zn`Av+PCTU4?Sy3ZHZJG#Zb1W?!!L3H)#y8z_lp#M zo818xc&D)!s4}$ZXx`&5F?~zSQZ$XQIDhP7Bhi*zaA;8Gc9yVRtxrMWue`oX0xceYCW2q0q!!E3huh7$suSbv>iSgBvCeURSRwI@N5%*)SzMM01hQ`wlifpMVFQg)_ z-eSG6%k_;{ zL8bM(vv-CvP;sB3ez5tt;*IsFnMSd)SjtX-yjSdzH*R`<*5Y5X_pIUaJ>k;U^~@^% z3bU5e>OFD`pCH+l#5w(FZ=90d`*14V_N?v3GxY}A^IB;GMxhYc>T0f-OHbiLapCN0 zGPL|XZ8ID|Lq-i>Uf9mBGJ|acobKt5X5%}$TAo-qJ!)>EIsX8cr;Do6uCzl$l7BLLC#kD{lKPMF)FZZMq5w?M&{>avR@}-7McCZO>0&~*>}n$ zs*2x4TeyZvoNP**(OqO<0%zp(;y^SBx#GVkteVh$d``pzmOhK@|l^X81K9C_^g zXK+~w4u&p^SuM7gJjvszS{obX8)`fKF{VQv)xOYD>GDEha^SP$pfW%8t` z!GQ+@W||l7Zt~>4VtM7uPe6=*rhoW08*@n1oML05X`F5_=?%_QTpyf6j8ZFSdFldr&2nbv}?`&#Z&<~ zicUwWowDmMGROIib_c4iGRN_w2(eWV9A7wn4QpisWLRTPVOmv-_jaKeq)7ih(=gL? zmz(t5$mA}+UH1MTd+z~YWp%B8bIzW9=1iXfhM_Yw1%#PFv4$jSlyYW3Vv_GB|9CGS z0S=5JB1JKR=nU9f?1?&nq8NKGGuV6YSkYKxi)8@2=KDQ+zo$*1iAnDF$1>-A_ulXB zWv#WJwH81z^39&EgXcJ6vW+;_tVrcDg)BxK`XGzoX!c79YoDOk=%>GhXujV6?%zKT zMP6|4-}(2`!$JJH5V551V7^-j=oR?Qabc$@^%b{rNR5ssTT1zIVI4yXvHw{Xa|!#k z>`Q&gox@1hI1nKtLyOysX@I-pxTpVVPq_5T>@^c&O3NBf6DE>2;UdL#<(N++! z=DMSzbAzSl4nl3_tNk2X9uDsv;=>z5!s0ZABF#MN7^vVS#&66%#%|+^z=4o*;cl2- z^yldrEshp`F6 zhbY0dF2UivD~H7U5_sx`@>mkHC)3GnyGzvRsdwMJ13CNQ-+}*ySwN_DAkiJodJcom z{{`gPUUj_IBpy4MJE6>oe}tnvh#tf4xeqD#X5yP0eDwW6^e7RUV`WnX4Z3RRzGl@1w$8=?UWML}t7rdarT$YV;!#bK;?Cm`KU;sdoDp-2qu?`vNLxv?VYCKuDMX6?6;je~l@Z2RsMe`Wk~6XdgAbdIJ^%wFsn>qykY<=4 zS5M%2)brIuJ+EoAo_)8Vp3~Z@XCkAUk!ywQ8PxOKpq|{WDZp^MrfR#_erE3K%zAR9 z`To3|d(A|Lru9Q2e=L8AUEHJ&l?E*1lG&k&jC@7O>HZrF%L-k^Ng)$|)D;z~(fTe9KQlh>l8UViqIs~y;>qW-(|bNs$q9x zZ)(CJIL=jhjwr~dLK<9}XXc2r)?N*vSIBUF9;$cA4pp-6LZ--1g+tu|B+JvJyCuuf zN#+CRWvbMiNBwr-vdBD$!G^xlL1uM@0 zKY?5jG2khxF40Jav}uzXIm^6GBM+yMCvZ%-My@+@b?Y`V{Wg0OYq>eJ(L^p?pJ85w zwN=kzVrNw3Qw*E5>g*q>BHMAJPDOgLUvkv7s>(WO{Y6jj>FK;9Iix?((>WNoIV@s6WPL33P4TUG zk@oz{8gV#;5p0rn?duk4KRX<&lzu;BE8sl>w6kce{Rc$>fBk!l|-pI8Bt*YWOq+;qkLpCYunHLI{-+^y`GPfx%)sv zpwAssxuR?eBG|1&$MHRI-#}{5q5U#&i^To|usa-o=V9nYW&KXaon&&pCEcJ(?08!? z#Fh_tqEz{EK~#)nr;-a_49*Nh5N{f7X}ujd)s|ueIKm?83EBda??_xAq)qfnZkge2 zb+DcbX`c;%3fnIGF0*AQagMfS%Mofd+MhXTb|E$GzFu7-YY%7@^FasM$a2pTZL-dg zSnA1HnQ}iBdJ|E^*g|pOynwFx84{gE>~F7<(Fn7GjC3n70_$GRN|#TL>A`?ZJPYom z9z6u@Io~-s%K0Gz{HEgrvd!^i$Z|RxIvU-&1|EW9zYwkGSWMb{qKbV6$#6GRGn;j> zpzC(kse-%r3a7{bHP}(z^fXOlNUnv8z$7G_*eZ7sai&Y&&1po8VB{W!f7;R9WTJ2L z7_(;~Avcbb(zU&Erm}x>l`U65P?yED>7s>=_9S&!Lz31)j^zExIVZb??h)9oja)oE(r zMTr5FlzFrJN?9RTXpz9_cZGpyhz5gmX-VoDb>|Z^y^-D21yBa1SvH! zR}#~i7p-Qt!wU`M;AuzdfQS1suak&91>TEdE9_em1nd0+|NfOkm3lv#Nd7xB9K?gY z(Yw~p3n8^8jHM7Q){{cILnfeH0q)y!B_#^+G2ndL9T~mUG4BR=9?+pAsNW>c<4`&x zZs#*&u+GP+L#NdtYzhd_6uY0`IDZJYFU})D$a>zY9|#AOILaf4=JvvLbEAv{qNphd zp3Ji^<$SF(w{g)L5ae>TP--rtFS}Fa>;`j-c7C=zp{{RQ&?ughT? z0pDZb_4KTsuF})o-WbT8a-XCrvTf{(;Es~N_mw1fq@)8 zhZBslKT`NvvZVE~p3czIr+PYFPtAHdRZr{mbh4g4*VBo5`chBF>*;Gf9jm8r^|V+| z-|MMPPe;oi@zJTV4u8Z|iMVMgJIQE6rhSJbH?5^{1YEoh@dkS|)BG&@5#aonke}hx zLntC3-P`9GU7Q7Q2y-mQwl!aKwT-&Poe&;*bbFM0EqYxmOwyH|@oJ@YF|)y+UiXJF z$b{G?)8&hY+lqgdCzd4sw(S6F$~kux;zL?QzfR*)?hYyueqogz4k&>3LyJ_JqseS+ z%)N|uFHR5}?dy)k`xbySZ&eTA3z-$$PyqFSH$DeWEzhh$2d|gGc1@dD)uowORRF47 z2tLJqOWtGvJnm-en>^ulX0`)YOd=3lIdh1opfhQ37Kgh@y=MYtaQZMUfy5EUWuNmH z)Nb4$=3?FBKqvPEq{{wLVg6s*7BPJ#cE&t{en7K~7Cr$=%WMym_d7vi4V3So=~#Z> z*&L*yyxqhqd432xQ%>3OHfWNa&4aAQuBOpFKj7dJdtm**e?>BLkK3R3FwYXFtPb^A z>C<~5fx4PI+^6@rZ0>Z=cVqj`3F9=w96o%ItQ~3#?O=ZE;J!oTMj~(mMos0Laa@HR zVs?tl+$i)NMMcb1?UHm6L|P^k5mOO-)Z!b8Ag1jis8Ab4 zC=?>TMLpGuc8W+gh}LDeHkh3#s&$WV*-*4D<6qm3tu>{# zwIj8d+FmXjomU%Ne(lce4Mnsb6j{N{^+x5`M#n5=8~r)%V!RQsJCWQ&FLCp6r6-tl zedKPNMCFLQOj1j$9cvxjHKj|UO%n$S+tP!OcA!|cTgtBMsIHM@RY5juA)2(+K``0D z;KN|2Bl{k@A7g>YT+7bS{@hjc$r!~e z4)JK)2TH^UcdWzXM&$hNNa6X81+fXPEWgR0#9g+M+`32vqemet)6ZR|_qd~m)WC0W2;3-^eY&d4=o3m;iXexyaiEdE;28x& zt|0k(awq&VXrY~@927YSLdKCzrHE~C@?}dgO9W=p%W<%er7A;xIvFPRYlT-j4$9w! zy56ahjTVp0R{F94;l4Hp0tG!xkf{!P?5EAgVL=5qXtP?3-axk_4A4zCK2 zAsN9I)i z%0K?YW(EQv^JlP1y%4i>5>$VDVqaK%A^XD14nehE`a{g5*98Yfix)aW>-d)<>SD6_ z1PRldu)geN?0*r1rFqOODzZ!DSn`I>hANC9h{8)9Z@oa^<{fL%Oe~vbqstLWRyuDe z(v(GB3Vxz;m^3N#c7!=n@*y^Ff{l);v4uE!g+aLsEW4b+M!|IDAt5D^9D_@u6^)Dm zq|i&-DpVD9VYZ`4Y_5h;=>nvzt=f!$HE(1RALw!_iwqrR3y~UPkz=Zj)<}aFw>_#C z8|*=(k;pt%rNLCGE~t{Y8{E!N(-KujH5>{WjPF?@;@{=7C7W(wm&+bz`$$V74j4_} znNM7NJUw1!AJEN8?s#OjBI2bQ+Yz>{}6UCEzde}0XPjeGvm&Si)P3lV;Ej@cKm~vm>L8B zNNXH(lHrV0EXO7Xq?H^;-IIS(S#P~Y#ibjY>*~7|GgVJ{PdBQ!`$zhmKSIa+7`6XV zx&${s=_9yRC&!PKv+G7C1lfLs$Sil+HxP*t0;1cPs5&fW~W z){UpJYc-=(%(k_30hE}VPIOXKiqayI>;nm@UMI)_gW?Mo(jvFYEeMRU(*17i! z-TAC{r$6VEBe}TLF3(xjrWtXLrgR5Zl6}c>6?7)X!*!X1kPd6#z(ZewWK^MNEQ*ua zCfO+8mifQ4&oQmub%!<#!q@BaO_;1;VBhEv3m1EVY5p0^$FH1{`?MEr=VcCy*SSJK z^KJm9gfKM6@Ga!b(cDfWxvi53S0^OmVaK$zElLx2lN6;fvw1~+##Fw~@h`VK@mQwV zOrJ<>R%C{Xdpa9AT9NsXkC@Ion^X9%2)%W^M$9)J&2j=DOM{H}@29dQ%D3pCxb3T9gc*MRNY?pvRG$VuN&WQ#dELRk2pR{=ZE_&~ObJ7N8O<$*lFSlJ z{6R1?@Qn}puWzG)VP5DJC?v8fLn$a$O~2f*KzmutZEA!*ZP5FN>6O40#cM9A09~N; zZ{}&FAEktBa~FAr&Ad7SunEWGHLiy@DO{vDQ~-hIrW>NuXVp)#3!7a_!;!1EazD)$V&c{-a3 zacu2y2`IVDYZM4r;RYL>TR99&!`RAUl{?!K%;Bjxkkxnj&)o*_CL$d(e2PLYWPsQb zZn71XdVPfDhBoANU@>%w^Q3YO-VD4QDdbwJJJKf0>2f>%cJ2k#J`CG7`1HEN*Wm#^ z7TXdLH#O82!KI9?{3Ufc)zyXT!#Zb`ZzENDn7*UDTc{BX=6$|KYJ8y=giVKeFdhD| z8H|kAJ5G2<=`d^dA@SN7Sg3S&7|A!Mpqu86L|jTae@ur1H>0ff)s|<+y;V?ewll-e z$)qWhCr+L3tui>B;4heX+hP38nq1x5(T7Jc8Ft35a*23NiA}no3j#hzb~E26g^R=( z4;vSe$j;bbUF3U-$b1(m`nwp5)#ksM3S_vmzEEJPcDgBwNfuR?h(nVn`GM)80`j;E zB!68CsyJ1}_5-*xMt>)}zlamN9Ampl*{n{?JaV6i`7bAJ98Qa>ZRd+5#Ot)oc5bqH z*!48!P*ayco%IE(%lar4zO76yX-l0?X}Jx}9&bxev|Y6E=uJC6PPwy{sOnPNrC$0P z1DS(6k@IMNfk^e{abF&R(sY8qhvmmA5tYlXvAahH+L7aE%weor06sD%laHoUC!%=l zLGRL4vbWGpPv$Uj`lJ~XXJOgZ&Cpi%L5T6+x8b8lb2)!|=JH8Ql58&jq|&~Yt&I70 zT2^>F7Bv>VbsWi{w;82%|Iv}ojQ^PmsICWv-Lt(TA~W31vgAgDdlx zdjNhJ0VHRp>z+Ku%BYamN3a=$jIa)nW2{}4GON@@y5MBemWe9l z$F{`$UU={Z(*Qw*EKL-ZS>adYvuB<`C`dSoi{lMN{jb1xlE)2;{#wvLRgMsf$nd z#f!p2fjKsi48RQNk_d=6^OBBkMDSU$=seIaj87q;2KcEw8D3*)9*Mw;OpBntx{lR0 zuQRR)8LhsXsxiESERab(!FBjR%7W(As# zH0V7Vl#N3TRSee1xA~&17|u0Ny>~S^2W7GrH4#}w&8QDk*XdT8!{R=5L+g46sU6tO zeeqEk65*_cb>X>w6rR)CxP}Q=l3VY_>`6uxN(~oHboqh?QTtMneVn1>kiVI?FynaN z0`Mx_j^7D(g&w<`*dhEFP`sUYv_nSOp4BpDDYF1c6ywPix;ZwM)^k z8pQO(q6Akwd&oV2uC%-JqnT)$Ol_zIo&TC?Na$K$xes&s8s>6QuK8!Eu}OP{IUB=U*$8u=K!;lI*K6uFVe%$h zWBO-MQXoP6u5u;FqihbE)ksn$pUnY9yY(Z@JD4|fuuq4pGAO&-VnJryf?%a!qLscc zc5-kQPd@ccEw$Pjp4erV4D^~tKGz-?R27wMwYCl z)X6-^v}fYnLt?t}fp9!QNw0gB3HdRC`|MnEE3lK68^Z5cWe+)63^ULzuaW-ew=Lcb z!u4XAri-D(97BcKQK_rZO3X)EuFZmg|H!|;*A@gBfZmU2e4at`bToHBhvW50_7gQn z2DasetOAINQ_b8?*9F*l#8`@34Dvz-2zdiu$ei1!5Ngps(VEe{skC`wk!ee9AGUQu zSpQElBKhz#a0lu>{<0zdhjk*8?|QngOY{*IUtyi?H(k2_J<*pQFZ`ncot}*yP?!^T zl+?Bh_4m!f=tC&QFN`M?J*)O+U4NWBqnN4izxa6Z2i0#m8gx`~W67?aerRcG>)29{ z!GIQ;6dJGK1T^`G#UX>}QuEg5PDR&j2YyOX?)1JfO$=6y~J zcP=*SAmkp`IZncDCas+d9&lV0CuQn*{I9grvDvNH%)gw95c=8X%vOgY+bwG0+AIEK zBt!UQK|DN@BkWf>B7GaS3VXBx-vN$eY7c9c3Wp4Z2+yEfQ!Lf|LMGEd85hHR61Rh6 zZGOG`i#0=;&d)P49EgowbCT?42svK>{!`|}!;$3?GoeB9AF2Ap%xtI0Xr5A^l-0wo zkS3mm3SmLGUmMC(XezW&n{zl`spgb9#mfMffqfj#>vSF9cGNF9w+D0j3Y4MCW0<|& zP;pc(R;w0y!lSiP;c8tAnz%(8$cg574P;2iYgm!p>zz6{1O&{T{#MxC<91Zs9uTvm z>g)k%!^dzu3s#}pVG?%1To3L;IPK~%^cEeNMc=MK<1-7PdYTGa3IXiYB1I1-K`lWq zibs@XiJ%wL(Jj!wXj0RQDaGHoq@wH!fu5x@ycz53Apuryq#gU>A;Aqaa!e#V-nh^` z4tfy|_v@s7m3W>!qzLOqLS%eW>C;hUiJ?tas`b#eQ19;V;5KO)s&idE-jTZB`I+?? zu&o{}K?s;&T(CUE4*u>)9Y7;h*Vfuu1`{}BMtZGr4D^a!} z1UMH;A%Z-u)DByXJs<36vy#H>vt4Rz(PGoA2Pqe-VI^JTUd0O+Lxk~M_OJ+pOfE+@db(6&*>Y)Lv?F-~g? zz5^Rb=EI|+4G%x3%KUzQX)MRGnmtf=vc8C5#*Ch?k@^m zNU|*207f4$$4@Y-i)niMd%17u4Jt^88Q^VGZDfhvWf3Os(8mA0nI-|3i~V)Pu(b&p zh6LghjFhvZuH+~Wy+lS+;>u`bDkH=ON5PE#2{Y>kdHpc~>I)-u2(dBXMxejER~vQ2 zyk(FSy9)qXz|BB#&8gbYpwc08hRnyT#@D8NcIyHopZ>m;{s#5U*~D@!BEc=_vVduq znG=jtVPrc@?ITdTy+!cKIFh)^PC(frO_(q?2Z{*q;&#<0K6R%Jd}?)jr%i($P~nVk zgAKe6E>9?`w6jLX%-S#$)15OzlILXFIithXpEH3Tf5thp0gD|Or#0tHU@RedaCw~* zcg{3qoHKM^9(-+c!jAU59Yj{$^)HtwZVdY)YGgUhBipmbaCoL6*^tMv?TwW zu^Y?abhwzFIB!Y1$7r(s<;fudD#-6jqGOo{8%i{ND2X18woJtC*9-5OXqqj}@CW7G z1hvo$aSIi}VWQlRhLPn3IW4oytlWe$5}lg6;Y7c!BGIk+A={m1_H0VER0hF&nfK;z zdi_q$PS!7fIJsU;(Fl)$iOxgrX|Bx`5?IDiF!D>#g5qI ze3_&PrHDZ;){anW>qa`ERE~6!C6ofFETI(Eys3R4j^cPGNJ6QsT%9Nwvd3D(@;`FH z$~|3?ha4~U7l5a8BEx%s$`z(DddZ7~`ys9W;Ly03@zV>D7{c{`dT5+yFcd{jp!qpn0N``!0f%G49&C^(#&c(mZO_1f%VR8NQ=-e2A-OMcnYV>*{ z(cAiwX7Ow}fWoZOIZRAmYS4F56e}%z0?+0ZO7uT%g`s;9BY{ddfUi zw9Kq$0!ysaJrF&*R&2FM{F>;-5Q6Z{TtvEEIB~&qEyZHQpWHpyP#t#{P?bD-31K~Q zC{>&0FI*SuLEFx;85@MEg7K-O`>X+i+sLsHMc_&xCbmMk+ z8D^k*ugzPXwEKtXs9iIH3I3T}xL16aT*4S?Me=9}K8587|jS($BW!AN%7 zl!2nZG*HVnGEfPr@QCf$g+I#;Wuc#&Yi^oXv6g>O_3Z+T0Ygen3_~~b&zxr2=+s4S z@>si1A6}iO?b~@dgb3W(_S`phIJ7thU)`Sc-?80?a?31BA;fjt7%p2AlKy3iUqXKE z#NVp;Q;097ynzs%9n5EQ;}FQ^bgq)w;Mtu%nd`m5b2Kkd^0R~F+qvZLlN=LL=OvT> zJ(WCv5Xmnjd2h7_>Xh7GBR!PuiahTEkxbVq(@Wd0<4DEdMtl$D@`B6d9-qrysa$$0 z)jf%_e5%`gs)tjl*6l#5M@dzl%IjL6>X}rk1C-ZunI*3Dsa{E?x@-uk){shlhq)oB zbj)qnGfj|ouZG+{27ZhFZfV7u6BRopRk5L(59`w^)<%!jQL*pa z=&_4~imeGMcEgrd?E6H;j!9LlgL>>3XtBgl%0Ui8IglP367<;Tb1KY<$iWA;=&^Hy zioG9HY~_|#?8N+FDA&(P4&_stuYaauecXIqrLlh#+iLR0*9$BVWz8z4%r>+($*p8Fz@&|v+%L~V0EbsLv)may;J~>&S3dpn%<6fPXH=RiIK4}_*6IfB z*I!)rUYvR{{e_&8>>{)*L2y@z8+bOo3}h5@FjXHhujI2xE}=Idd0x$Lv;)$=J5MzD zx$KPPx%i$NYRjj)8Z1VaN|su_#Y9UnHAp$k-HEa8$0?|+omZr==gQwxMi-M&7j3aa zC3s4yOk%IILNr z?^L?jO)4uUd zJ1*6tlRHEdtkVO z9RajQ*%!#sa^tovx)%>KcrbZvQrQQ(hjP~D5uDM-v0-3V*nD+_6Zf4Eynf+dPjM71 zE(iSUX~FAf{`H(7@dy6(g5dRS|9W2V`ksGn0L`V{^CYLJ)f?=(-l>51d7%J7ffS(4 zEgU4s17oQ7o*6KGdm(`Me#!YuTfUigL(K;igDj)Wggxt`GWH)VYDRq`7O zELx4#Nlm)<{4AroeyyD2=hI`!U?~Y`qOI@|&jqvcO8@$H@OpuNeX8YW>IR~e!DT+l zDL_N@x)y(pM4pCsWAoYJ)a0`utJ8eCV}f-5^y$6|VvqB&Cj_xy_}KM9>`6X$Nf7&u zkNqwqroE;o7K0%&*E4d?PUGTxl!4boIVsX4Gg6zB~i{tO8 z9x&dxf)|VQ_Tkt&i^llH5*pQ^sX6rdD}E5JQmdaOiieiJE-B;nh)>GL%FX4H_$A44 zu%fLK=v&bOFSIU(s8>K@w;91VrWSc2!?MPA*@Zz5zU5z+2Cr}U*OkHROaAr7;Po;8 zdUf#njDNjV4R}Z+d~MVp^I0IyeG!tc#Xq)2;l0p+k#M>a zUZ0upVW04}jD#!1Tj0&fv!|W|xzi^^<0`G_7nSgV%!D`jgpX$=oTY?MWhT7BCww6z zVT}^LOv17hE5b#O7?w9uOvWIkdW%$!Me@wgc|O$#sZ<{gB-KZxN@MR%^Qmw$mgv6I zMX24~K9l&~9>hQ3kljh<=q6D4)adbWGM8^9Q@M&%?Xa3JD*h(oHz4v@H6Bp07@kF&I zs9FuG)*G%`7pYpW`D(2Qs+D?0{6w_~Z~2?5-ZQj;vTmk&-Gk~q?W;!+dzi1DvZi|7 zUG-k_)l0}Z`1(ZMSL2+-Q+t6r*DZyc2zEG+aJPJnoLi+Av{i! zuhQwT0*LkGsizk>!EjSTtaGwbzCy~*xh`dAQZ6Os8{C>z%eG7^Y4J}<`uEJFINibJ zPRmSp(+v8EMs&=T(ZlUb-v-c16JvVPed)wHJER&EVqKQI3R^F)C#`G@_tiiPy2TyE zy{O3XmTuvFcc4Qs&t!nT0o1G7K!I+=4-MXI=!$HJ&Xf}xUEq!6zN;?4O(wGN_)jiZ zaM*~l-Ri6&3GMI)=?>4efQSg-oe8lV@1h3U6a4p!#P&BQEkb@(UFV@kUk7gT}3?yBVei_ zHh&pfj3t4lw{P?(9U3F@jg%X~YI7rD9i8=LR6xTuwkrysl*$cM7u_FYvkA-@cgJP4 zy3s6Qg2Izr0}?NQHt+7>%mW(I(Pg_(n@kupI0w0E%M*-wKytiOx5a5NYy!24Ghx`R z;mcg`wh3RJ7of@o0x$OP<(Pw&xvr3TxneLUCrejMSK}pAmH$ehe54vNR;!}O{Vg1B zOD>d8;X;4mae=zZyv^+3G|}A9v+d+bDm=!KZzq5wp0V`rVczM-YS@jV1j7X3cXk2l zhfC!q69<_o=ZXVH;N|;)!jSEk+oZ9&6>La9^AKM^7U)JxUMxG969LZvW%{xJ@5u+*$iY;BZf8AV?3JwoT-9{SQp)vo z0Q3K3`VEC^R8x`4)Uke^pBJ}7%~iCyqj{E|&@)YT&l^9#GQB}2cRG24)OL}%T_W`Y zZBLgmEOed+?I-rQZ!FeCLXr)0ekH~PXGU+X0P$1 z57@hQ<^dHI2h`4-JY(LJsRzuNJEeB!+_seb!My%q(#$Dy+QQkkz`3@Gh0Vaxk_m9u z+8qO&Y)0I~X|rk%@d@Jbxh;xwfMCI3XT1h-_LMUT*~yV&n3Tuc2ea)tOi1Q)?Ee+z z)GVM)cmDa^ym) zO7E)|GZO$iZ|wad!n2s0Oj&1B-@MIS-htU&#TR{$a9B=@pD3qan3@>YSeX0#G`PPQ zxpn7r#Qd7Ie5WjM-XB6j)I=9TC_M-=K0CY%S4cO~&)mk#3qzNsayxWb-E`l5n1O>p7CiM!2p~@*%CR3N163N4!!U1RtCs%gQnEgM^c(u}?U&o-E zD*LM?_*RVJJyvc}%@?@K(CZ1FwuqP)@l0+@mQWV;pdVJCp}h+xtZ?t@q}s!|DhW_V z9Ey)Fq=B1)GU9uDf`Y$}a`Jz_up+#hKQ51clR3H=W^N!#f)w{PD*XddR;}PECMw}L zxH=7vSPJt`I<)~fZy4rqQQSe|qKH@%kT_G;TrEphA>g!f(gQs}CQvBngjYAY(Kd`_ zpW*(wFMF7&w0)QdIBtfHdJWxdh=)rku@4u41Y@Dtc51TaODoAU!Sh`CELDEILr9Y$ z*E|blAF=}&#Ri*OV+V|}k#%xf-D#}thozNa5_LiJC^4rVlpK^AGoXS1oWVQ&N5ebD zc+tmbvfBeEFmY*PK-;m;CcJ66&*i;3KcW4Ep$a3;xkK=dM0F&m&UiV! z3fGwDP%!Lb&cZlNA_yAzV*&@8-9%K>F%b~R{2iiMbv+s4Fx#vL^P6K%g2UJhdxK(D zZlavzCw_{p5L~XN!igdVDm8atwF8)J|ypYU&T$mK>_5x2rSt3z~UofDo;a%Ta5AA{Twfw z$DLcp@%WlWf(Y5Y4rvZt$g)DQ5lmHt{tT^51XV`NZ|cH<*8cJ8g`Z%$H@CC9o_om+ z`u-am^bYAmry>iVxIV|6E4r^3vKFX;uohxuZ zW=doJFGdZ*=BmSFsH4Q(kt2JXVRO%6UXj6NHba@=;vgK<-UW_O;JtXwArvX&AjFoY z6O68rKQe&z(UGpDF70_rdnT(p8+=3Gdgx3RN~E5@P@G*E^QRdcmiF?HZX4*<*N?&* z&_G!@YeZ_!VnUFqyJG3H7PCHc@E1JH=2Y6wyXcwz&Z$lnSAGlv<4?G@mkLB(1WCh& z{-+Lz_LpI66s+D7MASdvH#`C!e0NrY>2U4F1{c}e7O&`5%XiAuKg^rf{-oHn%zVw&jr&2d{4$;&p5v<#A(k)?xgy%K6fZAG2Rg(*@qmY8H^Lu~i)yyyU}w_Ro;1ebqd_RY%>tsY=5f?LJ5J}D;h6ANP_em^`;L;a zHhYBaIAnxY_HBN{d`iOkYY&an=M*5fFQFP|uzFx;EuNh?V?v>C3Jds)jtVdQmsl{r znmt*E-)1fznSI#m;_g-%Ce1Ua@7(E!YCJ>Aop2~KRl#f_Vce=+=g;Ru zgxVP&az@(@{_NQBk)23Yd&ummhqgS~XUv#LxxQXJOq}A#4xh?ZNLHp>ek3cq2hW*B zFe9b}6DJ=$f;=MWRSa@=walpaL?{VwpqH9u#*o)sXS%mlj1%0uZW6t5>lYA`^;sck z@Z4N;H`XfU>-H`Lwc$(FHhpD>EVP3v?GVJ7!>gOt*r5w`H#U@AW?Z%Heuo`A)ON?- z9M8ib*K|Hr+pfe;6J4QT_uSPz_s6;=H`a)vwSLA`LhKGU`->3R!wmQK7GH)CEWx&n zBOnllkGFa4lMnf9v=TTvAuS(P`K+Nweb##_>s@3n3V}E4E5}nOKJ$%JC;8@@AbYNV zxeVKlEvF3Q@!7*^XDG5FVK09E=k(zF6cx_hvA%wV9kOq#$Lf!_L-$SVvHaug;L-96 z(7!6t6P+M*wSRS^=kif>a?PnqG$s*ShNL)%?a#g%^kO1bDu^kqx;?+5LKkNTL%Q5y zzDv#DvhY*9+~QBj{o?!Y#5p-^hppdgMB*>3yU+)=Wi79MBwm%IHg z$`x_HgKdw>VOhBxE>DoDM(=pEcyhU{{%S$2n*J>|Cu8TJ<9@)_xNZxMijr}*BOF37 zl$5hCz*q>zQ^92->;3{bN2)YX-1IJ`x$IAM(~<1qI%JOX3=S<_Z+?6@yCK?6Qg)IU zx0CsvIa6M(I+TDRf#`t%O`AU%hnJ&5$p>3O4@AhQ5ypdxJVe2H`vR@v6*5b&#kdk+ z`@ZQ2PFz4oOo9>1U2&_iK+>n0Iu0>^p$l@7n|UyMD}l~D2`q)Lv0D}GPm5ya<0B*< zVf%gs*LJPHreyYaAfORU<+u3sc3cE*+NW!DFjD7>(W@v#$tx0l$Q8b9!-d=89c)KD zqYYIpoTlcTLSnQ$h8}Ztdp~mrZ4)yw9EK0TH&8}8tv}Z)45rwJ9V_h59Z+YVNTn)n zUS+UbH@U4+IWgNPl@)xb#-1i!?j}eKy&h+y8pSf#z&Bw=f-Y*Ss6_7$;5H0%${|<% zoh$6#s=io~m#j{3H?2Wv&R2i8n%7hy$J9%${XJ)Zgt4sS{y>xN6ixipG}qCcK`s?D zS>JZ!%k|s&%p|T^fJm0HZE_tA8Rq$}T`Gibp%+I59d`x#uAA3!R5G9&=&UDg-1W|N zfZI2rclhEJi?I+**Gm{O-q*`by53LPa0e&H(w23#_Uev!msSt@LyqMkk~Anc$o_pav=IqiC?3z39N&6aOz+Ow)OjH7@f(Y%V!*+C9(1ex|s$HjRWV((yM=?`y{yGXi z8<;X&k(tzJt1px-iEKd#yR!vXn|)SWjG_0a0+s}(!8FaUurtm5;Msn~9IkWk%(jQJ zWu9h;xf&lTxLkLeMa0~Tot%!-6T5}Y-bwTx-L|hei-nt>?J}~RVg8oPb{T3p;xPoY zfAjDP9vmq64|0FrJre!B?O*ADO1%)AbgH)H!|jf=-_=n16KIXlNqB2TH()sZ7L8kp zTSjsUiE-RFsNaojBia)7%iI!Z*aT`i!Ot-91_sKMdUrQ>QSZU@O__F&wFtPRnm`4) z*fwtkeQ;*`eL&Cdr<@bB*8!m$qDckrlh-pEFT2qIAhpIFTUzouw%)=&O7&8D`6uR6 zciQzgQoYLo_Ww_mIk56+j$ty7p?zhMA=c~&15L%~#kV+6bO62yMXsEVrvamt6T%Ib z6Ru;S$h$4F*$(=0_2G5)=YSV*tZJ@2Tq~d5S~id9C`Y$!XbXU2LM_+`t{*am%^3x+ zw+*iTuOBIMwELQig8BoS=e@5vi~5)DYpeDH(gUmFra<2$26m86{N;JU8oBszTq@C+ z*P;+{^|ln^D|vHVu9RoE71k*+(FZ8(+{~~QT6Gt@Fq~ezj8^}pDB|Zv#-`|~u7?WCOtat}(lntfpD!)-2y+&bNOW>`Bv?lr;q#qMhX-T~$utuCA2yFMrJlHV zU;GiRS&8kBFmf5>vY1f-J_?zu>*A`ceDgXcs0x?~eX)HRg;03`*{k0F>Oi-8rw0HS z055q)^}I~7W(kPp6P3fN0* zx9D}Y&wVXCuFceR&7qB?U6q z^7d>k6!5E8Qf{G$y*R=|@POliR#59=5zQYu`YYRv19NH`K%V9pTrVrmEaTF;)9uS8 zTLNj2GtHJ(X>(YLM_WF;8uMw|@;kwhYe(+nxOVPPVFCQ#lE{Hd{|Nr0NZ;5peOgMF z-rNJ_#j*Q-2L~fOcPka+mQ+wJRnZj#{rYhSL@B#WQVma|p@;##hIZ>zQ;%Aax=18l-xMqQ)NMARNJ8mT9 zpBEq;{S<@wUExx3LS$;#o)?CP$WWVU29hCMVFz>S!e*@%RCwT44MMa*V{DF_x2J;E z$%sK<)J{wytJDX$UuJoqzA#P|L=l`$7cl6}bb)wdHaM9!p>)aaR8K6pVn-JK4;+lm z)s+ivN8Hw;#6*g&Rn%WV$y6=D4>9cFew~AsN$Us*<#}pZw~Us3NhfB+BwN-hMx)UI zjium&pm^r~g19+W-bZ+HErb1IgFz_h(+h)5f;!+u>8`sUqN|X# z><)d*so(^$^M=4{Bu(p3%^e!deFULnEYMg)cX%&t9b>g+j{ZQhdoC}P15 z=~&cEIackCa}bBMxVcGZjsP! zrzO`Pt%>dA{*#B%<3^0*8#r%xQKzoOlHeV(e~Gp>re za4YVs_>8Y&tVI>wffH2G4!3=&hq)ZKoLa$5d4H`z#oQTB#Uke71r^kgeirKqXLv02 zVlS3w-hZH&g9Bk80l$w9aDDR;KvE1y;t0uij?|FUIju|XVpbpz>Uw+9w`tH7I(fDK z4(C3VlK)1@J)ovqlqtU+i83GEv@#24+P?eJR255GU7OjEAu!xXBpR%@i>Yt1Yc%z6 zLoy~gBx*V{#W(#cn%@0(cS>bP#$hM|(-S!Yp!(>)P|H-ba$ft#Mor+etWy_9dx(+- zLd;$W)BtO}{g6}kbsh)xmkUWQtSb_7gnV%w4{#4vlgr$`6}pKl8t60#6`tLrxWA6D zvu0Kdc0?3W=T)|QQ&ujbyf?9mD+X#QK#FV>4tl^G3po6Bf)6j|KFwO|ANbZZIJ(|E%G;gniD15_!cER!)0BbG)JdM+SULjf-C$nw)b?q z9U2uIxWktorznlgJAB#!izPWnJvydbNo_}>4PpU))O_gTkSUAP!l=wsr7GtlIwk$Q zb`7xsz6jX4Kzrb>Slz9L1!9H>!b_Ng@DdQbZ#INiuALl&w}?Yk5Z;i3@D}}W2rrv9 z==BT;FOGXWgm+sD(qYuw#=txZV<|okh9SWvx&T(gwpp}kVEk>fXp?7=j;CSXv$90p zILBUY?gROy z149PD$VBFC$~#-6@fAp*7Jsovhq~4I<*3>jbEnR(ojGmPyqS~d)*do* zP7=-+2sRPhX2=I8PMRWbQ|WS1UR$L~ib)TiR67%yVk`M*JK|OE%PKwhi9#yQnN{1O zP!~M6_TaXHrRI=X#feFbWb3SFPimD3spE4F4%%6J@T6%|B@3nvDHmP-J$}f{xj|G$ zFL^0y8mzJv7}8@eTXoCq$+CISO884~J7VFKHH3@`OjQ52k&!yGjbJ)5nSV=(vg(); zIk&2@#=zwmpG{{>oHw&pMj2Wqn|1i?WC@uyCB|pqy|nRVs+ZFop=E^o5@yXlBwjmX zsy-*y%ei?-%Jg~8v~od!7svAupEXSabp_2ncw)SE_QYDMJ~6)2j)a1OoiuOmArogF zGI#RyRH_*V&moB9Et5)ZhpMoa)a%MUv7Y>EW{ZQ-Y%xVO&o%sQ1P933R&$rNs7`I; zizEt{spPea=&Z?8=gc`I=&cS}7XEAKUhrSvz<-sX@nQxxeExY>N5rnr=QR`3-PEC4 z(@}E$TqKOg%mH)9PeGk6ta8!c&E>B5XmA2Uu#r$l%0lpIL}z2@=sc_ufjs{Qj;|}s z4Jd?lG*5=O8|-NsaB*IO?DiXwY#k*|`yvQ90f!fzBznE;sJiG?S=Ky?)_nA*SzIxi z-{F;VB==$1h;YB7guNT9um6Nxy+mi${ozKE7U7Uo*SbNVT()-RS`brCg~&LH@ba@| zaoonXlP6H5gTcC)28m%m<)Rtk{~3tHqtQJPb0TwA^~{xc{q0OwzJ#=eD-vJjm3XG= zj1OqxGQC%~F+WCR2lF>9lcsf2f&8ygQiTsZJE+D#GODrFBawD?;cBFczRIa%EV(az zv;$W%azc+KG_#Uh9%SUNa&ZzJPdxot5WCabJLtYHjoeYJ1B_a#BxiTV$XoR1u4Av7 z*+^iCd9j4+oJ7Z7HZw+GH}f)q^2k;a8S`UAmPTd(f8Yj*Sy{H6`+JG)Yo^(f8k;My zHdQSG7jV}>cmTD6P~40Z+>DD}rGHJ=W}K(iGr_xP9^Z3#^mGyd(tJAVa03Dn;6$On zaGGYB?K)N#JIVkCqs!=@mt;PVw_V5ZjmZHn4m4vwy$XuJS3c!2?)g~Db-tNy%WfB7 zp4_^T8z>9X47XjQy>8MQRc{8HlMU{R7#rry-PTO@&JN-tJ`idF@o*>s?T zKe@W@@2>5xIW%Zln_sj*Or@6~U0VZQFcy8sZol4|(RTYKcGu`YJM5N9yK9XdT5E^% zcbZTz+fT5=qCa!m6CrxtD%HxcF+<#VyrX|hLcgWUn0r6YDJD%Y=<9f zE2-FpX`fRk3fQ$Wl{+bdtk>J2Gwm>41rI^pfDdbY{ccq**_9|p9?oiFK7mQ&tYNg9 zHQJgi3^#+|14a_ta;gpIB5Sh-a6`k981UAGBmr+3!4Ay7 z!ZO6&Btj2Z&8CMI|JFARyN0yd!HQy~V!WJ*G-NrGSWbAUL?YJ{=InYM{OdbS1 zWX9X<=!Z--DJKAi0YAqjScUz=DA0dRz}|8Uc#l#J@asDQwEgP982N*%!CEb$*=A+O zIs$UcO9v<3V|>`tt;<&r1ek4BlDi?o{7#y;gI`dz%jC|Y?n|o^hCge>A+jfl|F5{ zitBxM;PIRrUqjDci#Ba3%?BuU>JiNpQ!bJMh(R^lk9JoLqpoOnWW-AeHRd2)_?BYh zDtKZR0BYdu=)!FXRpV^-1$J9{V%}{%NqX*al7>}wEijRkmQuzePC*#aBScB~RrU)Nu z!15|(BE8cNwyRs-{b#T(4Y1h|H?MxsFvn%(v{ULs8EQ!k9KGT1NFA{fb zeSS$HN3aquv3*C&QyFCw08FgX_TFCsy|iIut34a516$*GsdM_$v&Y-vT;r?2nL!0Q znG@;Y-qFWYNiA6Gu>2L{qW4F6loPbdD zyPxd=>7vh!nG`#SuHNs9!5|11ax$`FKi7&Kx8J1w2JaWW&J<`2U#fIl!9zd+u%JL^ zcQqTZ6n6M<}{5NQIQR5d8*oqwA zYLVv85aGhmnz|Wovv`{Ode!Bo^twC>W>0mwrLDSbwMesgK^?v9dr$qQZlb6CLmhsc zK{$bi`j#_{;XIx*%uILV_fJje=>NFUhVGd9m#`j2}00&u7P&MCX#{77Sc- zV!YCR8#kwdR|>ux-P>2NR8(iVR{23`6};@hmhF zqJPT(vT*>vhhl*EX0ywVPGlJ2?~}vG&UY-TCvKWu9TArA-!je2a`YNXP*Zy=jKK*i z95%~1^hE)j8){^WawvD5g#ms6h*;)*;f4aTx~l_Lf`c6-H=5g_k>|{m^hOP#%Q5X+ zDshY^Buoa%X?+4nG%#Z3A_+i;LAASwZP?JYS$0%b54QC)7S$mgkhB?y~gx3bOGnjS@D`U6xYz|sgn_49^EI2s+I`IV;N&9&(2&0)#=^GC z0$O}AGZxX@>#`q@(`{H{Y2cW@(eOR>_`km4`$F_;io1rtO~bRz1#0**8lHthAxkye z+;3z?wzp9AW4Hs9PU ztNFMOHs>$G=N(bA!(Iz;CZ(f@XZ9?Op2->IfWBCBi`Nk=!Nv>;3t97Dv zgiRY~OKWWL1lx5Q4Vxdv(il=wv{nmiZ2mY~HBK07JHEp1QDFzhP?$!*IGVb_1rY$1 z43oEgwcP>vB1m|4X-)%Jr)AWx6d_pgC|i1mEuLXfQ0vU;U2l7>g5rlL<*j6~?SjHi ztu4l++hW>O+c-Wj)KG}vmTI9shP5A5ZVY;WJ;X-#UTO=MTJr~6cmf8JkyOk@I~1vC zwe7N>gr-I2xo~4*1~(>7FF&9hVX}xNp9#U3UFiHmv`fdJo~ z{#qri$vjA_Ofqjn0Tc$Ub(PzrV{lwDWp2z8+>WTQCyzpsG!rR(SJWb6Y*3nAGx_!J zi0HxV*Jbt7bf4*T4o{>fB}(f8nV5y6nCSn}ypBpiqj-c{#N-Q$4zt@2V&;1oOuqeq z^11Oi6>>_D`W}wh#KN7vao^@laP{G{h?!oYPi4FtIf{2}h>nFe(&@H%MS2-jG?iF$ zmn|oY9wTvaWTtY4Vj9sRXIBp$Yt+m%Q?V@SMX|-$?4YV|vR!4F28f>g$P5g&xv$qE zR#S6MVFvY(Fh;G&M_;D>co7ww5g%`vziRg;gl0dXHNr9BksF}UmO*`R7>f$8v3bs4 zjjk28V=M9witsTgl?@%uu7!BskT~v%4&lY9PRJ@lH=3N0cRs$Eo~ZGZT~CWuM-!c4fcQVumW+fc^mDQ)t@i!KC1E7#cp=p9SV=Zik^tw z23abBz0XF;hD|GsZ4|VHuq;`f)ziGp{?(egz6e#l0bCh|t57*`w?k4n7!ar&5a3h} z1~3CR%W8N$YhXg8K`0%LJ zs=PyEVg`NdHK^{#Zx9k?%l$xZ1G~au5+@WQ86wgBMHbg`TRp9 zDLo{LSt@yC5aF4JcQESnLz#y`u8Jbw&81m&(=dx|UPc5EHY>A`0Qg$KHcKK@tedwm zx3?8pgW)j#PAdxG3g8;Yxd%rxi4H{?;6mcV^-w^99WqlUK53c2nh7pp@Vkl8SwpB5 zH0@g3W!=&&6;;)6yEV(fQ1!PtiJvTXWpjmTa8ln!=;2<(W{7?B%@q))!Xv@Kj_OT@4hqSFI8*#yn}5R!j$-+~6S3fj9@S>2hmC8{EY?k^e2BIv9fHA6Md!L0DW>|=>?Zq>~b)d{&5vdx=eJsKe ztfgSuYB(*-LFA|DijIQ-BPP{Su=~aU}YS?I?2#w-GYO_Ir$dU^_vQham{076^h_7=Si)AIeOA z4SOOTkyKtJ(C2xxz;7aE!7pP+xo~n|&N$Cc!q1nG>QA%dr0!@wEl{4>=JeTobu1)cx(>`61{!~p}R zpz~WR>t7sl@T?hA=Z~8-cluV$d~53t>^*5t?c}Xo$3QLNSMz4g!i?}%Y~c}^}J!wkq{M`aryujo{{GoR4?5VQUGiipv z@5Lr#zbYA;h$nK})I!18{|q<3IpJGZ4mOVTNZx0RjdvcW4Pr1yr`4rmio zPcoN5rVkIbc~0%jpl*3B%l1Zn5;_Po4xwlG*t-R zk{S@I`?SD|W}8|SBuEvKH20k-WAfY?-rhK!=6lCm5>LD)%#pY1Q?JHCHZmkMol8S= zsN^o&&A)5p*!Cx(N5 ze^Yt8Il8HHK1e8HIlZbWe~byH{6YA7-{f7{4_YS> z($T#f-#1TY4CM#`1QGgZA>Gd6TimJIu)Da`aRVAm5iVfXunDMQ7bC<7-rcCKv}Llu#}tMG%KSQfSS5$-X9Jh5F$+{u0(W}b(H59 z8ycRNpa;b0whI#7HUR<5W_DWz-L{IZ;bx5b3)0O3|0|#s)dKT(?gb-`{bevL4&yB2 z&m>tT@lQ55=lii6Oo$ve2k#ZUcjCR7+org4%8TLd227|S#(4`SFzTH03aY=_{&$>@ z$nK#KH@IIfjA!Lac+!*wTic`MqSxhPvPb(!W=LW>17?Z)>f>8+Gw=AXLqcy<{o99&wO#VnKt^Bm?Auf)d$(|!q9Bw$Yr<_r`w^AN+GaujGMOrz2}w=QBt zvK@F4QAbX*EvmA;$5h!O^aIGgh%0XYt3mZoiI~h^G6mKU14HzP5Jy#yv*lw^qCke! z!x`8qXJ~txKe+PBL4Ay8lIO*$t4WV#KBSuk$nAPCQT|Ljl!7IFebaZjF1nLQ5iiex%yUgaH!B!m@6=bjbE@cpKY9fG+a%}6D z4JGkC-EbYdB_YosW&;4!d#vp;z8Zs`whJTDpFyX~O3h?ODnCYQ%uGjcw_P{hcG+J8 zP>KrP_z1E_m&qGsqFIBzKt=ZF|2}4a#;ZT0R#Ss_yx_Cm7{Z;P$O%CtgZ0X z;QS+`;bzIJz;&*`@rnj=q3HD?KJ0?=U%pX)_1~YWO!c3f>ML^$n?)(*VD9>tSPJzu z0zb6B?Jbl>DXqK&2?E_~*JT>$cQ||VwO>64{;D3dE>3&K3B;5?R(}gYk~2u-EzaVLk;>+BR0`9( zu~dgu43ol6@p#)4q3ie{D{vcQZAV1SLtG)s?l7_|mWE4Da&A9YBlC{MSbweL4q%bY zB<2hI6P?ShKMpRB>Ahq`9+28jQ!c%BQJCnl&y>tD3o`l_+aleg3We!+@8Vn-a6+Vn>)jRe)1myB4hV zLhggWGrboM4+_~T;meHa!^M!EIvF^B_r$J)cS+~{HB!&*%3T`aD+J3l&!df30D{Nv zi9$0+dM-XF&0krL1yJ`DcF#yMSPuOPs?yTAfEop0Lp={@;%KDm>j{I?6^4{~jcyTdOY}pqa9^h02LzLD&*&0}G>!p|3oi6CcSZrkAvB;X7(s{`x6 z)mJ-}HG7LQ`5EpjAaj)E+vtOAG|T@EX2hGqQDfjDVlMTJ&dUpc*z+P%j2ZV5myew! z5WEsT4J#WFk(i|nhJFibbTsF4q;GC$2|hY)>CXBL{T}TWMUH$lg09lc6+Ck;ysYO-p`CeP7-}MMSR7=}&qGR^Cl@rLT+Md$2E;B+ z2UoymoIP|c!Us{yeSq6aK0xP0uvyPCDu}tiXv{`TXZN?v{p}f#M9ZCvWFl~s%->@7 zx77XZ;{NtJv^whg(%*Q(|Lk$|D)}0<18)#x{t9VtL%iac03D) zm|bFAXOnKM^CYQs-D~U~Rd)PXr6%1jpKwUHuwVi``=S+d<^G9cs>}0jMl+QBUngl3ms@m6YH@Ok{}NgOlB@r1wb9 z_Wc*Ogx#ntH67Xze5ubUlQv}GxpyNUPpG7$TzB}23~j&sJu1xGY|>?TAH%DvygV_7 zwNP1`m!tA+&OS^A3|uUyL1ruw&_|^3@j@@ONRjxS33y33JPHT`XYF*B?Mn;Ey8%9l z4Bn*K7)GMik%*MzRC;>humLx5>yw2-0H=mI2q9D%92h4>Gy+p>)uT(JKdq1U9acHb zC7Gf+k2JklkmektQ{unP2YMk}qYROjOaei%N$mP*3*sF-VqM}I<&TaTK!sT zJ`B7X&4nbYaL*NLWZFvk@f*oVt)u@@Yc(fB7&BPwgTXopnInTG`wkKsbucFqPXj)X ze&NQ(Yt6r5yx0hqm}aWVj?%=@-D1CBWP%-qgl8L_Ssl!WtWg{joXh!2i3l%@(o7|Hs~Y z09aXE|KE3acJAJ-Y@;nzP&#*)qA|u8E9LGk0-BhgF+l_t)&MF+vBQE5YizMDs90k( zF;>75HA>VNHD*Pl#x8aPh(?XRpYP1`+_HtDnD_sC-#>cynO@JFIdjgLGpL>I!1?6Y zyobefeL~lQ+3LPZ7=DA+)3Z!&+IkB50=T`;%Tq1ZlzYJaH~ID3V@-jDVe5rhIAcnd z=4eV7;yXFBwKK%BM3e8|!m$C$e&{3x{?Obf>Ix28GyQu1cy6<{KeCtQXojfix@1kQ zb|uRCr%AZ6dM|UVNc!C`OW^3{9E3$`<%R9HlGrT+x zuclEedGwv3fbPzdw^6jp(F3w~O{6J1=F8jtZwUMz4$Hd%A?r5~{5Er<&%W2@)-eWI znCvlQ!s0JHisGLOi@!)3-P7gb?8MtzFm2*#OdClH z#e|5%v>^p&bOcJ!ey9}-Q9b}F%|h_{phv}M;}Urfy9J~vWj5ITVtYD5{uhnrr4t*a zsUlW7N4PUUvEb8xXElOcPZfv#3s|=tSb3S~9?EGjJ4r_)#~eb`BAA2(Q7>#J!}0#- zQvB_YIKWN^^!n>Kw-_RPKy;PFL$ZoR@Bp4CLPk@n|on6dt*qVpNMce1Yw2N|d z>?Sa8q<6W{Nf9$1hpA53{gH8%anY?VPBlM(f{~a2OMIxiyB>VO!;3uMSFhRsUIHl= z^2CH0t40xi7u5_Gy<4qv;UdleMo)yMC3U>nPRQ<8Ax7iQyq!raCnPM)qTyId<46Jd z@1DgZWP;P|^Z>6i56JKQfu|G|cFIvVE~nwL2W*%BaqnI?Gp*kg;L>Tqmg7kJ)I~fK<1z zdzS20*jKgFmGBFq!Xf`Y?HK3U$=z}T652Ozc5kM}jnucY&0aC=ZTBi|TH5Xv5W|XC z2vyjDvin3&vpdDkbz;$843`c!ec*PQ>^0)_QR9EzwLwdvr)Fsuo@d1tqz!DWs~NAO9#54D8fmq z*7h1``%I`AYhy?f_A^*fV-vawO#)+@Ii@YQ(d->NF`tdm=PeJI6S@4v?2*!)fe*1J zn@hkT(?&bljX3N!hIpH1ubcTiYo$(fy(FBANq+`09cTzaImq-}&VgXzH^D-M%a^G7 zUyI~1?>O8^6DElRzdh>j(*Tg^gw=fM* z0ed#)fK|Rap6yfY4Cy8|L#|e#*Yg-|u>vrmjeglJFhx1aK*`>YaoE_wi1be2;d9WE ziJb>tqGb3A8+k_;*0$t(x#I0(XSCrAmES}~34QUH1h!N=lB7FZNXIdfT!6bj=WZed za?VOzalVbxg4d^AkY-H$-4*3!XXqudE>;W(TC^dTJYGCr{&x6|~G9B8Mc* zztA9L;xEc^`jGE2Qho3wqOm_m&AZ2A0k9{N=kAw+C<8a$` zk(8PSV1Bm7_QUYCyTq4^8v$O61YC_AD>Fxm9b-Grv|Xo#d6BlM4xf2?tLS7-94&LI zRYOv($|!a-`Kol;5P4(2(WqFR9yF!*F9kIJ;4Nk}U31o>28$;!JchMbXiRh|xQKx^ z>uyaJM(?;LQM6OB*K8i(%Ig(b&e|OUO+Xqo+T2e#Blgff%Y(Pk*gOQYakJd4^>;nYYbT#HW=_-gDUzx7Wdbta z$9z?j9mE>lGdOQ+p8vN23E!;uMkqZt84*aOfzT zJ0S$I4^Kh_8bDZLYaz@%XRUX=8wCS@K*6;VuEDJg6=2KF^Ll_2ZGx=<)-D3lRz=+q z6!oWVE{c}082^3rO1oRsJeZT+FN+gEquncj%jZvANs0le>8=;cf~iJ+_8^=J-qjH2 z{BSWvPF#uNfzm~AH!^JI$(-zkm_4H8+gRqkxjN0^I)_p1?c|h~WU(S2&7z(sX*j1V zWcb?C+BhG|#CL({cNzP}&~^nus`SeiiV z4YqH!?3kmBA47MU5NDBWK$9I3w?AyMd%3CT&R8FZLwdXl#++7LYZ2RqpNZ)?Klp4aJ>66r)aCwm?t7}WSZsK z{W_uq)ntBma$`<-x;1ZqGyZ!cn(#y=Nnde_%XH^t<2GOAeR1Ri@87QQJ@N}Ows5v$PgbO_GsQB(NjBN zAClI?=PN^z4Zwo#M})P~tUeB9L*#G}edZpF-vJ40ECTSF=*lQ8+B=UEVGcnO+nqJl z1+7FWRCGZvgVPK89dI9D{U+=|VtUlrMOkC*LHYo^ebGR-2akq7{f(o$pxr|5gPp=i zdsX}&kOG zOhie{n^{HG@HVV@3^OY2Ed-Ew4QpkU%HT#=b6NrLBPrllX4wg`^U(XSrB^{2=9#4< zm8jHgs%Z@TPKdTP0arcG+_1B@O}4g8HBFh(Ji(w?wvM%ldY^_D(lXPylIdK_aAY5@ z&{h%cWoR89v^my0v&QsR5!X&FnH_7;WzZVWTyDV?S*V+8o*i+E@kG zoxC1nHm{Pr2T~D&Tt9*EaivEO(mcNQ&+o zW;{!wUNH@f;eeh}pU(!nM$Dxm2h7_nzZgwq+%87s8#C_`Fii0mvqubb-o6Q2D+;B? z){-+WrYw?>q5DH%YiAjw(|gD9ta!}pbew2o)zDcg@Dy6e&a#x93E!q;8>w$8St7WJ z!L1YWCa!<^@WUW_tBF4(VSj>_qgU9h9%IW^+xS$;AmI-k_&57Qk`#+`QFp4!{qWdk z$b$1Zlz+%$H*kqA)IRtAv9NzGWy5s4~D0P*=V<~{_S@c{RhutF6bgb5BuDhtDlj=*6*iXZA_Tn%H zbcN@jugHd!=5pc~mSUlK!=1Sc%$3IqJrtVP*%o^u`f6hO3lR0tT@L9K|6|$7C#n~= z@@dhw>=*t zmS|l^9VkZ$QkBr;1VyDa(6?B9X*S_u^v!r!_&`oGxfIU7!DWr+V zU+ZZ@rbyd0`0;uN=ofyt#4*YSbGenL5?#p9xa(G*Q0l$C>koRki&^Iu;9zHr zM@@-)r1lyrFO_KDz7HM|WAQR98&3BHbXuFH9uw4cxnbnRd!etuq zYS^W9Va8Cy8+u8kAvQ0x_ED0JV%Eo@V%C=@ZL1zxFHwhQ=4|GLNpebaA#{SVQN5K#S2LTW*V;q(i8-uTzoP1!b2e zTZ3{^lOrqgrh_qdg1+d+^K#4J#uCblclY8z!1}z_h%7key3={^w_e;RxNIlz`jNNB z@d2(eD!CIr_)Pc zC?MUe%GqK{?Cmbem(X%(wi5TZ8_ypVLo?kELkuW;NG5dX`Ns@#a3iri5O;L^1NWwxbj*94~m+Z$4~8^rO_Actx``7GG} zC%yC2DJi13rg3+ZrlCU7$}EV~0A&_LbH51y>ovpXud>}1+5G7m;(8_nRU^7r04M^> z=)Eb-+@HRutkD$mbOFpYo$`p16GG^XjKrYmiE9fTM_Q?C;cS9!321M!!o%_VA!czz2XY0d4u2CvygZoGga)4Tui|wZapdwFC^qQh+nQBb83){|&gXDnKSX zA@M(#PC|9Ar|lzG*{W4GJ_ferVms<&w_-I}h}OfK+qc8vq(SU) zDP#v2uI2?^m9fx^U64m>?#Fo6s7yZ3Xn{jaIi!ZVs`v zQBLNr7_>aSFhDuaJOf6Oq5AjH(~$o{0a29`$N!E?x>?vo`WwVY8IRDdvR#(x8O_RN zJf*qJ_PAe)-#w;DXK|+)cEBokqxV|W6xJknh4tsa z%b7IfTx@P!1L1!??mzI=YumXZPMOaoP>oxEw6PpfEuFz^8@gJ(o9w{gwDGi~j&{RZ zh6v7P^E;UToZ_B=lh9|F-u3H)0v(S4jzS^Zoh3TL;e){0F2P`47hB#hTz&=$42w~F_^eOGQ=5FN5O6)udy-5eHm#u#2U6aAG{V+ ze73%xZA>qXTY+;i2TTSTDMYb{^3zYmlB~`#-{KvyKC}1lyg;&w`mK2)XFbzbip0|~-QC;CjX=n->^PT1*CW#yZ_c4fRdIBDo&O-`|Ao5% z#9`On$GhX?<{E$2SPkgFSosJ%1BtmTOY^cMDCSDE`?~J$j=2#9IaVp2OVz9$Jjx<6y^Q`4j&#X z%#Rcdl!W(vq+R_a6Qcx)*IZ$;V#6DwfiD+kPbaY$+{=we>Aeu?KLw>PRO#QOT=NbB zwN997q|L&$!0dJ_8!SSbQ61k>xx8Pp6=RWmsviJ>G>W#dW9+`mxNU;EfP|3dUg4;# zK%qTAKJY8Ehh#b2g`K=^)9p?t*{;KF_9(|5y%#=9E)RhG33i%vC0C*OAg+SAZer(R zNvf{3CND2QdX3&;mJ|&3zmLvfl2T+JOf#xtnbsYx2P@GRXA~COKB!CYZ%6Ov0{T!= zp%lGHmCKE)Fpd;`c!D>G;mZyKs`t^POXd3C7PtEp3{0tW*=9k)neXf!ohT3d3iR

%nsVT^ zzjk~*j%K?n)VtVT?t$WeQa%{{)|~9&PMzjy7^8sO3fko^ORAa|snrmq0$+stDxs)6 z5Tx?qsdrC4xpI)QLoib4#9w>HCEZep-4;U zSeVHHYo_}QT3ZP{@_(9Ha|2c1VxSsZ&B2xvZbgwzB605qzPdnuM&Piov_BJ@j+>ee zlw!c;5Z2kA+-Vj*+%sVwIzIc<>@4N`Bh!hxqF=GEIEy`l&2qqWFLFf8{R}#%zlYHx zlbt=@NQXP-hIjSx*_TlSQq@1_=n>VO;X-C#myH~2HXz>xQEwb%koIJ8oacafy4VGR z7kXhZoAt0fN2iYh8Kx^Y_n(}-hsb=V5^`MZr>n+RW#@Z)1eZL63~8O!-BX^SSiQ9FGuKZ*qReSLNLU!WH1ua zuf&`Im(|gN?uk0~2-Kt$JuG|(VV*4K|0itoM<;BnEX~n?=VGO*(2v0ym3L+|7=u^8 zS$S8c%DY|9pIjQ2_csg`Zo`;%5-<2BtB3f?%;SitJ8>dGL&EuAhwLrad%AgM83GC= zdBp_*ax%BX@UP(LoLlFj)^cn{B9e^yjiL~&t+qqPaln%@$PinAa`vB&(Yegri;hqc zb1^7dP5yr7%9A-hu2`Ug*%|JS#R)a8;!bv~Q{j-m3Ely-e>K*Sjd?jYj%y`f9qd=@ z*1N6d2Idb@^;H^$npcSQ5nSabI&}L&d;;pMH0myorBzO+ZO5#q_GDawjq@?^^6px$_LQy-t=W@<@99iFvQQf7-)Z@TN1z1D=wX?-ZH#e0c9jO7s#G&}Bt!=;_&@i+7 zh{l|LXyn~%6FL34{q`t}r5}B}2jqdUMN6Kx%#YU*+m~3SE_JaTXh~^w9N`8$<--vd zq8ui&P~jN;ccqn>KOyi4{I(M|4r(c-(p-8nDiYkzF=wBgFdHJmCNDTRLLgfZ$F!Cp zgQ>$ll4XPii6~n!kT8LBG=6#Yhanoxs(kF9=m(aEs3=jqMJAbuwQ- z{N-XKq*j9kz>Wu4DI?b`%7w;%XLcimONk>yZau=8^L#Vb#84UY)B>U&r;jYCFC(!v zux7Y}z+l1qx!DckQ)oTI5xm2)={yc!h;jZSAg7)+<_Y9@=Kg3m4)-6|ry~brMh_}8g4sk3J?H9`rCeo>nnz~GamM-9>&v9iaMk?<9H;7LIN;pCJ0&bG z4yl}W0X%wf8WGKYG!`T2Z2=FY?qzN}2Jku)WzwLZ4A^a4HUu%CqMB>|L0LVbOH_ur z6gFvCL_dKJ8jrM-Gu)%aC`|W*Q~US%OynEf625|4!f!wzF;B}O$Ptv&uU8=DIH;a) zSht0PWb<9?<3sKrQ^sWq?HWZE7>A`LcB7FwC$pXd7pvkzE9lVmu@_t;9s)I}QI{LF zp}_nF17&`8>ovQy?iv^-LF?8Nl5f7kJYX*J{~`PZ?r9y!;f!qY0s73KrH%Njxd;t) zL^l}$B*3cTX_OAae*2{ahH^`Zb2pj+BUnl!{Zf)1fk`fV$AOtc~)sS=-nrrymc0(#q;_8j+_cjD}ojfv5N3S-A=M zM?}s3J~tEngxq(0JNiN7%r5r0R_ z!vx>Lm3M}&9#yHhaz77iI!32@vA?05fDv04{ALgwF_$wgOz>+ty6@iv0P%ST@J0hi z)5UR&U~T z3gVy}J)UB}_AZ&jX+soOfqAN`sk zsF#~uL51c4m#4dVAOOAx;N=P!F`Vdt?*T^L1D_w$hau=QmtVM(CHsAtZ^ZpasS!-j z`5hWy5cYb5ec78ha+L0d2 zn>skU4kP9Z5@K!;^}#VNic#pG`_XFKDRVXh=QOUuyf;rg_ImRqy<=0olfjqwG4EX& zcpvfJ`vUKS-uqzSz0Z3e3A{X>tD+wBUhvDE1b{X-0k4=&GE4Dx!v}9xU|Xl*oZ_0x zmS}rTuX14YRuSTb<+L%+-0nbiwcX(y+MWdZK*=HxHf@-+5iMLYVCS#VY2oOavA5LVU>dhjv$SB zGJXbmz)Z8zoQC=kPer3aME{9CP7qLOcB*$r6P8~!;khz8#9V*MEp7UGZyq=|*Kkv# z)NrLJ*Ki}Wh?B}Euo8!}?DIsS1Hw?)dSa#!oBq1?C{mdQjkVQPP-HYfR+h}UJkzxk zSolZIZ}wsX{ujH!PQWeq4Tp}kJMnf8Z;m4}qJ+Ic4m&`aj<+`RyN1@K33uv9lh1G7_AkU@;X9<=1%+V`ZeI@S6 z91aJxvsQ-$FhZ@vA-6#m?TH^dupov`+Q%P&16DSITwTrAbKS}_Ul+jmy3<2Wi!G5- z2Acck)7edI-ThI|GJlaUvdWE9f5xe3jH~HJNLb;wzW@};J)`YyHY}6kdLp2QTDXOs z6uraeDY*x5fkC^DoOZZ$S~QHKrAvP^3R(iAo1u)|?!haVnwAgU10I6tZqZ?yDRY-d zf?C^QdFEeUfnQGho+Vb2zyhcW@PgUM;Q%%M7dc6<6(^%VMx>7uKM5AEfI+Eaff%E{)_0XE|RuRxuslYtmb7C@c%{9kp zW2n%HO{trqrz^}&WbGN%dr=iePPtswl2Tj-$xhWEj1D-=Q7i%-LwlKPIc;0lf|Uy( zh0P-= zcCB@OJ+Pt0{~+r0D!Hje$-Jv&yUrj7ja1#t_XEeSyqaE!%p0x^QoLm_ayje=2v_K8 zt&G0xkmONK8|SY#X93!I2*FG%1Sv|(6&9A$frv%ME18tQcie|oQK#|2b5R^`U| zTqcA{rZ8BQOiS)y+pEsat)V!)l7#G-J0KM_r90m=wuFJxId+GX6H<5_eVjqfpm_4 z5f|wa=T#~a^Y~fZ*}LpMn9pk4qgq^zT+?->8~SH-8csvgzlRzZj$I9Zr{h|zP+X`3 z3OINJn@%T*@K)z@gnO7R#m&ppS&3!Laure{flwJX3+u&xszg+SM9*{=_M8;A(fws* zhlz`hd(rkg(e}W4VV!Hp&A9@boy^}CG}-QcQ@GKQ2VBJs8&_{WJ381$z*p2a$lMB^ zcSLpovc+Ih8B$`U=4x*-|8@wujAzHVk(SoOr$MnYY~i|pby!1C`m5=Y=eLLyPa$`r z%XeyvsQ(bvleJJy+jcSU9}SK?6ABP&6p9aaOF8y|ppo2W$Z?3a0UzRpa_;;UBRi0T z(-N^DURc1@mYu+=97=?syO`_qG*dmy^G7r3*n1E&;xe9r1KFL#F@2eGy?T=N-W77b z=$tpY1kWkKEzbFjoPWT1e-sq1)c4}auJF9j?s{_f`Y0^Ye8hmOL%Xsg6?{j?(!A@0 zkWI{)c`ILWhAe*+$~!1>Ezh2t$QL|Dot5=PaS?xc6d4cyhp)3AFlR~x_r7x)^zeAq zK6M9-J)mmeX-79qnO1ecf&5=LV)&?$3l>y`&)laaPDV}|vsdjTUxd=NE^uozt2Jp4 zXU~{CbJDE2NAhSlO%C4EPCe+JdSF}W<{sI=bLc#cof)i`z}pCRkGr=Ku1CvT1P8Bo zyU;1T(>-a*tdXSQvGUBCh?_TU0d3`h_RN^cQ>U~n)U|ldRSGLOiWp9G}oANFfW|SLCE1T>N z8`;ETGW0lQ2-R_9qKpy!|LzAhy zc|V_H^7=VaAT(DL@rZ?Nx12XW?w)l$nW;9Bl6GMGJA>yoVLn(y`v4tPnIOy@v;y5! zz=8#nG*=!zSgV;}<3YDouu@NH4d{NI0F{v(%ar#%j48hx5mz+76QlMtJA9!TB~7ll zBN3w_nczHF9!%5ao(gm{^{Nws4LItJd=ug;ycVek0_NMUv3)eRnq&?{TmVw!!mI@J zRM*(gQR(8|2Aox^;CC^JSu#uymBsNkuzmi$AhtVOFUH7tZfUsfG{|-yw(Q=;4R)u+ zP%aWGJ7V%`s5jU}(BBagy3xIfn&P-Qx*j`Ki}5J(GL%BNoA7ZlJFR#`rEK7x$7t(5 z7q)Md&66^^j%ctwxN`x$+yh^N9gzfILp-vnp3;FA7ts^k1%%J>w>yO(!sv`9MWvdQ zh@P?-n(?E#P0;fTxwryeT?+Y=b+wa@xe?xYC?ot#VU{iB(v9Kv1`DZ#?&{!XqO$f2 z{R4dgX9IFwOz+v+gKWoyxoEDEn)QV&4zcZfO2>nQgVQdp&g}-?d89}1jF?cY!Tmn zolSE1yw#q<3RKLD2x~Kvlf!D;QLu0U2(~mIs$&EMzpipJ#LJ;mjD!=Nv7*HIN>^v6 z`!HaQR6{fIJjvkf+(0s^M;0>^1yi@+jQ-LUw7<=J8R*Cb12B*h%5p3K_ z!7&51NF}#I#K`T8T(L5;3^yD*n3?VvrRQKFir&d;baU#u^VBcY9Y>y+9)fEUd@~4YzkO==8aMioy&Gm95|1C!Fnh|(`BSGIGI!q8hS~ExU}x?{bEdSp=_t4jQix!` zK(rmb%g;pK_|CCJ3rcnyhNe|l{km_p^G=;sPj6eHgJf@si9GFk`}h`m+iv5wL|wP& z)?)Ydm9g`gvAiv%6N|JI9V zD+ew~qV2O=)sN@u#4~^X&W9gawbzkH?mKzj*pLXd)}n^v%}&t+Ch>OI0OI`9Gp1gL~^p(`MS(!tN2Y;R=#-_e&a5foRkt2 z93XLvdhu5p7hw7!Ha5<7I^1e+T+Lw~bo&?wj6qelN0l8kl$}pEx#4LF_4DmKj5l3v z@p!%K_ajQ888Yxm_s&hV$lQGyDO8=&e)$zE1#YBnFvLG>^aG6av)c; zr^8f+c9!;;dEAL&{%jJ9(WR{lBG82+q@@Svk2#pQPY8!}i#KLSG*Doa#BLHTk)G3O-g2jJ)5W1Sy3G zH|`G}Cq1|iu$pQvX0EeQ2YQ!*A}+89(P$y2N}?NUWcIf+mPP<%%w#cum_pEmQJdHT z5?#1xSMiN#s5F_|c_)MtITD#hxX^i;=(mwKWSdKoX6DHa6^5`lpCx7fguUDx1`$1D zl9r;5fd$D`igVAA66Qb+=+Ss!GGYQ&w$mGe+G zdJJ+|2E)2V7s*(voSmi7v<%bF^{({pFe_+^&dgNQWmskvj|WxUK{+j|SQAuncY9R; z+PUUT?3`%YYi*C`Fv5ctS)Ks?0`TQvmctJ4Xwb>>PH5Gy{UB<<*ORi`{EgB}YnZ7l zbT4!$?`}}0=y|2>&h@7n`RE)rM$A)Mzm(Vu-772tGyhFUJ>$xWk^(G#aDdr>W~I6K zQJpjyf zKT8`eDWM1lNiA`R-IMG5&M1e~l3pkD^n!q07vb`1Y&IesCn{LW7(k}q!n;;Bd&YRQ z2~GzTFJVz_X3=9*-6dMNxkPWdMCXgk+CKVt|Ae^eI~AGrW>T$pMQtI`XD$&dZgVAl zc}S&D3|XOY~a%)p@XZjGJEClMWCCfE4K@ z*c4#@&oDdEWKL&o18=|OB0~z^K$ttQ0VRjO618XMySU~#TE2+aX42wF%ULcX?NZWq zGhebbq=H)}t3v`svKlsR7JqgW%bogi58Zzdyc`L!$mqb-$xImslqt4qiTkgH{S zvX-|qYeCMv0_}zYX0enQ8=XMmbUMl~!JT5X{-eACroH4|+4ET}Uapq-hMxz0I; zf5CbWc$gN)dyJ|fL9z_2NUBq>=Hs?Ny#)D432^fZRjqvmH{GA0&Y@xo;lhxSP23^w5xqwNdT8}WJX69J zo0&o!g)p_aKI_m8r0(XHK%EY#FyLK_v7o>C7E8wKn4E0fzFKbXN}#2;=fi+Th-d<+ z9GZ@3S377fIn)HaK|!Q1acqu1lBmSo4-p@Q)J5$>bcuABP&IiF+{Yzy_D0C-3Ty|N z^HK6lmSt`{-t&?!a>vGVw{UFSnzQiMA1#Ap3cPdAfk+*6Q-Y(&e>lFF$P3@3VJ!~e z-&Nx|dZk*P>Qe@5CUrn>d1@o8#6Dk6^Jg=tu>5>;DZ8y~W_=*ZEbKgVK2D`2x5HbrtJONQ=vdwD?~K)FSe0a}jH1~FCE{+e ze2i}9c8xT@;Y0(8a|^hqlL7zIyxd`+{4Y_R*pmwqd5v~76mjS1;h-#3H#jBTKuqBm%~F_uouoR4dok`Fm}?Xh5$+(!l%Bnm*BCX|NGknl9)~6t-5IDn=k_{@p*q4am&bf)X|oGd?GuR>R&!ON=@7&2p*DCPho(FJv)a^rAPnWJ<*W!S;9 zXQI+vXhyK)8NLqikx}{NCnh(1O2!pbPr z+-JKx%kqA5S3nHPV97thbJEP}&dei|+|H5iG9oRyp3dhn(aor=G8SiQEby*Jk(fQ~ zcDy?92RCZ}e7rxWvy}Fn%G|tX#o$M6q{{Agl`UJsDUq@7%Os=4E$IosiaZ5ShSY-GU64vp2!{9jN6QS%jaxr71`YxV15CfcD}ieSR}fz z%n_>=ni<$gX8VA5J_Kzv;J7cf0X3;=QGg=F&7^>DL*^b)6l}_upuHBi=q(jWTLPW& z6;zIb%PWNHNXXq0Jt~BDE+(>p=2}4J84kY`^)PE8pBw&!7Y6MpxXN~JKxJzM6dWm@ zpry2NJp@&S(+fU}+6lOl3oI#+jhj~~30>i<#Cpdl=zY;dT+*-+Hg~8k8nu-^gxFe* zzoU@y20eiwoRW*p>a02^<+um@Xd-{u!UnMAT_=s}=o5bvMTZnOG)=vr731y5V**BA zWb3xoZEAd*+gQ3JJJrUFy=D@vN;1nMtKF#HxmBaOEd$kRlsBiJ5=k4xR$C}>UPmJ} zys2F@^1f^TTU%&6X;usBY@3F--8oBZdZ?b0PMxJ%o?04VIE!jno{qGAtO#MXN)vh||;S?m`G%QQj2m-okhr6C)mO{!$YvQG2p+4->txpRJroNt0o~NgYZh zMIkETrDxe%^C>ixo@2iVa-OaB>Jys@cH})&-SbY?a68avA}?=YQEi_Evn3$invKQl zuVnyS3Nl&+E49;!^6D7Ro(ag@*#S9Jew9<*=5`^M;D8%Ws5 zk7L4rkJ6O*37tgWz@AR4s7@8-qIXqK@~cGmWSKmFhQ84qRdc@u?g(`Sw%gn$kx`4) z%p`bPpe?MPx$u+PiJ8I4b$`sPUB5SC7*i^gk&L(KJ77gg z-mTZ}cpj6Lhm#e+EZl4rnQNLc<-k*HzySC1D_1%8^K0C@vs_tR#>D7d*=~~Q$pBWC zx!SHznn5^&%HF54GQ@*|3rgM1EwjHv>%*GdK$usQuY-=}!b`$V6#vCtTgjURYx3B7 zX&^gGETyGUt0FE?kRAWwk# zL`VMQlmJOv=yw5jd)A}v6}NzlYmMp3D|5-#p}ckP^UPxT%8W!y9ftb8Yw}=(FRsa) zs5jH(4_Y?)LpBLD`FxI9+ty^Pzoj)1rbVa5wAKl=@lXZk5Ou_dAp|Q#Tdup@oXy!+}s{C>IpT9=M0i7atq@K%Z1CS3{^Szh(V7d%=K`9rM1}y91hdK=^A8A zX0j1WTQ=h9pb@ujb0hw$MmU6CXNsD*fc!REW(>#;(Pnq7e9sYj|M|{0*%oB(<|x5d z$U30KQaC!G@T6YehQ3ETTIWe^;|v7u3-F2|6(_QMH$k=)<{NHVMG-SXk;ohaEHAh_ zAncdK47Sfni;YHevHRBFt>05j8HWL%Fgw?x?$mxTOa3z?=)i z9^|zVTL>1??df?ct-HCSK-@U31IVt&=SeKl)!fBpK5j_@JyXAfNV5brSy)N;v1W&@ zwZrS|&qrgm56)fOjz=CW)@B`VES>@zjYc5hSjPn$HTnXs_+&$|evtG_*j{Ni^~;Bm&RTiIMR4;UwO`Gy&-d^SB~@Vm!MGNcuZe3tNxc z8UJsA?AdN4O-LiPxstYqT=ln4TG6HLsPHRwrV4+?SvYyDm+qZY$X$36i|NUj?hBy? zixs+Sxce6sRAcax1!K%5PRO2b)?tt`hf8uzY;i-z*{&085vu#5S4)u(bv(RE|KL`` zi|>do%rMj!3=6^|Zf8gE1MUVRQjgk(GZzX4Q3w}N1o$3U5fx{TE5W41dXJ0^7W=K{M{L}2 zyB{3JfuyYDVJRp(+69~R4cN#7hGT`5=9x>lL3*%R7mGU=RLvu_dRR65Yo|pv9eG}= zSsIw`W(ADUU5IYotYI`O5j1O8nk8l^yhlC4QaQb4+jgUE+J89X`2^@z(Lm_I%egja zrrRu@G&2{MVzP4#W+9mFt?Vj zC%7;=&K6G>nu3toZyN*59aEE2BCOiYoDGLqLthX*%wY`&L7z_7z--4zk(J=(y_eWs zs%*i%w#%uUnp7z_M=qbXUVQg;l@Om289fR6xTAS)c9YvbcZ+J(@BM$ws^=h^+&|L} zwI1z+9L(?()ZWJGFKBHoYEbG-)ZnfNCHLDYsT*jV3^R7$NyK^XW)g2a&v^*<+ zy92T8hQxIQwh=f6SVUPek5+`9a0m1JfgCbG^IChgFJf znbxz>b;M>KI#Z{xkMDz}w$XMdc3>!_m{af$<`edk4ov9Z4sm5&Kv^Tr9(skd+{iLY zF^sNNwy=&zEBSRme65(rSil9fwU{QtA_z@TwsP|ytj+|XdgI8v+83?qVsj%Gi711s z=Y`bF43E~|u&7VL>&c>M?gPqHZa(1tPpjs3H)nAvZ={Lym}a?oWJ}Exv7rV6_^0m3 z?m0t3J%P*HP)jEA>UVznYQbaw#x&zLZw#KhCglFQ>*`Be=xUoSYti~|PeP}Y$6hQj zf9_#z(6f{L-RjiAvn|1ic)UvInYj|hnaC^Kp_^?EMT=wfmWQIne%rD`!AY9nq)ar} zpo6Y5b*UX2K}m9;BA*duBJ00NPh0e&&7OXnNpG9lNzHU?`H$~bisDK2e#)%54Ra@P z6=llI$+M>eBmqbFtgo<-`xv~wg8Y%T&mb|(i`-%4W_X}^=2`3B(+E8YnPeyeq5Nbz zE_bemiC@o=qRIAwf`=ES;630Dd5b^d@9!Ap@iA+5$KEy9dpFpkjY1@A(MsTet z;Zk8@>AE;Ihoz+1L8>3ptXvYr@U*A$>-Fg(r}zVyzZ~H^wYR z(lijgQeEJ2y3`8jE88MJQb$#5Gx{O)AFiI-EDaB=Z9$^wk!(NaTr3_(5*?D*#u(xx{sSu&vl1 zgH-m1tCYn45Zf?B(wiNI$iz(7YG!}01O{yKOa)pQP)g~@$XYFtK0MhDYvRUY6sXGCHQ6LB#Ny^{P>m^<#&X4Sg(_hb>9NW7g>n`{4%57^r`DqR7hO-vPF zvn}^J0+yLN$zRE&YJ7NPw#0YH#i~~s-r4ouo9w+iT=@+r)$erNmJzDm$)ChBFu8(L zSN76|Qqrq=vqlWB$AF5fiMXWxiD#2O^&CXtpui}051syHi{WsacM9h-s2j1?2O}7P z((fy?n;fDr5zpZ&VZJ=3-YmgfbW|s~w^p_sxr}62Pl6xgEo?n5` z72Ei)nBN7T-i00T7;j=-dZJ!4s38AfY44%DhPjGi`isb+j$pQvA?|Mlk)59Z1U}nA zQng2ZFMbm&-EnrO2FLB_&KSygLq1=6@<)zljPQfm&C_i~!*h1P;kM#_1o>O6r_Oe| z>MD422lK??;VPVgFLDFlR_W^(+lo^**a4?KVBIR&_Re-2ig z;gm;p=zkq^pB+~NRpn+`&Pq+cSx5XOP~oWd!fu=60AP8MnA2J2oVgr+Cf0M!2)Wb) zMX=YaIA+{8N4Jb1$bW@-W-67@I+y&%-d4+{PKZ5Yn=wQt>9I|CE-i7Jc%}tK)Mgq- z*=C}dw8fpm3@Q31bG3W=FarrBi9SLD6cys678t8_QO>fEOVt2gJE|on3yOBBA~{jE z&e{{eEiXl~?1=mtccJP^ZjhCke&VRYK@jHP(0QMG->l3$q|+bQr)1vzXN>@^r=9qc zE@Hkyy*HO_+$@3POn{W7wVb*VAQE95fdfq=7Zka!%W?v?PDMyjwm+ifqZ}4GmlGqp zqtJF3EG-+SBHn>d1OaiD#6la7QbjcA6#!Q6Dcp=U3!(?TG9`rxuIm=S}Mar8|#-poc6+t^hDWx zSW+nfmtcLxnU*Wq84Q7Z3GjZbh<4tIY=roSSs|EVEkIky(W|9{ZDEz|h@NVL?ZTtW z!!&N3z_FtivpbqwWR(3H?5XC9Z*U{lXk#GqKVT1#0P`0(>)onhc=Hj?5KkP^O8P07 z$t3hg48T6vBpzbz3*d9^+8~~}3w(W;&jNE5PMgcphb&_9?#s6igYl>1n;BP-_-A@$ z+Psy|yqnABCp?QqaI)qdMq&|HkoyNC@wOj{3mA!9^8o`<5M>a~nzK@a@Ch9+kX_Oa z&(Q;f0WfheKPy(dI#XcQ@V;Tp_A`s&w3t(2Qn@aF$}LcJxzTkw-<)?$g2K5}gB(HD zDXB6qVNRoGTwOT>g=zsHA)jIv9fInMocdJ|UmcoO32rtXCm5tpOO0&R#>~H5ug*>H z)d}y>t83}iAlIu(b0qJ(VdzdMxfj>imm9c)% zmKVCp*Zmh!9bhIFB!m0AFrB!qndxFX12CKb-22^`QKAwe)S(j=b+pbK*5PO6Uu-Yi zI4j9%2!?U41o}*fCp6D{i;+ARbZ7Db;tzSw{e0NQ1<|qG0Qaas<{WnA-l4fXO!XS6 zn%YP;MydYbQq@ccEuPrq?yxv}22&MxeKM|@I2k>3ac3#+e&WjW&4ZC9+VQ2Q@J?kq z3ovOZeDkQTrF;fK2aaD%c&X{?saIYQ;NBeO#<^f8w@Y!Y?ik7lI@`zB0XPBfbdo_?$<%wSci)C*%tJXMhdmS9_K+~naF`{5uM-K_zTpd3?@Zn zvgunF7Fd$md-B|dDRqMKVmqzfJ3W-j;OCk zr!q5a^3{N{{KHa7yph2tI%K2c1A$qRZ-#IWSL%-?Y?|rc$|y+IYep7D zM`MP8ZpyA4>#|bWn6Ma< zxQeiu--B@6zOwW*X>JZ#)Xy@00Uhuna~;HJC)$rungCJnm_|XJ6=xte1_Pn{z@k6V z*+#;JvxFWhsFH%VxkJ0PCjhrw7K6NH-(7KA&x1o=t=Wj*`BsYLr@Sb@=d`A);!pYbLLN(C#8H5AaA&C zw|V(sJ9hiXnbQ_z;?HkgcxLx!9XV4*wWbGXke+3BKXRn&=i%SU^ky_AwI@Wnx;o3- zsxz{=8*STdY`%3t!TvXD^4xiu)0aNH!C=pAm>rCM65Qsd0wP^HYtO&(JK6KYW;V>6 zH*F4v)>7N_w;q9vxjn4msQHtpPMR{At$XUUNmJ{GA2_K218wa=onUb0thtkBAA!Sf z^ub^yLtAIBZUiHjnfgUuk;a?p*$fa1VM)^f2 ziDI^svIGcTx8=3f$WDE!D31Ll5h|G|e2#f|9mmU~6JTm7dZNgbQb(h^aGezjb#Uj= z8S%YfP?YjsFkiiV42P*ic0tO-!sskENcncj5VT^J>Y*A=4CRKWygTbCP$qAoBGQ^P zQO{wNpn&4r{(rOl|7yfMKzcwCP;hbt6nQR4W(`XL{lM7)-Kq+6BbTE}&9jnzn46(h za?KmKi_JxZ=bHDV_je^v%Z=JNo zG-$BOg;R1DK`r-5cr%bOu;CLO!G{0h-qt=~Lj=@nM6dDSGZ>iOkA36XCco zfVK4neE?aWjV>U_asymap82ve44(3wCtcewF^h**MMrhIs19uD*yvI{aM>M8YXfnR zth`GHUm203yVGM~s8tLhoB$|VK*z;Ic)89ou`Z_SGL**`W4<31XYSb5OStx1%hSzu zwY9ZCA_g$PS*o*;;b$sPy%^QXG0v8awuQ3Mw&g@MZgynBE>+ej3Q!e`Bs!$JDjp{= zqg}_E)l_p6_Gq{P$X-C}FhUq@L>z&Bci zaAud$kceD_Eh9$mX6M$WZTaBy5`#<|gQE1O=oDa=petY$+Wn>4Q9-rM1`RZI zqCX>U^BQi0u>kIi#zzbG$MYY+H6tP5@q?b}vU<|E(Ci4?8JF_MDX5XOSB06~oODdo zQ3^$EbvZmZ<;uBtYs#VQI@$M0FMk>hs}ocQq3yZRYpLViBT^{`!%60f{*;96wOrEes~!ca{$mYxz5UCR`IPFFxM_kM#;%iehnuNvs!{9vtLWt z>DaJK_1+|eQg_gG-DBX|@OYgdMqajOI=TJK2 z@+UOd2a3E7qit$XwDkVtR_)c;3@kFQ@(h4`om_9hbdssqU;%EalWtk+k~RAXtM7kD zCxxd11i>t{)mx8lP{{{Vq-NB0c4ate?3rp7E&KSWbi zNN}h@Fhf#qsR5PMBlR1}Rxy?9kelfPvha9X9U3ujy&)$L(yn?3NV{vf@7_J1t9bo% z-Hu6E1xiVZER$la z&sn#SQ}1}U9XZ6w%+v{+V|9!~vOcDr1ggRu9{4$#I{(bT&+2#n*(y$(pL`Cb*2wNA zcK~^jHsP^2_R@nW5bfw-1Mz875$*di$42p~ZIg<&Un%~C5T1y(@QtP&DqPa-*N%+t zwLcb2lpE*oY)q)S1ekFw@UwN1rWMnS2GMae~Zbb zTVqA>!w1oB{C`Ud4(Tl?{JR>bGWcOzhPx2{{1-YzaO;=8c^g;&J#X|^j?cnWrokgL z#`ffJGA0*2KFj>N?dhzed43f6x-8dkTk2A$+)3F5y#Fvzb{#V-K^@$o&X(b!|C`76 zpxg@7ox+a0B|=BEFD=wDU;7egkzhx1=aQgrE~nd}+8aLYqNDs!yu^BciDEOxn zmY05)jPnELO`g{GolL6N$u{k`} zJ2rrZ6>$|^t?;dsR!Ubj|0wB~9Gg&*@h)CT)rTM@iSo>JrR+**of#K9&kRqPaZO9l zAlrlq`oorQI??6CME2c@E@<=N-4kZ2E0V%RIuxSJM>QNA3&ecMs`C6X0}*w|U?xB} znLFo;*UhazRA9s`0E_fM0kIZ);6F-snP&dKFdyY+dN7{M3=gbhtA=hB$NFpDFnkW;f^si9T}T%QMCU5l=(1SesN1yR%KNuej`g7@o7w2& zW`yJ;ar`9ogauwl(noyq#_VPsn?g^Nr2TyI#_U~Fc^gUK|J{V^F`s;K_6s;Z4?X!L zALR2c&fYzhcQFb4zguuU>60(Xei_GCp{JPSai4cd_MWM{sbLoU?9Pw(v(M@%#{lwX zMSko{jzq4&AvBN``AHab1CGb|0j23dYg~|ChIIe#=I?9#vLZkA0gMff78#Z48JFt7 zFjX^-jV>rzyn@_?_(-yN*#n5Vb|o`@+&ZH&eaSDa5x670UB+bb3hM5HlEv#W@m*a| zvUovhi;Pzj^ngn>z6-^I@G0_$TYZr&3IpMLCNCf{oDm5i+>mI5YR?eBw73*ypQn%XT5mZ3vZFY z|5NzB{2ZD};5az+geg>zBFMSq_9wnDhv9DYiB6##{@M6T6Glj34uObTg@35p>_V|H3aDWq{3DVkbl zTSLGMZduaV>p#8Z{p2ty{IxLgIvk&co^S*nBR~=4eEfoYzu>GDiS%~&HP1^8)61zu z>mF+S!YIMMK2d6z(h^;L$~|8fbSJ@~K2d6z)R>?;m#E9;61icjAjL>uOlp|k3W{;V zlvYt{n06)0?k%%Hr$wSs*V%WMUH)-$m=wM+OnfSii$hO1Od4E8FigLHvgr$6Kw_Ws ziBiLKLMlu6bC^bxKOi-Ombm!(0xna7E6wml# zQp2<)D8>y_T1Ba0LhulIp=CDiM@CloVaix^3h&9!Rk$OLk)bCXCJnA4!bP_`?n8W{ z)G$@161hdE1Pgtl)G(zba_i}*B)GySN)3}56IACC9k{tfuGQm7ailLMHB5biV%#vL zRg@a0d8Am-GTYSz?49d6o3ZE=zB)|&AdY83PdH2~2v9`0=w876xlfcDrn^&#+@e#0 zo>WIGQ^S;&$gQVMB-+g0WCH%uRrqSzOc8m0$=V%#vLRg@a0GO~1P znQc!3*7BpX8H-Ng2ZxCd#c^cl35Q98tB7#XEx>(=Pm~&_pQIAGMW+Ne_(Z8;N=xL{ z(}g5Zo9IevnADh{I+y6Y%_VZfbXF=wYM6cz6yt^|t)kR0t)qk5N;7Jo2u-m!fzV+I zK8jBx$qpg*P<~`Nn;8F30Sm)GIhJ_OImr~dbH9LJR^%XkPG}jg4E||=^HQ14B5(yi zGU@X>epGvIvQTAM?E_Pp))4Tq4@@=iSpweRmlc_)&pIEU%A^dvDL126pAlF>Aer>( z?&DLLltBb*MkWnN1wY6meY*2A5l&HZ^z`BSJnWo5)<;w*r2QG-O0{wBAGZij9>~;(zr+kKW0Fmo@8^qIUf^@T#j^d9A035D zKss&Y&J!k9?all$0#)HFu7vSnx;~U5M35axt@1F8{RxK3Q}o^c3&Tm?l!_Og2IY#-p z)knC+h0Ea~ZM;4c!*Dr%!jF}s&%t519KZ14`WzO9%Te#c^*KBYmt(dM*Jn-`F2~V6 zT%Y5@a5+x$;rcX&;c}en!}VDjhRbn|57+1XFkFs{eYifCh2e5E`EY%%4#UGa4aZUZ zloB_T)f&1oen751&MH=9m_7*?I$WQr&N)J#lbmy;K9@M>&idTuoV)1rgmZpRpSPTI zSA75ws`$P>mCm`FK6^Xo4_Y>_nt+6B-huiY=bS&*=O!Pj&sra<&sRQ_zwTeyOBFMc zAN%s5e9rRD^ZEE)F zeRg-%BqwA!-W4uL^Y8w>jCY+2%AAE^k=OtdH1T7g^igIIs}`I8=Vps^`{G^7F9Yj_ zk;++2OF;SE_3iB%_Y-{zDM`V;v`8e(awq|+EI(4R(Ea=Ju030(=|ui9uBd8#R20>x z$l9>1RJvg;i%>qTTJoKd{Q{1BUr35W9TuXLHz`4~LHF;e45~FftgRYmkd6V=M@6{G zTv_BLTy+MGZ(Z+aM3y;H;lNAy6vmY0z?QY#Lezcy+8lmGeAr&(BSgH+4`|Xy96Jh0 zouR`1tAI^Dav@Ff|H8$XiL zLi9nKITdkpdyxx?xP%|o>$AeAOA)zY2UWn`KC*S&ZYN@mk8C|Oiukg<$ft;SpC8rh z^O;ZAx_T9m6H+}bhh}dgCbk!;2vIozVy~tw7q$Gc<<+v$gBEL3=W&ja; z^P_G0jPvPQSFZvN_K~fJ<`N=qXfLNC*0vYtTEnFZ*xg6AZriRz)cVNQL!*d=?L{6)gm_0(ug?iS zUF+&q!0A4+bq#kC@ko1-irCa%Ukq#|i`qUbkil83?k)H-`eGlQMBMu)A3DqGaur||s znws%yO?;W_X{%)xqsC%>EVHGzocpFxbt*gbt8kSX`dco;wES;9ap|Y&`JF#4zw>V< z|Aj9$eU_fz`P1?{f6M$U>6RpWY0~r%4Lp*JO7btls3(IcpyvN!?R{XYSoSl)#2CMTlisgxLMQ-><9dbX6Z;zfD>c z-&enX^{eXY>OS3lj(N?bo2$&bN#UzvQ)cj|sgiO8;YTuEullD}BJLa%Qx6-Fr7z#U zI8}D-e=IWAS}SCWJ!}j?U90&J44`0kSgY6$XS@7tvP`TxtW|8yrqw!FY!<87*im%{ z;%B0YeY`TN2dW}G6&hRgjn(7i02GglD$QGd!zkz|fd^1gs_!CTOzCpW%03hv;DjNe zeTM<;7i5$A|t2N0MqjtG;kb8xVQx3JhI1LYkYGa%-WIyd>~RR=M5 zC3d6R{VNdP5Y-9-Kid_hR^0()}Ce%ZL7?r=q)4eY!fE@oIM=SWf^R7={X$GX^UP&ao_7^+}O@76tqsL zkS5#d&r1;uz*_*XM4Yi;lhqJwj%l``b2Cjif`W17>Hn=#uKe|qc~>J~kFGwC1@U}Qr3sBf7ZCKrN*!UjNWUTEgw_*Q3tdRiyT=XY_IXk2Ti!+AB?gUzVWB@I z92D9_I4<;OgwsNs-SqfGdntA%cE=jPdZn(_b6Ay&Dr>F0uD*qp28gZOGWwwkC!=TB zfx4MFWBig%BQh`0G9RcHrFsb&p|J_u_&0h}b2Ts6z6DRE;ArzMBH1t0eGks|I20qSgUSp6fTTm9(foZksS0`PXa8#^f<43Cji1&%A^KfJpn=`b!4AnKU>beEc zX&#GJyHiu1CRQ231XkLyb^@=1@*csnV~kY`6+4&rK7~=ugLsjs(gf{T>{^fk2BFf9 z_1KQ}Kq#AuWjp4nPQtJ%Ik}5aY46emwTi6`%z$r4mrH;gr?^_hb`z~A)>|lYGyj4( z#I7`H)eP}sQFSc`v?=91mVHVO1CA(_4&p!dv9n)yODXD@Nrd@Aa|9jWESPM@VHs+i z0?vgZV=abwlc>@JJ>X;0g;pH8b7Gad1mGY$m#X)6dDCUKSalKR2#w`MhbD-bfRh(h z^$_=oDotRk&e}<Wo2X)k1XYV5 zUN5RNAz?|T)iJ1;5SrnzomYzgWn*5e|7$O=`B1`vP$x8&7aa=hN2-|eCh;n?A8GZ& z`>}tA=2d}Ma?_jSzE7dk=X9kiMuR}xd0pnIjC}icCe=e2ln-V);$D%3KZ)XApBGZIX~E4R7&8DCNUwI zhr*YR0Q@K-a(q=zp721P1wD!)@+Mq1zQ^^eRFHve908Mc6(qR!ATU|lwUZo2ft(QT z76LOD6O!Z7g&cvIi`;f0$DXhoA}8V7|Cr&=0B?+({i%@tY@lH|Y z1|zH3p+l=PP-)I9nKMVgxiX;DBUn8{!5$q4yi$t)WizAn4$j;|a&yt_BkxWl<9&i< zv2+y5F0tn(AUbBkftte#lt-7Qn6W9QP0Rx0p*>w` zBd#>*ac4$7U1`I_u4=hUYQmoW0|(58>9E;!*lap%HXSyb*o_%x!w%^)fgC5ubeSu; zrGRjOvPVkJ9x3@Nk2@i3#9kY*ml2s9dd)Cgp+L=ooG>9w7}>cdkW@@4shD6=G2x_A zI4?OAw5MqXE0?^|1Whr6AF~fnAQ^K4!GvJ=H?kV03A#>Y3b(V;q>Z^@k_I&?gPMdn zdBV*c<9rIE*<`Il);eTe2R>%Fh@zbjr=nEM?oS*R9euKSR1>9(ORXgmA3{PEA49-O)7<3&DwYqBT9zWo!zf6@>LEIv$__LM2E~pyrPL;qxK5oi~ zs#;Xmp?sD4S5xrL73mQa(UH8>%ASCiO=nR;Lx^SL;=!PGsL>gNMa#7GCDRMosZ`DN z)&U*rt;1~VkYu$~!p5dH1003;wWS8w#fGSHMXF>qR>rL;&V-|i&wF}N zpduU-n&VTcBUp5Cd5Ea8vHQkBRqR&*ReaZ?8OGiu8FUetOpr=f5&6|g=W3VjFcYT1 z$&YJ?cuQ1{pg6-ozssRtLSOk--$!Y=>>D?t(IrG&iUc>K;Prpc&FGvP$;}zv?G0$A zDAmYgORw)6nn4$dw7vzer4Z{q;Mk~9bpWbk z;+4k#vT@YnDlgy#7=A%P6TrRK7K_ODX=BjeGFczfYBHLC$wj@S;IE@xAn61)u)!K*{@d zU%tl`^_WS#FCH9BFEAq@@T>`R6|U|f!i`JF*pL+8PRT8~Uf5&t;F!wBtnzhO;{G?R zTFFZ1f+*k5S>mYLiXc~Xt>WP&n`tgjx2TXVp*7=HBzH(-5rxV+_qvGsg zW<|RzYWE1Bn@LNQNEtyM8=c&Yi9Dr0zb-dQ<9Hkbef}FeqUgp2sn9Uw>AYbux`bgc zD(uKsHFQjJm{ba=Zo!K;p=A6>$|Quh zXw&Ya+WF&0Wx?^wQ~Klg2%dAL#hlxpI*1F+q&%HBenyuten!P(3Ju4{kILH zjc;d9!}Y3UMlu^E;h3b|RJHRnqq1OTdCG*$5OSM_eIR6Ca`PF8x2T=pJe38T&r|x% z^IKGJH0%Q*{5DiV?`ii+?fmAcEZBUW(r=!3Ecx1=3_i(Fa`Uv~M>yE|%~M&h`8;L3 z`Tl=}H&Jm=t;ez9Obe=BK=mF4*Jy-WV%7Z^@I@(vvhnbu!&Hc8qTq;HR!E0K`CaT# zb{u0@n2zqpZqOPu#`nI^VC-Wp{ql6boWL&@v-WyQo=vZY17gr5i_?@A1kzg^xJ(bu(f6(7!b(&POJ?9)tLrsJgjxZYy;I@N%OR4d78; zIbfzz*QyR;eq91qs{w10tdM3R zoRd16tAT1!fmHHzUMhJyFO@u(E*;`0Afg7g#ZX~6fiCK%L#w5+MpWgv~nJUN3&t1C)=$ICQ z{4ZV*qeNl_9TO5WZisoni^;`4Z16u7R3?ptafB|m3UP-SUE)w2MvEK@9Nip=XG#g5 zP~`3`$#g8zFd@{Sh@7NF+-e43t$yt>Xbj^3Ze#?AKO0uf-f1bj; z-lLd0IdejM!W_ghD)KPD;eaHQrzB@?@FQ%>Q;~;x4hJMB^OQ`MGX$L&#-b6QN|Q|% z&I5rNNt3P_PGrPnJM$VP4@Yz$AYoRpOG@q)R1)7!t{GV`*3A0@k`WZ=?wTCZ2*6k9 z6V^k11DT!2J2r0g2T(mjNmwKJj(v}K#V!%q);)+HiK-(%;P%t0k`@T~O(#s${ZrB) zd?+iGRUmJEJFHYr!<0o4%^i^EWntwF$jupHWgKMAABGjTsSyaCqu}mvVO4A`VuHpC zM_KGN>F8o3MIBqxH-x(g)pD}S5SoN`5!MUsCh+cpb5X-E+acy><%FcI%1hd)l9Dz$ z4CUV=ux?x>*&LgCBh7UYJ_yYa^pxC1Xhy-bAuJLadrgdCmP5QxRNhcqm6x?qC1q`N z$oeL-iD%8GZfYikyFxPrzG{F`CCtL&isOJ#A=JxyF2tQEvU0g?@avQOnB!d6u(zut zRTnLGCR_1qV4UgriisQBs1kRHQDRhL=Nz_7-QMKp_%@U_=S|I%k;==&s1h@|0>3Kh zfj4wi73iE%6%1J*%llDCZw^_NH;0TWX$~13GKrstIh6dM$j_2^qDx>>ydLC|RE3qu zy*8u^b!$*?9Y@$8G(+fDDS_{8U?C-3R;j!9A46O$YpS<9j4E;L@|5w9D9mjJ0y6J} z*=AIUL5(tDDVl~AT)$eCBP^2zJwxadnj;(*+Cw;|Qn$POErgBo=sZW*Dl|jbt5U*M zq1}Y*Ds{trf;e3wgHLD_njy5QlrSK)n=qtOH_SDN->Zu7S!jkZn$hM9Z()q=b+F_ z4O|r3OAY4=k(O^@`2oebOrolSmnbOCntg6lpXjF-rP+2A+y?xG>T1C~J1#~KPqi=`!n5xbK&Lvyjf%uK8 zz5r5qgyme}a-^#uZdFwl(3xzt72+eRIt@6JY;_CbSE~91_?&E2`TMw7LP0WZfQ(YN zkDDPrsH&5IQ^{60AbzQ;4}g!!R>d>%83`rff~5xHdC965^!fvOwYkYzmAB`O3VS~O z2!(^a0pUg@WEbI#&?o+$3dmi`8frusv^}Y*9)hJ@XG*cSJeu^1{CMK!GL?M*C?nq+YKh0$^05> zFHrEInxIy_V6qvjx2UbdaKeh9Z!Rn#=*OKp!g&<@jv+zA)Q3^zK{``nm=7ojL-;Io zCE<(Eu3ALovuYR+cxM=wU4#aqIkvu0XqvEDXcu9N(A;srh)M}3Rmw~s2~8882<;*~ z6`CtS(xsBWe4d*wG{;^o6)L}C%uifMhtMW8w;ixkrG#B7x83tajk{v$|DaPB*J7}eZ!tBn9kkLFhlh=9Ca*Ho=AmHM5&DF#AgmXfA?#8q;k?iu0;i|*z6{%SB3>1% z*wi#us*0_AB_ldibHppdgMl|4yrVpB*Ur>yQE1nGFV87<$j6PP zB4tzuM3p7*gErPjjz66bpZRIz0}Uc7dXLe(OZJ56A4{Mv^E#(yTZV|7#< zI!6F{V069&eW%h-01eaWhOsTgW)D+530u9@6Pu(|jX}ko;#~MqbvIIZZHDic))fzfe9f$7HmO|vFc z-=R1+fvKv5SldmX3iET8CdX5(RAgF`a?im zgN0~df z^1RkZ1LgJ0syweF@X`gv^Rg<>>n6O$lQXm`&kIMV{6&z2k+&+(s}Wv3C<$Ixg)*;%Zhgo7yF+DJPd_f$H|7gbyf zRFwvkjR!!!3crbW;T85&vQ{3-jr8M2rLX730=)Y#{5IKJt=;>de~WA>B0@LvpS~g+ z(c0U$Q^-DQ?b=X4#yy7>@c>s^*-V04QQL>&e3oJEcOceJDY0uV_4yIatT)!C?#BKYH5)tiFjNlt^DQ4HPSmrTw?EcFwGjmu6$G`4&8~C* zz0R UR=50iO9<--Rqr}T&0$E;PCwem*UTJ=~f4zO(O{>UMnWYZwQB%2PH172*-azFIJ!uN$xHq*jt)OMm^p0S0~O7XvJ z#_Aesk5HU-p3RIwd|gzrt1zmDAZAcpc`$PgkS1`Tv4Zsit_qDEjFa)r^+mq1!=1jo zmR>7id9=%BI-y6st}`I6aF&Wiy@nfLb^oLXBJ_G8o0PrN7?%-~%?^6i7&c;xloT zJ=UUuW3$s>B@eu7K$dG|ATU`h2Wdme8^>*^orSCLf==%qFxiancGNiR&i5o4)~aFy zxb?@nM9&Z}`SLKYQLz$9VEo6}#)^Z7>i|MVteA^z`Bq$ZFj52#2u|Z1T@I>qMrYW1 zSm@xVo2x5e(}*%9g$f;ba7YDKvYB=+p~fQ?qht@x z3NS3KxMNfm8zriSp*kX7X~IzyWcGCtiH`XK!f}x=__~PX1Pb;AVFYDLs_yF|l9MQS zRz^65ffcVQi2y~xon ziGJSrhOkrQXqRL^N>Qqwa6sf}_Yp`CFga9^jBI9{xW=48ffEyDj-X#U zKNnS+@LH|j13oCtcX)u~iS=p}tU82cLSysESj|xtVTsTVLK%kEy(};u3?3dpoX5Dn zB!nKNpt3P_<1q`-Fmi=e3tSbKv<)cmB9urkV`m`7rWM=89h-iDZl>WasHz{+lg+4V z5RRYa5uLDHsF%Zeh^I<)9Parc&MhvI<&rZBAqYE#W?ie35L^~jns85OY&S60*+>;T ztl$4fz3^sKG(+GrA%``|dXX<6aEzv;aCnjQqu{V644}wiO>zVUhc!W$2OM4`=S5ym zV3OVu#XRmpabN7}2oHsRLwF*zp731gLc+Mv2ErSm-xA&nZ6xrFiN6|20ODU0VXDxd z5lV$N6J`kgIe{M*i&BdSvxWYGP%X5DFi+?&2|U#mrCJHPv;UmHvwKl$F`-rHuLw(p zE+Oc3%U=+>3tdLgmF%ww8%5ei&~@yu3A;qPfG~)H&$(6XF*i;| z4lP$WHS_j9BhU>eHTAJx6rUAUn(#?z>@y%^KY{p#sCr)m-Y9ir%?t3600onb@LZ^u zjAO-bbm2R-1b!nM6OOQ0=r@EVLhA_`p$iE)p$&vpLcb-f5!y&tFZ8E`O+uRpe5zNJ z`WZnV?llv5&=;kCP8brph_FxSF9-*Pwh)dA{Uzaq&{o1Jp?^*|D|9j8g3wTqsuo**JX?tTsZ4-9p$Tw2rV{=r@ENLhA|rLKhMSg*Fg|g?>xeC$y1pKws$T3_`C=)rZ ze>Q>ii#$yj5IHXXNDhj80pYO7(Jsj;k=GGUiyZBeTo(B^gi(>BU6NZOuP59VIoh3$ z*;|3)oiaEW96u(8!C)V_nK^4z{)}L4@6u`ebp1u+YJ>_eY?|;|xjfq7AH%p* z5>}+Kus!26U!2+r#;HBuDYnAWiRZZz$v;P!E;K`!t5U)`p(_b`0?5HjHujZaPDAxv zthx!Wgk}hsUFb$PAuY6vpzCVvQiox<@(qerCTu`Pld2~W>)R4N1b#IZqeDmw?ICOu zDu3W;i%Q)v0}v02%4^{u#EXk%k9_?V34bFD4=sN7^;cx)zLMIuufHN&J(X`Zmi^nJ zufHNK$BseuHL9c>dsP2*@by<_^uwx~k7*S1$25tB&9sN}jcE)=iD?K|`KFPg)Iv7! z0tJ-7>49JAN@|B$;js$-YT5rg#iV8G6WI6nUmGsYvzNMSKZ?P7DdXBn;2$r-?jZyi zJ6t^z<_qm2@M|j0!&B~Meoy6?st6-OGX(vfN+;pDNV^Cxgm${w%*GySLqQ7!euoj+ z5H_fka71VqL4O|B3v(Z;0DG6|14@Ku2(wj6(65|y5%_JxgiZ}Xd|6e5F`*g4eU%cv z2<;-IWN&)ea2NEeiZCQJLpZKd!fl~lggYwDADau)bZx;L;eZg{$e|i*j8;uhwTV^i zAf1G2i+H682Zb&mTohVI;MoeZnebLK^0GHno$p7ku)PW z^>RXc2nU3&ARJX`7)6#ohB*TLrfHANf(R1ub<$cZA~u5{Mq?AF(Mc4w2~ zz5Y|rF0tLrA56}!C+*o?N@}-sf!fW468$Ay7utCXFk1$wvkFj+;*SEn-@%T9S((Zl zD#{)zYClv|)^eyQeW+OfmG$?R9V({9Q zL&fHt=WA4PU~fynwA-vz#ilsD9>Rmeop;~YBumxDOMRS^b+ z##R#sxDW9&wIWnYZ)2+mtqwzcL{wdbTSB`D&s0iyB{V~rBd0QNs9PXjFRI*jzz(JE z_&tL7iKu!B{K6z|*AeCl?IqL+%@8)K)D5!_;!C2+-2m`A_s){Zo>k)&xb3hngDkS^>3L`=bipY79u)|b}CuuhxjzeBQIIv!R=fZepP-0vN9B9vO zZFr%Ux5uKL1bf-okMc>{-3#r0Xj~3V((YVn_e1?{3GKXt(3zoS;mP8M;w}Cooo_#6 z*BM?o=h-EWU-6vD#asK&CTCYUNxPOw+LeB-?ed_&d?E1jjLa93MJgvOHgXc4X<<+3 zGjfv6Dkp3+a+2*TC+sqEl71A#Aq=5NyCi#2ASWC!a+1R;Cmb_!lH)2ToHTNhQz|E% zHFA=3Dkoeta+1p`Cww+?plm!I@Io`Ec=)zaW)|oY6v>-p84B_y@Ueq;+@1SF^{-Sr zLUbIHa1z0vP=_M^B=ssMG#NQbv&soAC|-N%%8B#cp#B7oh4_+=HRypCdkz>qd<9zE6*O}Rnpr3>cisV7ksdB=wk(2CGIe~v&NbJF7W85d<`j8hl zHm?@J673PzqKH4qdX*D+%h!uL9LCL?-&x}il#T7vjtwqFK|89@`{T#`C79FRYwF%4 z?bc7y?v%e&C${@&l6IRXX}8?Bo0HVvnn~Kd{;?kNd8ektcn89zs6=-Gp(3f>@utbe z`y5`E{!qL}pLNT4njySaDWMXr-~jEz|rkW#`igpt7} zIUzAS32!m(A}7%s=$(YMC?Y4}(`&3BglusrM@-o`Ow8gSYK)ziZ~|2JGMq zrTAYq6NHZemPm3rLYvSGVZBNT`nal-zy_Togt?rB_$>NUAeUQEkBevbN5ChgZZ1^_ zz;CP~K7m*GIFk^ztCYaC10zFVg9*8mV9+>*P;va)Fn*5J=BIjY$y+gbYQVH5?3e5^ z+&!PAg$xhW_YyIapR)rx>-g)Hi#rpw-+d>x-bT2m$zKHZ&!Yt`gBY|(D;6+73Mrad3_w~O5L!}tOKnU6}Fl!DtX0E zW2N0#T&uTxdiovv5uOC+B^~0*XepDmz1DZUaWFAlMM-RmM(v>&p zR>heno0%b8hL1`>Z!a>KHtY4ns=R(!mDi6O2&gX`t*FP6uh&W5y!r^M>2gN!`e9XR zw;#puXcRB69}K3=di}5}uOC+B^@Gno$E2+l*8u!;D9$5x&h$Zu_5CTYA6DgMbO2sA zB%s$12GeFeFRSwUVO3r~o*>{-d9J)-IY3{{^7cm=RQlxF>xWhG0!ubCuPWiiAJ^yV z=%^S>oAvr(RbD@=%In8^1biTEt#|}@rqpfrIK&r4<@Lj=VxM5R7tg@siFkSaU@&dg z>xWf&{je&pAAFl_D+<09x*gE3)a?gSojl@di0@Bs`(Hv6IJYkGF43ZrKn;HB30a%y`oAJ&I*lvM5fhVh!2aZ=Q!YmQv5F) zmq}WUp{C8GLycS?PEv(W&?C6m(9I7SJ9tdU=dgC(-!iIaqVh)RDa4v%JD6;2Yjb5v z?uOPt;_MNsDj}{GRV*M?RS>IJZ12}Y1x;!i3NM}kRVZ@tOcF*T)EhZaHYQ~J^)sV< zE4K4VzS#ykBIV21w8q!eSKZ zx)|XMXwf@{2QRvbCtT!z3mWg%#h|sD6KB|i4MiuvMiFm~xU{LiG zD!mioc}+^y0)N>!4)K5s3aer(q4C0Qc4xOyeL*PaQvy|=AublL*q)+_eOjH|Wj@MT zCMvJXlTx*~{jy$lg;idc>D3}>#O6Jdr)rU?GH$?Th!=~>>oWV4^S!oz@Mh3?M0JiS zT5*o4DmE}J|BnWkEnf1z1Mk!$z-K^bu@}oYaHYfoN>GO`>kylWbl~(=t2C{a1oTZ4 zmI}=g_N$a|K&6CxDkbn;Z`>v(yih6OrAi5Hl5U!yna9>6Cen&T^m?t6w?ujG6J4e6 zM+wup95)~Iflq9o#(lf2ZpAu2|Dz#>sDqqXv0Xx_|GIgDU~-5CgtVLfMK+|AH_LMGsD9W>)6E( zU8*Rat`luFfIACwt`R$l!|5EVafdGJ&}ALG*~Q~pTgX|5937VERIrCv&K^^F2a-2F z7ov!18!^p@Mw zrwt?LRt?i>!*tp(-cGD6*jEjcwqc}y;hE6g;q?d;?gUrW6Sd$Cv{iXi(yF`xv?^~d z96?)p2*<9exKpjl3uskdKtAT;@Zvk#ZojO`3uskdz**SG^|GHcZooMZ>!5pWS(Vq8 zRe5c#N5KB%wzfb#AS&-rqe>slc{|0byfm!JOXDH}>O#E0?Xp#Q0jV@vE`g94*fb5=ksdmdu_H) zRfO#*a`%bkh{_4ajGW}S$_XcooaCd*3CpppNgR?+S>rNNA#N3w*AsfM zW&9Wo{+G=J%$`G)Z*=Lg!#)8ap69C8|}f7gZyoicK_nF_?OJ$#ZB5jPiY` zo=U)&*Qsy~pDHSOcrp!C7D193zRO|XrNp?9l=&_@{|}ua^`goUIL^`v$pMuUUKlx0 zHjY018JbfP2T`7j+UOmMxRv~If_>r;>oKj4Ks+j{*yvJq65=sY#SUYmx-6>L+G$kG zyx?JMj5KsCp~2U>z?RD6;546A>rdRI5l}V`fw8(Ca0qg)YAFOgD9#c?ugwq_@EU+> zGj=_mRoiA`zi!R|Z(;R8V#}XS3}j7-4FRoa@tdpAbojH7-k5M{S!yS;eJo z4a!%kL*>~+#k`NdSF34MpMmnzaHu7Hi84)%50!QtDrTLpS;C|m)mG3>TSX^jGrNS% zJwZW|jLnZ13Hcs?iZ6&_DZT`_s??438sayqDnpzKiRDd##Sm*Vu}e7a0=~g{2?a0x zf8z!>I%gT88(nmQqzP|PaDsdX;Kj4Ee9~bq#FtSxZ0(1N50!NvDrWr}3Wlu)RZ_lz zmT$uPy5h*h&5%*&pKv*L5Tf#D-jf6I# zKP6;^HW6|{e@5sP+DzyZ`g6h>p^FIh=tEKJ7lidf(}ZE6o%;bNls*K!P&yB9FY&D} z^o_7lXm$%=KnH<$7B5f5SG<;smv;)7l*$_j>y@a|e#K6OJwFQM@6rrotev%vDvD?7Ds~=lSE=q8 zJx?2}ixNP7ar>&!>@~m*rTAYq?n~pqMa7xKR5hcCwbIP0HY{3Pt(`wNN~_thU_uCB ztzvyPRvW}BYpr4z3C2oe^;)Z5H|1Ky*&(sI3F^>g9b)S@!)}foVxNVndIuFf@plv- z03IuKTjXsywMttnuaC6iTjyBht(CWnZLD5v<@J$g(76(;+gf=Yn*j^W%L_nN7{KjK zRix^qMJ)<$QoB~$A-*E2EMKqQAalgqV?1LrLF|2N<&6x#%zjR+yy0K~sxfFbK%&(t zvFfr`-p)J>i_psL%}J;<&rVnM1u6zb219^-N?ofrkyYASc^$2Wvz|(`1P$xu$yl_+ zNy*0YhSs*&ZLPfBl#W{Tnz;$pZD}Tx-<#6#Lj#gP1{uO;6#3BL+EK|52+n%M5Cv36 zU+71Yyy#n!qSJ1I5Cv41{&$VeTQ|sw@FFQX?QmueU*3{G&2d~@77(5Zt;7GaaVew& zKRz|1;JL_Rz!Iff0Xvlr0)~`c0*ndG-2mKFivML}#92jw{Mo&ukl=Fw+dZXJOz@wv z+6X>^;tVnatcG}-sA79Ju8Pe&_UOyHi-~~;dpBKiIYA@{m*bec2QF;Ba zs)erCAUsCJD|WPT_!vx^_4;8|UO%kL>&HC=Y{nsi{m}wgs?^P>7~%?1dHt}eZ(T2b zL|QCfUOyO2oAvr(RbD@=%In7}1iU3}t>m|qbb*e2PUi3(fawNf{uN{IC%m)8%gYI415;I&Eudi`K9ZPx3D zReAleDz6_~5%8I`wekhvwNf{uGZ0@DmDdle;zBB$SsgCHgKx(q9On$C&3gT?Dz6__ z73)XoSCd(HX;om|rD`=&Pgr;3s)gD?T{x&Ju&_UPc$-@j|@3elVCe>v>s~*AJ`m`tcb7*UOsHvk}1ME1@5} zL|!5)uOC(wJAZJ{o8hrpyu5xem^SP6!>YV~Se4h0VFY|HZS{Nv=uM>_cZQ5XbziK! zeppp(-DN*+!Q-=ddHrB8ZPx3DReAleDz6_k#dz3peVbB%s$1 z2GeG}epr>)53BO}(Sml)Y4R5Uqe|V3wnDsDR9-)<$~!*#;c-d4ynZm4HtY4ns=R(! zmDi6u2-qk$?p8Jf7Atl8k%D-psJwnym3MrU!lOmJynZm4HtY4ns=R(!Rn!kQnnTIE z_Gv@)l!(&!U$(>e{|HLnt+*$sp1~rAyZ`t}`4ZAj9JD`5)kz(HzwJ=*IG!iw@E0dT zo0Fg)ZFPAW9t8bvq^+&Qr&*L(gfXPB8OeqbBpLk2#`&=5N2x=l(a*$Fz!d&8weV1J zS$}`=q2dMR=k8&IydY#+jbQhHkbG;C{0-eqXMRIB)9K&P%?!?O=w^oRH*_<@+=vm~ zg@Va(8SqhP`&8^|y}oGg!7e@Xj7bC3Gzw~>fEu5(urEhva8%R+^yyS4U(1h< z&=ShTFf%`#<$1%jA4`b-ftEDkG)kU!a-tD9ofXG+(>}ilKPIAR9pEZ^HEwke)dn1< zd4ESILBy}&KNZI`;g!&+rOAoL;q*ovqkP_>`Y9fZ)z5L!7gROH=#xT%D8P!y1rx(f zm?bnyadINAkCoyWrC5b(O*|H>^Wq{d#Y;pKITjE#sTD!{6df89it5Z>wN^hhBA#>f zFY`nl)z-LZ8LFKkN)uMcwUZO|!D+2HMvbpWb#puxt6Sotov03qC`~vJ*G^8fA5MqG zF>3rMsw44Ote%XE&Y^l)L}|kHxOQ@)YjCZ^vEfd}+qA12bbo8)vHWE!kb-IY6MdS&bc&&(om1o`(w3m0>I@)5@64bEWwC@WKB$zS?wJDaH`I{uRA|<^M~l(j-@$F~GPcvntJ@_gdHa>`$_1kBm?<0F6}JZnaUr4kGGdiQI7%P%(pzM84FhsNM8r4J_8G)q23IxC1pRiX7(r9-eTZ zAZ!ibtWpz>8WlwjPa%4yRxbeS%3~=}qoT;+3M|Id>KdT+_hSy!s3>yS28$CY_*sts z6E8&QW`ubM8Eq~~v@~J*Oa_i-_(O=Eini+|U|gvQcM-;;;t)0a64l~AkY@XFA*Y)W z=1s~iu-T3R5#gH9jB%Jf3s1dK@TS(U$JA;V);UN>JcL z*da7a*r`(EbPLtzB1#ippvWIBCHW-s1%%Hc#~%+RDX(DQI>Jm8`4iJ5wIct9Fkj^O zL9%~iaxt>JAe}JTkAfx$7lp1M z+z`6*9^joyQ-6e`4+XzjHW$#ObO~UM(3OO3DkU5cnjxGN+DW)1G)uTAbOqtQ&kzH^yawaz3L^5#>H@zF+2v%Nzq2bNn`DP+E`tO=$2Tu zzXf~{+SQ0q%@Qt`3O9CqlZc{l6m1bv6z(vpM@7_r8gN$W6~I-cCftlUxCw%S<(05a zXcu9-N zqO9qpVWWJkD9Xo*qI^PpIgv86>XG{6)iGW4`5Luj!`~z(y&oJRutu9MNvLA zh;u-bI1D(h)U?!#>Shr|`B+hlv04X`OrzjM)_D#l#dlf`7DRyWr@{02DqWrwA7F45fMfC zSW((o?SsWNv5NAclZK7*v7#s+D~j@Y2FGQ8EQ68(^e8ngm7_XOL{UCg6tz?Zi%zkM z@}ZN4jjXIF%EyYLe73^zsl@7i0eG#{v7$y}bqN;ZVin~>Ck-3rV?|Lu zRutt^G7l$66ik}!fPST>rDjyKB8u{{qG*9%3X7d$73D)G4IAZSMNvLh6yeDtgZ8Dg6y;+@&Bp2pEXu?&%7;!GHp<6}qI|3<%4b$B7D1G}EBrU8PXAN6 zru#2VlSYFDXQRKJ}Rdrlp ztjO9r4Y(ShgHjpO0J@&FN}5Zor3Wx4BIu1k6B~#!6oXtabOPN zE5O#F(>lZwXA7-pxJ??5b(boBjdcyml+=NtfbX^Qr4dvHkcn=l)jlX_Aj(Z3TePw# z;BfKb4K#znUJ$<3hst+e47S7u__+vhQtbu;5;{TdHhTu*8)~=Pi+8KAAHQ?Ow_K3N zP{1!vmBCwbcUyP{B@IOR7UaEF_5`?`O%5q^1B7a|8wg0~l+dwx zp$g6V9lHqSUG?LBsfo3KV`2Z8rYalKxaoO>Au$#e2`^@@>&D`(;8z>KoaiI0gm5kgt@6PhXRrhC`dYScC3T*4vjPzFs*RN27)c{ z3cL4xz_j=PN(R%NA)K1KG{#V{*TxtMwjsuqyw=ItF&WP~kR@92r^_aU;eeaL=7ANa zhJy=X4x&hy*$5HN5Pwn(z>V`2mfr^?;Xujc-GLByHB5g%@&yGAe$P>KJ9!Y!oL-3Z zT|g2>lDT#kjat771LRp~FEG|kSx<8W9*$T~y|XUOxZYxYC0tUoS|VNY zu7S;JwtRAddtkO21)b{;NEi;ch2EP{kS~+)%7l-b`PFl=Bnd6wdX`(EW+vn6}Sku;;&1m31?3U9xj3+*Q8L;W0~S)?6pfHgvMgl#G%>=)Wi;M4lN zS7*%3z69|Y3i{t4+y{AVDsMnOn98&t;j#=x%;tj3HFZ2o2grb_9056D zDi=Ynn95y{ho=J1D(*BHMGvlNFLnnHLHN1> zV(bpyfqXKR>1cDNw!1r+2U2G$OF-I8Wevy%Q`rSFWGcr%_;P`Sz6^5JRPKX3HkCIZ zA54Ys6wJ`XcL#Gp=9@|@$TCyu16gM(ZvSqe2e-5r{XqjFH)-_#;55itQ<(|>3XS2< zHNIcLHz{OjvvA27x9cD`O{EV04TWNSfq!5uH-T(1m8T%jO{ER~*+MZYU^UxV?giOz zDxW~Un92tDZz>dH42t_GQ&VMs``@1YIOPTSh3U3F20ja)3yprwKgB-4^Hx)L$JfNe zCt(u`)I` zsx+bHcepQpJzhkzTq;=AU8l!!Cms!Ox>01NpHy6@)n&ND^t-aF{fKL!OsjGrAelcS zv}8=a?0^~KyIqD`jk@{g_ckcTRJl8_sbS%EQ>%nkzgiB8{R@fj~_B!<}sL4mXq!27SDychqaQYg<+cdLZ%{3F~Fm?LyE zpzJ3?-FDIlvq2(yBf+q@=WjrKM^xUfrHVbA zuvHqp5PxsSY_GzNG!*O@!jRHbxS6zTF}pia5{5VpF(-e*r54{{E8x{u*lQB12|H{3 zy&=w`)vc1NH^e;IS$Tsk{vCM=Ec0%J6DirM%^~kT6%N8@oJGd9kY_ zl^6RqRPQ99=XDq2it5B;_EBN4*M+?%p_*_4SbuNYy+x}tBv)_Ge1Pf;O2RpTD)w-~ zR%tX-LVF`b-zrfpB-AK%cT6M1i$&Ey=v3-jHAgD1ndOnn+kJe!ai0YAR3{-mFRF!v zQKfFPrz4fu?Bz)1#l8;JT@6Thq|}XlGg5i6A4V!KcJYt#)EXt>EW+0;J4Kat+nQ0> zYi?n$NvI~A8`j^Ok@L{%a>>=3k!gq~A>Nae*o8>zh5 z3^u9(3D=dnd0&WBUhHd;%8SilcQqj4ky1DI%}C|N=3Bbz<;A90UkF}Ec!QEKCVXvJ zRbK4Zk;;qx5vt<35|A)mshjs_SgFd3T^f0Lv8$m-~d7&G7U8M42Z;Mo3>;b6uqhJRT zjw*Fy4@D|3_K`^C#Xbquc?tL};fhi>_UTCF#l9S=yx2FPx-S9i349|Op8?$1w#KO0S^CH`d2E?1?*C;VhS!! zQJkMbr&W0oZq1|cMWXzk8(jr--c2$psi0$`ayArv*$;P&&-_mxK2ISJjdurGRQU2+ zqUSgiuTY#5BwOTfC{##?vV=yVD~ABB#dKJ?3NWbD?TU3s(?Q22ZO0|e;n5!k>Np8{ zM@FmTF5r{Wc?hiStYAC*;Tn8PiNGc?eC4ygf&~i1g__4VOZumnjHSX@Ti_&>iQJ~< zyG_k^n_9>XLt>mJ9-VYFob7f<+S&Q%()rlL=dR#ST5U&hJ_u1|$0=?1N19`F00l2g z@K<#BT9WhL1bzA5#3~fbjG>_VxA1)x6sfpfq}4uSNvpMmEcxohP87_20>4g=h~Edz zaOgzAgG|C|^^d=@$;YP>)TIq1+DAFUa;_XD)L-=O(9XgW%|?ByxjR@2vffm-gB&%Lvmkd&#f?!3MYAdgg5@9^ zO=Ty@aZ@=D62^!>!C^nDplnggJwXO!v#IohjF`$rkT6F42@YdaL%CQj2ZK(Kt)?;v za>`ULgM=~SPjDE6Pk2l4AQOwh?qC*3qp9#w@_JL*0dm|_-0^sg7^SKl2r5DN%oG7J zI3OEMWfw>o!yk{gh*74Ndx9#E7E|F9*UhFf020RV$KyR>l&j@nPz|!!R60Snn#vGJ z7{ecrdOTe1M3M1W3o>Xbhe0lx%1w}QQ*p}7{ecr7AX1P8GRWHHiH~6l@X9@rg9%7jNy+*G1mQReG_T|tX0cBK{LoIQ`rcz z&s0u;gfaZ_D1$PsmV*Hwv9C6j%^(L%(0oUTm zCizC-2;OiYcVlrx!iM?-2IDj7#NpZhd$?_YBKchf;ZLkc1!_75zMj`S9{Mvhot5>N!e6=a?sZCF%7c&$Wee zHI_)w*d^rpF^q>?t(BK+Ct75ydB477xPJl44I0%J08OSgT@#Lrow8jS`GvaAURW64-&@k50%I8|D-YY1k+$S zPu=zeO(4CdvJoVV;U6kb;r~Tr3xBlP*$Q-dmB@MzaRElOj$bM6C$Bq7MWNIpNs2G3fj+|lm`?IK$ z@{5{AP0qlfnsjZRnw(9AYEu3IZ$h=33)|M;Ys#>ldK5e%Wz3CA>3>40>w5=c z9$}cgu4)Fvs_H4M@@DKRc=0eu_&{t`o>wV$65lzJfp=S51O-o?IQH%Wc)MFD%rdn+~No*W$JlVUAEQlc(@|j)F{vg1mPO{X1Z^dS@*8CgKQ+xVibz zYMxQj>XE6qmVD2w0!m~~n5%Z*2j@U;iM*Zgz{p*Hwo<3cp@6MCGZoJg-QO%j;O%(+ z5LP1!`hrijAZtx!J;>^+P;(q))KuK?+0YH|&(UcJ1#}c}PiZAQdr%-Xfj|p|!Szw8 zi9>Dzk()^5CKP$0SpUG3_`6^n5KJSJ5vWNB)Z_zd(gAg|d5gY(M!}I9{1Fxll!Rk& z2;%)HGOdn)@Yk(S@fYE}P|!e>$3RYKWlzB0#X7H*!Qe8;C$0F`32ccC;6NkXRl9+J zgiZ-3zxxosQ9J(ct1{XEE3tqcTw4F1worow-Q#@HP+fV7&*I*=`< zas-6WKBd3>-KVf!f2X{Ll2039>F<=!P@KbXCn{(D1RoYrq>UvY{P8BKYy&xBDrZ1$ zn~K}(3MiUXIS?!Z*ACEVPFVBPgfaZ_*gFq5SW)DlZTvIb|3s0B+v`)XI*oz_g>W5(2QBd?ip(hzjg~M^m;MPB z4-{B_7my5UWhfvyp_PGvk7bqyw-v*GJsjLGTGL@qsS4_nn%4tx{ zQ{_O=1k!6N8$kA&%5jh|hMxz2!D+r)?g(p{EXa(V~ zIiX{N!4{B%rg91-jNwn92T(pn!L%Se7rKD(N@$(id5UZ2vmJbBe$s?pijp|vieWVs z1)&L5D4cP`Y82T6BpNMY#*Jbw^9Pq;IUF>mQ>m5(VPy>Dv#Gcl(rrxL`hyy{wV@z} zzx3XL)dRKM9aJG+*g${42Ht4{zFQUIF>Yea>QHH(3c1;pVnov@&g-DOe|iMsW1`9u z=3-zmU}cMek3#N7YyAe376qRk35ymotAtOFw4)MsZippNZ9|dXJOnAO4=c?en@!~k z$SYHEV;qOuYZQbh%oaPOLDHnn5(=ir_6JeSXGijbDB)*EW>kS<)Z~pQp_)1F$WxQ= zvTA0{KWkyU$!DV!_+ZMu+(f|+8ieOrl!PncGqAXiBBRE?V9I=?ascGAsk{MUTZvPJ z{^KYnF>-b_OpFn;C`L`ru7+y1aW79z&aQ@PwpxQ?yvgUG6zKI4s4h3Kt1H}{I|9{J z6#Nb9Ay}Lgt5vR5?YH<|HA=z->kz~TMb&)?Fe)_b26zMU=SBwbKHE_h{4`PZ394!E zk^$ZUve#6~;82BR`XCWHwX>tv^zKma!KS_!ZjnWL7-(Q z_+(7TXApVe(jHsM& z!N}cRVS=HX#vha}r^P$tI<%p|jnX<+*+(GUTQcyQK#rJ->&9VYTV{@uUqA`xC`XU& z8#Vb7lu*sE%*m)tmkP}AVVLeinYbtKVZntr;pMg$P)!$=H+QQcRxfYvu7c`7a=_&f zZxfXlu=r;j%Y*|oL(gs^bMSy&-(tlG_(olrUL=>lsR6YOy zn0pr(IjSseFr2@awR$bqf3JUrWxXwrn_)bR$7DS)#_jPqD<4&f>yee2^{}Vb;jGH6 z2V`X?nOSxj@grmWpc(#Ngis5$*cR$V2qDx$2qDx$2(?fPwGcuGwGcwRsD;>G7PZib zMOKSN`+eu!8xa{1$$*<3{i%vN=bm%#x#ynye#8xs6A8(>-a<;|pTYbJPozSTNyZ+8 zM2CgdApLQvm^?}(FE-#cU|fsGor(6Tdq?FMMJ6Pm+bIj7|0@c zLePlzJW0hPP{`QFJzsNS?Vkqg1@V<6*!n#Nh{X6ASXac?oC6=~DmIOpRSD8au>HRyIzUhsbTFKd$KmTq2{7{vz_W^e2%z74nfVMT zuW*6c_d-Nc#LOH7;0-7-nQ;o_mavipuL&A4&2u2XD6F|xJYSLIybIPx;wwooyqz&d zWbusFUSZ880n}GS7VoinM0_O)-V=0yfXA5d#fz|Ms$kW{*9;GAomTWYfEN{g1>jXh zKL_|y(XEhnjYy1?Egq4YSJo+j#du#l^Yja4@&1nADf%A3`-*-5@Owo+1Xw`xh3~Ecq0^K9>MV0$wK)I<3rF#N$vriiDCs2B5woX5^tOjZtoA*O!?yE%9im?9 z3%$4gY+v_huy}9@F>VJ?Uy&Gj`iBRQ;Hw4DRx~W@S0MAi4oW@&`wNu(u&?*v>q8MR z!xKWED7qJ(lM-O&AiyC-!(qq%BT5Y(#K7;}JdNfNXzn_!=imYQ{9oZL&JBWIRoLzS zlZ5>Nz(GN$4+G2!I?J0~yNcci@Tj6s06eeguK?as^gV!275xI>dl+P(+VEZeK}89U z3OXIubh9^=&m*NMD?G1pP!e3e6QH5!lK^UlvTufRSmHTfVwgp-{2UPPZ{|r79wNaQ z;Y)x$kWKPlK#jRTFmK2w0ac3v!A}gC$2e4@LO3qQ!#E(HvMCoRpw&sMSv zh8I)g-}YEZhW-E#e0>DK&mqMGxB&%y1P_+5{vQk*dn2;U82c3wrY@BvlB`*f1NG-E z)FF(${!4<#JH}9%#1W1v6zFyMg<*GIk1i4q&}RVnwIrYi|3@sB-~sw3z&5F%kzyZ` z_@YQG?16}kh$+khoKo~9fcF&r1mH78a}dr$6iEI(0Ou5aA0Q!7MpC|PQjQcpm|)vs zk{cZjcH#43HQy6{pdSYV_1=5P=KOKr=R-lC4`GVXn{oRP_pl^*?l8a+MZ>aedXh{QC$ z1V^OlEFD4vIVp`~DIDP0|JiQVC%IXFuk5lH&H?D>r3wV|vW1)^*uPuK-!Q;KiarAH znxc;R*$Qg|pxL6k1#>S|pPICj~8W6Z;X>;w1pRiew=i_JiH%djFaV zCJB!25naQ+cqz!R`XV?Y=m0@R&;tZ_2znbqLC}K)vx44EupsCm0)Fmki}NFbRY4CE zGz9%e0=?Gb2*Eu<{U?HRf*vK{*7+7EMewkoBLt5MnkIN$&5k?~?+Ljx4?p|t4n6RH;7qEu~_6T)?V6UK)1p5V@ zA{fR4)9xnZx5|M3HQ`ak_Yme3{~_VH;x`f&75^K;dBtxcJgNBK5>^%8OL(W^e@EC- z{AR+_ivK;~y^8N6Jg@ja5I(5*e!`z9ehcAaiYEylSA2l*2|Osu1WyV&MDVnr!{N4x z0v3B6v;ETM2$6=mCN^1-*@cA0@*J?*#7&dOHEXPlm6e5qu=*j|e^y^f19^ zg8n1H7lIxk_)^e+B1mA2w#7M0uuaeu!L@>p5bP8*O~9K;wm2F8f|4vRD7i_fIf8wH zjuH$CI!3^&O3+LZ91-*m0=>NC7{MJv9VaLVIzcci=p?~{pi=~kcvy}IR~7$j!iM5| z2)l~^knkSGZzMdY_}>s-Qv4=D-gN?*e@pnN;(G}nSN!h?f3En=gik5{_k_@(>Q>-$M9n#gl};QG9^#w|FoQBzQ~EL4x-M9U|b}91zmeHa-5%mTg58 zG7famHW9Gb#LT)1u&gNl%a7gmi~onSjboJG;=xSo#1;%W@r2eY=!>%671sPuG5h6< zMxjG--`v+CWT`Se<0A@afpuDxiLf35`H^_mQy{;lEGB{9e!*y#L(X5}3C#x>%?I%O zr39EI*eU^vHvsHXlrPTTtSI>Mv-bKBu21lU&Tmuf+aRmhBry5eP>k`Y2<#b@G1Oxq z|6Ev+G(QcpT0Kc{Z-UXDAh;svJOP);K_z%e(0KxVbN&Ru2TCP4BCR`Vm_^i=@05 zpWt7637#84(%O>BIf84UJw}k*2sOoX1TPpep(c5bfcpgWO~}{Zu?9nM4<3>U_erAX z2xt|OX_i%k6RL^k2wpa1!fmp^J(mQS#3LaA`9eD4A$Zx4xg1W5(hE&mFgRfm54;ob zDsp-ud`ig#?;A4VtGXOZz$IDwCgd6{gb-XZWWo=WOz^286XM1n`X;y*4}}nFM$a*$ z_u+vL0$vtPAB0DhOmK%G6P{8s0k3qXZ^HYOOz@N;6K<7t#yNuT2ZwD>U*ZqkT?!<#8K4AGB0n6_QSbj%1Sv(%a zBlB2S3A26*^2fqDL0}Zz6;N|!gyeG}@)rh)aIIQ9CO!C5O@e44`lhzIQ(g}*8}GR?2|FeRWep3m?A-G|{sRYn%m_W|hj z8Pkk?8=jaJk{Pjpq|u0M3R%zM3>xsaHQ+B|6#Cl^Dw0I7N6>-|5tGnC_@Dy+6|l;x zY&a_p_t)_1Stlf}NQd;=0VBLul#!?2 z9>OC^COB%ygr}5DC9lVWfCQWwFd+3ki3el?o_}Xk4z%00e9tu-b058i7u$W@$7%l#wJDk=SPo0Cy@HE@+JTCwO3$ zT`aP8z!>&-kMS2etiayhXGPkV0PE)vpp)H*uS=fn@;CAoX%2h=U&D$_i@s5)h_a9N zPlwNn#O1Rh8v3kALrZ({02(vL4qJ({2n0v)W`4bkg@B_5`Uz*?mypB$A7$&m239{6 zdBs;uzrt^*_0j(7SwE1tB3Azy$h0<9q&06wMT%3``h!KSw;1DoTVDlVRw0~Gyq%1i zp>25wit$55G5zMB;DckjEzVF_fX|AQ>+29ABcUSY;~TLeU6>6OXF5id!$Te+uV_P` zO3<(0oHe`{6JI?`k0(a#eDwmU{dcP2*O}wAL>4K(KLMG|cuZ66vm(pVZL}%6k{2l& z&w%wd9=t3PE>JxA=%6UZEV}!wh<$xl&wd6wlRtU_zlIeN=!c3FUY`|F-e-MHsIOst z=Nd+`@(@gWINrP$Kz>YEky`GvB3b!!gkS>`Q%3(iS^$fVPhv#-Z^Vk|@eM-7smNe`RQxSiJh>cGI^OlPkLcmEA_?GBhP%Kzf+xm?H+jlO)bLr6bos1^4NpKI z=fN>m_TQ7m%Ru|6@t3k9N&Xq)`ne=I!g>be=i*tPgZzCtc^(OM1+1?mRAl{@J72pb zRAlFCFUUDzMMCi!(0hdy>9T$TGVkGx8R_y0%xmz(EJ1Grd7rS7;il;7SUVwXj|hw? zPgb9}$ht{FMQnH!WIg_02y1u;Sak`N{O(wbA~2%H!T*Sb4m>zDLNNS&8I^A*JcK9o zng)kxBk)#~5VJoAP+yVZe;cfO#Mg8<4R3>-6xoqbPlNT0ggQ=NR}>}qO3?Clgxeuu z%hv(?K+$jvRt%c*?KU>z{ruq#Y3nWYvNRKHrD2duUmvO_VOhTeS@n%%8bf>%A1a3g z@DrXfrTZr!KN`<^ALQT1v#x-g_#Y@RqQ-8JN8(v~aFBjKo|p#s9LPMJAEP1P6!=6~ zgYR6215JNLUxQ)8(AT@-tKj=8#QAztd`*X0H$(P8JTV#^0r`%2)&j_<;#m!l+wrV( zAYX`QJqq$q<5^7CC(8O1;2JT+^frLqitYh8q$tm>&nh|(&{nhy@RXuY1H7WBwFDv7 zZ(so)C2IVsRjIF+v7aJJoy;3F!6;CTtsbI>8=>t6VJOahb%ej#Y_DFCJd_2dnJ-wC=v z@S&ikKLC6sXmKCn$VogUsnjM)To-a3eA$_oUqVb4xH5z$S@0s-Hy7W!@=zp@S$dUmuEq zCYqZiz1->I{;b@)@Mne)nYQ@O^`p1H|9>%{5xgOFnI~!5kZUGX8QmStbZ5_ znsr(BO#Tw!_ljPJC9Z-jbM>@SOanJ3&*PD_6XDlnLW4y5y8`(;(4Zm?f|~^GnOV`- zCL(z{J|Zr&Ju53?vji;op#hUb17Ca-8PO0tjz=_m$pnebqAQ;0nV|8%tZ6NN4@5NT z1Rvm$>PF-!vK{mc0J{aC1Z;33HIkx`Z!%7RYP8}JJZMP?#sn>$0%!{?2yQcNq}KR)f-;M1oCG*0Y&|* zReJKP`Y&oSG_gzrK?_f$meC)XXj|wH|K&%^d=0DnsbRfuYs~5m)E2!K1c<|Ep zxvifAiD+hhKpo2uX!fQF51ad3uaLbPkiO-pF*TzCU4PaGvcmF#hPk4h<%=Wi11ed5 zKs(m=*UmfJ_QU%xC6@~}pk>_$!Ua5tmEaLUd)gcdxH{HPAnXYd=vl-@`xwYi$H(;x zkXhjZt)UHd1>~=Ul_dBc%orL>QrW9xZG*7uL|{bOYeC)}AD3SSd)hv#QACJ&36&(M z#tXPQ)*^(l!Ud|jwb3fb_r%9_8svM06;YO@`~_hp30@U6QVgz+^$LXjRs=>Y`)iO{ z1!8jXZIFK_tcbFld~BEEoFuqj(1@~E$GQ%}ZWMtLWp{zhSznB@H-nrMRz%rTATJ9m zNuX~VMU=fdRto~}6WI}EPlL?M5@M9S0P;h^iYWUE$iI{sR*CO-@iw$jHQe{0ju8$^ zH7pRYagbh+$SX?6fBCUgK5)&FbRuslo#1^-C-Na4$RW4^ z1w`Z!*{!98;Hae&VUG*{1m`UsVdZD_+Y1-lMwn|EfNCKKfoTz`5XWioz_@M{nZ=_3 zlZt{TKP!>aPU1lT0!oEM{Fk5g#Y8`aCx-Pf$j=C?L_h^XnMuR4eN_RnO!Tt&ibO-Q z=FIeKKvh=67cKn`9w@_JpSF(C>qC%1k7Ir2u}bu@Bi#vc$$$B=Qm-_$OdjK)FT6_a~(j z*!X(#fayyh5@SL}F(yF@lxhq`K@1(39#~yz{|ln)!kYj^@wMOwSO8IeHo!}8y^1IF zYBKdWiNGu>uum6YQ_=eXE-9*#d_(OA*=QSPCBb3}wn+ORT&n>?89l?HzDD3tgyJ|N zM(=|lQ}@82l5suu|7z)6L@clz0oxcUokV`4bOJUALMOrs9nadPQ`6MN7F!5ak!*>bp`N_eJ>8Ry#@X zIv&i^2w0x6=|!OS9U}NZsKW%ToLih*3D_=daSjlCF6eCpUkZAVfYYKa&g}%-1wBNd z&G3&1ILO5vAq3j*{UgCXp&lX7I`B`BCBH);sXe`d}jjS)iHZ zDVhOk6HlP=KLqE!LMqtgu#Ar1t0SGQAVOh+5kaTV0X(QE{>#q>*bdiqco2YPGpFcz zfJ=(vzx-@~9dNP8LLd6-BX%6Dd&E~nMGE+Vu*!_Fswic>qA32$&&J5Ow8_kBh8DJfT?+UBlgR5zCwR-!0p%Br{~Rc= zB!LZIBJ!%z32b<;8rkp(Fj>F?ji_bW^dMC;FGPL4@qb0k`2l=I z%xV4gGv`ezn!twd(T3rfoI~QTr-+ahNDg@!@f8ukO8YBemGNJGv%9>fCea>0=leJ{Fk2g_lQUq_}=_xey)WQR6J_W$gJ%*xzP6}VvjTZ$SAka{Q z92azjI(;v|IYl1=&?-6o7|-KyAK2FsxL9gM#v!c+)*B90jT+b^)0Dd`Z&VXz+sNGM^s#SW6~-!iEIf@Q4kG zs2)8zaq$2A)Qeniz8*Hd_8=TICRjAZzb9q%N2ZQVBCPFT z?GzD3g7*aNY5FMOTF+O6McE@7ir`B@y>iL)SPdqHl_b!d9w0a`)IkC*K0^dryoU)s z5D&K!d@87n>X2sq-A2G<<6r(R4>Lm$A@L;$}pi`1T0*zrP z7{e)3V7@*ppZrF?-up(rwtb^w@#cS@*me_C-YW@7233A|e}YBXo>GcqY4I--uwbzG z_gc(|pd6H10_(4*2nVCWU0TT>K%3oBjlLZ0tL*1HRN0#(rV_`Um+(-d(nCNVvyL}_ z@L+^+xXZo+k2nxgek>3a$5a_{gFxA2V2VU=LeSDX2>BkKz{JUhWi@43On&w;$3hSwJRD^;UElwj`N>I|Kbvz8@F?C!{5P41=7Za}mc~c#i6Q2UPVjZ+Aa~skw zC&qx3t)mO%oOL`2kj6Ns*~Aj}mwwkC`KE(3E>SELnyELg|AKpwP?XMntD9q$6+ zOhm$b31q9}2NHKZkW<#ddn$OEMUu@=Pkd$_SAg&|jBt(s$*JRVg2=2oE+&?Nv@M6o zd39V$JPL$Ye~1P|eyNU&3BJV7Y!J~fnfz?71~TGeVjip(9{9YNV2-dIl3>h)7cFNe zbe&hlFD4d%JYyZt0r{hKd;V#V1uYY>ir_jig2$9fphwA05Nt&hoWK*ZqTmqQPJlr{d)jsu)G8u+5D!$n zoFMWP9{Xu7;%}?_{>1;bdpGef1AO9aQsH0t#K+Zrp8kn%2KdCE3h;?@Kg5P7{$+qq zd@syo`NYfW7Wu@_1^C3D3-F0^C)kE3J_ZxPE#ZmR@krvWMJ@AjFkZ3D_knzA9oNH@ zhww-+BCp~>uyaf^Od>yTUTLwZ?+4Neo36n+f z$pG^y;2#Fu9|32Xw1HWDM(`l;#RRKQLmihAtUf=poTq`jqmIkaMSnE#oBv6>5RPjw zLLvZ!I2^^@3go1m4hx;jwSjht=jmSn{8CYlMP63)VMO;C9&~|U0enwR+f5VPAZU@` zMx_n|98~IIfH6g90poQu_@3!1P!dXAU^XFQ7E%Q=;B1`TPaNFo5j;`p@^aR+fDeOWZ(h;6+k#YTNkc?!h@6$@jHOM*9iJB^5<>I zss-lShlgaHEPiUcEqE-V7*OV10B0M*xo7aWwi?Rb#c1bW%T0zaH`Sg54}01IYl z48mf$!%N|;uXMy>*TdIgNq@=r)zh+57JEK+Yp53lFKB=_06-#@hSMTmU=imLWKapT zh|l;%ys#hC5%oZzMSP|Jv?$b>Re+YD1={V;Vz&Z;miD4w+6y%JC3Bj`f^MV!$w5)h5xwbYOL`G_DIQa`NQ&0MJ?j%P{G#@0A&z}v;aL?dD zyL%zQULBtBmt^R}iW0nn2VNdX5cyOc7ZR+}EO!!^1t@`hhvWXlc_7b7AxILiN(r6U zAF))3gBjZvwUPu+-~s(yg2p@@6R!^8`6Os3XIKY(s!2*@$RASy}*k5)XQ=PrOEC z-fu*Xv%BOCP8ief0nkRIGz|2BP)lq?w971w1D#ar3jo@P%=?YVarT@ah==2!0B9pp z;MFc)3AMmRM0?M|PN3IGp(@-5pe@Hd0hcQQBrg| z>DQZ+tSX-hb-}M43t^?-BSAF%XSNTj^gn+Blq~@+Wu?-eh|)c8sj?ILy%%=Y4?Fv@ z7j~9mKbEkOZtf2zEDH<+e~5=TA&V&R9n|0>(c(C#o0NvkAmE&b#fxpy>v(`4Cfp@< zJVC(r3FN&r@4Z4kegsBT^ByNSg-6OahXwEAp?5+W8~AS9n29HAZ*kaWOB~kb;-J+( z#v=|QY^Kob`B_jnfW~P-+NuJ9_A=A#SsoJVG<%ho1TE}97jc9176tYp+FL|Qo;4;S zeM5FenT_Hg@}8P=Cm~IX(J5{Cdokx6O~{gn1Ru0RCE)vLAztE)Q4#I)rrP92LM@C% znx`s-@q{%F=B_l&K1uTlYZy>zmOm=hw*=?G^0UpRO$R2%eu0{y&QK4U%uw$?i{3r& z5wI|BhmQ;%H2W75M7Z7o$K?c(=hbm3LFA8?Lu3cUNtj(gGS*Q5vS}R`fN*g@ys-jb zs~zOU#4aFX*1?4Vt_O&>gD7%G@xXCDL1auF4*|kwJ*`a)QW>cM2@}r$?>$nfd zCF@{w!>%0O&dJ9w&#UA91TRDTsdcdZVGl0ejsoEq8R57;F$?6Rb+AEVjSz2N0=Wj| zT)gc7a=mq!a^ZE`*CV6$*<9noz=Aq1CLRRxh;_UI>ms2XS0qIlSPM-k_^jkgZO ztPxyHJPF4$%DI?$7sv`15E@M!Eg&?SIGzH+N+}MiMx%*?s?liTplW7R z8MWRH#JOAJy_BGy^VV?=$iwQmoOlt)YnJmVkk74SHx$^bN?b~mfh<_ZgFqg!4)#QU zvX0%*Xs@b=HC!Nz)^Qk>C}V3Bk#TigP7s;LV`~)gmb#@z5q}d8l6)aSWS>@|2NFbv zZH<}*^04L9QGqsXCAt>~n^FikpWsJNS&`tlKal~#=2SSJ0b$({4tJo~qzWgP^U1$X zK$JZLQDU||jdmVmi?FZlAdKL_q?TYz&;mhA(3z(JatM!pY}^x5ptM&+;>^1MzgP4N zfUgu~OUJzk1S2>h=*&3)zG)bE1A^^8)ukc_B+4QIqeNG2pAB*yT&jD| z5QEZ^V72j}2YVVo6L8W`z)2?HRZ(@CJ>Q3lUI9pme$&?gd{5948|nq6-UD#2qI?VU zAw?erppq~mB9ouh@dmh5$1wsm>fF;nRi`;$r#b4RVyM3StQa~N0Bb3)ZLu~Hhx*f5 z4pY7d1jZzT5F(nT}5apvLAaHeEHcZt-2^&mlKbG^(Q=-NN^2PRRNvh8+brx zmr3o#zl!p;3JAN@M;PjVtguUouMu{!kFbk2cDCXOOX{zX|U20o_l3v?4_PX+!1bQ5Q90Jp4H6W@*0BeDk*M2vwi^hw4A z_}5=dZaol82FFrH*aLlp^-f`fHi3H5xCL^5JLIthSQdA$p4XI!SK?RjASLG$L>RgC z9FxJ8^)>0aKbW3C>ASyAdU|KHq4da7ejq(o9`q1ayo!+x07^XvowZIZSSc9o`T`bM zBi_J+nbZrY+HXl4QzZCI(9)j)u9J47I1g}2&;r{SZ3c>At1!yn;1TOG(&wzH*=NGw#o%AcE^$ud;g+&X{Bdv+FU8i9;tnV$$_A7ZWdq8IvQ{~(tkqAH4JaqdhLvmi$_SszJctLv#7$-1Q@1EbW%dT@ zKb6^3wv#=_qftGW*a3t~j1uM)5DuTj5mus%c+G_2LgHmawGDEtIf&1zTg*ZH z8Ffou5x=5tF$eK6#Dwr-4&sd2=2bBAH~v;nuIMgilHf7uf?@c(D9$`y50ocj%qK*` zVKKCq177?t-jfLN5+2-FOz@_lg9Hp5xmSfz+u#_SG1@D5Fl2mw3lWY;;COKh5z2<+ z!pprsN{3IU9Zz5fF{7Zt!L+bc12_l-fNB&ot@X~aU`C|^k ztQq0{7a6pL5_mn_+?hli_a}(#R>%1Sk-h48AVH+AjtdDQNu*7}5E)hnrgT6Ks^ftK zk;l|=LC)|n{Rs0w!WsgO^9gGOkrpt43`YVc_;Dg&f`@`8csOW+p9D?tV8{dyg-x*V z_X*YwGbu}QQuZoT#!56Z_Xa2o^f16_MIQ&)FGad%p_yW6JcdU>q=@SzK+h_k^+f?l z?K$|+A4?mVH^QkR=HCJHGZ8UI@I8rhmSB&dJ)2?-dl+Gl;t?|v$*ALeg2=c!Fggap z0SLV5OrWeebbo@#ygJS&h%Bh%fdmm#2`+e*K;roTs!<}kQ7+>LmweVklHe3NNS{LDPfC)%d9hVYBOewr9rLYSEO12c0hk-w?KF=qJJf)5Y z5=5R?$AtuuSJZJ)4zawhj!Ow5Z>j@JSwP;wW2FQ2e*1u7%1^K#kDS*al7XE-C&-EI zaCCzR3o+>M;r+G);}$!>J_%K3JvyW)!%Qk_17NhwuSp|tT4e*Mf zvjlG`l|ZdCix}j`#Afhdhlbzi-v*BzsI%_M9T6OnYqw8$o-_W4jbyA~gw>a-MYPG7n zQdw)b)kSBy-mG->e?LENvtG4f8O`Fx@^Y>1xNfRgOdWSi`4d^Ue7ul#-Ck`ss%uSm zd9B{9wL8!|HB-({xw-s!Ia@5bV}+cXo^!|ZrE(0XR2qq6jrR>i&esG=P4p8tnKP{H zG;K3JHD^?G8XN1SZe^v`@vXVET4{?p55k%)aJx09m2TFSmpcwJ&s|)*d!tfymnxm5 zO10)zmj}!4TBFmgG?r@a^3b58kxb+>HWDR_rOViORJ7Mf&%6E)D-g~r&-a5oUYd@4JS3=yBfI!5a`n}~N zpiply>5U<(5_4oMKR)8--6bv5Ib>^~EJ%bfwC8d9Yds><5x%_Xl_f8H9NEie$yuXo zpiE2$NH+fiB&aFnqn9LQAwaUlBS2#M*m_Kl8)7EyYE?1rO}Evqop#PD09V^Ix5N7H zcF(qIQYJ^V^4nVG)AQ3asf-jrre;K)NTi1HoT`41sBNXRt&YhM#K{)v%v!a(8ujWJ z;pk^t&3dig3K3R4LcMvWRq1pre|2qTHRxWfG^#;&Ls}Ihy;ZB+WdlM^X|+~gwr&bi z0<9=*Jqu9Y>2Xd6oXC+N7tSCzmn-+M>7I`1W?Jp$QmxZzwxy%V+j#`_uR6Si2ZRL`m$=@8uIN~guywQPPf*r49Nc> zr;B2Q`n}OW2OdZlG%QG{)0O&0Ex>>h6RCM$m^(F7c1}0z8|yV0ZaCdDO_$@6O5I(l zHT=;^YCIhnlyocYl^O;sL87y?(Qaex5VtOtUj1FhCJow3xoo0&+txtZ~Cw_Hq3mU7vmM+Gm)Y@&>Y|!z@YIGUm za-1ynSVlErAC20Xok!;Y)Ravap94OcB=GwKpk)Eo+c5Ma2 z^A0n;<`lD|`Kd|dSpn(@J$(IT~a}dmcbI8f3MtttDlP`F3ZgooK ze9@Vl#n@9~3F^1J+=XIno@IIgr*WKdQAd#nZktV}5J@nFodLvYDmeN1Mr#A(PPEUS zV>;-_yCXr4INV&JB(eeBakRxwCY#Qq2xr|BQ&STz+Sq}V@mj-al_9i>>em`s>lSOL%TgB? z1qXix11BbKiIMgs&PE^|>3U^zmi-$GPJ7b{I=h>Ub4vAH=d8&TUQnf$ue8^yZhfs$ z>#&b={4}`BXbd`3)LlhwK)1ShMm!oj=)?-mkhDOSC(VfGa|zvGARt;6r&X!fx+u$w zEAC3WQeA@&pLWJ&bW6>86J^r#iCW2=>>vE9SsjTq74+Uc6*}{9Ay>Fpv=unHyjv$ z+2LB0W5j725C~!D9Lxoy@X44%`9nV@#ISn22k6FsThpRb4;3+5z^Y0fGyhVzy|IJ= z=vu>>VE0nksMnoR3r2BLT!U$FwZikw20T++RvC*M9qo-LrZVU$rns5|P%31znW9se zDd2w|&lH}KQnBcar;nlI&lOdkmDQ7T8;vE7h1f-=3o}^IKyNjU4$PTLPnMmM{L4?K z$s`XMUn=A=0F!aNM@80kH#$LQyF1rx-&I~ie`|x1fOV-JTB%;EVQEGOuhyd!1mQ&e zS^?Ao_BiXzltwb{)G>u4Go|B-Whb%|igBo;1}NkwUG}zR-$@5Yau7hEV=Q7KiAXs= zk>vX1hrax!z} zn2|uci6&$NB@$VWa+EKOxjE7bl}4?Om8ovGxn5doH|ub0z|rWqDU_AdXkfUmgi%xj z9yxmA@*1YzrnpP)xvN->bkQu;oXRpvHJ#2G6acj4?s~1U;VjiL3>rh5gw<7tY>UU} zBGLa_N@^R1c9HYD>||Q>n5b1c8||8uBhb2tvy*S+**0TdL~p63CCszhIwOFajb6Hb z7kU+Pv0#QS3&>yztDqdP#HbfzDh6RCbyE<8;^DbFn2IyShCk?e$Q7q1%WC_HbYUo& zJm?O%*#>*XYD}ahn0;-@=1fm(yf(=7=lo#Wotrp#+t7eh%B0)^Ml*`!CsD1B83GXy z5X9|nx|M3RZSh4+*$f$|yH?!{I-93!ZS)0aB$`YXW6i9oRBH{YR_oEIzEp)qAv@qSOO>flNXPuGC(p@WUv$$BZyTdeG1>cbtGvn)dh`Ea2L=1(Rr@#AJjCVB}WAyCIt zMnlYwhY09xdPS7Sve=>NiDq(&lWRjNO=Phr((7_dWwe~x5V4R=9kV3%)fPwhBGX39 zC9$jGq1X}e@JxO*?>SNv9-5nQMvK{O8IyvNQ=Xa{FXsy`^WSqYXMM+1G2^7ir$FM+ zc(j@30wnF@`5$ths^lc91f$*4XG`F)~Oi)s~uAMu-*XuCLw`&$z1}19Y@X zwNjlw^tbm+a2VYY?1FcuGLFv^%UeamP#rP0AgrAa`LWD^yn(E?W7&;dzNuQME{ zdNPqtZ>)8jLxU15fZH$uk_pg}ymh(0?QS|j7c3@2jxt8{_^XbryA^a)l@*K{Jq>T` zQBYhqE~~W=O>8oB&|+eXhAj@(`+zFn>kP|OPgbb*32p|f5S6p&W7=Jb$MhA({^ggD?q4nuH``SEX)qMvfJdsG7$L1M+`R{twCjVflZn{68T7ZCu|4#MDY%kkkKI;YgK_s<(rqad`%eWUY>JmcGx>A`ME1Vg^!W~Q! zZL!qChDAFp2UI$%7#rH*EFz!90+@9UhTLu@JA#1=#){tXH%xI$-1se0xE(@cSd&YQ zk8?RJjWx~DRDKczYYyMxvsmlS>E@PGT3uW2+F6pc zb0~5crE$Pmo}0p{m(fX1;%6qa80KPcnxTp0QrHC%mrmZ(nBQVA788(0b)wR;B4v@A z3nx6>;rK3;#%#ceMF__x0?Q{$ccEUUx$BZ`u!U%2=4@t}SPns1rdm#!@w1W zIzaV{mIIex^3Xxy43Ssv#2Mi7M3B$qAt2v1f~6yj@gQzAixweO#z0Vu0H!dY9;=;| z6$sRJI!oC99;;zWM^^SYdQOYDbA<^yMk^bgjw$JBF1nUiYwNXqRR+ekL~uCGRdOMw z{Lux-b6A2v<3S%1++gci9O0g~I7hMQEJ$Fc%c@Zt%b-NSieBBZ?XQc4+q)c7*{v2S z%+w(ipRmI;+LRs#1uPvh+ z5>63I-EM{(pKL!2QMZsY%`**0(HTr+^Q9E`K(dnp3ghGH+$gLm|FH9v8XFlXa;yPl z&>};M6fCRYvj(!0>es*_f$(YIprH?p7YsJ&VM7LkZx1uv5v^;&gW4g}uE0-;MQzz`OWos3kBSvu;R0LgT zjmr$O7?&Ma7nD z>t_wCWoGBplz5NhMS9l5I#^m=!zrJzlt`jFOPGQ-qWT+pOd2ZHrKoojWBht*MB}vz z#)Jxt-J&rCnK5B|Np@Kfx!s$^YH@S42O`z(Jd}VT8&8&Sh|iothlK{6=Y)gJ5reOz zJzA00h&@KDi+xHvoTsDH#1Hk9GH0Ls3?Q&el9Q}qMMzwH$y7T zsWv$3q>=WHRw)CCZLOl$b+KKEj-DhA;YXY0CXV=;6Cpw_d*^COI9G#+WVor?vBhUl z-w!(h_TqMV08HsFTC38>({sR$C432=Z(zJABk9gvYb|%_>=IAcncZ&}&4bK+G^+XH zylg|thzM)Z>x<1g`xtKLRgh3QfPSX3*5$zvEsbHX==G#K3YOB1&LU1uNn^IQjIIX* zWH&W~1Ao}1Hzx&f;46;07@%TH0(%cU#qRsG$?)U^cn#RxkARGfiFLWz>bNBwJLNE< zO+r8e?TKu_h$)vUJXEKK%(&?k=kS^$6QxtEE!DU==ZaAJK%!JPdk zlDd_(I?h~Uew{syvmG7X>_R`#66Dcv4*p*=nWUwix#uU8SDXLz?KcB8XL=Ksj=(bjxaIt ztK#%YgkF*{L4=I91qEY6*Rmp9$p=JL8|cp(?9UqNWl3iGN$J@9Y;i{(N1_C9dMY(2 z;6~dOa7icQv{=0Zo~={-T8HsUZ>H7kt(GO`hLbHM@oogp_}~}-(BdZN$!8sg$~Oc| zp}3W%@SZ@YiPaG-`0zA4N*LTYI1(Tr)5c0_Q$L~1%3LgUs=JCTWQ~anqeWtR0}F!7 zILH_f=qk%ylCBiJ1r2D;DQ4E{@1~bmawP`T(r2hKf*r53)ppN!%Dk1wWM(G0*_h49 zF`58czz!VuPqXIa4gCgbsmyT+;?w*yI6logjpLK-xt#F=7KM_IfB^-A3Wj>d&1cqm z76NUm9*U5&+ji+ZNRr0E5VZHk>bW_F#v>i+@QVQ0f{akHgKb4%>drpYI5D83i&nrI zM8q;dnzf8i^Q_QI!jLv3kRCC>j-#TRh%l@vd$scOfydm#c^ITwO?{fDsZR<<;#1=y zNntk_C#Z@zE~FoCS#0CJZLr@?Fq_YU%6Xwj&0Uc|bJ5k3wQ zI}_>gW2mn38bmUa% zVx+%0kKt*F$1WAsv*9frMbJml_o1lz$hYs-)~3ykyj7gc#Nd50gNaW8%f=H){u{tQ z?#_w1bPLL=fYY^d`YN;5Hgm)Rn()>tS{rAgwoae~rae{1%pS`fNPca-mr!W0HL*H~ z1K$|8NMebUy_Iuw9$fI2vPQ&e2gzC)~ zX2PJex;EGulFErO@7fUO*UWO4WS2Y1$o$oIcgf-T0Mo*xI#_vFg=MR2r*S;Y$IdsvoXJuNyJs8KA}WDju<&YWrz;zrc_~I{!%-QR>?>Go+MD&WjpjPn zq}YrHEl}KK<5-sevBnIWp_8ADXR%k&w(@qC*0Tb`c1jRC#& z+B8a8#WKne>O7nLJocw>w$DkYCs2|3e-QtmU8RG3Tx&U)a7kv1!R(Ftc`gjr;v*6f|?Jrq< zy^!IeMDLbni;_?Cijq$PCTGK~DjL`?8{#uq4oK)N1?V~i}P^eJph3P z!W>>8WHBq0=W|JSY?6>9!59`@0v5_^)uE&_nx^nHH*JmyBD&J%+^)+q-5T{j)Q8g)w5XN=RDIXHJ2?H zr!>qF4&%MvTDQE#3SP6pn~Pji=g}`qKP@j%)NtvBPs5@E7CowKSlGhaVXZabkb<^d zx*(T9yo6!CWK+Ua1zRen-Hs!$FOSVUT*u&GQ3ER+_}{^zMqT#naEM6O9B_iZhE*cB zS>>rjzLsd#nOp|k-KjIc;%V{6wDE;IKYaA*Y|*<*Ct;k zOAMi7D_v%q*x2N7yxBfWKL+L4OON8nNSmidIvr`B=dc7{l9#f*o_7x0&LLh(GfYke zV53hLZX7?X45g^4gwvr4-ub{dj(+qsfsXP0v6XZQ%F1yalqU#e6d>uGoWct+IHeJ+ z4AKRXXd6@emW}wdMBBm!h+Y#Lp!uz7fQW-nWxPgdnt5de3%F2vizbe(jAlzX-L=wm zy5hj@dJWwhj#p__n`|~FDxJId#s!wP+Slgu$@n75=n9UuV zF|OQrsx)R8IL9D9aMC}Y^w9wy#aTRxq=z9N9aa?MgaNb&tf?k+fMSFMC`ML*VnhZg zQOUPP;yR!dZtaP3>C_}D6}Ho;sW^)BMjzucx`+#J#HvrG>2}wMM;ANbjuek^{BgVT zg#@b+8gW7@7e{fH93vypjbNc$W5Qul3e2Psm`NF4u)zyDCMVTV)cD{S407Nw9E|x) zM8J&fnG%lV8>-+zdLXL?Zgs8pEC(q}Al5ZL9UKHo29!K#Z7^WO3C$S6Ps>4^!1s+{ zQYK!l5dv9yn?@(~Ub3jf4L!jS#MmDRGva5EE+dhspFam=W7mc=QW4K!qzGDyF;Bpc zNmYPiVhvDC7e#iLi)jIl*vATGafTb)he4)`%C zl}t8V6qTW1QGuiAXX;qV%@ziDK}Up!L58S6b>PiUmLaHq=?P4zSec5GrftdLB~PIQ zR~|au^`XJ#;Z2@q!3xA0kKPVq)j04X+@QW-z#WsoE3syQ6dO``r!Q;TS;qUp7zk|O z_>ydpcO352V(qEX#bG&TZ2sWTt+$QfRgqEbh47fW9_7Zm#Civ1UIsgGV32$OgEgF( z$18|9y^F(kJd@00S4xdf(<(NU);ldEOiL~rV@x)&WQT)z?8|jK*peVakEwQUk*nKs zGz)t)rOFL&zP%_LO9havFP5^W!xYR(XKUZP$p zXoDSlOalvL3qgX56G!bsta>;HxUyURMQ)}$VBY!umbQToSF669Ek^=cIh4dzy}+i5 zU9G9cDE9mCK8JLFa)Hp~6p#1%?h?la#Z;+>h&OC;IYWqu;CQ)d!UpDR&5XkuWO7l@ zRCdZuJymHAR$#41-O9$g2L^XW0ev;vO8EBKrf2G}GS639Yuq=+%g@D$f>W4CONWpA zwuc~{^h5zp9KOSp&MTMs39L5YDRPpSs@984?czu3*Kf!=vHmH7TjT5Iv7OdfapYuRx@6eVT4o zF-oDsQ?4HmE6{yHO$>ZpI2pahMJ9_yu?$=%q{`X3HZF#-rjdn~B5t9s#(v0^~9n^TmTwIz+hd{ouni@22 zKyeQlH{PH}FL`w-K>6D^!yA6Mvtm%$WMUC4<0=?e-nJb`;*5Hj6hq@%xxL=-8gXg{ z@3ION@ld#JY&2%v0l_UvCxt`Nxf29~0GW}|iL|E5yhrsdn{up)tRZ!bSK0)OoG0*V zj+WJLR}wKhTJEf~clvhW*xPa@epLanXn(cgv`BLIW~PKW#~{v;`8bSm70JM^!BuI4 za93MFu!etCQDWG2wc)N-RV;fVN-l5d-2$$>MNRkE6yDqMT$y4<9d;dSJZaXPWzkCy z1z1XE3YTzT@ziTqhaHl*j_Q%pLMqGJD0t`_Jl?=TZy^u^^6;^v3|B}q6rbVZDc3}~ z@X81T;_V#VA;SN3+V}>O9(ucznZ^1CUan9C_pM<3lEJGuA{6qn6Dlg3N{@*&A|f0w zBNYaMa)+5TD5+GtOsFS2Y-2$ct!NR)wP3p_%!FsSzKgWj;E1Ksd)DgP*Iuq?OC!w; z8^NQ?JJyxP9T?a%K(2Fnhxce%PN|%6GgEVuc&{Xjl_j~(!t$`aP{d02XkQPRY$lJR zKX^0E^V%Z-Mo&-SEvMsL4!~(TE-Zb!w4p`Ac`lS$T&#p!;+&Zx#}{}>hDe6PQzDZ% z%$()AmpG1Nu0TXhKnzPgI5;U7HME17yCNXq-0hbj8rL`6;@c13}BXFz^qE=@*i%Q2P3;l%FwPS^({E~ zQK{dOYOmi?z(J1AEw~Wxmh?m^U%KVi{kQE;8az`f+@dFJZoxoyZE2#?UA4ysItx(} z5_UIMy}HibLj(MO8~*uIR4g9w?E#sX-hBX@^SE;TyHQRj?NW?73qc1I!lE1I7T$ez zUA@GPw^`<(3Eo`_QSjnZh;m>!Oc@wF7$yzg8j*DCKt$9)axfxnFgYBNHk`b@M_fox zw^vEGS3@_Xo*UB54Jn3I%3iJ9uu5)>J}&Y&XawjKA;G|5U(hW=gMJYjbd1obXM{*y zBSh*OA>#5sl+K9GArf^CkwoVZO>_>?MCTAqbq*0#=MYhK2GJ|AaI4mQ5SS&7mSS&exSW<}k@T5HT;Yrbo;h|>6P~(#tpUgfZwAqSy|svu8`;SM54lE+wz9#V!0Uqw&nHx zV?+{4B$KYxaB`FyPL7eFt!r3tBsuCkk{lz%G^7zJrUebtBgsLAhLhu6v&_s6n#A}G zCDKqsiL`P+siXMQ3>g&2jJe#41WYB-TbZa9%j zjwDjakwh9Hkwh9PkwhxpOQba4%h;uL{VE9UOPm;?Qyi}~irihb7j27!x$1}WY=H_a z8Sb-ukzd2I6*DfFH9{QES|X0;)zdhpS6SnjeGH@Z#bm1=&QE)U3yD9JPhcUEcSQn_Wk0O>0iB|$x$ zjU7lX%XKWyypwf~J2~8q*{uy$7iS%Foi%U$t1b?bJS%Ozyg(*%g%&=3>4+0AuJcWJ zzDpt}g%|60rDe5t04uhGc!ux{J1ey=K15;#j#xues5c&k%K-W6rF@uM#@z}1~{Nss zy=BgEXXA=GW414q$jW_R}Kux_1Z-mg>aeTfA z$0Q7c(mInH>x;saQ|6RUviI()>&nND@V>rBk|U1%r^h&2_BB`I6{)mdM{(P{yrIp= zBXY2Xg!?-@&rWG$(FWxAQ{>%Q-dEp(wQ-q)J5eg&3X9g%@&sPyM2ev*Z7tvV@$8Ip zkAb;f5s34Sat^(L>Vi8Wu%pz))jr(;4`Vq}8b0ZOn+2C~bu2cXa62JxT#*mTupW(! zsyM!n&oh*`Rs#qRxTC}_<01jMMp}px4_+zJ03ykO({0QD75TsDv>jm39LGMfP3C=t z$&?gHljNsl3pVtay*P)-Ti4r5_DvYH0v?KOBFsqiR*#jA9P~nlnOw#_-Fg}Yw=_1O znOrJ^gs<~vZI9DM1%V|~SX?UDPv~wAIA;f(%|YiZ-ue&tZB)52Mbq$rjP*X%;EZS) z6r3Q%O&|KU%2MkrswKA4P>s=qu^@OUayl&1O*zNUcUf?aKaZ(O`?(Hl@O5ck1;m7P z+h=jlQZN9jrF=;zNQ01iLyNk3-4U;swAMVzM5o1KuAfsNhW$ByF@|c%cVXo14t_s| zu1)W=3;d)E>zJvGkZqO3l#uVI>y=%OoWSL+q-f9NBrLACkXNzgl_KGqU2L~`y6vfD z?-$~0i#P{R+$?X3d^CP+QKX(q)1a>=(Hq|>;q*eZn!&reb*lrZj%DEuD_o*#RerGUjCTp3-Y|tr<8e{w*c6YuMp?M$vmc9Bk@n-^ z%t0)Rca`=NNnSvve+5M7nlHKPk*VUP^!9TRyouV=o)>);bA_on9AAu8gLkdQGG?d7 z@lnAr4;tgnQ%e;?7jf}Uw-zunJ}+jj7O*!piWcIHFSzi!Ky28zon_pXU^7I&Vv^#^ zo=dAWocHEQ^3t3fD90C6CXrcQImT47%3_SUXh++UKxqAJ0NxwLNr<9e>*$w%{qT`p=VXYxxeW@kiTRAO$+o;2V%RA(wDF}nJ z0)ld2#_yuYXA#*ex@*SGevurxZgr|r8##-*xX6FZ+v-|}cfI#~s|3sBa;dvDusY31 zE04ns-lc6VykaC`(COf=BlAg-)j*SnVN~5kCGF0QIXE9rZ}R;UUWB0ig}MBkT?eW@ zE7@s&IFwFx$c=D3tv(-+O9eRxnH}dR!Tcsx6=5M=T*2rE4NOx%S-?BzaD7q0s}(UO zBGA{AesjQ=R@f&dHB*j|3CD{#1$7Xw}fFLSc;I;Eo zZSY1xb`y!c6WJ^uQPa}R%L*{kQ>xr;U~UVRD|>NcF9*bY+ zAV2@J#PUWmh6%@)+s$>oGKa5MAye2{Irv&9{_~%?-vJ{)m`6SbqA^0^;# zDKDFP+R8Tc((zgY%77Dh>)>-?>naM@YIF3|0OWPmRmJ2p1&F>lUy%DMt^W;t==H3l zw;!+Zf)LgW_$$Zyqbe9dpx%^mS*4U!>y+kp5y#>2k~JD$!OWniBOff-IOufhxHex3 z0A8iFhALrDhgYxcK$9o-+PLeW(q)@uJ>f;fb-5`9vk}|s>s8ti%b_?=aq9J_st~l3 zlUq6r5tuvQEf1o`1QzfzKsez@dY!4 z$Hlk|1WIAZ56jA2H6YLqOifVX@GHt3{9#b7^jd$fM6Ek*7vQ zW1P732wI=X_VZF&U6S}-B^|c?7SXlSUb5Yh6wYg#z&J}P7x#%f$Ih|5DKF2HFYcnc zjrGtaB1+P7O|nD|Pc(7rY2Z~_ z%ur=*-0~(><7<<06xnLN+NABYX995VlLhw61q_NeUohacCR*6Muw7PVInLryR3v8e>>}BPa;EU{BiSLmjNk=w7}aYm1b8~oFWq<#E_~R; zb5bfPWsk5E>tT9A>}C~sKQTA=sh*W^*T64U&q`s6+)!p6O(ErF#@x@^MaYaexu2CO zjF`01yaN>}QynSW#b&C@kv%46wu5b(sxa+srj?Sn=jN0@OD$mGJf2uIsws$#oSnu(``%3Xu0h6eDqg@G(gF2kuV1}FSm=3T;)75qQcyGZQB#) z3q|8GsEQe7X?QYu1yk|9CRXIPG zR+$wxxl}D>sT`@LrbVs1oR3PEmy=%pJSRn$XH{JdYA?J|FRLN5#!0KMsjEzxEz_~v z?*iNFn|XDb&CCa8C93Wmn@+1bJ#`+cb7nR~bwp&TMw_+D;QVxI_pVC8+3lnaU1Lz9 z$T{hZqj#&Bu1?m-%YdqayH0E*d`xFxcXrP^>Qd>DdW5ZSnTHZn8L@^OYHmiGo4z~} z8O7Wrh4dp#FdtFPvUB(HF(PwHm|49fz8BhD|V_mI(jCl@s1VK&uFF!Gun%(xmPjk3U?@*+!H*Ba0JQ>fPCj5(_aDLzg**J~?D3+FGJZR%+<*_NDlb1E6u$qZA^&0z`5x<5%~_ON>a!Hf>R zV7dlhFpq;T(mf%I_8vu~2b?*k%(5Pv%d}4tnZ0Lbnvn(_8D(TnO<4`|MlY91ukGAv z#4?L86Ha^g8Tlx;pG&!X$jCKG-O5&bBR(AFH#3+9n@P{nkW|Dc?WB}En9G#gd^?`T zrD}Qjmih>j+<1*b`ssO^zNERBYKHgyy9%>LOeIZM1UtvJm6`I|@Y4%Tlcv<%jJb*( zSK?Ks%;XWqXhKzVT`aEh_O|ztbD2JI0(}5-St*#$_!OBrOM4>bvnfqimE_<};A#%7 zD$|y243tYIO`^p@KPPUMrb0f+U_LEw4kWcc!yMkUlgLhF>QrgVHequ`HZQyKeCbUm z9h*~J$ z++!XMW=gN22efD@UwNm}>dlcXH3Kh1Wk%}0C5!l9x|TRtyd%kc(@wquz_a?zc51Pu zH=CseP1+^Arw1#{dkTD>r)2(Y=~LwyizYS`kVSH;%$r-?V~)XLc<^J~(X zR7$3yD=lB@b=gW+vl&J%0ggUzm=)dOkEM?eEu?3hfAo#hHJ%ol|4?ZDn9%%(L-W%^ zm5j4a4OKoEs!R(p8!26b)kua|j|o-s?MhlW#)PW)|KU&-spz_1!2hJ2O$M{YLUyys zmG100i-ZRu4IY9q@Gy{`g;%lkDi&YG@~bF76(y*m2sDk-8d{YW;t9Kg4(ta*72bHk zIkKarPg_Tp1qPOdm`9FNb4ji_gK1vnh+@zguW^$G$rvHm( zJd-tbV{<>JS)PtRvcqAbRXxu|Qx-1Ue35BW?fY%{NulH+Qz%nVk=*F>#}=}?O>^8uBGRZLSu zJ7i%`4Mt{hbhYWBEKGYS#axf%t~}%52<%xn}eUDVcA^Xw3|#z$CsWDu>WDlv7EbdnJm%DvO~9Tkkvf^&wjP_$Q}tZd*o%{z#?cU} z@@C?ec-%U?BFHsF?wxX7G8Y;)-a?t=j``^Lkc2GTD7po`j~d$2nb9idDB+X!>{mWk zA|n;)W)00L=L0$B?Lp5r$4ppE2Mf zGRF`zJo2F=Uslbh>z~K;xsHwNwRujHo1+$A?sJ-}w}T7p4!(J=C2te5GrbvceRm_B``xbu&iDiTD)5O`hW$71CM3 zC(o&9F}S3>qSU(=&G-I1@BJxd<32BAAr{l96JSGJCm(vnCP`RnF^Wzd>}hkKDy;q6V0*1R*(Ej z7oV@^!NKd3VZg9M&oAjolP+k}Zzvys;zNNo&sc%aRsC=c!6QlS30WC81AHKAAxE zli4O^@XgZas-88M6D}lraisBUc8R%dS1zt#%gK=}CcRAZb~BxOa%4Q1>U~j4yfiv7 zlz?ZMiJbe~nM~3VsJmQmo8h)~W%D#|_kfzDFw!MNEZM+vZ1@)WYD#6a zY$sKj@^}lUBgQX}$;dy-CM^seWY&knIW*+hmP23HSt{p~WE@ZM7-5whpSSAl8`fId zDmZ#pwJ%Hy7y3fk@$Y0Q{yu3hG~ zSte~&%+^rIcRifq(f1N@MtP;v4j?H6N43dT6Y<5Ko07;^eAMr^PTv%bV)|aLwUR{I z3|W;Ns&l4gOl;ETqpiPQ`wtH`+>|8DxyrF5)|jq@vF; z|M3Y$J&~)}n7$xrW5}SANBBP6Eey<)GIQ(ur{M`D^!ml$Q*=JZe!w;5KU1~WUgH+S}T$9o@g4}%%>WpZJI2CCacYN93)Cm zOA>Pq(O9hOB)X@4OpVfV$M^z{!X6jrwY~6Ts$tNDjFptz6Kg$(s`#6Ui@4|#20Jj*`Kq-Tumh06PR7fX<_OgQoj(FscbyMhNP>RuV>Lg z%O%YW5%TKyUiFmAqFm0M0oAlPIflhNy3*bhuyBdu}p$WZaMLo^<{^qtVaBl3#Lr!KmEXuo${}v^cRVlii zKhKPs<08O^KBViILCrE}ZV``)M#)*|4aqX2>10?c?fw(Z$89~~jcG1i!~nGF>O~8y zT&p|=o0bHV%!}z=pT#P}FQYm#rDKZ)NkfEJ@kH5IKy>J?M6f1v*(sb`Q*8$E`gYbz ztah}nZ#P#kUvjH1cXE>j1jadwiKm}xM)F1`pYNv@Qp>uCzTe@t(p z!(nBprm0@3LF$3#t`~B9>X>1gs``^pXe^V&izfYiR6=y9g-I5n%@}xjY}FB(kMn7e zGG6d828LeQr*R!;Zidw}^Z&FbCgwfe^OAvQHSK{>?2kPY#mE+kVx@i)#meN{Qztz( zk#@wJmdUK?JoQWrl*IXRdSt0<-G&xZlgh)c^!Ev$&PFF)-fUq-P*T} z!-SNXPd=UZSg?qJjNVVIqA7e0xkyGO->OhW%&eQuWRT2i88zBtyd~;=*FM^s;gyLj zZxMs9Xx=tX)`VLvgM1bI@;mr^_FQcZH zbD^+cLLQZu-ZnNjgy5kesm#Tb{?MC_8*#Ei(Ogoe&nlS2!4@ctIHu%e5F*JEpqLw_pv8)pJXL+Cf;;zILSH9X`PFjUUGk&M&91NnSRS~uQ_N7>3ox8M%ir-whEk!2gHKxZW<}s;1lbCx4 zdlB8tb^Ey)OGe$I*?KO$!lE)%* zHgV8B&djdS;z*a4>2`_c%*vDFgh~FRCKc}l4o$v*)T{rANqJB3K6LRO?KR*I5|lI_2$B>wbbM^P{c2b>~b9 zUa7s*bm=gJ{N`(*>+LOTFYPUIHjmTNer=jIqm_;K2!$P=#fMrEX& zSZ=<1W;+NmUJp=2RNH(O zVUvCgcQKQy7EQ`yV4Lk94Ekt&>%~dKR`wJ=dntW&5vg!^O^8n|reab$f3BHwnlF&c ztAb|H-p-`LSIIC=`(BT6*yBOR4SEL$1D1=pWXLBYL%K!1k^cR$%|ZebfUaQ<{9LR+o3$Zk<~M{kI%(tn=kvRg%1FFU;pcg)AR8^jpBU& zPfdIRuqVEL0qTMP!L)c&xt&Ny4O3-Y4mtMCnEDN9G(y!~$uR3+CSQRX8V&`C^)C z3ZF{jwe*xkY!1gBu~qypwMEGxqBKqp=DTbfUz)9@yF>dQM9cHMIoVZsE%`bG-CF4p z(c$9e6fmvNBz5dJr}JL`kX$H~zaSCxGL-Yys5zTt5NA&$f2WAabPbsjO3|G?W;$og z+c4zTb3#Q}&6yNo@tR;HU(cM)%l=u5Wr{C1Yw`CC^*4oZT3zkM)Rb2`H_VXdo=qqg zr;w6ZOm^#-DTKVCU|c+;4PGuNGF^pSWzZ?U!MiD$j2X@??#ONl$y&Ne+zyAE`gWy@ zK}QzQIl(aGs=1D4Y31drxd?JN${2lfVT+h*-@O##@aEhnc5u`KKCUl|WlCIntr*!5 zEd~usGILLKr`Pci-REt^=sr3hyw}1;-^QPM8~=lme(A$tY(>vd%;y-E)FY}E7x#pt z&Ecd&HY28;ag7?5u~9u?>2#$-K$cwj9P+)lG+^y#7p!rg`VU8{@}z;I%t5r-S)}Ra!otW2h|$fLTn>;4^P{sCC)G zEGk)Qx8V!br4;;yMU^F0G8j}ks?5i^>8+zPlBt0B|G^MlZ8A7cW(z423j>+GztY$- zhq;$3LuE4hZBCVZnbI^*Fwjqm5L&|P$F|$eyv9ABm(y(vx&3R0E#^-wn3SJWL=PMz z`DXLFQ<1i$OO|pxn0*y0@!HS5cjlifTgK=+Z^Uz`Y*`2$dKQXbU`XEVin+^JsS0mk zpLfjo4nlG)cpJt^fZZF=9wmVp-5#_@j~z8?#4_z3D1AZROpv-`<=j%o<}HRAgS9bM zf<3#0`7e4x)?=y}d}~~~=c}yE?5?V!r5I`$Q$e*gM5ijx)^j=Vb z8u`zg-lNz|^5GrB^rM)GKa6P!2ah*MQp&@SVQOEDY3LcCX?xkJQCp3w4TbtZ7zTm<8VHa2Kq!;~;~@(QL4V^3 zEP*Cy2K`BRGi-$;a12g>{v_ND*TvwJBc1RPSO%MVvDZ0vH|`e4-cI-m45s|?&iW(} z&VpRXbL=IA*TWuYbL{Pe&%$}=a_kZwz;+k}gPrXm+i4bTf<2DC*xHWq_e8+H8XdHs z!WP>n6dDSNpud5RZ6)E=unsoD7T5}VL4O+v2Ytiu2!%#M3S>YQ z9RC51fettf$&#&%u@p`7qVlj`f63z&mi&v4>dSGFS+epuc#+vJJ;U zG=CiHmINtK4Ek#t8yjwhrccL)k7UG#)jsXf*zgRP1=Ztx`&c53gKUtpOSubRI?Mq5 zwG-G6M?ucTGjJ9z!#kkA{%nr{kO;#d71AIJvO#|{3D1IsPyx%K4%Wb0(4U->t*{Gr z!+wx`aukk%{w@-}1lQm?$aSb61kc}<*cw63)s3LP-t5__q40h<00-d|WIPz^*{(-M zqp?Xm*WUhcC_ErN6pn`k(B-(cY1n5#36w!OG(j_H{9DCocj7G=6AJHz{csR8y=xmn znbbapZ~+v;G?)%+K>ZmHg~AQc2u+}N*LEEHNjL-NpbG}E?09GSw~CWSymZKL;`d7q zg;&Ah2SVXtDgJWfMuoxyA>&h_@GLkAS3%1)jx_Z!gE$+Y0pBj@hOm=QB0h;zf?eW> z&s|O#aT(+Z>tQeKgZR<)b!%A2MtDynb zz*<-bjj$e?U;{M6MreUeuo<>MD{O^rupM@SZimisY^Tq#O(%rH$(g==T^4!4CfE$E zkT}uzA4Xq=Yj7PjzcU=OlHUqQa^g>38XInb8_<85f4|Ii?6Hnr;uJ#}lsonTz1VY{ ze4?cru{<`M0;Nz3THXb<+`nN5900XnB^>)=Y&acqLG7i4SHLznBBR*p2I*G3<;13BOnPhULE0jSPg5S5t?8l zYyyq9C7){@?0`L>_U@;+Ucn9M=dAz6&$6vxGi(Kozj`v)U04h2LG9_|W5Z)11IEF4 z$OQEdB0L!4VW?w2PWTjb!a2u2cmi=D0fs^%3I>p+7~I1F(x5H#PxgyUfdBsum`gi|0D zG97z1;T)I@GadWn@AF&)1yBu||4PEEU^O&3_APtZ7qAuffW}|=Bc6+(5>|lPYuY%6 zVGneJ+Nb`Q?G4jm0jNEHCuM;`C;_$i-Ocd{17I+yeHGybSO-mxed95%C(sI8VF&Dl zJ+K!veb&#}|BwgyFb$?dF_eJDD<@n7wQ$a{H#YHH2HRm5?13Yo>9W2?{*VuaFau`6 z0w@QKS4+4KR>N9of{m~lT0!IOA>0NBpaYJ=aX1B?pz*p0Uxcf04f<^0ID!E%2sGYs z!XqFB(jWuILpJ1q#w#Q|4T_-@Dxex_p$;@&BjNSX0-IqQ?10^{2Q=OR!X0o7PQV#B z2N&ToXuLjOr_KNaU=SogB8-5Mpz+cOr$Z)WLp~J3444HPuYzzj)ImM0g+|y2n?U3B zVVjMFG}z+UW#3+h_v3fdwYP9wzzH}FXW#-{gzM1fTdXI9p+5|SM9}g``UB7bhe7R< z{xV#FZpSX^2SY+2pB!{9?1grS-NZ5>6LMe$tbz_W41>5v$2-^QU8LC!H*DGr;*5h@ zSPpHl55lDB2UB61lYThql0nNco$w6D@2BWE1?0_fW|va_y`<_6VM5#;T)U?jdzXk4e0Y#$_a7M9|pl- z(0ECNM?o5lfeaW2S&$7HuY_47z-Jo>Gyw$ z<-&RB0=2ug%h7h@K{4pB{^P74G{72Yg!Rx28$siZ96`C^02~9gS7cLv zg<5EWy^!#@Ur#)i6%#%Ir=SZo-6g`8;fB~I#)SLB02m61pz-z{=b7UdEE_7}cJ|4c z)T^NsR)NNMZ4KDtAEQkH)GxNR&JUS+P1dc<#WAA*J zb|dJ53!w4Od@Lq>7S6*3Q2T-VX^VkFa0JxeH-Y6t91H-pUl|$`z6#gi2B^LCqilaD zhYC>pko!2UArXdy+Pfc#2~QqN*!g5f5KK|X%28ZAnTmbct`!wx6kPCS*6*gx0 z{&K?APy?=g^P}WBj`BnDXUG@Mf#y4aa6BYHH2)!+R?-aQnAdd2v7dxaI0NV4JgEQl z1hzk%hYRoyT!C(gb@JIehIUOj2pw<;jy>$R14y_NPQw{EE2R7W+F!68p&nL31FV5Y zSPxpBZo=0f_5}L{)GoRf=;1cM;~hJwbcC%h8Yzz)YQdL1;u255mz&6u>l?4zr*bG+qbcLvRePICjw|pc78RIXDj&;Sy;2!vElyg_%$XY8PD$Wv~D$ zpb~0e8ECvKgs;L4NId1+MaQDU&<_T{K!}GSpy}%fuYlFC&9RGK1C6jAnqebshAp7! z<9@~Q0fQkO)Gm4mB*HKl2}zIwsi5(i2ycK*aKN#P-U8cTJM4np&<6WJ(~tQm&nqwv zvLO#DLH!j8Ja<7otbztu3yrWIG+wkg>l}aUz0_l&4cg%VbiiRa0-8^>IENhnF~X3_p>EsTd8$cIAE@|+@0GFs!55Uz3jjf6KtE9`UZ&Ar&y zc5_U?dT0Y(&QZe0;Urvl>?{7nbq-El;~4of=kj%q6KH^>_x$v2#A$~P8(;I4w0Wd$ z0m-Ar=Ar(9k}t%=5Eup$zc>G=fM3%E?Yc}UvncpyirMcsk64V#i+p z8_qM>2{X_8@poQ~2_OAmu3gZNm5vop5r0`VC+|sC^XnRLFoWFbZF)!BGog2wC9m-7PpLkg%}^gxJ*A&>~e zU?e1g##>8x9c+L$$1Zv!Y=$kc4YtEB*bSOK<%8@y7z;B%?V`s)7Gy&%$|V!P9~ zi#`ioZ~-pEJJ1c+K+{)~W(h2Z7AL*v6|f3c!&+DeO|StpeW)MfGoT+NgW5&+he0qH z5@09{hY_Ih8VIj}^{~gWi{1b&unAgWE9`)spy}i9;`o4JkOgWNJpz(p6r{lz$bfO6 z@noE628@S1&|hzMH|}PQ%-=>>4;!Ev^w&v1#)WtFW8CarjDLo1C>+3e^A9ujHX#(M zg|-yNi;mV*-Z=CbjN{aJ>KV@%&`gl=xrI;yrBDqr=39UJ2)Dx#kTIpF;S9)F(#xQ~ zIF`{LhJcI#9|=h?2GT))vnX#dR6;e>!%A2WO`yMhgxld59EbDJ1=rv@=ug(I6@uF( zxE;c5t9~#P5+M!7z+}h;{dE$S?JDWR&UPG3cqAl)yM2c`_I$zxFctK7JO5bXj)N?i z?4;YuI?4Xl{i^vL$9@9NfsC2I0#~6Aar=V)#&RrVKrZCLOqd1KdqS0&Q-VuG6r3LVUBOvmowQ1a*X$jV;nN*FXcA= z4ftE26}G~5ko@#_sz2Key5I`vZ~cctp&X8@{vT!>Ht6q2FZLxLVLUz5!3tOn4bTYd zL4Sq!FwUFpO+7P2J*wwdhkXUCgGSg4TVOZr0sRe&XB}WC><0Z^CS3d1EFbDYf6YTU zPCv?V1F4`t>cTzc*z4^7V(cYQ4NG7ptb!)k0Qx&Vl;aN0!Fjj>SD_E<)YnUfGTeap`#3it9Wp?F&G9d^SB$1Zvg?1OeV2pw<)j)MNi zk6`^^GAsc7iOz)rD1_-S1B#&p^mm-_2{;Wm9J}Z&<*-4{W$MYKn1J? z{fVxG8dwH(umV=WYS3Rd;cE~(lI;Te6Ws^;K^zQ(K`;aoKz|K{*T8z%?bt;(!A59- zEzkIzzm;uF50t=uV^w&xFG@OUNpH!=-yRcn?%WxIC;Rb}9c$bp#!&T^p z8xVScydVtv8%%g4qyl60dwymANk1SghaI4f62^K~z%tn8jQd=BAAMQC`4m108o&0B zw5P#3H~?xNn?ze2WC7c$$9{n@>lVHO*BtxVPtpeq7a^8+4m6+flc*O$7UY21O9}6X z6HqWY(!K!OLa2l~s0U4VjksBP$x9U<8bWbf^POx0Ub(xCl3(PcCf^ zp#EgS<**RyU?r>p^$&i6dNfq9+BA2(I5u*138nZA9}Z<9xqdiBGd0FanZb9c+NuskHMzB`gD7k8$|2VLR-G zq4-9?8d&edn~tv-4#6=Pi!T$l!cHgN5`4?yJY0gQ_-4XEI0Q%F80hksJwtm9tb{Xg z9xlMtX|%n;4A>2A&<Su?>MFCBoR9$bo5~e%V*$MXW1iacsyw)_qt0 zydQ4`@m4|u>;O$)`z+fP>fj`(eG}m=usvXpWnCoyF#&u31@srd5Xb_}XLULI9M(fS zsD0TYmJ2Ik2dI75Le3i)6R?lRmIb-61T=niCEI2#{i{&8j_tmlbEnDoZ^eHa&cX$F z2d;vq-(E#u4-BbhzrWzy_gMRO?E4l+x4ZFY^pekU@*G)1e-xxZI%L2$2rcFM0AUya zgFwsG8A!J)5MSe^Vb?tC39o_%SPP91=j1(u@GK~SGAM`J<VU<{0f$)NrU!aHCm9DokE z4CHo-mX%)v9G=tjfUg4O7&Cm*JZ*gpU2)9Fr zd&XF zQrx-#_z;-4!U5_H^>u4K`LZH4wS+IsD(OM2kW5~w!slN23>Fw zuE7oHyOHY!BtRk*Ln%~1HPnKZqn_}HZ*uL2bjW~QQ2%nm?NG6eGJx7;U5;;JKYW|> z30(W7Z*jeZYrrH8;eHSY13=@Ye1|f@0$2!3pbna#8CswfcEVve3df-nEfj8YsuGL&Uv((ZwcvkLYtHR=w`0V#EZ5r>##S% z`(3C0Y-`B)1CD-Uk<;?;eg_$OBzYE#Z3D1BV^^Wy0N%{3FT*8h;_-)vz0m z!3`L)*Y|HET=rv*JC5&W&~%|Uut63~1GRS$J_>!?*w3JL$!8}zn9o^keaSx+rh>+o zeH?AM2RQZ*!eKZ9$KeEY!fDX-*Y>k65aK-O3;m!!41##jcq0gpgcL}Hv5)~-kPRBI zp7U=NtcFHV`*qr;7G9;T3YIzTOUutNmJ3!v1FVHBpy>ww7w30yXE0E9R|BLu}q$z+hD2G~D z4y$1etOG624#KiNXIPI}tcNUrO&4R~-e$Z$?1$V7jCq5+-|-$0R9|HL{qK2qz**l> zvJGH7 zu%|*ady->MBJC(hgE5c}IiTqzoC^iuF6T6PoQ3mn0WQI1&~)qBo*SSUHo_*5?W}%T zw_PCHeJtBt?V=CDA?OCRA0%#Hwu9^+*#@%ir$F{ksgR9mmKh`Jr(;n&~$1~ z@5MfzJToCDkiVSgbx_VRtLOPf=N#G1zL0%0jcvXQ^xV+p4ab)ZtF1qpeJ#GGfM4QA zv$s5g}B=!9otQ=jq307Klq>#YA^ftu(dbycNgpq__sUuy@cD~6llJ;^Gklw z(zS5B?T3SK7&O1Jf8cn4=`aK8;T)*{_!Z7;cn6Z+_3f2}SHNoSKkK0>a32!iK{yJ> zLGxQhcs(>hH2*Rpu7p(}V?gvbU_S2$F67-~*a>?eT*WmLW&u-Xh6gV8m$R;%{Q^gz z>p9*XBfg~9{MKXN0Gpu|)P9=qSvU_D;2pRE>TjVO$DZ}_>_>i5o>dTB{_+LU<7qzI zDDN(4gZ-d($t&9WsXuNJ+p~h}btTJ&op1tVJIrwMk$3}O5X3_Q3VGa9=nWpJs}L`p!Qic zyu%2ka1hka@Q09eYfJZNx*GXg0;Nz5OQ0U)eADq(1kLXZ|DS^ka2fP>l|VQ2Wm|<| zFvP4^(S-moq{WHlJPqFJ8tdcu^n;jqGcY<0+?>Ih zjo;$LpHa&`f(5V|)Gi-!SpnkE(K|BnBp&;?pzk<9DK_@J`Nc$J80QHOgG@ONV&;>&reZEk!S`ZfqsA(?G1=WdR3Jg9#d{!vamIoGqJ z#BUhOxW-2rGYdc87uGRO^CiZuVq5+9jNb*Z&H6jW-4dscG!oALP;B@xl*H0s)z9B9O;b3g;dnOB z6OViB;%{6o;|-ob=W!o?l6znd*Z)aeU-3!!GHLoh&9xMApuB)%4O<`LOPX}bzRPlq zyd^L3Wf4!}GQnqfEJ)hYsb1da$iIy6%4gUnEK}mFBAos?k0UdAj|SUii{#ZknP+s$ zDrv70W>=WsD(38I81IoZAvRpYHW!=vJATS@$uY`wi05p=b>HT_oGt8w{hm$3S;V>W zb3fm#ChiMgr`+Gq^{RUt(LX^Vs;Rm$svsWrCz>!6tDv zUEIgn7f^jaY5042BJBk^v_m?%hMVA0CDm3??72H{mc*01_o)PytGZEpO!+L(H{FB#y5gK5=+9zIe*~_w7?#V z*lMjUZY2GOAaNv|!QUB>0203PN!lz}w%BT+HHp92j(@@nCzB>bSP{j2>w=N!T&Rbw5C&1tn~s zwJ-?A!%?^ZV%rMa;0TDX7zVJdQX%U;@&nn{b8;F~oh0wxr=6 z7w;$EkJ8_g!1{s2l|0s9>m-~>zN`3q3YrNYwa7Bc2#akq;gc50yMnOTa$zBigvlVw z>Q8yslJ{2V8q4~Bn)bVN#>YHD9{jxqS2BqADCNYL4)XqR199a&VF{-}9>_6v0^$j$ zLK|_;gT&nn1IS}6NFF=LQ#b^N;T_1pC&%{iH0BcI-CJQb*HAr2`1vc_NW$?kjQ!_*jyRa= zjH{P%X*;2771y4ZxgP$CYkQ}+?PS|Dgm|}uv~us*4l*w76v#NVgCN(aeIVB;8F$tU zGS2Kemu!z34~K24W!Jvof3=L&m~;jXHgcyY9Ee%2aa;Dk+9n1 z7nAoA?l;s0B&_!9bJe+kdz$3eE~5dJ1WF-TtfoagLzo+~%32z@fsT*+ zehE+iB=5_>YVx=;+RH=J2mkU&B|n>gOz3XJsu*9(^H`gs#n1fQJ@LgK`vG5zKf%%B zzu;)`Uv{+k6YhvipX_MyGmm`F@+5tiqs5=vH`1TsXz{Ogw4`TV`JVj5A0PI$DZit| zKcHWve~_b1dPke|jyB657@5Aw(c<6lXi4AUXp??WWco%&i@(Lul76$J#oy{^@o#gq z_&Yxmng2yci@*GyNPmT+#a|j9>2GngS-zvq@*VwGq?daLfB40Or2fnJ{T_WETJAIA z75_;7AIWvoNc5)&$aPfp7y{$(_w}dI^313HOah%Fd_4&*&x7jEC$PuS&!FWQQT;PT zKj3Srmv=gP0b1rI*Z36#WG-{nQg_cx^K~t{!_h0yv#7Ud{8a>`9;12bq-qUGOC|MH*fXz)96-5|1J8^_kI6t^l?YeLznIF{m-Er z9bJV^`+@IYiq3NM-=oL>$oGE%JULWGucEsS`uZE_ZbyF`-T0>O z{~lWEikkkP(Ct6<_3LPn~^sHa{TD}({^)>asi4Jx8THg7S=PmXB0v+ZVMzyqQ z$upYj|3Y7)UqE#iI`%id{vY(&-}?G0`m&?nLm#~0`}@T5u7#uTLT~$%?;nWnaP&Rs zmKc2=h%xUX%Cni4=VSQ&`L1I^pFsQV4l$t=NgwCOe;6(GRZTw*z2_soo`~*nw0!p_ z@SU%!Z!I;#5X(bd#L zReupJbyL;r&>fEc8d~bD>Tf~MO7``4(NdpP|913M>Yb`zN8fOC8#;k{t@?k09`5Kv z=-i%mftb+G(0)5XjA^myyhqGJf=M1>))f(9WC!eraa^O|BRNg{hFR< zt&l&CKPGf1I{tIMU%ok%{H(9;v9BLQUv~6ZbjmW{ zKLIUcoHcz8dT_n3pG1#z^i*`Nqdza{9bJN+_KKhWS#*h`E76H-eE(8(j-%_)-H!f8 zbm&Wd{59fV>+7$euRFRKE#o+KeZGyBvHGgFqO&&m`UmK#j+SpawY2#De?fOR`XKt^ zw|)Ox=*x~ij&A$D@BbD0q@&NGL$CS%x6x8()AI3b9Xh?k*MCI606#w83=w#}o z8vjFRsiUeMjIJ5(>wD3)j+SqaU7^ma@jr={I~ zrHxB<9(wZ}Uq6i=UgGN+=;lga&p~fU{{b!i2&!L3=hylAOK9nLQ2$rZtuOgn>QjDuT1-glTQy&Z^mjX2{979${fV#m zTKwf}d@cF6I9mLhz7**{>}c^1T^H%EakTi8UybySceMDoG)DSQI@&D%tC9YcCSQyH z;MaUD;#w>nz<1AY|g zALMB9S36qDSL0~$A9b|&k2_lYO?xBrZ+5g<{u`10L5>!GMq8wRyracm?r2$lwWGy< z&e7uUa1fHn)6wGZa~@BK>_FE&e)3OZs|8Q@stf1T>~Z z;1?SbKR>e=YWAUK?pONj6Z*qncB0GL@iXVf2SRs*_Bc8Qy~RP?v}_%g4H zYVrRX%`Z%wHTlJa{u|vHOMd}I(QjKVk)%E8_g;LXq&)B3M)#EgCiW-sC4X(-mi(jn zm)Z91X#QaQ!GZjPdPKk<)KZ=fXL&(?P)mGmf0yz_^N;J}wNLcsFTRbRXIOLn?Jd2w z?~DIo)=!QnNgz7Y(J@iV7p=V79}sPM!T3Qf+e7;cv^=epXQVAp0p$@bV^*X*Gth00 z4(9j&w=Si8dcXX?-5%OMqTAyr>nl?ViLNAn)v`VQ4y`{qKS&#@<@^(~#1H!0aFpM| ze-3}?E&OpG^}{KGjB zWcjj9qiw%jJS&{^)h4F52YRkgw*N}f*GKV>xs89#ZTu&0;~#XVU;eK|S$;D9sdoLM z`B&kWei12uYn1eT`uX|q4fv0trTrDFHnf-SM)QUdk)i!!Gj6CqvPT@rzDD%lTG=j{i3q z2kGg*L+ekvQ7&Nx=V0wASau|8~ItXSCMW#4qJdr#!L&MBmlV z*HYdOq4g)_`xv^_*1shFLjiwIK+g#1Ds-E3e!Lp+2en+^<2YWld_jLuOML0y)%d~Z z1Mw#~$6qvm;w}7r*8szZukOVEo~^%1em_F%Ps;Nq zx|{Zi9TZs8i}vEggpT9?i`A0;KLhFi2c1a$ztCB}Xia}D5dUuGtK4Ysr?R|{qV*@` z`4n2)%f$aUy2G}IiJpl*AE9wm(Yz|3;VF z_9oGCy({B-HjOl*-^MRmD!_8RyM(TB^cD0fM_)rXp-0;I*U|cu<@dKWX|aj^7+Txw zbouQzz37MVtCrUwJo1^l1ED=yJUq z5BfJp$^Q)HSxp|2f3)X|aywownm^j}fR3+|_&YiZ9E1itNZg{HUyn*^$7HhmAvC(bsGA(qchRc zpCse9q&!ceNB$#oj@$b~3A&y9(&=Xwe+^oHvi;Wv^taHt$$faY%ZtAqosX9LqpaVL z(bLe9hv>J^C64|zy42BsK+kh@9~y4T96b=-#`%|Qm-jc)uw#FEeCYT_DSyx(?fGjt z<013NL*loZl6o0Re!=IlpiT)ae*$_C_jkFTNq%xa7wzR36M7;L|MO^R|F3c4yZr%W zf%rA(MB4LZ9HZthTJ!&hK>SzHeDI;?d0xsRTH}8c|0&Xsa`F?c{;h%h-axmKKBBx% ze*Yebe+n(_|IJSRZht^mApW1x1Afdnd?&tWEzh0K+}5&xK8jAjU+%;gt?@?&;y;2e z6)$J`> zVUACI-i+oyf?vwhTYB+Nw*KJtI(U7KcD;+Y<9#Ln_j5gv^L2pTzPn`o(Rw}jH*~dg zKK~M3^MHSSkn>ym>-7BoZD4!J{UXgNPw@N}fA($s`HsIkkpJy;@ca+zV14bwJlHpI zf7SgrS|0T6PmbSAwDcdk*9*5lG59!;(hWq$e*pbjCGWd%9TWfKXc=E4+e_~Fh3Fbb&qlv8mT#f3 z!zBLm=+Tez{KEA@^h@X;HSm6v^{*5EXuch1m%kCcD$Q%pk^Hxzn;g9t-R$TNbc>@; zpf@}E9JfgPb~w5}dY7XU(0d%6gl=E{sr8??M{pyl~r^dn3C{nd{f<8NN%+dS-TiJyRepq6hF+5Pzd`f0{L9kTwvC*U@jG^BvuZE_C$o(9;}!4L!rrcQOuR;xT`J-6Oh*_fGBg zISD=Ko4iwLx9>Rgoh{6-V*OLmU-*U$@%7e!A$smtm}|yv&ll0Je~Ih8)eY#o*D;TV zjlT)~+Shr1)9TmJZ#FYOj9nh@{)WEws-OQ^@&A$SZ}a;T`tFbO-lvVTN^iGD4M_sMPiC(-ZzocCt!`W2x^XYvlHjlT%Jv_J1} z+Uvzq^bh*+KDSN38vPpYTO6{w3H==JYv+W#@@z-n9q;Rd==r>#u+{p1h5r2mzW)#C zr+EKD(|_>3Q0Q|hymxHl4@2M0d;+`e{Zqa_^Uw(1Bewph(Eo;>X7wWUuRqTF%2uyK zf6LLk(LX{DxBg$DUvzZLFqX&r9~?$z`+gk#u9H3w{U|!o`pePxI(m)7|D^BViT=Ff zKZ^by?}Hq->Hi1)3*H}5J@8|kpDbVXXmpI@e;WNW^4Ib|kA4wdX!C1Ce}wI=`Ze^w zJj)_({+n*Ehw^>5H$WBcb@eFObAnqryt{|F2EHSdosv-&~wL!&LsBp*x2&hfR#PzVD%5`zUivSpU5rXa6PezI?3R z9_W`SPyY{i`t#^tlYgmA|04Qjbb(F(b@Vq_pERrYqJQS-|3J@ow0t+{JFK6^A3T!# zt)tV>&pWyR{e-hUUO<1s(O*aZ{(gV^yn+5Q>!z zAN?-#KB)h3^xdEK_fHx6PmeGUfL-5zKtF|UwffuWhtQh-0Qz+&|Nlh)wd4N_`p1+n z$)>-Dz$<7yK2y*ie$@BNcZVLKJT-QIl%jv&ly@ciEi}8?9N$~ekCOgMA5Z@neSaF` zv+Vx!;-!-7W zcdwt{2K0B(n%`FR1az@Y{|5SJ=q#%bqd&r&8T$PETlA0q=pXOh=%vhuvE9bMixYLi zpST{f0NGymqQ6XhUEV|JPoT?e{3pue{5gE!JNx@ySo`FZJmA(3)Qh z`hG|M82ugcmu72O{t5I~(9&NZ`Y-4gnP=mi)$tE-{k+Kj`k?2(AN@Jz+qi1a?{Vn6 ziCT2}syw9WgtwR5G4BrH`>Ax!J@8DaceZBl!(eC>oyV3Igo~(~N z{~bi9Ir@b7pYi?Y#qa2==yXT-<;2Kv^kB5S->3PHKo4;A81x`VXQSnPKaD>Xo#NKW)? ze35zQZ26x>&qY^Q{Stcd%iKS0{8!QU;?K7JE$BCy14!=&2hksSk@*{K{GX#=T2B4W zZol85|8)g(F4^nC376v^hxMfWS$_Kek}Sc%pKHim;YJx&wj}~ z#WufL=qGBKL&^GIM1SW3^+&54&~LuYykl0=_85Bccgzc9mq%M-=uchDfoA=0puf!f zqXVoyj(+5~zP^l}gif&jfe*3$&^#uZaPcbUWJBnOxrpW!j2{|NJ6-R0?f z(WCF9f6d;1KY^C_MfG@0N5A=TzQJv`Zx;IV%ylGlcS?Tw=yylcA7+<71O3{kV#7Ih ze^sNeY>r8k{9@?wT7@2-^#8Q??(uO}b>jb%rj?2y<)#H?O))5Fn?HU2t(1 zzoO!o-}`ee&pFRC(-yyf{Qe@ZHhJFX^EvnPoclRXAl*ZFNpKT9^D!s?0?f~{fc{|v zJ8X~Z`kGJ{|)cG%gLXEFZJxdbS;_d*?$GR+_QfY zeAEezYQ9nSAG`_X`yNdc1r@(B(SMzjXJP8kWm>)@c$?_o;q>1x^0JeE68`xWjZ06V z{EGW`ivA&smo};N<31huR#=zMhTuE!ZLqxGqW?Jj0KDArui>A=hZ}wl{w>VwrSxBV zG7pC?R_o(p{}u2du(khMxY?7p!maSZ#{OyW$sP~Fy)eUI<^E=P2fWd62EG&K^;7a4 z@IS*uSMhH6%bxra_$%;fMt&9iCr|!i_<2wM5Adlkcj3DW?t`s=--6e}yuQl6AHt)s zexDkG|A4o`R5wcgTlg&=H@=DeCLSLSzt!W{z$K4Y!8<*E6MTWkgYZQjZ-FoMI0s(| zFEQaM!++!P9{5_1uY_;(_i4&UwB|26z| zkDr6T>G8p*1i?eFb$>bhJx~5R_(z_+9e&*7b?`4?hIcAIM&YMmTVA;9DtOxC0{p)o zpAY{Zk1vIP2ix*+4g7mgelz@>$De_phwb}z7u zTmSwWZu0Cu2RFkuJ_nx~1h4n_mGB!pJ^@|@OM5TxYYILUw&6P!?uHLD^7Zg2Y|GCC zya~4N|6Aa5Ve5YZp7P}9!*j6p?_&5uPyhY!J3YP;zS!ei;P=4Rf9@U&F8BEB@cTS| z1ir?z|2TY|$4|jGz&5^rfN%2nAS#NRVY;0veU65|58M2BE&T7Wjc*(LOW2mL)8VH) z9)_QRZTbI8_&Lvh9)2FS@!JJ2Ugq4t7(NKL<>N~DNZ7h}E&M7^|Kspqz&3uj!NzLVfrz%MuU*TBv2s|~M%&wy?Ej>04G5k`IvoPlllvv3)<;VZ)z!bckYJ@ETr z>)#ddhdll3;agzq-zVWO!M4185&jBn)935(zrZ#;55bSa*1t#L|Alq`mHmqFq9a`S z_&@ML@G*gYKNojXAHuf$9u9ZHHoUKadtvMTN$?ifruQ0n61MU0gXcW?IJ_IS;W-Ds z!qcCDuY+y;=iyJlw)|WOe;T&$`y?dtsZOUx%;r?0*OT2yEYT9T z@jV9qFZej)|LftUM=f6ZM#CL&8n*g9@ENdmZzDY9$wT;TPd*FhJboK|p2wHLZ--YH z|2_bJ5I({1$KbEQHog7;Eb6Egqi<-vJ+O+}{fS3v9zT4gVCj z{#D>Vdis0dWv_7hSHQ1@ZT^1%PQx}lABNX?d<(o0ZZ!Vi0Z+iTyx$9luubpp!Ff;q z6SxZ7@zql>-{5RoQ10PsAN?7|qneilN5ZfEvXj3KUgpW!>l55?my-{{&5T#A{SZFN zbH55__cS!g{vnxvcsI;k#xl)|gKOa%E_U);;P%6v{GZ{6FL&~9!&{Ga@}I-^Tz68r#c?OzOUyVTjg7QPnN@vwtq!dbG1&g|DK# z*z~&;e(nlq{|0#5E1dn?;A>&)|2^=Df9>r5E1ZR`|4+jAywceZ28rKtXa6X8?_Q^W zBE0e#CqD(g^LH{eOVZJ*^=M&o_j7 z9X}>~rsLlVk2+>AXK?Q3hNeYwfr17I|Co0xg=b5 zdQ^Oa?Opw_u+peC%o{pw>DUIvz0FjhT$3Jvvv9`4*n7@+~nlD z;0L_)xdOh=OTUi_d-8vR7j6iaT|j^(J?@8}y)Ia`$K=nWBL7>`ivl9@{}THTH#7nK zYYd(h{;u`EF*sy|{Px1%1mEQ4&mg?*_(ru}BJ(>V@G~nKqyFL)eCapkn`h#FL$Diu z_*;(uTJ+&VjQnG8fP1?BZ3zAee)c|hKK2p#s{5V&U%|I~%w6umqaME!4m|%`;9KE> z@vjeFj{g&ex4|1f-_T^sZytVt`FxxI7sB_!wme?}k9ztagnKuTzDp&(OM+X3H#@!^ zewgy3<&DADMgA5ie+0e<_x1a`B=`~hDCNoOKLvM^{?jVFjlu8X=WYy^Xx)Jxk z?c}e8C&@o6?}B@uZ&dqjBtLrKZ8tTT^@l~l+3+DJ+4YCTK@ooS>l>Fop!{nHE`mo1 zzs|45;2M!%g1(9GC*g;AAGCZ)a2I^yl}`RJT>6PCUys4PrxE{UI=!BRPa*$7LPw1| z#(2ZyG;S}|yE>DfYU%}_Y zcdPo6{DbfI^gjl-diwte-$(he<^Nyc;QU~jw!b9!3H(ju+WjTL(=huFqW0lWF#8jl z?E7>$FWTZc=Uy}1dXtqe3Oe8?z4z@*nD3}Y>6L}q{}8!*Xpw4Z*kIhrIUnY4{~x{FZMHWPe8#{yzAb zRWAKD!6h$zIrt*gxNn5rT6dQr#<%$-opDu`=!%sanJ&D-*)8x zS@7qG-(D5|ML_|+3Vl7^TO8~XxtAV$guVFvmFUBVDf^AV_3&3b{oCN1J^lOPrKFz@ z|Dxa__=4BE`s-2n)x7UozBqUaz8(9T8-qn#$v@;aK1acC#XZf7f)io(q(<^n;NxMP zev5-m@C^Ez7X@>0lPA9fzHN7~?2JJ2m-S=#3F=o}Up53c!^hoi{c8-q2;Yjn&5wuR zXVCvgRX=b(3I6!%Ms@x}>dQZfKJl^nx#Dc*2fg@hfR_^<8~+R7mAjq)?}ZOxysqQB zB-jhz1^Mr@)JFYDWhT%^WUd@d`2L2~cJ`Xp&&$<6jcqP1r z22#Rv6@2mUockY#|IMr4J_lb)`-xXd|I6?v9%*R0T>0M^+y_7ErT2de6ub^zN&V1d!ru!2i%8)N9o$EIV$1i<@b|s?{xk5igqP+5_Zx#R!JqT=?}bnF-1{#4=wl5{Iy{ZR z<8ZI1{}lWi+_&NV1N?f={^D(nC%@zD9|mu|$%XG&_=jHjR>2Q{*S)`M#Qrrdy-$bl z_VQx@zSB$Jjqn!;pM75^;bj-HU&XZ7+u>)u_PPY0@(t(T`EVEhY5PlpOX0@}pUv-U z;U9S6{VcrnRh;)$`Ne%0@KL0%)?XC-OysX#EZcujX$XD~7rgiN=r^+-O?{{0fZ-HY#Y@ZGq-$E3%RVGx`_`dI%~!awlRV-)_87oXkm zDE&iQe}5X@1|Mwv`#1Qzp8Y4_<>a?5Z~p^7>bdt40{W`EUHTmff1LVXhi6I91Rv|k z+u)CR@-yILJoz|$vnS8O%RTu{_}MSp^4A!=7rx5VzYbpJ>3;&g(Ubop{0#PW_!@&d z;a0D_-V0yi>3;|QwWt3R_+sSt{dop{61nEa;H4SL$K5V`N5U&T_fLcaPu>OZ#XbE# zEeQtUrJj5ve5oft8@}8NUjcp^|F!+b;2rRvyzpKF-{9&0BmA5v{}Ozy=l&1iqdfor z1HQ?V{{bGN{?Yz%FnyBt_d*x`V}w2XuY+&neY5d98D9BSYriqr2w&lauK?fb>0b;V zkA6cCP#ZJ`H^IO0^zVS%NneI@$QK6>z$-lc$KX-IyG+R!1;2(D6JG7!lHmWs_b{F~ zL&=u}FP*|Y>}zfej)k+hPxY$YKLHMCpPCdm23_!w*B+h)&+tC2RC4AI;8U=#{a+kh z4lljAp-H>9D7YTpi~Tc{{*vG}cmVfxd>C)T%NegOGV*^FemCPaA|UPOFX08ktL2QZ z;c>4&dvKQejQ3gVHwH(+k9+ms8-%^`b2|J9FTW??X53$_{BI1l!xwzCLAE90B%a5-1i25m-@nn|91ER!ehgGFZ`V6-;dxE@lWSZWAI<_@4ft9 zlw*H}Ctn6X>&agOU+}QYzZ2j`A8Ls5>kaVA>s)%b!l!upop8aEp8`LJ{WDDb*TJ`X z@&Pz|e?!xNk#B&v(Y|V45=_8+PbZR}12ANJj z5dJRqWr1AE|CR7PA8__R39m$M?cW1uJ^4@IL%!+ceAAWl6VCq8^dk$NycJ&T**^<@ zz>`nIj}pF76TVsaWZY{qd;$EV*T23J-uW)qzy3Rszt{CwzYGTt@ZHo+55ncllMN{0zXZ9SogjHx8H=mO20+H{i1(&LsLM6 zq&$5eJ{R|oHS#Bfz4nT40S80y5lX%|cv+tEM1N1ue{tR${wnYP9u*$;%fXi}W z$^VV;*dMt+=P7t4_oYo5`*L5Iu-0h^ewWZcXb1bVxQ}bX(-*e-M`_h8y5()YjjF_6$d2VTbgr*RT|1Q{mPf6te0$2dp~?HolDs`(e*tby z+)pR0LrwZ7^sj<1<-WMjp&;Rr@*r&WKa|k_4E$Eg*X5qRu+_giq5m+vjPJKREc z-@`E^`#yg-A-@COi@e?V&)QP-Bk_L>ZerN+Y9s$oPrhu?Z=V13T%=B;{hR0S^cnl# z_8Ixl68sE&sWcw^i2cT*dQ|%p4`0Oh+F|6XJP5xEz6=(FBjDp6hWJ!;(H?{~d-U6v9`)D@^=_Z-!5Wt^Cso{%5$ylivpqdi)4H;_;*KCXas! z|E0%I!+e7_^6wAuc8?dAn7{M*<#5F^7|JpL~ zpM?L$<6Q~}Q68zZ& z-=E;e68vm}mzCrGy*|O~5`0dAiwV9Y!8gEn*!YlNpN9X*GWqk31ph3-e@yUEmAL)Y z2_8)F41Aa8UnRkpB={=$Yo7i`68r`DUQhmw1pf$r$jXWD&tbkv9F^zaB)GB4{HG^B z0)7k@3&)c_P4MrGTzGYYdlI}Q!8;OsVS?YE;EyNxO9_53!H*^Qw+TLUJ|6xP5`1cc zHzjyB!S7D+jS2pIf*(xqqX~XG!3{g(;XNY3D-ztA;NAppN$^_}{PqOzP4Gt({DlNR znBZR~IM|hlPl8WMa94uYCwN_wV z39csi-3h)X!JkO*mlFIyg8wbSzlMMBz3&I@W_^_J#W8KK+V7XcZ-X}oQ-2%@-wz*e z_%Gn!z_!1T68n7L&C1uqr^81W_dpqs%6x(_b9=!Wc&p*Y^J%}~ z0mBEwKY&j&d^mjcOSv!3@N#$+yxDLQd>MR!;n%}U4%YYeN`L5d_#n&pKMKFp;|zQ# zEcLI1Zzp`T$CnvKtmHQ)_zw6u>mL5y3%|zW@4_oALOaA>9 z{uJD9{5$yVn#Day-xcsrk-yi-SHiPLyYx8?ehU7ek*|lZ;Clv-8=ipA<39113*+7- z{0zF!{2zNPLDUhZ~vW>uZ0;`NA1@joa4Sv8=p;ZexO0O2l$_ZzXBf{X!lC+WAKI2 z9wFZYzxhn=S9!hWz3|oNasS<$G~Wat`l*Jcm!F{dbMSSKG%Wo{p!pv7RPL*@@qG-w zBGB_m;{Ma{s_sQg_q1vK-@=c*Q-A+M%E$BYt1i;t`w%|tos6I1a|86@G>bwIrv8Sb;iFv@cr=5jDJ_c zEBGG$P=gN7jqr#0{yb4r;ky-nknhcp8T~uq-S5})Z{q&_aQELVQujLw{{a5sRon+{ z^nVVw@xAzK8nk==3;+H)zHep1v*;q;kH6L5UljXCzzuwlXomF!;n%?Te{j*#zc-u` zIrC@hjC-fU=iR(W-3KK4!*HJYtmQ_24t(9m7e)0=3BDgb%J~0o_@}V#Z(I*I{GH>^ zz$;*@|4n$UC;u6IHZ1oeivRxu5A!`H+nyivF4ki`J`O$`KG^u5hVveu3GeoJ621)n zexr834F8n*XIsBr0RINg8uu=O-^~2(GQ*d^vmU<}e!Ite;VWPp|EuAT!PdPS;G>z} zwekN5d=hNk|2VuBzT5bBD||TLgP1e?kMKL-(+qzRz6-Yf+k4?(Stk9y2On+L4D_K!3?X~MT0exD~l!LWTl-U$EHeE&hh zcQX8Y^F21<^@dMer1NVS{-pU{yvW~dc)|FWgIAdK43U=&tNIvTfZyrKFEM}3cJXa3&yS6>GoXXf)ozQ%CDg!c^iGBf`#@_zVK^F0{h z3B$I(a1Oi)x$NH)c?N#Ue1A`P&hW!VUWLEH`VrnK{XK^5_~YI1E6w_W*uToKeIKub zPe5+@LvY&D|FmH%|18|?$-ipY%I||mJoyg{Tlr(~n?3oHhOPWJ@DAjcoA^8r{|;8| zCE=HaufxpxhQ#MJ2|f)z9Qo76elNVltaph1n8?j~nQ&;BKPvrp@bCfTi-l=a&WEq} z_%hgje{dP{t6=+mox^eOqwpas_4j5({zX{6Uxjnb&o=}Q!1IPh|GTjLKHK4}i2MSU z^Aw^l95iQJ%DGG-o2h1k<|@eVmQ;J22vY5>in`hrb#-W&A{44EZEgCwO-b6?Qli(^ zme#T^MQfEM9KtF8kTP)SHhYMr9(t>{V;sUWIntVzl#t+Y;~bfI>2ovz>?W$u2D(cmeOvvnaC*rQabt5t;&aV ztBzc&(oE}wOH0lX*;Wq~Uy>-pP zLQ5qWpXly6D;Te4rgntGx!E8L^Kb=nTw!c94I$oe&=L^(pePMdMoVEm3 zrZRJ?FqslrsZzYQd&O-wH#=3TjsVXQxY{ zm;|Nq;Jk6M>+8+bD$c}oDtVP!zGGq()2U|WQj(;;k}~tP2tvX$F$s!u>YcPizrmB7{x`MJ`3HJl=q6Yg6DQ@vP!XshGl*q5HlcNGn)%1j}L_fY`LvlSxh z49Ie+4Qt|P%&p(_MB;uY<;hn#DNnw-NqMqLNy?K|Vp86BfbjM2UrwXE-}up$+P^$m z)g{6wRYqWMa0t~gzaq=rSo)`i*mxooa%>Q!SHW+w~OLOajTu}4knTi={| zc`h?o$9QL^kk8hb7kB2$(}m)$8bPTjReU|CREU%vFPzlaCXlAN!2mbq_e_SXs|s_e zfkF8_G{Qw2af_xFw^7E+luhgQguhB zG39zGJYA^Lxvh!5Gfu@4Oqc!Zan5N-y=2U6oK%1^Q&aP0#!ixfChn%QQ3>=zbF&(2g<)Z8ZhkhL3g&9r6{Pwv_L5ENGk|#5j zy!5MejjBijuZ7v%dDD?hz!Od9WfW^FV!#)Xl}=Qs&PQqm^)eX83o+M)JU6H-sWO~1 znmvVbt{PL&>?_3GFDE=uuV@rX`=08cO_QFg|EHs;(K(%$5%Rq08rzE2Rfr_7R5|fl zQfyn*^N0*el7&;%-KCsg4y=JI3D!h1)fOwp>~vPVa;7`klSM`+B1l#qiJpz_ottc! znk`XJ*(?&0!AP?1S;#Yn*F$!(9TVevWoEvbE$*6&bduFmJ)JuJ;~IDB^zmwRl2utf zzmnBiJ)Qmo$BQYgc-*P>{G>@nJ0VW*-?pnW&5K$mA8TUY8Wggts=3)xOEKszjxBVr z?+M0oQh>YXv-x6wzEI7TE5UFvJ71u3>K_hD<>FMXQt6(r7B>{DQ!`_^?EF+UPZbx2 z8LG;uQcF5a`_RsT&gwcUp8j%iw!fS^PZ$?-5uZ6fTbd}YD;BGTVunsu(BD51j+S$S zgu}VCCS*c0@&sDz6O+`Qj9E^fQZe#Ew9W%c&Q272bCdJinQ<%^=8`7qn87?gcGZ|L=jyv7N(rYi zNhr~Y&4?C#{_)+jlf?paoTG!`L^(58nWYf)kBw}Y813HBHx#bhG%+!7Is(G?MGPNk8rF%939!hx3Tvb>WNJo z_7mX^BNIIX`?lQQJ-)vL;oaY-zf=t2_-J3>e$uOFsCz#FAKo;vpMVdIFrU3o&o_?@ zZ5rN>?R6A}VJ5xz>A;rJ;e89w>N`80;(Z%>MtUh*V?~+F&iXb-b?xUH#mnO`Hp2}E zknbm?5|!{k@(n>gH5F2eGL^VPJy&M((+qIttBT|;)^83&FKXtYp58E9?AytBxS}Cn zDHSriCyJ$Y^VKTT_`a!B(Svi-Mc-^(by~!9{iZ=zmvp2+yQtQy78tI|a3Rmg%~MG3Mh=-Xu#IEHbTJL4|11R7KJ>UwdxQ-!`VQ{2^8E_23* zRO>D08I_0Ga%NYLOe;DgRl!ckqDmdJ{vsW3XHG_m=DH%|OqJ5cS=Bx`H5%K3XG<^~ zWBFt2*`BEcWhl=qt+OXaHG0bSL^)62j%60=l}#H4rA<|h_jqouQlwe$>l+;#84kB( z^3^TnJnJ^bV2suw?3LXBxDn$m zVKKw{bxgZ^d+L~u_l<2H?CDb>NchQu+QcCBQ9OKJ_a!dq=^h$fH`YBdII^LRKS~Sz(XiRK9uugCH{`}w#Sl>OkAsp(j8w4vJ=nskS0aOkk z4hII;57gBe4~P3EXlv>vg1ie8W8E9Z_np`@gUJLGTdK)mMYyKih>!@?6H(^F-myUn zTOu$b7$4yF+jtnM+xz;+V|umc6pORvx9-#R^<}0;_bFXR zdnZ#CjAr+#(>Ir4WqCgeJ;m8lnIX*ng3(R2pV=-GBm4AcOMZ?)YeL?$B|Iy)JFMns zbJ7^cEhAjtx1o=hiDXi*LpX3wtEqOh)@SA`6+Mbe z2vx-ynx0kMo)!)Eua_m%dLrozs<(+kx1QYv^>l`L<@f18?*=I+Y)zWas(Ii@WMdWOiaWQ>tS}<~iyVV{vX`%SbprxPC+TP^d;_ z@wY%U^gBMdfjWP4O<@&<{;`cz&)i>LYga@vUfY+P9z)pO)6>TwxVCW?w;A8=%PtN7 zruAXZ$cFI|zTHymH$`YL*#d}@wC{a&1)Ij#)itNITEe zNBa9^3zH3|ba%&hSD3UK&dtpSaqKJPW*OxKIT`DW=S)x1Q@W#T#mKBw*gtNlSItrh_jKziANw4a^e`qU7YkNMhdsyG zLQsM;(caOjeAHPZBLfj>at@h{b53ak&oOOa=S{-?!TyoZ4E)HX-f&ZQdaQ3mPfhs- z+K<3DBJ<`;F_XU0)^K7$MhClV%*X1O%MfC4E~Y9*BeaY$k4E}qJXk3XZWx?nm84Y2 zF)HzOkzsuw!u6!&c+d3q-dtrWW(z@YZfAZfw{f0<$#gzf7L{_oRHYN3oYz^ah9x%f zw7`^yV9RjVnsghpVhmiue5w*sWrW$;Okh(qHQu)%sk6XT#6YGn-BV)0qMoo;fDMM6 z)(cALtdvIMHN+X7;!|5gs~2F=mrXoMV2rhfG+<`acdn@xFV;cvkfD82IT#BUEgnocQ zKfS>*rlpV?a#jJEOFHwkjijhc2a%6Wcsb!OpqL(l z3NLe4p+wo`nV2M85+jox>^|5rUYuuVwzP<0->AyItrC6BtDo-*Ck=ks2E_!BLZ1jBIe4cX(%(uvHn6h?OMtSsW<`@Wu+nHxz zCtXm-EG!nXc44|jc80TCs=`SJrj?Dh7*4A7%YZ>KPRe{`|KO0!vn35=+F53~6M7W% z9Iv@CXLK94?+jx0J>!^t#=mj<&LC#rGj{f6@E(sq+{C$%FpoRo!X}XtRc8`+!ZVLM z;euzKa3*mlJagm326DB|E`s{TJ%t>*B>M+hZ`&FUo5}cW)XWw`wxoqwR=`5fCCX6C;Ky2m^yd>%u__vMMtDye?j_RrxZ3 zSBe|=t4O4etGqr9$P$yS}N6YORBY{lir3U`_R?W$zd*I+SUfK zvrpS-!v?)@OPtG$MCq0k{d*&6Z)vCVYaoRrg0@skC;fCQ;@no`m>l}EKvx}dCW zY3GcTG4H|)r@xltpOI7Ou`XxrcOha=kB&h5S`MW}foVs^xh^B?NVjyfMvip0wREuG zz{=J_QJ7MA&M8YHOLaib&2&pU9aC!$S2!GKsJ#PBgl zYiZ{woOKdMWK)pI5o8=}G_(eY+~`En%MyI!2%}L|?GPv1}qVq9aWqYGD}V5SHr zV;ZZ8n;dL4)V7ARoKbm5;R8oljjWweb4=FSqr+}3wa5`X3u`!87rEZWfyl^X7s+;f ziL9q7XpCX3yJV6Rk-nVRHKH`fF{3!Od1W{XR7Xpji;F8-ZN!lis>Ycv-XtgEfUhxc zCyzK1Y>8p3dF&t)IE8Ac6F(xiaEfDxMzRKoa-gFXOOb1xh$3hQqDXZBQRGSf6bC_V z5Kvs}{KY{zd0`4{ij<8)NQIJi?o-*wF;t`3ks=_zW{RPXk@3DW4l>|g6jxH)rNl+* zj9HAXOHB>{8?!btn{l6^j!uFbB~6Mvb}3D8+ZmM`O{$L$XOF_k)&V0*Q;c2B(n+); z7t<7>DCpFhbdiiDY9p62{@ZC4NFO;_Z`3JNe~=Yur4#BpgxG~3-P!aFZ# ziz9u=g`QV|Q?u3|$xSCC46%61A!h4JdrR8A^n_22P#YPIjhvP?)Zrx#Y2Yd!7r-@9 z;i68E6V}Em??l=~iu&A@!p>G+5SQtcU02}Sh_6c@>fV&AO57Vs#9e+6epj_hv?Hgb z9poUfjSTk+M50c7buS}RHa7l*)U_bg?`fBJRGo6%TWdxZP;tedNV|F~N=E0cE3r{X zBCn%7=xE_`0~4MoLtQZ3OX>=St9?6o=iHmtMxEvgSsRgajTg1H+$dl?@=}dBMA=A` zUENC!opOyP5$CwH4JS^!q^1Q;yB5^tjvR$I7MSm_K9gsz)uAPJ!KZCZxvIMZa@8-j zrrdvE9HCc`a_?&gPP)=U4JF65ttO?<72gyxrc#WI{7y0PVu2)I?QO)tMV-=~a-BH$7Py$W_`0~#6sKH6Nqy1jUIyt1x+GJd&wi^KUQ zrCd38^(CQfcN%HxBUj+l1!k~o$mo%dEB#lU>q!DE^X~Y|q zG~(?|8j*iVBU-nl5wBCyNQ!)oS)K4p@vqUV69cJSYxL^GL7J-?y*jaw;!vYkCmvFs zlX_Br)|l0ahZNl!y*lxb7q3RIPCVpos?nbn-a*bY{ zcu0q@Mz2mhq_wHhs}m1-;gfnYcm4T_8Pq|y(rJF)}FAEk>%q`VD`Kg%b_~bqR%>n-TN?SbN=Z1Zmk*`T`KR5 zVRg1tUo^N65v{n>A5l=BcjvU@*Oea0ls?`l-A3fVtrxo;&ExvAAyzQ*pvq zZS#_(4SLxXhA-2zVdcC+nAy2KVKPN;p=!`M z#v~K$EEeWxb2_ZmUByrzCgZTdoSS+t>22f_Hul^aLV+Q8ED3cF^|)Dm@8DRAi8fRB zoad&H`!X*??1HhW6PadwT@nbVIQcL&KN}QgD=FEN!O=7tGevS>SItAK^#^5C&aqg< zZl9di$d${)D#alvW2^(D)*6y#bGcnMUu%!Nk?(Zk%9-+R!cZ&)vJ-c_PPJ60DowS> zq(!mZC5iSsA$07~@}^N|YYG40>v`@v%;mz$iin7)foW z17rkJW1MPXup&E#=VXzGs?NYJTa(BkwB5>(@;_}#g;-E%UL27Rs*7C)sk9^5=)+)0 zwQbclY6$yB$AevJkFHt?%k#?1QAX~&;yeS3P=v=mPi_ylmowSC>>P{Cc8Q9v2c4}+ z0>9lF&SvCMtpmt-!7QuE{%EyPTCXQ0P1T&g=n&vuv(Uyqub#nSw(*7MjBp+U32O*y z70xIQ>On)T+QyjLMy+-}u1gb=&sJxmbdmEbY+y1rE^?1+;+c`ZKZCP5t`HEmVU zGrDPLq`Oy1=D7&MVY#}cSl%(gmi@?73|Lo=nT;3NSKMP-xtMh&snxX($UY2$ygL}} z8yg%Q2s!pVN3yatCR|tK^xf?E6dOYUeH1V3<~a3Ex+X73+s=~61PpUj2e4%F-yMmy z=Z>aka#K6>v8xu$*ac7_u@9)n-7eLh!VX@Qz`E28aDX`C3U_D7Hk|`IR%Mq!WpGYy zkD!5u)nv-cJ%qdPA=sDtkn1xNfQ3BnIuXT zm3_lKqfB9P3_G-kPHNL%3UhwSW^OQ;>IwD6PC3D+%Y{7T+3`ycO&&Luvh-&*&gaUz z6?3tR+3YNPWQ-EELzPo=x$`N|>|@QTszx2ap?e)2!x>i&NG^pH>ME&6#0PD_K}Ttq zUv7!0u0rxG(6&d<$P8A;^mkjTR9!O}Nma>I2Qnk0UYhpIZqm72)rBBtlZ{-|hSX^>)AfbjB|eiT ziILT3VI`mDDSp#Mj;pp*RAO--Wo8H0$-E#nwux1x4%w%&>@??Jb{*SvqT@w2SZh=) zb=uqXwrOm2)+(JCC1a;lOysPhayqG~3=@j!&X@-plNPn9mwwX}Ad_jK$zI>cX1Q-B zR=M3$5(vE^`D4%$+~GiarJ)<5OiBI&+)h%HXV z@-D78Igpy{N>h5|k({2k1=1el>!#n3^KMqtXn=EX=TbA)rFb1an2Y)S@T9NYz})D(<3Xm0O$C zHZirqUcE`y_nCZ_dze%}a^`TK6;+v+V4JCI1a+ac22lv2c!)ab!Yw6gFl}9NMzvOo zb7k%&5f@A)tot*yRwGZW_mRPrI}BYLSYPPE5!sc5kaI4k>bHkr^pR+D5V=KH8}(&T zWfD6~ZEe~rMk-G6hO>v1BH3PNBUs6mWou<6%70rVta(jzBO7(1lgV-)a5BHdu#PSV zG;+*3)TkSC&xYUPvFyeDBPNhjyK1dt=2^9x88t^$o$_xpOE3b)t zMU3xS$GimOv)1G+QQ3@jTZTePB9|z)#Pd#Stl0UlmgtqK(Ba#^B{@&#>)ROSqg2@~ zPo^}FQMh%97Eeo6h96Q#TBsBmCJV3+Q%NHOITl4uvjaAgCyWHMMbANHkg3c#FU~?m ziXPLOGK^6!;o0CuY8;N^iN`Wm>f<~WnwU#{N?%AG`A4Iw9%U=~$i$d>mILATSy z+C4lvG&r%Tw=Ys=&M$7LkEx5ZG98HT-O*&B7>;tLnhoHpfv_y6k|P5SU2DgOdh}m& zfKaMb#@}Lx_>^X312z*;oIesHHP|Y~?m!abiD-UlocSeoZR=x-B2pD?Tt|$=8`BRG zp(;0}8Py~tIAkCzMuT&k>3B)l>{KOhh?*x=VmW@qoLnwY*QA6MbvqBWxAc~R43Sg2 zcXx4xL`WN=>ke_Yw`h+}wp4b|t()4-MMISuSJ>;R>UuY)Y(v2dCi6gaS)FW7W|Crp zUNb*ET`X1P#GKPL1q6fMP>$wVjj4>CY*!=gz;VDN!z@W0R*M`SF4Kz2IK8KsmHwzW zv55jdv7kq(=D?pAjX9&1p~|j2w_>%Z>&;u{GwE`!$cAd}{KH%2XpirAYPnU2eg!x3)Y5vOVm#Y8#=dN3>RxQ?wp5a&Oez5B6~c`-Q$`ufM!k-jMB zb^IKv&V)HHC#O2>c!3;+PF_-z7N-3X~mra2fhtiW}R%r$anx(0%O2Cl`Hja;LHEum; zVt|ec4#)&Iua<;CoU11y9QzUx+%K&-`xX)6ec3V*t`|bmt3vm)jV@nKE??YU z(dDe)49nEOg$*F7XrQJ{%y57WBpq>q9u#0+XTGnKt#<>oV*MGpfO9~1H(K(+Fh_$allIQYs2Kr0OHxl#pyZo*k=Y6tuGz&AbUQ<7m)vQa7HL_% z*)dZzrD6{LEcK0b)u&6_DS_2_4q&L(rczf+>eW$(-Rk~0ihCzj7GvtVx&vFg(j9Bo zaSz*iYJ53<9Ys&I8gi4Pv=7wm+L8Y8VSOrzz5)}J*_>LaOxw_?nN%<5S!F)`i5=wG|l=|+_NG+`dvDU0s zudR+A6aO`KQ+4#1fv?d^*U@82zDBRTjvi|THF_O&^jJJ_H?L;-DnfKsY0AGZmU~Mh z$u!{E;d0vfNR}0H!s(P;DQvEJO{oi3%@wfd6$+7zj{h`QUn(E%HLjfgFX+3R)sr|H ziIU%okk3VM2{*qzEpFjXe&sKNyf7tGBEKS<|@WwSqDu& z#)K@r+MB#(puk5Mro{+f^Yg5TpRK;J2a&loc6;pdULoKp3-`SXmR>u_pq{5=$rF(RPx4D{I(wxg*oepdHS#w2iK9|d_;odmB z2}}86Dv)0lNmxnqlDc}@I!%3%bQ+^zT%7i<@Xk)jMe^l>e0OBUiii?goZ4dnlQ~NH z%!z@7iXF!)9RuO{`C#3AzL4#%QYlW#jBa!D!~iEh$Gdm7H}^GNd^9apbU-Q_==%BK)wYhIycUSYKt<79m zwV}6rte0!kn#cM!b~SU!=Xh6hU-!Dfog6|`>siyGoYJjCM0U=X=gjU5t0L=Rl~Rt| zl8qg;$i~hIxj%Wcnl7t^Nj}pek@6Iz^Ii%i(R@R4MIu^X zIq3plm6EX<(^PRsxb!kxS|}*nsDWGEYy*Coft(j zRR-%gPjxO8NgZEm0~$HD&%lPYWslW-*7a1eRgb)6Ii|!+P_z*rV)7IUyu0$M81-U> zYYO7}rjCl~6!MV{cZ?escnY~%Svx0y=Y^;!$Ytb=P0?nNpG5#ON($8d4(_6Xp6(58 z%(=CCgBWHK zm~);vS896poxVTd3q1Yyedu@Y!+cG`oM@=<#8MFVt$EU4Yo7Gin#bJln;7uD6Wnsv zrqP%gH)zD%>L2PJkJ;)U_J^qKy4d8~9}w-a4eNa~*0os$AFDOmoG?n&YGIVrO4n*( z6w}hvB{h)~+7&VBvv%xSdpAyqD^k`!M6z zFXEOhy|I!S>PlHU8|s6Z@)1pG4cO!*eFRyZl>T6KsEML4beK8Ri*$8FXeh(*R zC`x>PvsOtN8mmF3TFeK`)yaUD5KNU=7vj$U9BY42hBeP>dCr)Mj!L+Y@eOU{c3Bw5 zZqc@7sy&rE)wmK>H80v=p+t|&GswDY*SJh1mzm7VwA5TtE-oPrG&B=_`NT#k!;W3u zRz*4q8SN`y?omBTG{w*6?ensL6*5BNJ`%|W*9HfwgBmjk2c$D9H|k(tJ+CVlcaR2J zRTl{(krf3!hh=qT8p(_w)B?JS3qol<&9j$pw##aa=$??#BqmE}HajsRkK$%(!O+^R zupd9a^xBrC==M$@j|Wou9*HVV}U+K6f^FSEqyZF zum!}LS7%fvcoJOIeRZ`QqrKrBXA3aTD!17du`{1#?OPXR^|>jp?3ny#cOL7*JLNMS zF7uc^U_v60+4*XbPeO(2J5JJDt}>pI7;!u3*u-$(Fr>@6q(`9~PqsqYOSVGUB%72V zd6Nd4iCuFgH=CcKu2H!u=^};RGi13`I*Gmssh~EdPg5DG1$~pAIIKP=Y->I4wv^3w zs@sPP`R%#~7z;n|!W`>xEdEujG@F}Nv+Jf;Y&KEx+EsV&DsyqIjq!YebYq4n2u&JB z5?waHsKnHk7hhSIi6|YxSUSR8%x}bJ^Zj01tY!0hbFoIp>xIRd9?v}10D0#7awpap zu`_ah`!IEbB~kluE;FE&#W#=6)LL=F>7$FD*(sB4JTJk#En?D7w!s8 zA13B_?P?AUy-evupMeXrDK5csA9_=)KKmx0gA0wK`)pg7<0Eh8WtWe?nMe65oKf~a z{8sZVucQGpI%-1%RloW$aE#Sn5NWaZ_83Ccd3XrNm9}anEhI_3Xc7p5&6w z9Q6@RGxx6ZN3uarqN{Js>CZo^55Tx-n831X@d&rBDzkGrvQYmvM$^hj|IW$>J45AT zWP`O4-T^6V#tAxS`g^PxF-sq2=?=1Q#M4mk0o`EU24iVTl-TU2l;y8=ER{bWL;2{R zGn%(%Oi3EOJPRtQafhxonU9Q|mhy$IE6Nx7>-#RPyo5rv_BPxFx%Y5-LuNyyn^kYF z{;i{Dz87dBYgP)J(`Dmk);*|Bu1T%3{z9#MvNp`B{IXW%tF>Y#zfC|{Ln8U*mrWm= zY%1;M{FFnoo#e=FmkyKhOw1&jETY5=RowY%Vcbw`tN9;E4ZD0IQ?xP~LRBrn(4~~? zJAwId=d@HEG2;@O?P&(s+BMHL#8P-aT~)Ecn@$zTY+8P`02WeUT=&Tzuq6R+%r!lwsx6wQJLD7*3VuPi5ttnc-E#?Je>RW3gM{JCmnw85!zd zHN-x-7VV%uMeK~XIfcxEcwm+uPHk}#_q1wio>Pu2u&Fo{suEBM@2Qn}woR3HtJRj3 z`gfI2XB8?d=jZ6FW><0H&v0kQHd0Xj^U<&k>$k1T&uwdI*+y<` zQzSP<;jY;~%f`$L^?^`LEi6djm}p)_70on0+dYZk|C0;UnOv zc_G^6g?Q20i%C*bYrdEyFDA)>+twFL$&01r#ZvNOYZ~itzgQ`}SSh?%DZE%IyjUsh ze`4ardh*43^8dejlHCIw0Z~hhv+2}yd+VBo1(`K?VWa;{WmZoabY*4AfJq@SVFpar z&*bj`XTnfo0`pXMF7IsT%k?4Km@&$frYfhd)+;JaO{)f5R*y~etq!Aku$4V0uinId z(aP%i`Hr`iR(F?5^3|HvL$YYRnyI|iqf8xERx@+8x@UNNaC|ivF}JjuXF0&Kns3t; zxLWSdcS+ASsy$RA!)?LX78d-v-2+!9=_7oZ$w|5U&CoQbs<_rki)1>^KFdkM=$U;j zMuka8`^awD?Kut=Fwe;PIDc8lmcMgFPRhyUN;3I_@r)5P5W+KbD3|hQ=_iI32iu-} zNpMgQ>}k^GFX*?pBmZBbwU?g3--T5&1FL_;arrrz|Dx9@5ClzkqjUG+8kB)~6g{yc zdaXA%H0?QkanSoFBjk^D`AD8U_m+v?%%M)t`YU?Yz0yg+vL}e=v!Ackd$n=TN<{Bq z35@v>zvSl){I}1-|FaqQ*2t6ou`)5T&m#HHD;EcU|J)+2f5$ hnYAP00rawFQeW80-p{k|@2y9?Jh(v=%#YRk{{R@8YhVBX diff --git a/STM32/MDK-ARM/WOLF-Lite/WOLF-Lite.build_log.htm b/STM32/MDK-ARM/WOLF-Lite/WOLF-Lite.build_log.htm deleted file mode 100644 index e5abfa6..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/WOLF-Lite.build_log.htm +++ /dev/null @@ -1,79 +0,0 @@ - - -

-

µVision Build Log

-

Tool Versions:

-IDE-Version: µVision V5.33.0.0 -Copyright (C) 2020 ARM Ltd and ARM Germany GmbH. All rights reserved. -License Information: R7KBI uu5jpp@mail.ru, R7KBI, LIC=2TTQ1-C4JY4-D3JM3-AXCSF-UCXL7-1VJRK - -Tool Versions: -Toolchain: MDK-ARM Professional Version: 5.33.0.0 -Toolchain Path: C:\Keil_v5\ARM\ARMCLANG\Bin -C Compiler: ArmClang.exe V6.15 -Assembler: Armasm.exe V6.15 -Linker/Locator: ArmLink.exe V6.15 -Library Manager: ArmAr.exe V6.15 -Hex Converter: FromElf.exe V6.15 -CPU DLL: SARMCM3.DLL V5.33.0.0 -Dialog DLL: DCM.DLL V1.17.3.0 -Target DLL: STLink\ST-LINKIII-KEIL_SWO.dll V3.0.8.0 -Dialog DLL: TCM.DLL V1.48.0.0 - -

Project:

-C:\Lite\STM32\MDK-ARM\WOLF-Lite.uvprojx -Project File Date: 01/08/2021 - -

Output:

-*** Using Compiler 'V6.15', folder: 'C:\Keil_v5\ARM\ARMCLANG\Bin' -Build target 'WOLF-Lite' -compiling main.c... -linking... -Program Size: Code=181016 RO-data=92768 RW-data=118176 ZI-data=61756 -FromELF: creating hex file... -"WOLF-Lite\WOLF-Lite.axf" - 0 Error(s), 0 Warning(s). - -

Software Packages used:

- -Package Vendor: ARM - http://www.keil.com/pack/ARM.CMSIS.5.7.0.pack - ARM.CMSIS.5.7.0 - CMSIS (Cortex Microcontroller Software Interface Standard) - * Component: CORE Version: 5.4.0 - * Component: DSP Variant: Source Version: 1.8.0 - -Package Vendor: Keil - http://www.keil.com/pack/Keil.STM32F4xx_DFP.2.15.0.pack - Keil.STM32F4xx_DFP.2.15.0 - STMicroelectronics STM32F4 Series Device Support, Drivers and Examples - -

Collection of Component include folders:

- .\RTE\_WOLF-Lite - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\Core\Include - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\PrivateInclude - C:\Users\uu5jp\AppData\Local\Arm\Packs\Keil\STM32F4xx_DFP\2.15.0\Drivers\CMSIS\Device\ST\STM32F4xx\Include - -

Collection of Component Files used:

- - * Component: ARM::CMSIS:CORE:5.4.0 - - * Component: ARM::CMSIS:DSP:Source:1.8.0 - Include file: CMSIS\DSP\Include\arm_math.h - Source file: CMSIS\DSP\Source\BasicMathFunctions\BasicMathFunctions.c - Source file: CMSIS\DSP\Source\BayesFunctions\BayesFunctions.c - Source file: CMSIS\DSP\Source\CommonTables\CommonTables.c - Source file: CMSIS\DSP\Source\ComplexMathFunctions\ComplexMathFunctions.c - Source file: CMSIS\DSP\Source\ControllerFunctions\ControllerFunctions.c - Source file: CMSIS\DSP\Source\DistanceFunctions\DistanceFunctions.c - Source file: CMSIS\DSP\Source\FastMathFunctions\FastMathFunctions.c - Source file: CMSIS\DSP\Source\SupportFunctions\SupportFunctions.c - Source file: CMSIS\DSP\Source\SVMFunctions\SVMFunctions.c - Source file: CMSIS\DSP\Source\MatrixFunctions\MatrixFunctions.c - Source file: CMSIS\DSP\Source\StatisticsFunctions\StatisticsFunctions.c - Source file: CMSIS\DSP\Source\FilteringFunctions\FilteringFunctions.c - Source file: CMSIS\DSP\Source\TransformFunctions\TransformFunctions.c -Build Time Elapsed: 00:00:12 -
- - diff --git a/STM32/MDK-ARM/WOLF-Lite/WOLF-Lite.hex b/STM32/MDK-ARM/WOLF-Lite/WOLF-Lite.hex deleted file mode 100644 index 1753341..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/WOLF-Lite.hex +++ /dev/null @@ -1,17618 +0,0 @@ -:020000040800F2 -:1000000068DF0010DD02000869DF0008E9880008E9 -:1000100081DB0008A91B00088D0002080000000019 -:10002000000000000000000000000000650A010858 -:1000300091210008000000007DF7000869480108D0 -:10004000F7020008F7020008F7020008F7020008AC -:10005000F7020008F7020008ED2900089D2A0008B1 -:10006000FD2A0008492B0008F7020008CD1F0008F0 -:10007000F7020008F7020008F7020008F70200087C -:1000800021200008F7020008F7020008F702000824 -:10009000F7020008F7020008F7020008952B000895 -:1000A000F7020008F7020008F7020008F70200084C -:1000B000F7020008DD4801085D4A0108F702000860 -:1000C000F7020008F7020008F7020008F70200082C -:1000D000F7020008F7020008F7020008F70200081C -:1000E0004D2A0008F7020008F7020008F70200088E -:1000F0003DD30108F7020008F7020008F7020008E4 -:10010000F7020008F70200089571010811020108C2 -:10011000F7020008F70200080DAD010899D20108A6 -:10012000F702000875200008C9200008F70200083F -:10013000F7020008F7020008F7020008F7020008BB -:10014000F7020008F7020008F7020008B5DF000810 -:100150001D21000835210008F7020008F7020008F9 -:10016000F7020008F7020008F7020008F70200088B -:10017000F7020008F7020008F7020008000000007C -:10018000F7020008F702000800F002F800F096F805 -:100190000AA090E8000C82448344AAF10107DA45E2 -:1001A00001D100F08BF8AFF2090EBAE80F0013F09E -:1001B000010F18BFFB1A43F001031847502A04002F -:1001C000902A04000A444FF0000C10F8013B13F091 -:1001D000070408BF10F8014B1D1108BF10F8015BA0 -:1001E000641E05D010F8016B641E01F8016BF9D193 -:1001F00013F0080F1EBF10F8014BAD1C0C1B09D1EA -:100200006D1E58BF01F801CBFAD505E014F8016B5B -:1002100001F8016B6D1EF9D59142D6D370470000ED -:100220000023002400250026103A28BF78C1FBD8FF -:10023000520728BF30C148BF0B60704766292CF0B9 -:100240002A8165292CF0278167292CF024816129D6 -:100250002CF02381692900F02D81642900F02A8186 -:10026000752900F027816F2900F0BE81782900F000 -:10027000E5810368DB0928BF41F08001632901F0B3 -:100280003280E32901F04580002070471FB52CF033 -:1002900032F89DE8030000F0D9FB01F003F90400F7 -:1002A000002000212BF0A0F8401C606000200021FD -:1002B0002BF084F8E0601FBD10B510BD01F087FA87 -:1002C0001146FFF7E3FF23F031FF01F0B3FA03B467 -:1002D000FFF7F2FF03BC01F0E3FA00000948804792 -:1002E00009480047FEE7FEE7FEE7FEE7FEE7FEE718 -:1002F000FEE7FEE7FEE7FEE704480549054A064B30 -:1003000070470000C14801088901000868A1001079 -:1003100068DF001068C0001068C0001070B50646A5 -:1003200000F074FB056806F10B0020F00704B442EE -:100330009CBF002070BD2B466A68BAB11068A0420D -:1003400010D304F1080188423CBF5068586007D3BD -:100350005168101941601168091B016058601460F0 -:10036000101D70BD13465268002AE7D12146284669 -:1003700000F058FB0028DED170BD70B50446051FA3 -:1003800000F044FB0068002C14BF446870BD002CD2 -:1003900018BFAC423CBF20466468F8D301680A1815 -:1003A000AA4218BF456003D12A680546114401607E -:1003B00028684119A1421CBF6C6070BD616869600A -:1003C00021680844286070BD0FB41CB5074B06AA0D -:1003D0007B4469460090059800F063FF0020694661 -:1003E00000F072FF1CBC5DF814FB0000F50E00006D -:1003F00070B5044685690068C10601D5302600E065 -:100400002026C00707D070BDD4E9012130469047AF -:10041000206A401C20626D1EF6D570BD70B5044682 -:1004200085690078C00707D170BDD4E9012120207B -:100430009047206A401C20626D1EF6D570BD096889 -:100440004A0501D540B27047C905FCD500B27047D6 -:1004500009684A0501D5C0B27047C905FCD580B20C -:10046000704770B50C460546012A05D028788006ED -:1004700000D5EA69002302E0012305E05B1C9342FA -:1004800002D2E05C0028F9D1A869E618C01AA86178 -:10049000286A184428622846FFF7AAFF04E0D5E935 -:1004A000012114F8010B9047B442F8D32846FFF716 -:1004B000B5FF70BD2DE9F04106460024106875298E -:1004C000314616A510D0FFF7BAFF002802DA4042E5 -:1004D00013A507E031688A0701D512A502E0490794 -:1004E00004D511A5012401E0FFF7B2FF00210A2283 -:1004F00006F1240709E0B0FBF2FCB0FBF2F302FBCB -:100500001C00303078541846491C0028F3D123468B -:100510002A463046BDE8F04100F0D9BA000000009C -:100520002D0000002B000000200000002DE9FF5FDF -:1005300015468A460446DFF8AC80F8440027D8E91F -:1005400000103E46CDE9001010E03AF816106A4659 -:1005500002A800F022FF411C07D02178890603D5AC -:10056000E269391891420DD80744761C207880063C -:1005700002D5E069B84205DDAE42E6DB3AF8160086 -:100580000028E2D1A069C01BA0612046FFF730FF20 -:10059000D8E90010CDE90010002017E03AF8181053 -:1005A0006A4602A800F0F9FEB0F1FF3F81460BD089 -:1005B00000250DF1080B05E0D4E901211BF8050029 -:1005C00090476D1C4D45F7D308F10100B0428046BD -:1005D000E4DB206A384420622046FFF71FFFBDE8B5 -:1005E000FF9F000062BF020070B5044621461068FC -:1005F000FFF72EFF002104F1240505E000F00702BB -:100600003032C0086A54491C0028F7D12068002302 -:1006100008A2050708D500F02000084304D0E069CF -:10062000012305A2401EE0612046BDE8704000F0B5 -:100630004EBA0000000000003000000070B5044613 -:100640000D4621461068FFF703FF2188090502D5F2 -:100650000F4A7A4402E00E4A7A440E32002104F135 -:10066000240305E000F00F060009965D5E54491C66 -:100670000028F7D120780023000704D5702D02D080 -:1006800009B1022311322046BDE8704000F01FBAC4 -:1006900052BE02002DE9F05F894604464FF0000A81 -:1006A000DFF874B1FB44C0F820A02046E168884719 -:1006B000002874D0252806D0D4E901219047206A6B -:1006C000401C2062F1E700265F462046E16888472B -:1006D0002028054607DB312D05D2781910F8200CAB -:1006E00008B10643F1E7B00701D526F00406C4F8C7 -:1006F0001CA00027C4F818A02A2D09D028462AF0EB -:10070000EFFC38B304EB8708303DC8F8185019E007 -:1007100059F8041B204604EB87029161E168884781 -:10072000012F054618D1E069002820DA26F02006BE -:100730001DE0D8F8180000EB800005EB40003038D1 -:10074000C8F818002046E168884705462AF0C8FC2A -:100750000028EED1012F0AD02E2D08D12046E168C5 -:1007600088477F1C0546022F46F02006C4DBA0699F -:10077000002803DA404246F00106A061F00701D0EC -:1007800026F010066C2D0BD0682D09D04C2D39D0D9 -:100790006A2D35D0742D35D07A2D33D016E038E05F -:1007A000E1682F4620468847B842054609D16C2F9C -:1007B00026D04FF48060E16806432046884705460E -:1007C00004E06C2F1AD04FF4807006430DB3A5F1EE -:1007D0004100192802D8203546F400664A462946C9 -:1007E000204626604E46FFF729FD80B101280BD038 -:1007F000F61D26F0070000F1080956E74020E4E75F -:100800008020D8E70020D6E706F104094DE7284606 -:1008100052E7206ABDE8F09F28BE020070B5064688 -:1008200000F0F8F80468054630460A22002100F07E -:100830007CFD2C6070BD40EA010310B59B070FD111 -:10084000042A0DD310C808C9121F9C42F8D020BA40 -:1008500019BA884201D9012010BD4FF0FF3010BDF8 -:100860001AB1D30703D0521C07E0002010BD10F8C6 -:10087000013B11F8014B1B1B07D110F8013B11F88C -:10088000014B1B1B01D1921EF1D1184610BD40EA4D -:100890000103024630B59B0710D14FF0013400E050 -:1008A00008C208C91D1B9D4315EAC41FF8D013F0E8 -:1008B000FF0102F8011B0DD01B0AF8E711F8013BFC -:1008C000002B02F8013B05D011F8013B002B02F888 -:1008D000013BF3D130BD10B5431C02E010F8011B01 -:1008E00071B18107FAD14FF0013202C88C1A8C43E2 -:1008F00014EAC211F9D0C01A0A0603D0C01E10BDF6 -:10090000C01A10BD0A0401D0801E10BD0902FCD01F -:10091000401E10BD421E12F8013F002BFBD111F802 -:10092000013B002B02F8013BF9D1704710B504469A -:1009300010F0030F08BF11F0030F40F015804FF0C7 -:10094000013E121FC0F20E8051F8043BA3EB0E0CC7 -:100950002CEA030C1CEACE1C08BF40F8043BF0D084 -:10096000A1F1040102F10402521E08DB11F8013B5F -:1009700000F8013B002BF7D1114600F064FD204642 -:1009800010BD00000000000030B44FF0013C50F8F2 -:10099000042B51F8043BCCFA52F4D51A20D1FCB9FF -:1009A00050F8042B51F8043BCCFA52F4D51A17D165 -:1009B000B4B950F8042B51F8043BCCFA52F4D51AD0 -:1009C0000ED16CB950F8042B51F8043BCCFA52F418 -:1009D000D51A05D1002CDAD030BC4FF0000070479A -:1009E00029BAB1FA81F111F01801D1F12000844047 -:1009F000F2D1CA40CB4012F0FF0213F0FF0330BC2B -:100A0000D01A704770477047704700000048704721 -:100A100038A401200048704730A401207047704777 -:100A20007047704738B504460A4600206946AFF360 -:100A30000080002808BF38BD02460099204600F01B -:100A400035FD012038BD012000F092BEF8B5AFF3AE -:100A50000080054600200C46102000BF0646A8195D -:100A6000A0420DD9324669460020AFF3008007004E -:100A700008BF00F07DFE0098A04218BF0546C419CB -:100A8000FFF7C4FF05600746F01D20F00700461978 -:100A9000284600F00AF8B44208BFF8BDA21B314650 -:100AA0003868BDE8F84000F001BD00210160C0E9F0 -:100AB000011070471020704710B5FFF7ABFF0068BA -:100AC00010BD10B50446FFF7A5FF046010BD2DE969 -:100AD000F0470D4699469246044600F12408016805 -:100AE000880604D5E06921F01001216000E00120B2 -:100AF000A84201DD471B00E00027A1697A1902EB3B -:100B00000900081AA0612078C00602D42046FFF729 -:100B10006FFC002608E0D4E901211AF8060090478E -:100B2000206A401C761C20624E45F4DB2078C0060B -:100B30000AD52046FFF75CFC06E0D4E9012130200D -:100B40009047206A401C2062381EA7F10107F4DCA0 -:100B500007E0D4E9012118F805009047206A401CFD -:100B60002062281EA5F10105F3DC2046FFF756FCA4 -:100B70002078000602D50220BDE8F0870120FBE7BF -:100B80002DE9FF4F0C461E464FF0FF378BB0D2E9E0 -:100B90000001DDF860800A908A46C1F30A5505B964 -:100BA0003D46002108464FF00000BAF1000F00F466 -:100BB000400005DA00EB80004FF4400101EA5000EC -:100BC0004FEA9059B9F1010F00DDB9460A9850EA91 -:100BD0004A000ED044F61050A5F2FF3545432F14BD -:100BE000B8F1000F1ED07542291E1EDDC9F10002AA -:100BF0001CE0B8F1010F4FF0000001D0302103E0FC -:100C0000F24305E02154401CB042FBDB3046002299 -:100C1000002121540B99C1F80880C1E900200FB0D0 -:100C2000BDE8F08FBD1B6D1CDEE74A4600DA694265 -:100C300006A800F02BFD06AB93E8070003AB83E8A2 -:100C4000070050460A9927F0C9FA8DE80700A0F579 -:100C500000501F3800900398002D0ADD42F21F015A -:100C60004A460844002303A90390684627F032FD52 -:100C700009E0A0F500504A461F38002303A903905D -:100C8000684627F03CFD8DE807000004000C03D007 -:100C90004FF0FF30410800E01046B8F1000F03D0DC -:100CA0000022009215461EE0751E05D400F018FCC7 -:100CB000303262556D1EF9D5B3460122084302D089 -:100CC00000227F1C04E02078302801D100227F1E02 -:100CD000002A099784D01DE0112D07DA00F000FCEE -:100CE000303262556D1C50EA0102F5D1084302D042 -:100CF0000098C8B10EE00021681E05E0235C625C2C -:100D000063542254401E491C8142F7DBAB46A81BAA -:100D1000401E0990002004F80B000B98099AC0F8B7 -:100D20000880C0E9002B7AE711264FF0000857E74A -:100D30002DE9F04F88460446D21D22F0070191B0FC -:100D4000D1E90001CDE90A0127F010FE02460B9817 -:100D5000C00F01D02D2007E02068810701D52B208E -:100D600002E0202101EAC000032A099001D0072AED -:100D700005DB03464146204600F0F8FB0BE12078F6 -:100D8000800601D5E06900E00620B8F1650F8146D4 -:100D900006D0B8F1660F18D0B8F1670F70D131E006 -:100DA0000020B9F1110F009001DB112301E009F1DE -:100DB00001030AAA01A90CA8FFF7E2FEDDE90C7005 -:100DC000002609F10105834647E001204B460090CB -:100DD0004FF000470AAA01A90CA8FFF7D1FEDDE9F0 -:100DE0000DB00C995D46002610B909F101000D18EF -:100DF000B9EB050003D4C0F1FF3609F10105A5EBFD -:100E000009002BE0B9F1010F01DA4FF001090020D0 -:100E1000B9F1110F0090484601DD112300E00346AF -:100E20000AAA01A90CA8FFF7ABFEDDE90C704D463C -:100E300000268346207800070AD4AB4500DA5D46D9 -:100E400001A8012D04DD411911F8011C302907D03A -:100E50004F4502DA17F1040F04DA012082460FE051 -:100E60006D1EEEE7002F02DCED1B3E4403E0781C14 -:100E7000A84200DD7D1CB81B00F1010A4FF00047BD -:100E80002078000703D4AA4501DB4FF0FF3A002188 -:100E9000B7F1004F0DF143088DF8431024D0022024 -:100EA0002B23002F01DA7F422D230A21021EA0F1FD -:100EB000010000DC5FB197FBF1FC97FBF1F201FB55 -:100EC0001C7C17460CF1300C08F801CDEEE7A8F1B8 -:100ED000010003702188090501D5452100E0652145 -:100EE000A0F1010800F8011C09980FA9A1EB08075F -:100EF000FF1D00B10120411901EBEA70A1693844DE -:100F0000081A401EA0612078C00602D42046FFF7D0 -:100F10006FFA099828B1D4E901219047206A401C52 -:100F200020622078C00622D52046FFF761FA1EE035 -:100F3000002E04DBB34502DD01A8805D00E0302017 -:100F4000D4E901219047206A401C2062761CAAF156 -:100F500001005FEA000A0AD100F0A4FAC068016843 -:100F6000405CD4E901219047206A401C2062281E81 -:100F7000A5F10105DCDC07E0D4E9012118F8010B3B -:100F80009047206A401C2062381EA7F10107F3DC5D -:100F90002046FFF743FA032011B041E62DE9F04F58 -:100FA00004460D46D21D22F0070089B0D0E9009713 -:100FB0004846394627F0DAFC0246F80F01D02D23C7 -:100FC00007E02068810701D52B2302E0202101EAF8 -:100FD000C0038DF820300BB1012000E00020032A6F -:100FE000824601D0072A04DB2946204600F0BEFADB -:100FF0003FE12078800601D40D2500E0E569C7F3C4 -:101000000A560D2D49DA00214FEA175B08464FF0CA -:101010000000002F00F4400007DA00EB80004FF4DE -:10102000400100EBD07001EA60008115012901DD6B -:101030004FF0FF3100224FEA850CBCF1180F06D3A8 -:101040001346ACF118004FF08052C24003E04FF459 -:10105000801323FA0CF30CF10C0CBCF1200F04D319 -:10106000ACF1200C09FA0CF001E007FA0CF00029B1 -:101070004FF0004801DD18B90FE00ED140450CD308 -:1010800019EB02095F4121B9404502D19F4329EA8A -:101090000209BBEB175F00D0761C2088000502D543 -:1010A0007B48784402E07A487844193808AA30230B -:1010B00002F80A30037C0AF10101002E0AF1020A4B -:1010C00053546A4602DC4FF0300101E04FF0310129 -:1010D0004FF001088DF8001002D0A6F2FF3603E0B1 -:1010E00059EA470100D06B4E002D02DC2178090738 -:1010F00004D54FF002082E218DF80110C4460021BE -:101100000BE0C7F303433F01C35C491C6D1E02F8AB -:101110000830052908F1010801DA002DF1DC002171 -:101120000CE000EB1973491C1B786D1E082902F8AE -:1011300008304FEA091908F1010801DA002DF0DC46 -:1011400021688B0602D516E0A8F10108E04505DD0F -:1011500002EB080313F8013C302BF5D0B8F1000F77 -:1011600009DD02EB080313F8013C2E2B03D109071C -:1011700001D4A8F10108002D05DD3E2102F8081078 -:101180006D1E08F10108407C002E02F8080008F1ED -:10119000010804DA2D20764202F8080002E02B2034 -:1011A00002F808000A214FF47A70864208F101081B -:1011B0000BDB96FBF0F3303302F8083096FBF0F3CC -:1011C00008F1010800FB136601E0642E0CDB6420CB -:1011D00096FBF0F3303302F8083096FBF0F308F199 -:1011E000010800FB136601E00A2E06DB96FBF1F016 -:1011F000303002F8080008F1010896FBF1F001FB1D -:101200001060303002F80800A16908F1010808EB0D -:10121000050202EB0A00081AA0612078C00602D479 -:101220002046FFF7E5F8216A08AE01EB0A002062CC -:1012300004E0D4E9012116F8010B9047BAF1010A44 -:10124000F7D22078C00602D52046FFF7D1F86E46C7 -:1012500009E016F8010B3E280FD0D4E90121904790 -:10126000206A401C2062B8F10108F2D22046FFF744 -:10127000D5F809B00320BDE8F08F206A2844206229 -:1012800003E0D4E90121302090476D1EEBD3F8E74D -:10129000ADB4020002FCFFFF01694A1C026108783C -:1012A000704700B58FB0CDE90131002105910549A6 -:1012B0007944CDE9031011466846FFF7EBF90FB00A -:1012C00000BD0000E5FFFFFF0A6802F8010B0A609D -:1012D000704710B5436913B1AFF3008001E0FFF729 -:1012E000C0F8012010BD127800F124010A7000221C -:1012F0004A700122EDE711684FF0FF32E9E710B5BF -:10130000436913B1AFF3008001E0FFF70FF901204B -:1013100010BD128800F1240182840022C2840122BF -:10132000EDE711684FF0FF32E9E72DE9F04791460C -:101330000F4680460446002614F8015B2DB100F0EC -:10134000A9F80068405DC007F6D12B2D02D02D2DE5 -:1013500018D0641E4A463946204600F047F927B1A6 -:101360003968A14201D1C7F800804FF0020471052D -:101370000BD54042002803DDFFF74CFB0460A007BB -:10138000BDE8F08746F48066E4E70028F8DAFFF766 -:1013900041FB04606FF00040F2E72DE9F041164692 -:1013A0000C46054600F076F8036893F80101012821 -:1013B00007D0FF2C12D8185D80B101202C70BDE839 -:1013C000F081D3F807013246214603F20713C7180C -:1013D0002846BC46BDE8F041002360474FF0FF308F -:1013E000EDE710B5203AC0F00B80B1E81850A0E846 -:1013F0001850B1E81850A0E81850203ABFF4F5AFE3 -:101400005FEA027C24BFB1E81850A0E8185044BF3E -:1014100018C918C0BDE810405FEA827C24BF51F8AB -:10142000043B40F8043B08BF7047D20728BF31F89F -:10143000023B48BF11F8012B28BF20F8023B48BFF0 -:1014400000F8012B70474FF000020429C0F0128011 -:1014500010F0030C00F09581CCF1040CBCF1020FEC -:1014600018BF00F8012BA8BF20F8022BA1EB0C013C -:1014700000F087B95FEAC17C24BF00F8012B00F8B7 -:10148000012B48BF00F8012B70470000004870474F -:1014900030A4012010B500F005F8001D10BD00BFFC -:1014A000499F02000048704750A40120034640684D -:1014B0008C46002818BF88423CBF03464068F8D3DA -:1014C00018681844884207D00CF1030020F0070088 -:1014D000001D411A521A0146081D0A60FEF74DBF51 -:1014E00030B5B0F10A0271F100034FEA900E4EEAF6 -:1014F000817EB0EB0E0061EB91014FEA101E4EEAC7 -:10150000017E10EB0E0041EB11114FEA102E4EEA56 -:10151000016E10EB0E0041EB11214FEA104E4EEA26 -:10152000014E10EB0E0041EB1141401841F100015A -:101530004FEAD00040EA41704FEAD1014FEA8104FE -:1015400044EA907410EB800544EB01046D1944EB00 -:101550000404521BA3414FF0000348BF0A3200D5D8 -:1015600030BD401C41F1000130BD00002DE9F041CB -:101570001F46044603260168072A01F4006004DBC5 -:1015800008B116A505E016A503E008B115A500E011 -:1015900015A521F010002060A069C01EA0610FB148 -:1015A000401EA0612046FEF723FF4FB1D4E9012180 -:1015B00038469047206A401C2062C01C206206E02A -:1015C000206AFAE7D4E9012115F8010B9047761E4D -:1015D000F8D22046FEF722FFBDE8F0814E414E00D2 -:1015E0006E616E00494E4600696E66002DE9F05F3F -:1015F000824615468B4600270AF10104B946007859 -:10160000302801D09DB113E014F8010B0127782890 -:1016100003D0582801D045B10AE00DB1102D07D1F3 -:10162000002714F8010B102502E0082500E00A2528 -:101630000026B0460EE005FB080005FB06F1012779 -:101640001FFA80F801EB1046B6F5803F00D3B9468B -:1016500014F8010B294600F0DFF80028EBDABBF1A3 -:10166000000F05D00FB1641E00E05446CBF80040D7 -:10167000B9F1000F06D0FFF7CDF902210160C81EB5 -:10168000BDE8F09F48EA0640FAE700002DE9F04780 -:10169000814616468AB0354878440DC88DE80D005D -:1016A00032487844801C03AC0DC884E80D0041F634 -:1016B0009B300844372190FBF1F290FBF1F5A5F146 -:1016C000800501FB12041B3C02D56442012000E0AE -:1016D0000020DFF898A080460027FA44AAF1BE0A4D -:1016E0000EE0E0070AD03246684607EB47010123C7 -:1016F0000AEB810127F003F88DE8070064107F1CD6 -:10170000002CEED1194F7F44AE3F19E0E80715D009 -:1017100007EB04100DF1180A90E80E008AE80E009D -:10172000C068F04201D1981908903246012306A9F9 -:1017300003A826F0E4FF03AB83E807006D10641CE8 -:10174000002DE3D14FF0010332466946B8F1000F96 -:1017500003A802D026F0BEFF01E026F0D0FFC9E9C1 -:101760000001C9F808200AB0BDE8F08738B00200CF -:1017700010B500F06DF8BDE8104000F064B84FF00F -:10178000000200B5134694469646203922BFA0E8D1 -:101790000C50A0E80C50B1F12001BFF4F7AF0907DD -:1017A00028BFA0E80C5048BF0CC05DF804EB8900CE -:1017B00028BF40F8042B08BF704748BF20F8022B11 -:1017C00011F0804F18BF00F8012B70477047754625 -:1017D000FFF75CFEAE4605006946534620F0070061 -:1017E000854618B020B5FEF787FDBDE820404FF0D4 -:1017F00000064FF000074FF000084FF0000BAC461A -:10180000ACE8C009ACE8C009ACE8C009ACE8C00964 -:1018100021F007018D4670473A2800D2303820F079 -:101820002002412A01D3A2F13700884201D34FF0B0 -:10183000FF30704710B50446AFF300802046BDE886 -:101840001040FEF744BD002801D000F029B87047D1 -:1018500010B5012805D0002103A000F027F80120D1 -:1018600010BD09A1F8E7000053494752544D454DBA -:101870003A204F7574206F662068656170206D6531 -:101880006D6F7279000000003A2048656170206D2C -:10189000656D6F727920636F727275707465640024 -:1018A00001491820ABBEFEE72600020070B50546D0 -:1018B0000C460A2000E06D1C00F011F835B12878C4 -:1018C0000028F8D102E0641C00F009F814B1207877 -:1018D0000028F8D1BDE870400A20AFF3008008B5B9 -:1018E00069468DF800000320ABBE08BD53EA020C28 -:1018F00000F069802DE9F04B4FF00006002B1FBF70 -:10190000B3FA83F503FA05F424FA05F65E4012BF34 -:101910001643B2FA82F502FA05F4C5F120051EBF9E -:1019200022FA05FC44EA0C04203556EA044C4FEA3E -:10193000144418BF641C4FF000084FF00009904297 -:1019400071EB030C39D3002919BFB1FA81F701FA01 -:1019500007F6B0FA80F700FA07F6C7F120071EBFB6 -:1019600020FA07FC46EA0C062037B6FBF4FCA7EB8E -:101970000507103F07F01F0BCBF120062CFA06F6E7 -:101980000CFA0BFB44BFB3460026202FA4BF5E46D3 -:101990004FF0000B5BEA060C08BF4FF0010B19EB90 -:1019A0000B0948EB0608ABFB027C06FB02CC0BFBE9 -:1019B00003CCC01B71EB0C01C1E70B46024641464C -:1019C0004846BDE8F08B13B5084318BF4FF0FF3011 -:1019D0000146AFF30080BDE81C407047032A40F287 -:1019E000308010F0030C00F0158011F8013BBCF1C1 -:1019F000020F624498BF11F801CB00F8013B38BFD9 -:101A000011F8013BA2F1040298BF00F801CB38BFE6 -:101A100000F8013B11F003033FF4E3AC083AC0F0D7 -:101A2000088051F8043B083A51F804CBA0E80810AC -:101A3000F5E7121D5CBF51F8043B40F8043BAFF3DF -:101A40000080D20724BF11F8013B11F801CB48BF39 -:101A500011F8012B24BF00F8013B00F801CB48BF6F -:101A600000F8012B704702F0FF0343EA032242EA29 -:101A70000242FFF7EABC02F0FF0343EA032242EA14 -:101A80000242FFF77EBE0000002070472DE9F04FB4 -:101A900081B04CF24064C2F20104D4F8100345F264 -:101AA0006261C2F2010145F662721830C2F20102AF -:101AB000002526C020461CF061FDD4F81093B9F132 -:101AC000000F56D048F21867C1F20007388848F274 -:101AD000185B4CF278240FF2B00AC1F2000BC2F28C -:101AE000010400260AE000BF81B2B1F5807F06F153 -:101AF000010624BF002038801E2E1CD01AF80610C4 -:101B000082B20BF802100130218882B28A423880FA -:101B1000EAD14FF0000800BF00F07CF9B5EB582F78 -:101B200003D1012808F10108F6D000F073F901286B -:101B300001D03888D8E74CF24060D9E90712C2F2E8 -:101B40000100D0F820030A444FF490730027C0F836 -:101B50005C22C0E9993703690327012BA0F8507272 -:101B600008BFC0F8602200F514710068DAB21EF0F8 -:101B70008DF94CF22121C2F20101012200200A70EC -:101B800001B0BDE8F08F00BF5B4F4B5D2053746127 -:101B90007274205265636569766520555342204111 -:101BA0007564696F0D0A00004AF22850C2F2010004 -:101BB0000178012919D10021017041F204004AF293 -:101BC0002452CEF20000C2F2010242F670230168F4 -:101BD0001268C1F200031F68891A3944196000684D -:101BE00042F67421C1F2000108604EF2FF054AF28C -:101BF000FC4640F21847C0F2F5550FF22C08C2F22D -:101C00000106C4F202074FF4800400E03C60404645 -:101C100000210BF017FB00BF3068A842F6D201305C -:101C2000306000BFF8E700BF427573204661756CF5 -:101C30007400000021280DD0202815D10868D1F8A3 -:101C4000031042F66412C1F20002C2F803101060E1 -:101C50000020704742F66410C1F20000D0F8032063 -:101C60000068C1F80320086000207047002070471A -:101C70004CF24060C2F20100D0F80C130020086260 -:101C800042F64C204AF20052C1F20000C2F20102B8 -:101C9000486100208A6170472DE9F04F91B00DF145 -:101CA000040A0C46064650464021FFF768FD2268AC -:101CB00050463146FEF73AFE206801383F2803D9E6 -:101CC000002011B0BDE8F08F47F6046B47F6C4560C -:101CD0004CF234284FF00009C1F2000BC1F20006AB -:101CE000C2F201080020002519E000BFC7F1400141 -:101CF00008EB07003F2F88BF0021FFF7A4FB4046F9 -:101D000031463A46FFF76DFB304640218BF8009094 -:101D1000FFF735FD01352168A8B28142D0D91AF804 -:101D200000000028F6D09BF800703B28F055DDD06D -:101D3000781CC1B240298BF80000E5D2EAE7000028 -:101D400022281BD021280DD0202828D10868D1F8BE -:101D500003104AF20842C2F20102C2F803101060F6 -:101D6000002070474AF20840C2F20100D0F8032078 -:101D70000068C1F8032008600020704788780128B7 -:101D800007D147F6A510C1F2000001210170002023 -:101D9000704747F6A510C1F2000000210170002035 -:101DA00070470000002070474CF24060C2F2010012 -:101DB000D0F80813002008624AF2485042F69022F8 -:101DC000C2F20100C1F20002486100208A6170473E -:101DD00080B54CF24061C2F20101D1F80833D1F86C -:101DE0002023586110234FF0000CC2F82402C2E9EE -:101DF0008B3C13694FF0010C012BA2F818C208BFED -:101E0000C2F82802106802F50671DAB21EF03EF838 -:101E1000002080BD2DE9F04F81B04BF25355C2F246 -:101E200001052878C8B948F218604CF2782BC1F245 -:101E30000000C2F2010BB0F80080BBF80060B045B2 -:101E400003D1002001B0BDE8F08F4CF2406AC2F22D -:101E5000010ADAF80873786A18B1032001B0BDE806 -:101E6000F08F4DF2D8440120C2F2010428702046C0 -:101E70004FF48071FFF783FCB0452DD2FF2E55D86B -:101E800048F21850C1F200008119C6F1FF0082B279 -:101E90003F2A28BF3F20013082B24DF2D840C6F51C -:101EA0008079C2F20100A0461FFA89F4FFF796FD7F -:101EB00006F140003C2162183D2A3DD03E2A3FD029 -:101EC0003F2A3FD000293FD0043962183C2A06F14E -:101ED0000406F0D1304639E02BD948F21850C1F24F -:101EE00000008119F043404482B23F2A28BF3F20BE -:101EF000013082B24DF2D840A8EB0609C2F20100CF -:101F00001FFA89F4FFF76AFD06F140003C216218D0 -:101F10003D2A13D03E2A25D03F2A25D041B304398B -:101F200062183C2A06F10406F1D11EE04FF00009C8 -:101F300010E04FF0000923E0701C07E0013614E0C8 -:101F4000B01C03E0F01C01E04FF040094446064697 -:101F5000ABF80000B0B2B0F5807F04BF0020ABF852 -:101F600000000DE0023600E00336ABF8006003E04D -:101F7000ABF800004FF040094DF2D844C2F2010422 -:101F8000786AE0B91FFA89F0BC613862796AB1B940 -:101F900001217962DAF8202340F20113114621F879 -:101FA000583F1369CAF83400D06600205466012BEC -:101FB000106708BF94661068DAB21DF067FF002052 -:101FC0002870012001B0BDE8F08F00004AF22850CF -:101FD000C2F20100017801291BD1002141F2040C59 -:101FE0004AF224520170CEF2000CC2F2010242F613 -:101FF0007023DCF800101268C1F200031868891A17 -:1020000008441860DCF8000042F67421C1F20001B7 -:1020100008604CF6AC10C2F2010004F011BA0000E6 -:102020004AF22850C2F20100017801291BD1002197 -:1020300041F2040C4AF224520170CEF2000CC2F2BA -:10204000010242F67023DCF800101268C1F20003AE -:102050001868891A08441860DCF8000042F67421F8 -:10206000C1F20001086049F62400C1F2000004F04A -:10207000E7B900004AF22850C2F2010001780129B4 -:102080001BD1002141F2040C4AF224520170CEF21D -:10209000000CC2F2010242F67023DCF80010126854 -:1020A000C1F200031868891A08441860DCF80000BF -:1020B00042F67421C1F2000108604CF60C20C2F215 -:1020C000010004F0BDB900004AF22850C2F201003C -:1020D000017801291BD1002141F2040C4AF224525B -:1020E0000170CEF2000CC2F2010242F67023DCF85D -:1020F00000101268C1F200031868891A08441860B9 -:10210000DCF8000042F67421C1F2000108604CF6D0 -:102110006C20C2F2010004F093B9000080B54CF6C7 -:10212000CC20C2F2010004F08BF9BDE8804001F040 -:1021300029BC000080B54AF22850C2F201000178A3 -:1021400001291BD1002141F2040C4AF224520170F2 -:10215000CEF2000CC2F2010242F67023DCF800104D -:102160001268C1F200031868891A08441860DCF884 -:10217000000042F67421C1F2000108604CF62C30D8 -:10218000C2F2010004F05CF9BDE8804001F014BE29 -:102190004AF22850C2F201000178012918BF7047A5 -:1021A000002141F2040C4AF224520170CEF2000CDC -:1021B000C2F2010242F67023DCF800101268C1F28C -:1021C00000031868891A08441860DCF8000042F619 -:1021D0007421C1F200010860704700002DE9F0434E -:1021E00081B02DED088B82B047F2F079C1F2000981 -:1021F0004AF2685720F0010009F26911C2F20107A2 -:10220000022808BF09F5B5713E6840F2000540F6A6 -:10221000007406EB4600C1F20005C2F2000491F81A -:10222000008005EB002021464FF44072FFF7D9F8FB -:10223000701C022888BF0020386000EB400005EBCE -:10224000002120464FF44072FFF7CBF800200090A9 -:10225000684620F0CFFF9DED000AB5EE400AF1EE92 -:1022600010FA06D141F26F209FEDB50AC3F6832024 -:102270000090B5EE001A20EE010AB0EEC00A01A8E7 -:1022800027F012FC4CF22920C2F2010001784AF238 -:10229000F4400029C2F201004DD101EE108AB8EEDF -:1022A000412A9FEDA81A9FEDA83A20EE011A31EEBF -:1022B000031A9FEDA63A21EE001A31EE031A21EE21 -:1022C000000A9DED011A9FEDA23AB8EEC11A31EE57 -:1022D000031A31EE000A9FED9F1A99F95E2190EDE5 -:1022E000003A20EE010A01EE102AB8EEC11A30EED3 -:1022F000034A31EE444A98A2B5EE404AF1EE10FA94 -:10230000C8BF043292ED005A24EE022A22EE052ABA -:1023100032EE032AB1EE084A32EE003A31EE044AB8 -:10232000B4EE443AF1EE10FA80ED002AC4BF31EE6B -:10233000400A80ED000A99F8012009F13803002ACB -:1023400008BF09F11C031A78002A04BF4FF07E521F -:10235000026011B19FED820A08E090ED001AB3EE21 -:102360000E0AB4EE401AF1EE10FA03DDB0EE401A98 -:1023700080ED000A42F62428C1F2000898ED008A98 -:1023800038EE410AB5EE400AF1EE10FA60D09FED4A -:10239000752AB4EE418A20EE022A9FED730AF1EE0F -:1023A00010FAB0EE409AC8BFB0EE429A9FED6F2A85 -:1023B0009FED6FAA31EE483AB4EE418A002600271D -:1023C00023EE022AF1EE10FAB8BFB0EE429A18E0FE -:1023D00038EE098A88ED008A0127A51928EE0A0A35 -:1023E00095ED00BA10EE100A28F0C8FE00EE100AB3 -:1023F000043620EE0B0AB6F5407F85ED000A00F0AA -:102400009880B5EE400AF1EE10FA07DAA01990EDC7 -:10241000001AB5EE401AF1EE10FAD9DCB5EE400A1A -:10242000F1EE10FA10DDA01990ED000A0020B5EED3 -:10243000400AF1EE10FAB8BF01203843C0074FF050 -:102440000007C5D1C9E700BFF807C1D10027C4E71D -:102450009FED470A21EE000A10EE100A28F08EFECA -:1024600000EE100A6FF03F00211891ED101A91ED67 -:10247000112A91ED123A91ED134A21EE001A22EE43 -:10248000002A23EE003A24EE004A81ED101A81ED75 -:10249000112A81ED123A81ED134A91ED141A91ED52 -:1024A000152A91ED163A91ED174A21EE001A22EE07 -:1024B000002A23EE003A24EE004A81ED141A81ED41 -:1024C000152A81ED163A81ED174A91ED181A91ED12 -:1024D000192A91ED1A3A91ED1B4A21EE001A22EECB -:1024E000002A23EE003A24EE004A81ED181A81ED0D -:1024F000192A81ED1A3A81ED1B4A91ED1C1A91EDD2 -:102500001D2A91ED1E3A91ED1F4A403021EE001A2E -:1025100022EE002A23EE003A24EE004AB0F5307F86 -:1025200081ED1C1A81ED1D2A81ED1E3A81ED1F4AB5 -:102530009AD102B0BDEC088B01B0BDE8F08300BFBA -:102540006F12833AA8A19D3FF5CA83C0FBB3C04078 -:10255000E09248C0C2A8C040CDCC4C3D0AD7A33BB6 -:10256000000048C3ABAAAABB00000000ABAAAA3B6C -:102570002D152A3E2DE9F04F83B016460C9A984649 -:102580000C46002A05467AD047F2E2794AF2F84032 -:10259000C1F200090127C2F201004FF0000B310126 -:1025A00040F2004389F8007080F802B0417080F872 -:1025B00000B042F62710C4F202034FF4805AC1F271 -:1025C000000000210122CDF800A001970DF04AFE85 -:1025D00000285CD040F200494AF2F840C4F20209F7 -:1025E000C2F20100002103224B46CDF800A0019762 -:1025F0000DF038FE0020294622464B46CDF800A0BB -:10260000CDF804B00DF02EFEB8F1000F2FD04DF232 -:1026100034380120C2F2010800904046214632467B -:1026200000230026FFF7A6FFC4F1000CA4F1010E61 -:1026300018F80600A95D884242D1B64517D008EBCC -:102640000603AF1958787978884239D10CEB060126 -:10265000881C0CD09878BA78904231D1C81C06D02A -:10266000D878F97888422BD10436B442E0D147F2C9 -:10267000E2710020C1F200010127087029E04AF24E -:102680006D50C2F20100007880B1012721E04AF2CA -:102690006D50C2F20100077010A025F0A3FB16A038 -:1026A00001210AF0CFFD89F800B012E047F2E27094 -:1026B000C1F20000007800283FF466AF002708E070 -:1026C00047F2E2740021C1F20004002725F0C2FCA9 -:1026D0002770384603B0BDE8F08F00BF5B4552520B -:1026E0005D20454550524F4D206E6F7420666F75CA -:1026F0006E642E2E2E000000454550524F4D20692D -:102700006E6974206572726F720000002DE9F04FDF -:1027100083B04AF26D50C2F201000078002848D11F -:1027200040F200494AF2580A49F6EC65C4F202093F -:1027300000204FF48057C2F2010A0DF10B0BC1F2D9 -:1027400000054FF000088DF80B0001E0C72E2DD2D8 -:102750005046002101224B460124464608F101085B -:10276000009701940DF07EFD0020594601224B4652 -:102770000024009701940DF075FD9DF80B00C00733 -:1027800011D028682968091A0229DFD22968091A94 -:102790000129DBD82968091A0129D7D82968091A1B -:1027A0000229EFD3D2E7B8F1C80F02D102A025F079 -:1027B00019FB03B0BDE8F08F5B4552525D454550B3 -:1027C000524F4D204C6F636B2077616974206572A6 -:1027D000726F72002DE9F04F87B014464AF26D52C5 -:1027E000C2F2010212780AB10125FBE047F2E2725F -:1027F000C1F200020B46014610780025002840F087 -:10280000F180012010704DF6DC5040F20049C2F218 -:1028100001001A46C4F202090693FFF7DFF8069991 -:102820004AF2F84A080A059003942003C2F2010A0A -:102830004FF4805B002400274FF00108049000BF94 -:102840000498A1EB042600EB0420020C000A8AF88D -:102850000100B0B2B0F5807F4AF2B4308AF80220AD -:10286000C2F201004FF000014FF001024B468AF81E -:10287000005028BF4FF48076CDF800B001950DF0E0 -:10288000F1FC4AF24430C2F20100002101224B4621 -:10289000CDF800B0CDF804800DF0E4FC50460021E6 -:1028A00003224B46CDF800B0CDF804800DF0DAFCE1 -:1028B0004DF6DC50C2F2010000EB0420B2B2002160 -:1028C0004B46CDF800B001950DF0CCFCFFF71EFF94 -:1028D000013705980699BCB2A042B1D249F6F1651C -:1028E000039CC1F200054FF0010B2846224600234D -:1028F000CDF800B000270E46FFF73CFE4DF6DC5E3B -:10290000C2F2010E28789EF8001047F2E278884261 -:102910004FF00101C1F2000830D171420220B446EB -:102920000A18012A1DD02B180EEB000713F8016CB2 -:1029300017F8014CA64216D19AB12E5C1EF8004041 -:10294000A64213D1561C0CD05E787C78A6420CD1DE -:10295000023206D09A78BB7804309A42E0D002382E -:1029600004E0002639E0013800E001300021604534 -:1029700038BF0121039C00274FF0010B200188F88C -:1029800000B08AF801004AF2B4304FF48054C2F229 -:1029900001000E46002101224B468AF802708AF897 -:1029A0000070009401970DF05DFC42F62810C1F212 -:1029B0000000002101224B460094CDF804B00DF038 -:1029C00051FC5046002103224B46009401970DF024 -:1029D00049FCFFF79BFE88F80070002086F0010597 -:1029E00088F80000284607B0BDE8F08F4AF228506A -:1029F000C2F20100017801291BD1002141F2040C2F -:102A00004AF224520170CEF2000CC2F2010242F6E8 -:102A10007023DCF800101268C1F200031868891AEC -:102A200008441860DCF8000042F67421C1F200018D -:102A3000086043F61440C4F201000168C90708BFEA -:102A40007047012101601BF075B900004AF228505F -:102A5000C2F20100017801291BD1002141F2040CCE -:102A60004AF224520170CEF2000CC2F2010242F688 -:102A70007023DCF800101268C1F200031868891A8C -:102A800008441860DCF8000042F67421C1F200012D -:102A900008604FF4806003F02FBF00004AF2285016 -:102AA000C2F20100017801291BD1002141F2040C7E -:102AB0004AF224520170CEF2000CC2F2010242F638 -:102AC0007023DCF800101268C1F200031868891A3C -:102AD00008441860DCF8000042F67421C1F20001DD -:102AE000086043F61440C4F201000168890758BF2A -:102AF0007047022101601BF01DB900004AF2285006 -:102B0000C2F20100017801291BD1002141F2040C1D -:102B10004AF224520170CEF2000CC2F2010242F6D7 -:102B20007023DCF800101268C1F200031868891ADB -:102B300008441860DCF8000042F67421C1F200017C -:102B40000860042003F0D8BE4AF22850C2F2010007 -:102B5000017801291BD1002141F2040C4AF22452D0 -:102B60000170CEF2000CC2F2010242F67023DCF8D2 -:102B700000101268C1F200031868891A084418602E -:102B8000DCF8000042F67421C1F200010860082060 -:102B900003F0B2BE4AF22850C2F2010001780129C6 -:102BA0001BD1002141F2040C4AF224520170CEF2F2 -:102BB000000CC2F2010242F67023DCF80010126829 -:102BC000C1F200031868891A08441860DCF8000094 -:102BD00042F67421C1F20001086043F61440C4F2C9 -:102BE00001000168090658BF70478021016049F65D -:102BF000EC60C1F2000000684DF29C21C2F20101BC -:102C0000086070472DE9F04F83B04AF20800C2F225 -:102C10000100D0F800909FEDE72A99F85E20B3EE0E -:102C2000091A1146002A18BFFF21009147F2F071DE -:102C3000C1F20001B2EE040A91F88A110192002A51 -:102C400008BFB0EE410A32EE401AB7EE002A82EE1B -:102C5000011A82EE002A9FEDD83A9FEDD84A9FEDE7 -:102C6000D85A9FEDD86A9FEDD87ADFEDD80A0023B5 -:102C70004FF0320A43F2CE1C481E2B2445F20A05BF -:102C80000290A3E041EA022E4DF23621C2F2010188 -:102C900021F813E0B9F85610B9F8502005EE90AAC3 -:102CA000891AB9F85860B9F852B001EE901AF8EEE6 -:102CB000655A04EE902AF8EEE11A65EE835AA6EB07 -:102CC0000B01B9F85A60B9F85480F8EE644A65EE21 -:102CD000A11A02EE901A71EEA41AA6EB0801F8EE02 -:102CE000E22AFCEEE11A04EE90BA03EE901A11EE1D -:102CF000901AF8EE641A65EEA22A72EEA11AFCEEA2 -:102D0000E11A11EE902A4FF478461FFA8EF706EA80 -:102D1000012102F0FC0241EAC201FA0A02EE908AA5 -:102D2000152A4FF01502C7F34516F8EEE31A38BF1F -:102D3000FA0A0EF01F072B2EF8EE622A65EEA11A92 -:102D400028BF2646152F4FF0150028BF074647EA33 -:102D5000C22271EEA21AFCEEE11A06F114072A440F -:102D600042EA471211EE907AC7F3C40749F6FC56BF -:102D70003944C1F2000626F813104DF2D016C2F203 -:102D8000010626F81320CA0A152A4FF0150238BF8B -:102D9000CA0AC1F345112B2928BF2146152F28BF88 -:102DA000074647EAC22214312A4449F6626042EAE1 -:102DB0004111C1F2000020F8131001330298AAF16A -:102DC000010A332BACF1FF0C00F02B81062824D82C -:102DD000DFE800F01C2644637C990400CAEB0A215A -:102DE00048F21F5089B2C5F2EB10A1FB0012FF2080 -:102DF000019FA0EB1211002F08BF110901F0FC0781 -:102E0000FF0001F0F80247EAD1013BE7322B40F026 -:102E10009580009F00223946E4E04FF6FF7E33E7BD -:102E200001EE90AAF8EE611AB4EE610AF1EE10FA22 -:102E300040F3988001EE90CAF8EE611A62EE211A12 -:102E40000199FCEEE11A002911EE907A00F0DC8085 -:102E5000C7F1FF024FF47841FF27DAE001EE90AAB4 -:102E6000F8EE611AB4EE610AF1EE10FA40F38E80CA -:102E700001EE90CAF8EE611A62EE211A0199FCEE99 -:102E8000E11A002911EE907A00F0B580C7F1FF0138 -:102E9000C1F3C401FF27FF22AFE0CAEB0A2148F2C9 -:102EA0001F5089B2C5F2EB10A1FB001201994FF43B -:102EB00078470029009918BFA1EB121108BF07EA53 -:102EC000021701F0FC0247EAC20217E0CAEB0A212E -:102ED00048F21F5089B2C5F2EB10A1FB0012019914 -:102EE0004FF4FC660029009918BFA1EB121101F004 -:102EF000F80708BF06EA520646EA0722C1F3C401F2 -:102F000002EB010EC0E6CAEB0A2148F21F5089B25B -:102F1000C5F2EB10A1FB001201991F2600290099B0 -:102F200018BFA1EB121101F0F80701F0FC0108BF76 -:102F3000D60946EAC10141EA072EA5E601EE90AAAC -:102F40001B2BF8EE611A43D361EE841A71EE851AD9 -:102F5000FCEEE11A11EE901AC1F1FF02C2F3C402B5 -:102F60000F463FE070EE611A61EEA01A61EE811A21 -:102F700071EEA01AFCEEE11A11EE907A01993A4630 -:102F8000002908BF0A464FF4784142E070EE611A0A -:102F900061EEA01A61EE811A71EEA01AFCEEE11A40 -:102FA000019911EE902A002917464FF0000108BF41 -:102FB000FF2722E0000048420AD7A33C0429314100 -:102FC000042931C157F619C1E890F04300007F434E -:102FD00061EE861A71EE871AFCEEE11A11EE901A74 -:102FE000FF27002201F0FC0107F0F80742EAC101C7 -:102FF00041EA072E48E600213A4607F0FC0702F0B6 -:10300000F80241EAC7013DE64FF4784101EA0721A1 -:103010000022C2F3C40207F0FC07114341EAC70EC5 -:1030200032E647F2F076C1F2000696F887014FF4D7 -:103030000074022859D34FF64471C0F6030151F8C9 -:1030400020104AF25C00C2F2010042F60C158160C9 -:10305000C1F200054068A9602821FEF790FB68686E -:103060002821FEF78CFB96F88761B4FBF6F07043DD -:10307000D0F5007035D142F21C7106EB4600C0F667 -:10308000030101EB80004788456840F2FC7048F27C -:103090001C684AF2505900EB8704C1F20008C2F2E2 -:1030A000010940462146A9F80270C9F80450FEF70C -:1030B00066FBC9F8088042F6D028C1F20008C8F8BB -:1030C000045048F62865C1F200052846214689F8D3 -:1030D0000060A8F80270FEF752FBC8F8085088F8A4 -:1030E00000604FF40070B0FBF6F44DF67070C2F261 -:1030F0000100046041F60000C2F200004BF680318E -:10310000FEF7A1F94DF28030C2F2000046F22071C4 -:103110003222FEF7B0FC43F64460C1F200004FF4E7 -:103120008051FEF72CFB03B0BDE8F08F00000000DB -:103130002DE9F04F81B02DED108B8CB047F2F0707F -:10314000C1F2000090F889A1BAF1010F40F040816E -:103150009FEDBF0BCDF800A025F0E2FD9FEDBE1B5B -:1031600051EC100B53EC112B28F0DAFC41EC100B56 -:1031700026F0E6F89FEDBA1B9FEDBB2B51EC110B2F -:103180009FEDBB1BCDE9031051EC120B9FEDBAABC9 -:10319000CDE9011051EC110B9FEDB98BCDE90A106F -:1031A00057EC100B9FEDB8CA099051EC1A0B9FED2C -:1031B000B8DBB0EE4AEAB0EE4A0A0026C80F59EC76 -:1031C000188BF0EE6AEAF0EE6A0A08903BE000BF66 -:1031D000284631462A46334628F05AF99FED9C0B83 -:1031E00053EC102B28F054F928F020F9079E4DF2EB -:1031F000DC5240F2FF11C2F20102891B42F82600A4 -:1032000042F8210052F8260028F0DAFF82468B4669 -:1032100054EC1E5B2846214652465B4629F01EF8B8 -:1032200000281CBF5C465546DDE9050142464B4679 -:1032300044EC1E5B28F02CF941EC100B013640F2F7 -:10324000011086427AD0DDE9033251EC100B07966B -:10325000CDE9050128F064FCDDE9013228F018F918 -:10326000B0EE48BAB0EE4AFA82468B460224F0EE3F -:1032700068BAF0EE6AFA30E025F052FD53EC1D2BEF -:1032800051EC100B28F04CFC41EC100B26F058F8D8 -:10329000B0EE409AF0EE609A53EC1B2B5046594624 -:1032A00028F03EFC27F05AFF02460B4651EC190B62 -:1032B00028F036FC53EC1F2B28F0EAF8054641ECC9 -:1032C0001F0B20460E4628F09FFB41EC1B0B0134E0 -:1032D00040F2011084423FF47BAF601E00EE100A02 -:1032E000B8EE400A20EE0C0A10EE100A28F068FF33 -:1032F000DDE90A3228F014FC27F030FF099A3B463A -:1033000028F00EFC089A41EC190B62F3DF7142467B -:103310004B4628F08EFFB0EE490AF0EE690A00280D -:10332000AAD125F051FB53EC1D2B51EC100B28F0CA -:10333000F7FB27F013FF41EC190BADE79FED500BA6 -:103340002A4651EC100B234628F056F981464DF2DF -:10335000DC50C2F20100DDF800A00D46A0F110061D -:103360004FF4007456F8100F28F02AFF02460B465F -:103370004846294628F0D4FB28F058F8306006F17A -:10338000040898E8810128F01BFF02460B464846D6 -:10339000294628F0C5FB28F049F87060384628F027 -:1033A0000FFF02460B464846294628F0B9FB28F095 -:1033B0003DF8B060404628F003FF02460B46484601 -:1033C000294628F0ADFB28F031F8043CF060C9D163 -:1033D0004DF2DC579FED309A9FED30BA9FED30CA29 -:1033E00040F288499FED2FDA9FED2FFADFED2FFA9B -:1033F000DFED2F8ADFED2F9ADFED2FAADFED2FBA59 -:10340000DFED2FCADFED2FDA4FF00008C2F201071F -:10341000B7EE008AAAF10206B5EE00AAC0F60409CA -:103420000024B6EE00EA06E00134B4F5007F07F1AF -:10343000040700F08382052EF6D8B0EE480ADFE8D4 -:1034400016F079001001BB014A024600430000BF9C -:1034500000000000006AF840800001020408603F9C -:1034600000000060FB21893F000050626A1509C01E -:10347000000000000000603F0000000000000000AD -:10348000000000000000F03FDB0F494000BF00BF1C -:103490000000000000F07F402040003B000000449E -:1034A000000000C42040803B3060C03BBDE00B3ECC -:1034B0007975FABE02582EBC6827BA3E0000003B60 -:1034C0001F85EB3E713D0A3F87ED000AACE700EE39 -:1034D000104AB8EE400A20EE090A30EE0A0ABDEEA4 -:1034E000C01AB8EEC11A30EE410A20EE0B0ABDEE4A -:1034F000C01A11EE100AB8EB502F30EE0C1A1CBF98 -:10350000B0EE410A002009EB800101EE100A91EDB6 -:10351000002A91ED013AB8EE411A30EE410A32EE3E -:10352000431A21EE000A30EE420A20EE2C0A30EE59 -:103530002D0AC9E700EE104AB8EE400A20EE091A3B -:1035400031EE0A1ABDEEC12AB8EEC22A31EE421A95 -:1035500021EE0B1ABDEEC12A12EE100AB8EB502F65 -:1035600031EE0C2A1CBFB0EE421A002009EB80019C -:1035700002EE100A91ED003A91ED014AB8EE422AAE -:1035800031EE421A34EE432A22EE011A20EE0D2AC1 -:1035900032EE0A2A31EE031ABDEEC23AB8EEC33A51 -:1035A00032EE432A22EE0B2ABDEEC23A13EE100A87 -:1035B000B8EB502F32EE0C3A1CBFB0EE432A00207D -:1035C00009EB800103EE100A91ED004A91ED015ADA -:1035D000B8EE433A20EE0F0A32EE432A35EE443A73 -:1035E00030EE0A0A23EE022ABDEEC03AB8EEC33A24 -:1035F00030EE430A20EE0B0ABDEEC03A13EE100A7D -:10360000B8EB502F30EE0C3A1CBFB0EE430A00204E -:1036100009EB800132EE042A03EE100A91ED004A14 -:1036200091ED015AB8EE433A30EE430A35EE443A92 -:1036300023EE000A9FEDCF3A30EE040A22EE032A71 -:103640009FEDCD3A21EE031A9FEDCD3A31EE031AEC -:1036500031EE021A9FEDC92A20EE020A31EE000A6D -:1036600032E700EE104AF8EE40EA2EEE890A30EE1C -:103670000A0ABDEEC01AB8EEC11A30EE410A20EEB9 -:103680000B0ABDEEC01A11EE100AB8EB502F30EE47 -:103690000C1A1CBFB0EE410A002009EB800101EEBC -:1036A000100A91ED002A91ED013AB8EE411A30EE80 -:1036B000410A33EE421A21EE000A2EEE8D1A31EE47 -:1036C0000A1A30EE020ABDEEC12AB8EEC22A31EE65 -:1036D000421A21EE0B1ABDEEC12A12EE100AB8EB07 -:1036E000502F31EE0C2A1CBFB0EE421A002009EB1D -:1036F000800102EE100A91ED003A91ED014AB8EE18 -:10370000422A31EE421A34EE432A22EE011A9FED8C -:10371000962A31EE031A20EE020A9FED942A30EE2B -:10372000020A9FED932A21EE021A30EE010A10EEF2 -:10373000100A28F045FD2EEE8F0A30EE0A0ABDEE83 -:10374000C01AB8EEC11A30EE410A20EE0B0ABDEEE7 -:10375000C01A824611EE100A0D46B8EB502F30EE1B -:103760000C1A1CBFB0EE410A002009EB800101EEEB -:10377000100A91ED002A91ED013AB8EE411A30EEAF -:10378000410A32EE431A21EE000A30EE420A10EEF0 -:10379000100A28F015FD9FED720B53EC102B28F04A -:1037A000BFF902460B465046294627F071FE27F026 -:1037B0003DFE00EE100A87E600EE104AB8EE400A21 -:1037C00020EE091A31EE0A1ABDEEC12AB8EEC22A5D -:1037D00031EE421A21EE0B1ABDEEC12A12EE100A8A -:1037E000B8EB502F31EE0C2A1CBFB0EE421A00206D -:1037F00009EB800102EE100A91ED003A91ED014AC9 -:10380000B8EE422A31EE421A34EE432A22EE011A71 -:1038100020EE0D2A32EE0A2A31EE031ABDEEC23A2C -:10382000B8EEC33A32EE432A22EE0B2ABDEEC23A7C -:1038300013EE100AB8EB502F32EE0C3A1CBFB0EE6C -:10384000432A002009EB800103EE100A91ED004AA3 -:1038500091ED015AB8EE433A20EE0F0A32EE432AB8 -:1038600035EE443A30EE0A0A23EE022ABDEEC03AA3 -:10387000B8EEC33A30EE430A20EE0B0ABDEEC03A72 -:1038800013EE100AB8EB502F30EE0C3A1CBFB0EE1E -:10389000430A002009EB800132EE042A03EE100AED -:1038A00091ED004A91ED015AB8EE433A30EE430AE9 -:1038B00035EE443A23EE000A21EE281A30EE040ACF -:1038C00022EE2F2A31EE2A1A31EE021A20EE290AB0 -:1038D00031EE000AF8E500EE104AB8EE400A20EE9C -:1038E0002B0A30EE0A0ABDEEC01AB8EEC11A30EE4D -:1038F000410A20EE0B0ABDEEC01A11EE100AB8EB19 -:10390000502F30EE0C1A1CBFB0EE410A002009EB1C -:10391000800101EE100A91ED002A91ED013AB8EE16 -:10392000411A30EE410A32EE431A21EE000A30EE1F -:10393000420A20EE0E0A30EE0E0AC5E546F6CD50DC -:10394000C1F20000012101704BF25250C2F201009D -:1039500001700CB0BDEC108B01B0BDE8F08F00BF62 -:10396000DEE8633E20D0893FF88BF9BE3927B63EAA -:103970008EB1133EB4AB103E2601FABE795D3FBC5A -:1039800014AEB73E2DE9F04F87B049F6C860C1F2DA -:1039900000000178012943D14CF6CC23C2F2010387 -:1039A00093F83410012900F0FE81012183F83410CE -:1039B0009A6D93F83510012940F0F281022183F8C5 -:1039C00035100021596519689F681E6CDB6D0D6804 -:1039D000402F25F480250D6045F6C0554D6041F619 -:1039E000000540F20007C2F20005C6F2080702BF58 -:1039F0002F460025C6F208058D60CF603F2707FAE5 -:103A000003F393600A6842F016020A601EB10A6866 -:103A100042F008020A600A6842F001020A60C2E14C -:103A200047F60024C1F2000420464FF47071FDF700 -:103A3000A6FE47F2F076C1F20006707806F120018A -:103A4000002808BF311D08680121069020F01EF8EB -:103A500000280146C8BF01390B28B8BF01304AB25F -:103A600091B240B28142009040F3AE8000204FF00E -:103A7000C0422A21C6F208001180002101230180E2 -:103A800001800380DF2303802B231380B523018073 -:103A90000380B623018003802C231380635A6218AD -:103AA00003805388403103809388B1F5707F038091 -:103AB000D38803801389038053890380938903800B -:103AC000D3890380138A0380538A0380938A0380F7 -:103AD000D38A0380138B0380538B0380938B0380E3 -:103AE000D38B0380138C0380538C0380938C0380CF -:103AF000D38C0380138D0380538D0380938D0380BB -:103B0000D38D0380138E0380538E0380938E0380A6 -:103B1000D38E0380138F0380538F0380938F038092 -:103B2000D28F0280BAD10021635A62180380538871 -:103B3000403103809388B1F5707F0380D388038080 -:103B4000138903805389038093890380D389038079 -:103B5000138A0380538A0380938A0380D38A038065 -:103B6000138B0380538B0380938B0380D38B038051 -:103B7000138C0380538C0380938C0380D38C03803D -:103B8000138D0380538D0380938D0380D38D038029 -:103B9000138E0380538E0380938E0380D38E038015 -:103BA000138F0380538F0380938F0380D28F028003 -:103BB000BAD149F6CA60C1F200000021018007B005 -:103BC000BDE8F04F00F0F8B84AF20800C2F2010078 -:103BD00000689FED772A00F14203029300F144034D -:103BE00000F13E0A059300F140033C30049303903A -:103BF00006989FED703A00EE100A96F88701B8EE2D -:103C0000400A01EE100AB8EE411A06E0019A009847 -:103C1000013291B281423FF729AF01EB410042F2FC -:103C20005C21C0F6030101EBC000D0E9043B002099 -:103C30000026019204E000BF0136B0B28345E5D909 -:103C400000EB400703EB87008268951E062D524665 -:103C50000ED8DFE805F0040407070B090900039AF2 -:103C600006E000BF059A03E0049A01E0029A00BF53 -:103C700053F82770406804EE107AB8EE444A34EEE8 -:103C8000404A24EE024A24EE014A34EE034ABDEED5 -:103C9000C44A14EE105A04EE100AB8EE444A34EE48 -:103CA000404A24EE024A24EE014A34EE034ABDEEB5 -:103CB000C44A14EE101AB2F800806A090E2A4FEABC -:103CC000511288BF4FF0FF350E2A88BF4FF0FF31E9 -:103CD0004A1C8E4608BF4FF4F07E6A1CA94608BFF6 -:103CE0008E461EF1010118BF4FF00009002A18BFCF -:103CF000A94609EA0E0101310ED106998F429BD2E5 -:103D000006994FF000094FF0FF3E884298BF4FF0F0 -:103D1000FF3988BF4FF4F07E19F1010C18BF1EF176 -:103D200001003FF489AFCE457FF786AFAEEB0900C7 -:103D30006FEA090110F003020EEB010014D01FFA24 -:103D400089F1012A24F811800FD01FFA8CF1022A80 -:103D500009F1020C24F8118007D01FFA8CF124F825 -:103D6000118009F1030C00E0CC460328FFF464AF96 -:103D70001FFA8CF024F810800CF1010080B224F8B6 -:103D800010800CF1020080B224F810800CF10300C6 -:103D90000CF1040C80B2E64524F81080E8D14BE722 -:103DA000002183F834100021017007B0BDE8F08FC6 -:103DB0000AD7233C000070432DE9F04F81B02DED70 -:103DC000028B84B049F6CA66C1F20006B6F80080DC -:103DD000B8F1000F00F0A480B8F1360F00F2BE80F9 -:103DE00047F60860C1F2000090ED000A4AF2880030 -:103DF000C1F2000000EB880090ED001A47F2F07964 -:103E0000B8EE400AB8EE411AC1F2000930EE410A9C -:103E10009FEDBC1A99F8870120EE010A01EE100A05 -:103E2000B8EE411A20EE018A18EE104A204627F01B -:103E3000B9F980F0004000EE100ABDEEC00AB5EE00 -:103E4000408A10EE107AF1EE10FA4FF4F076204628 -:103E50004FF00005A8BF2F464FF4F07AB7F5F07F7A -:103E6000B8BF3E4627F044F900EE100ABDEEC00A86 -:103E700010EE101AB5EE408AF1EE10FAD8BF2946BE -:103E8000B1F5F07FA8BF51467018B0F5F07FC6F5C8 -:103E9000F07543F6A02A4DF2362BC8BF00218D42A3 -:103EA000C2F2010AC2F2010B6BDD51EA0600CDE954 -:103EB000018179D0012FD646C0F2B8814AF20800BC -:103EC000C2F20100006843F6A0270278C2F201079F -:103ED000710038467446FDF7C6FDA646002D00F079 -:103EE000A58147F6C2504CF23021C1F20000C2F267 -:103EF000010140F2DF12B0F90000B1F90010921B8D -:103F00004DF280344DF2D01805F00303032AC2F2BB -:103F10000004C2F20108009380F0F680002543E11E -:103F20004FF0C0402A2101800021C6F20801002282 -:103F30000123DF270A800A800B800F802B27078050 -:103F40000A80EB220A8021220B800A802C2101802A -:103F5000B6F80080B8F1360F7FF642AF46F6C4508F -:103F6000C1F200000188013101804BF25150C2F2D0 -:103F700001000021017047F2B470C1F2000001702D -:103F8000D3E24AF20800C2F2010000684FF47071F7 -:103F9000027843F6A020C2F20100FDF764FD49F665 -:103FA000CA68C1F200080FE247F6C2504CF2302155 -:103FB000019AC1F20000C2F201014DF28033B0F962 -:103FC0000000B1F90010C2EB0212C2F200034DF280 -:103FD000D01903EB4212AAF1140C0026C2F2010917 -:103FE000D6460392039B4D469A5D8E4288BF5D463E -:103FF000864238BF5D4635F812404D469A192CF876 -:10400000144F741C8E4228BF5D46844238BF5D4603 -:104010005778947835F817500EEB46077D80B51C1D -:10402000CE4692F8038092F804A08D4288BFDE4607 -:10403000854238BFDE463EF814E0F41C4D468C4203 -:1040400088BF5D46844238BF5D4635F81840351D4F -:10405000FC804C46A7F804E08D4288BF5C46854250 -:1040600038BF5C4634F81AE0741D4B4655798C42D3 -:1040700088BF5B46844238BF5B4633F815304D46F7 -:10408000ACF80A30B31DACF808E08B4288BF5D463F -:10409000834238BF5D469379D47935F813304D4665 -:1040A000BB81F31D92F808E0527A8B4288BF5D46CF -:1040B000834238BF5D4635F8143006F108044D469A -:1040C0008C4288BF5D46844238BF5D4635F81E503D -:1040D000FB813D8206F109034F468B4288BF5F4654 -:1040E00043F6A02E834238BF5F4637F812200A36C7 -:1040F000C2F2010EB6F5F07FACF812207FF472AF79 -:1041000094E000BF0AD7233C25F003020392019AF2 -:1041100007EB4609C2EB021504EB4515A9F1020CA9 -:1041200005F1010E002500BF06EB050A0EEB0504A4 -:10413000424614F8017C8A4588BF5A46824538BFFA -:104140005A4632F817201EF80570ACF802200AF122 -:10415000010243468A4288BF5B46824238BF5B46C3 -:1041600033F8172009EB45035A800AF102028A420C -:10417000474688BF5F468242627838BF5F4637F85D -:104180001220A4789A800AF1030243468A4288BF2B -:104190005B46824238BF5B4633F81420039B0435EC -:1041A000AB422CF8082FBFD1009F43F6A02E43F658 -:1041B000A02CC2F2010EC2F2010CBFB3019A4DF263 -:1041C0008034C2EB0212C2F2000404EB4219AB19B4 -:1041D00019F8052044468B4288BF5C46834238BFAD -:1041E0005C4634F81220012F2CF813201ED06B1CD3 -:1041F0009A1919F8033044468A4288BF5C468242C5 -:1042000038BF5C4634F81330022F2CF812300DD032 -:10421000AB1C9F1919F803208F4288BFD8468742EC -:1042200038BFD84638F812002CF81700029B49F620 -:10423000CA6847F2F079012BC1F20008F246C1F2D8 -:104240000009C0F2C1804AF20800C2F20100016810 -:10425000C3F5F0740A780AEB44005900FDF703FC3B -:10426000DDF808E0002C00F0AF8047F6C2504CF2B9 -:104270003021C1F20000C2F2010140F2DF12B0F9B8 -:104280000000B1F90010A2EB0E02032A04F0030CA7 -:1042900001D2002357E0019A4DF28033C2EB0212A3 -:1042A000C2F20003754603EB42122A444DF2D019C4 -:1042B00024F0030E02F10108AAF108050023C2F25E -:1042C000010900BF08EB03074A4617F8014C8B426F -:1042D00088BF5A46834238BF5A4632F8142018F82D -:1042E00003404E4625F8082F5A1C8B4228BF5E46D5 -:1042F000824238BF5E4636F814209C1C8C424E46E3 -:1043000088BF5E4684427C7838BF5E4636F81440EB -:104310006A80AC80DA1CBC784E468A4288BF5E4612 -:10432000824238BF5E4636F8142004339E45EA8048 -:10433000C8D149F6CA6847F2F079DDF808E0C1F261 -:104340000008C1F20009BCF1000F3DD0019F4DF201 -:104350008036C7EB0717C2F200064DF2D01403EB0C -:104360000E0206EB4717C2F20104BE5C22468B42E6 -:1043700088BF5A46834238BF5A4632F81620BCF1ED -:10438000010F2AF813201FD05A1C02EB0E06BE5D47 -:1043900025468B4228BF5D46824238BF5D4635F8D0 -:1043A0001660BCF1020F2AF812600DD09A1C02EBC5 -:1043B0000E03FB5C8A4288BF5C46824238BF5C4683 -:1043C00034F813002AF8120099F98C01022846DB10 -:1043D0004AF2B831C2F201010A68BBF84A00012A68 -:1043E000A8BF2AF812004A68002AC8BF2AF812009B -:1043F0008A68012AA8BF2AF81200CA68012AA8BF41 -:104400002AF812000A69012AA8BF2AF812004A698C -:10441000012AA8BF2AF812008A69012AA8BF2AF82F -:104420001200CA69012AA8BF2AF812000A6A012AE2 -:10443000A8BF2AF812004A6A012AA8BF2AF8120067 -:104440008A6A012AA8BF2AF81200CA6A012AA8BFEC -:104450002AF81200096B0129A8BF2AF8110099F85F -:104460008401B0B199F8010009F12401002808BFC6 -:1044700009F10801086820F00100022809D147F677 -:10448000C051C1F20001BBF83200B1F900102AF8A6 -:1044900011004CF62C32C2F2010292F83400BBF843 -:1044A00032100128AAF8E0113AD0012082F8340035 -:1044B000916D92F8350001282FD1022082F8350045 -:1044C0000020506510689368176CD26D0668402B09 -:1044D00026F4802606604FF4F0764660534640F29C -:1044E000000604BF0023C6F20803C6F2080608BF90 -:1044F000564683603F2303FA02F2C6608A60016871 -:1045000041F0160101601FB1016841F0080101602E -:10451000016841F00101016002E0002082F83400EE -:10452000B8F800000130A8F8000004B0BDEC028B20 -:1045300001B0BDE8F08F00002DE9F04147F2F074C2 -:10454000C1F20004667804F13807394604F11C050D -:10455000002E08BF2946097804F120004FF03802E8 -:1045600081F0010108BF1C22A154002E08BF201DAC -:10457000006801214FF001081FF088FA01280BDBC9 -:10458000C1B28900002E08BF2F463A7851FA80F058 -:1045900004EB800080F84F204BF69470C2F20100CB -:1045A00080F801804BF6CE70C2F2010080F80080E6 -:1045B000BDE8F08147F2C171C1F20001087800281E -:1045C0004BF69470C2F2010028D04AF2144241F630 -:1045D000BC13C2F20102C0F60403136042F66C126F -:1045E00041F64C23C1F20002C0F6040313604DF201 -:1045F000D542C2F201024FF0000C82F800C049F629 -:10460000DC62C1F20002127849F6DB63C1F20003FA -:104610001A7081F800C0012101701EE001220A70A9 -:104620004AF2144141F2C063C2F20101C0F6040330 -:104630000B6042F66C1141F64003C1F20001C0F676 -:1046400004030B604DF2D541C2F201010A7049F634 -:10465000DB61C1F2000115220A7001210170817134 -:104660008172017281700171C172017081718172F8 -:10467000017281700171C17242F61C10C1F200001A -:10468000002101604BF6B870C2F20100016042F6F1 -:104690002410C1F20000FF2101704AF26A00C2F248 -:1046A0000100017042F62510C1F20000017042F6CF -:1046B0002210C1F2000042F20F7101804AF268003C -:1046C000C2F20100018042F62010C1F20000018018 -:1046D0007047000070B547F2F074C1F2000494F81E -:1046E0003501617880F0010504F1200084F835512E -:1046F000002908BF201D0068012101261FF0C6F90E -:1047000001280ADBC1B2890051FA80F0D4F83011D7 -:1047100004EB800080F84C5081644BF69470C2F238 -:10472000010046704BF6CE70C2F20100067070BDFB -:10473000B0B52DED028B47F2F074C1F2000494F88D -:10474000340194ED4C1A00EE100AB8EE400A31EE36 -:10475000008AB3EE0F1AB4EE418AF1EE10FAC8BF28 -:10476000B0EE408A607804F1200184ED4C8A002884 -:1047700008BF211D0868012101251FF087F90128C4 -:104780000BDBC1B2890094F8352151FA80F004EBBB -:10479000800080F84C2080ED128A4BF69470C2F2B3 -:1047A000010045704BF6CE70C2F201000570BDEC01 -:1047B000028BB0BDB0B547F2F070C1F20000417895 -:1047C00059B100F1200E9EE80E50D0E90D45071DAD -:1047D00087E80E50C0E906450BE0051D00F1140EF8 -:1047E0002ECD9EE8105000F1200730302EC780E813 -:1047F000105049F6EC60C1F20000006847F6AC11B9 -:10480000C1F20001086047F6B01044F2566144F668 -:104810004372C1F20000C2F24F01C4F65012C0E967 -:10482000001244F24951C0F24401C0F807104CF2A2 -:104830001D00C2F20100002101704BF69470C2F21B -:104840000100817B00291CBF01218171012181733D -:10485000417041714BF6CE70C2F201000170B0BDE3 -:10486000F0B581B04CF22920C2F20100012505709B -:1048700040F61800C4F202004FF40001016049F64E -:10488000EC60C1F20000006847F69811C1F2000127 -:1048900047F2F0760860C1F20006707806F1200455 -:1048A00080F0010171702146371D002818BF39467C -:1048B000086819F0DDFA707806F12401002808BFB5 -:1048C00006F10801086808BF3C46214619F0D8FCEB -:1048D0004BF694704BF6CE71C2F20100C2F20101A8 -:1048E00045704571C5710D704BF6CC71C2F2010176 -:1048F0000D70057085718572057285700571C572C0 -:1049000042F61C10C1F20000002101604BF6B870A5 -:10491000C2F20100016042F62410C1F20000FF2142 -:1049200001704AF26A00C2F20100017042F62510DD -:10493000C1F20000017042F62210C1F2000042F202 -:104940000F7101804AF26800C2F20100018042F654 -:104950002010C1F20000018001B0F0BD80B547F227 -:10496000F070C1F2000090F8412182F0010180F85E -:10497000411116A018A1002A08BF014649F6EC60B3 -:10498000C1F20000006847F6AC12C1F200021060EC -:1049900047F6B010C1F20000FBF779FF4CF21D00A2 -:1049A000C2F20100002101704BF69470C2F20100C6 -:1049B000817B00291CBF012181710121817341701C -:1049C0004BF6CE70C2F20100017080BD42414E44F0 -:1049D0004D4150204F4E000042414E444D41502029 -:1049E0004F4646002DE9F04147F2F075C1F200054F -:1049F00095F8018005F1200420462E1DB8F1000F26 -:104A000008BF3046006801211FF040F8013841B26C -:104A1000002948BF0B20C1B2032901D041BB00BF10 -:104A2000013841B2002948BF0B20C1B2002918BF8C -:104A300003291DD1013841B2002948BF0B20C1B262 -:104A4000032918BF002913D1013841B2002948BFFA -:104A50000B20C1B2032918BF002909D1013841B286 -:104A6000002948BF0B20C1B2002918BF0329D7D0A5 -:104A700040B200EB800005EB8007386C2146B8F1AE -:104A8000000F08BF314619F0F3F9697897F8440030 -:104A9000002908BF3446214619F0F2FB97F84C0074 -:104AA000B96C85F83501C5F8301197F84D0097F8C5 -:104AB0004E1085F88E0185F8781197F8500047F66A -:104AC0008C11C1F20001087097F84F006978382204 -:104AD000002908BF1C22A85447F69C10C1F2000010 -:104AE000002101704BF69470C2F201000121417067 -:104AF0004171BDE8F08100002DE9F04147F2F07509 -:104B0000C1F2000595F8018005F1200420462E1D14 -:104B1000B8F1000F08BF3046006801211EF0B6FF53 -:104B2000013041B20B29C8BF0020C1B2032901D016 -:104B300041BB00BF013041B20B29C8BF0020C1B248 -:104B4000002918BF03291DD1013041B20B29C8BF6C -:104B50000020C1B2032918BF002913D1013041B28E -:104B60000B29C8BF0020C1B2032918BF002909D1F1 -:104B7000013041B20B29C8BF0020C1B2002918BFC3 -:104B80000329D7D040B200EB800005EB8007386CDA -:104B90002146B8F1000F08BF314619F069F969786C -:104BA00097F84400002908BF3446214619F068FBF5 -:104BB00097F84C00B96C85F83501C5F8301197F8B5 -:104BC0004D0097F84E1085F88E0185F8781197F80A -:104BD000500047F68C11C1F20001087097F84F00A1 -:104BE00069783822002908BF1C22A85447F69C1077 -:104BF000C1F20000002101704BF69470C2F2010076 -:104C0000012141704171BDE8F081000047F2C1719E -:104C1000C1F20001087800284BF69470C2F201003E -:104C200063D04AF2144241F6BC13C2F20102C0F64C -:104C30000403136042F66C1241F64C23C1F20002E9 -:104C4000C0F6040313604DF2D542C2F201024FF0E8 -:104C5000000C82F800C049F6DC62C1F20002127852 -:104C600049F6DB63C1F200031A7081F800C001212C -:104C7000017042F61C120121C1F2000200230170F2 -:104C800081718172017281700171C17213604BF682 -:104C9000B872C2F20102136042F62412C1F200029D -:104CA000FF2313704AF26A02C2F20102137042F645 -:104CB0002512C1F20002137042F62212C1F2000264 -:104CC00042F20F7313804AF26802C2F201021380AB -:104CD00042F62012C1F200021380017081718172CC -:104CE000017281700171C17270474FF0010C81F83F -:104CF00000C047F2F071C1F200014B7801F12402CB -:104D0000002B08BF01F1080211688A1E022A21D374 -:104D10000A1F022A3DD24AF2144141F2C062C2F295 -:104D20000101C0F604020A6042F66C1141F640022D -:104D3000C1F20001C0F604020A604DF2D541C2F290 -:104D4000010101220A7049F6DB61C1F200010C2267 -:104D50000A708EE74AF2144141F2C062C2F20101C8 -:104D6000C0F604020A6042F66C1141F64002C1F23C -:104D70000001C0F604020A604DF2D541C2F2010101 -:104D800081F800C049F6DB61C1F200010A220A7015 -:104D90006FE706294AF2144141F2C062C2F20101F2 -:104DA000C0F604020A6042F66C1141F64002C1F2FC -:104DB0000001C0F604020A604DF2D541C2F20101C1 -:104DC0004FF001020A7049F6DB61C1F2000114BF25 -:104DD00008220B220A704CE747F2F070C1F2000083 -:104DE00090F8471181F0010180F847114BF694705B -:104DF000C2F20100012141704BF6CE70C2F20100F7 -:104E00000170704747F2F070C1F2000090F83C105A -:104E100081F0010180F83C104BF69470C2F2010061 -:104E2000012141704BF6CE70C2F201000170704753 -:104E300047F2C171C1F20001087800284BF6947066 -:104E4000C2F2010028D04AF2144241F6BC13C2F269 -:104E50000102C0F60403136042F66C1241F64C23C3 -:104E6000C1F20002C0F6040313604DF2D542C2F253 -:104E700001024FF0000C82F800C049F6DC62C1F27A -:104E80000002127849F6DB63C1F200031A7081F860 -:104E900000C00121017038E04FF0010C81F800C022 -:104EA00047F2F071C1F200014B7801F12402002BAE -:104EB00008BF01F1080211684AF2144241F2C063CE -:104EC000C2F20102C0F6040321F00101136042F6B0 -:104ED0006C1241F64003C1F20002C0F6040302293D -:104EE000136006D149F6DB61C1F2000109220A70A4 -:104EF0000BE04DF2D541C2F2010181F800C049F644 -:104F0000DB61C1F2000107220A7042F61C12012186 -:104F1000C1F20002002301708171817201728170FF -:104F20000171C17213604BF6B872C2F201021360D4 -:104F300042F62412C1F20002FF2313704AF26A0201 -:104F4000C2F20102137042F62512C1F20002137080 -:104F500042F62212C1F2000242F20F7313804AF2AB -:104F60006802C2F20102138042F62012C1F200026E -:104F70001380017081718172017281700171C1723F -:104F80007047000080B547F2F070C1F2000090F861 -:104F9000802182F0010180F8801113A015A1002A60 -:104FA00008BF014649F6EC60C1F20000006847F610 -:104FB000AC12C1F20002106047F6B010C1F200005E -:104FC000FBF765FC4CF21D00C2F2010000210170EC -:104FD0004BF69470C2F20100817B00291CBF0121B5 -:104FE00081710121817380BD4B45594552204F4E3F -:104FF000000000004B45594552204F464600000036 -:1050000047F2C170C1F20000007848B947F2F07071 -:10501000C1F2000090F8461181F0010180F84611BC -:105020004BF69470C2F201000121417041724BF6BF -:10503000CE70C2F20100017070470000F0B581B07F -:1050400047F2F075C1F20005687805F124010028E7 -:1050500008BF05F1080109780A2916D80224DFE8FB -:1050600001F0220C06060808140A0A140E0008248F -:1050700019E0062417E0042415E0032413E04BF69E -:105080009471C2F2010101220A7205F12001002887 -:1050900008BF291D096849F27F62C0F29802002406 -:1050A000914288BF012405F1200700283946204697 -:1050B00005F1040608BF314619F0E2F868780121CD -:1050C000002808BF3746386801261EF0DFFC01289B -:1050D00007DBC1B2890051FA80F005EB800080F84F -:1050E000444047F69C10C1F20000067001B0F0BDCC -:1050F000F0B581B047F2C170C1F20000007800281D -:1051000043D049F6D761C1F20001012008704BF687 -:10511000947142F61C12C2F20101C1F20002002396 -:10512000087088718872087288700871C87213607C -:105130004BF6B872C2F20102136042F62412C1F2B9 -:105140000002FF2313704AF26A02C2F201021370D6 -:1051500042F62512C1F20002137042F62212C1F289 -:10516000000242F20F7313804AF26802C2F2010297 -:10517000138042F62012C1F2000213804870487278 -:105180004BF6CE70C2F2010058E047F2F074C1F263 -:105190000004617804F12400002908BF04F108002C -:1051A0000568E8B20A2827D80125DFE800F02506BF -:1051B000080A0C0E101214161E0000251CE0032510 -:1051C0001AE0022518E0052516E0042514E009255B -:1051D00012E0082510E007250EE04BF69470C2F2AD -:1051E0000100012202720A2506E04BF69470C2F219 -:1051F000010001220625027204F12007E8B200290D -:10520000394604F1040608BF314619F039F86078D0 -:105210000121002808BF374638681EF037FC0128F6 -:10522000A1BFC1B2890051FA80F004EB8000A8BF91 -:1052300080F8445047F69C10C1F200000121017033 -:1052400001B0F0BD4BF6FC70C2F20100017881F0B4 -:10525000010101704BF69470C2F20100012141700E -:105260004BF6CE70C2F2010001707047F0B581B00C -:105270004CF22920C2F201000121017040F6180011 -:10528000C4F202004FF40001016049F6EC60C1F283 -:105290000000006847F69811C1F2000147F2F0756E -:1052A0000860C1F200056E7805F13400002E08BFD9 -:1052B00005F11800016805F12C0008BF05F1100088 -:1052C0000068814204D93421002E08BF182168509B -:1052D00005F13000002E08BF05F11400007800270A -:1052E000002846D149F6F814C1F200042046802176 -:1052F000FCF745FA49F67820C1F200004FF400713E -:10530000FCF73DFA4DF2C840C2F20100076049F6D1 -:10531000D060C1F2000007604DF2BC00C2F2010093 -:10532000012101704DF2C000C2F20100046049F693 -:105330007844C1F2000420464FF4BE71FCF71FFA16 -:1053400049F6EC10C1F20000046049F6F01041F299 -:105350006F21C1F20000C3F6032101604DF2C400C9 -:10536000C2F20100076049F6F410C1F200000760C4 -:1053700001273020002E08BF14202F544BF69470C4 -:10538000C2F201000121C17141704BF6CE70C2F230 -:105390000100017001B0F0BD70B547F2F074C1F2C8 -:1053A000000494F88E01617880F0010504F120007A -:1053B00084F88E51002908BF201D006801210126B4 -:1053C0001EF064FB012807DBC1B2890051FA80F0AE -:1053D00004EB800080F84D504BF69470C2F201004F -:1053E00046704BF6CE70C2F20100067070BD000030 -:1053F00047F2C171C1F20001087800284BF69470A1 -:10540000C2F2010028D04AF2144241F6BC13C2F2A3 -:105410000102C0F60403136042F66C1241F64C23FD -:10542000C1F20002C0F6040313604DF2D542C2F28D -:1054300001024FF0000C82F800C049F6DC62C1F2B4 -:105440000002127849F6DB63C1F200031A7081F89A -:1054500000C0012101701EE001220A704AF21441CD -:1054600041F60833C2F20101C0F604030B6042F6B4 -:105470006C1141F60843C1F20001C0F604030B6051 -:105480004DF2D541C2F201010A7049F6DB61C1F269 -:10549000000100220A700121017081718172017284 -:1054A00081700171C17201708171817201728170AC -:1054B0000171C17242F61C10C1F2000000210160AE -:1054C0004BF6B870C2F20100016042F62410C1F23E -:1054D0000000FF2101704AF26A00C2F2010001706F -:1054E00042F62510C1F20000017042F62210C1F20E -:1054F000000042F20F7101804AF26800C2F201001E -:10550000018042F62010C1F20000018070470000C7 -:1055100047F69D10C1F20000017881F00101017091 -:1055200000784CF21B01C2F2010108704BF6947036 -:10553000C2F201000121C17141704BF6CE70C2F27E -:105540000100017017F032BF47F2C171C1F20001D2 -:10555000087800284BF69470C2F2010028D04AF275 -:10556000144241F6BC13C2F20102C0F604031360F8 -:1055700042F66C1241F64C23C1F20002C0F604035D -:1055800013604DF2D542C2F201024FF0000C82F8D6 -:1055900000C049F6DC62C1F20002127849F6DB6312 -:1055A000C1F200031A7081F800C0012101701EE0F1 -:1055B00001220A704AF2144141F2C063C2F20101B1 -:1055C000C0F604030B6042F66C1141F64003C1F2D1 -:1055D0000001C0F604030B604DF2D541C2F2010197 -:1055E0000A7049F6DB61C1F2000100220A70012154 -:1055F000017081718172017281700171C1720170DB -:1056000081718172017281700171C17242F61C1048 -:10561000C1F20000002101604BF6B870C2F2010037 -:10562000016042F62410C1F20000FF2101704AF22D -:105630006A00C2F20100017042F62510C1F20000BA -:10564000017042F62210C1F2000042F20F71018097 -:105650004AF26800C2F20100018042F62010C1F255 -:10566000000001807047000047F2C171C1F20001E3 -:10567000087800284BF69470C2F2010028D04AF254 -:10568000144241F6BC13C2F20102C0F604031360D7 -:1056900042F66C1241F64C23C1F20002C0F604033C -:1056A00013604DF2D542C2F201024FF0000C82F8B5 -:1056B00000C049F6DC62C1F20002127849F6DB63F1 -:1056C000C1F200031A7081F800C0012101701EE0D0 -:1056D00001220A704AF2144141F65813C2F2010144 -:1056E000C0F604030B6042F66C1141F6B813C1F228 -:1056F0000001C0F604030B604DF2D541C2F2010176 -:105700000A7049F6DB61C1F2000104220A7001212E -:10571000017081718172017281700171C1720170B9 -:1057200081718172017281700171C17242F61C1027 -:10573000C1F20000002101604BF6B870C2F2010016 -:10574000016042F62410C1F20000FF2101704AF20C -:105750006A00C2F20100017042F62510C1F2000099 -:10576000017042F62210C1F2000042F20F71018076 -:105770004AF26800C2F20100018042F62010C1F234 -:105780000000018070470000B0B547F2F072C1F22E -:10579000000292F8460100B1B0BD47F2C170C1F2FB -:1057A0000000007818B34DF2D740C2F20100007833 -:1057B000BDEEC00A012810EE100A69D149F6DA617F -:1057C000C1F200010978012802EB010595F8492191 -:1057D00005F2491302EB000185F84911A1F1010519 -:1057E00061DBECB21F2C5ED8212119706DE0B7EEA1 -:1057F000002ABFEE001AB5EE400AB0EE423AF1EED2 -:1058000010FAB8BFB0EE413A9FED4A1AB0EEC04A66 -:10581000B4EE414AF1EE10FAC8BFB0EE403A50780B -:1058200092F83C3002F12001002802F59F7508BF74 -:10583000111D0868002B08BF02F59E752A8800EE2E -:10584000102AB8EE400AB0FBF2F323EE000ABDEED8 -:10585000C00A03FB120310EE105AB0EEC30A2A4628 -:10586000002B18BF5A42B4EE420AF1EE10FAC8BF3C -:105870002A46104418F0FCFA4BF69470C2F201006C -:10588000012141714BF6CE70C2F201000170B0BD32 -:105890004DF2D641C2F201010978012921D1BDE8BA -:1058A000B0400DF033BCEDB2202D4FF0000538BFF5 -:1058B000012500284FF0000048BF012028424FF08A -:1058C00000002ED1CDB27E2D2BD8002A18BF5FEA62 -:1058D000016027D04BF69470C2F201000121C17221 -:1058E000B0BD4AF2144449F6DB65C2F20104C1F2CC -:1058F000000521682A7801EB02110A790D2A09D0E6 -:10590000C96888472068297800EB011000790228CF -:1059100008BFB0BD4BF69470C2F201000121417383 -:10592000B0BD18704BF69470C2F2010001210173F2 -:10593000B0BD00BF9A99993F2DE9F04F85B047F26D -:10594000E271C1F20001087810B105B0BDE8F08F36 -:105950000120087047F2F070C1F2000090F8460193 -:10596000002840F0888140F60000C4F20200D0F820 -:10597000101842F69000C1F20000037801F0100206 -:10598000B3EB121F00F07781C1F30011002A017000 -:1059900040F0718147F2C171C1F2000108784BF605 -:1059A000947842F61C174BF6B87542F624144AF266 -:1059B0006A0642F6251342F6221242F6201BC2F274 -:1059C0000108C1F20007C2F20105C1F20004C2F2EF -:1059D0000106C1F20003C1F20002C1F2000BA8B13E -:1059E0004DF2D740C2F201000178012910D1002107 -:1059F000017049F6DB60C1F20000017001209146A0 -:105A00009A460EF09BF953464A4601E1084610E1DA -:105A10004DF2D640C2F201000178012914D10021D3 -:105A2000017049F6DB60C1F2000001700120A2465E -:105A300014461E460EF082F933464AF26A062246A2 -:105A40005446C2F20106E3E049F6D960C1F2000013 -:105A50000178BA4601293CD1002707704BF6C07087 -:105A600047F2F071C2F20100C1F2000100684A7809 -:105A700099460B462031002A08BF191D18F0F8F985 -:105A80004BF6BC70C2F2010000784BF6FC71C2F21A -:105A90000101087047F69D10C1F2000007704CF23A -:105AA0001B00C2F20100077047F6A610C1F2000009 -:105AB000077017F07BFC49F6DB60C1F2000007704D -:105AC00001200EF03BF942F622124B46C1F20002D1 -:105AD0006DE049F6D861C1F20001087899460128C5 -:105AE0004DF2D540C2F2010039D14AF2144241F6DA -:105AF000BC13C2F20102C0F60403136042F66C123A -:105B000041F64C23C1F20002C0F6040313600022E8 -:105B1000027049F6DC60C1F20000007849F6DB63F0 -:105B2000C1F20003187047F2C170C1F200000A70A0 -:105B30000270012088F8000088F8000088F806004C -:105B400088F80A0088F8080088F8020088F804003D -:105B500088F80B005746CAF800202A6044E0017814 -:105B600039B34AF2144141F6BC12C2F20101C0F647 -:105B700004020A6042F66C1141F64C22C1F20001A7 -:105B8000C0F604020A600021017049F6DC60C1F22F -:105B90000000007849F6DB61C1F2000108700120C5 -:105BA0000EF0CCF842F62212C1F200024B465746E4 -:105BB0002EE047F2C1710020C1F2000108700121FE -:105BC000574688F8001088F8001088F8061088F802 -:105BD0000A1088F8081088F8021088F8041088F86D -:105BE0000B10CAF800002860FF2042F622124AF289 -:105BF00068012070307089F8000042F20F70C1F225 -:105C00000002C2F201014B4610800880ABF8000090 -:105C10004BF6CE70C2F201000121017049F6D76047 -:105C2000C1F200000078012805D147F2D070C1F21E -:105C3000000001210170012088F8000088F80600AA -:105C400088F80A0088F8080088F8020088F804003C -:105C500088F80B00002038602860FF204AF26801B5 -:105C600020703070187042F20F70C2F20101108083 -:105C70000880ABF800004AF2B42449F6EC6BC2F29B -:105C80000104C1F2000B002000254FF0010900269D -:105C900006E000BF28B20135032828B280F20E8149 -:105CA00000EBC00714F82700012831D14BF28450D3 -:105CB000C2F2010000780028ECD104EB87004078A4 -:105CC00040F6000340F018008DF811004FF480708A -:105CD000002600900DF111000DF10E010322C4F217 -:105CE0000203ADF812608DF81060ADF80E600196F9 -:105CF0000AF0B8FA4FF6FF7650B19DF80F009DF804 -:105D0000101000F03F0001F00F0141EA001600BF43 -:105D100004EB8707B2B20020B846642A38BF0120DE -:105D200008F8020FC2461AF8011F814206D0632A02 -:105D300004D8DBF800307B6000233B7281420DD138 -:105D4000632A0BD8DBF800107A68891AB1F5FA7F5C -:105D500003D9394611F8082F42B3014689B180B9F9 -:105D6000DBF800007968401A80087C2808D847F2E0 -:105D7000F071C1F20001387A91F84611084363D0FE -:105D80000020A9B2033918BF012161EA0901C9073E -:105D90008AF800007FF47EAF4AF2B020C2F2010020 -:105DA00004684FF000094FF6FF7573E747F2F07291 -:105DB000C1F2000292F84621002A4FF001020A7057 -:105DC00006D0F96945F20102C0F600029142C4D141 -:105DD00047F2C171C1F20001097811B1797A002945 -:105DE000BBD03A6A0146002AB8D047F2F070C1F23F -:105DF000000090F8790108B347F6F8110120C1F2CC -:105E000000010870DBF80000DBF80010091A3329E4 -:105E10000ED2DBF80010091A322909D8DBF800107D -:105E2000091A322904D8DBF80010091A3329EBD3F8 -:105E300047F6F8110020C1F20001087090479AF867 -:105E4000001098F8000089E747F2C170C1F2000025 -:105E5000007810B1787A002892D0F86900283FF4D1 -:105E60008FAF47F2F071C1F2000191F8791109B3D7 -:105E700047F6F8120121C1F200021170DBF80010A0 -:105E8000DBF80020521A332A0ED2DBF80020521A17 -:105E9000322A09D8DBF80020521A322A04D8DBF85B -:105EA0000020521A332AEBD347F6F8120021C1F230 -:105EB00000021170804798F8000062E747F2E27133 -:105EC0000020C1F20001087005B0BDE8F08F0000AD -:105ED000F0B590F83C20012A08BFF0BDD1F800C011 -:105EE0000122BCF10A0F80F83C200BD31FFA8CFE74 -:105EF0004FEA4E02036812FA8CF2A2F11E0403F17B -:105F00000C0205E003680CEB4C0403F11002E646BA -:105F100007251668A54026EA050515608D681668F0 -:105F200005FA04F4344314609A6B002622F44012FC -:105F30009A634D680A698C69CFF6F0769F6B06EB21 -:105F40000256AA1A3E4303329E63D6B2B60056FAF0 -:105F500082F21F269F6B964027EA06069E639E6B81 -:105F60000EFA02F232439A639A68012722F47022F1 -:105F70009A609A68C0F20F07BC4202D122F4401422 -:105F800009E022439A609A6822F440129A60CA6932 -:105F90009F6847EA02049C600A7D4F7D5E68002F7F -:105FA00026F4806618BF06F580665E605F68002A8A -:105FB00027F4805718BF07F58057032D5F6008D07E -:105FC000022D09D0012D14BF03F1200203F11402A8 -:105FD00004E003F11C0201E003F11802176840F627 -:105FE000FF76B7431760CF68166842F20431C4F2F7 -:105FF00001013743A1F54176B34217600ED1BCF1E0 -:10600000120F03D10A6842F4800206E02CF001026C -:10601000102A03D10A6842F400020A60002180F8C5 -:106020003C10F0BD81B00021009190F83C10012996 -:1060300055D00122016880F83C208A68D20726D119 -:106040008A684DF6836342F001028A604AF2483260 -:10605000C2F201021268C4F21B33A2FB03239A0CA2 -:1060600002EB42020092009A8AB1009A013A009231 -:10607000009A62B1009A013A0092009A3AB1009AED -:10608000013A0092009A12B1009A013AEAE78A684E -:10609000D20724D0026C43F201039A4302F58052E6 -:1060A0000264026C0023D2055CBF0022426442F20B -:1060B000000C80F83C306FF00400C4F2010C086062 -:1060C000DCF8043388684A68DB0600F4401002F408 -:1060D000806306D0614508BF50EA030003D001B0D9 -:1060E00070471843FBD1886840F48000886001B095 -:1060F0007047000070B581B00022009290F83C20FB -:10610000012A00F08B80D1F800E00122BEF10A0FD5 -:1061100080F83C200AD31FFA8EF25200066812FA69 -:106120008EF2A2F11E0306F10C0204E006680EEBEB -:106130004E0306F110024FF0070C15680CFA03F439 -:10614000A54315608D68146805FA03F323431360B3 -:106150004968062905D801EB8101053906F13402A9 -:1061600009E00C2901EB810187BF413906F12C02BE -:10617000233906F130021F2314688B4024EA0303FD -:1061800042F2043C1360C4F2010C1FFA8EF314684F -:1061900003FA01F1ACF5417321439E4211603AD1FB -:1061A000BEF1120F06D1DCF8001041F48001CCF8EA -:1061B000001030E02EF0010110292CD1DCF8001085 -:1061C000BEF1100F41F40001CCF8001023D14AF2C7 -:1061D0004831C2F2010109684DF68362C4F21B32F4 -:1061E000A1FB0212910C01EB810149000091009981 -:1061F00089B1009901390091009961B10099013983 -:106200000091009939B1009901390091009911B1BB -:1062100000990139EAE7002180F83C1001B070BD17 -:10622000002808BF704770B586B00446006C00288F -:106230006FD10020CDE90400CDE90200216842F2CF -:106240000012C4F201029142019039D042F20000E2 -:10625000C4F20100814258D1002043F63001009081 -:10626000C4F202014A6940F6000542F480724A61B4 -:106270004A69032602F480720092009A00900A682C -:10628000C4F2020542F004020A600A6802F0040245 -:106290000092009A00900A6842F002020A600968BF -:1062A00001F0020100910099CDE90166039001A976 -:1062B000284601F031F9CDE90166A5F580601FE0BF -:1062C00043F630010090C4F202014A6942F40072C0 -:1062D0004A614A6902F400720092009A00900868CC -:1062E00040F004000860086800F004000090009886 -:1062F000302001900320029040F60000C4F202001A -:106300000021039101A901F007F90020606484F8DD -:106310003C00206CC00600F18B80206C41F2021121 -:106320008843023042F204312064C4F2010108685B -:1063300020F4403008600A6894E849001A4325694F -:106340000A604168012321F4807141604168C0F610 -:10635000007341EA05214160416821F040714160CC -:10636000416831434160816821F400618160E168E6 -:10637000826811438160A16A8268994222F070624A -:106380008260826802D122F0405108E011438160AE -:10639000816821F040518160E16A82681143816027 -:1063A000816821F0020181608168227E94F82030AA -:1063B00041EA42018160416883B141F4006141607A -:1063C00041684EF2000221F460414160616ACFF6FB -:1063D000FF72436802EB4131194301E021F400618F -:1063E0004160C16A002321F47001C162E269616900 -:1063F000CFF6F073C66A03EB02523243C262826880 -:1064000022F40072826094F83020836843EA4222CA -:106410008260826822F480628260826842EA81211E -:10642000816000206064206C012161F30100206420 -:10643000002084F83C0006B0BDE8704070470000C2 -:10644000F0B581B00446002000904AF24830C2F214 -:1064500001000268D4E9166048F2B51337680821D4 -:10646000C1F64E3381403942A2FB03250CD022688D -:1064700013685B0708D5136823F004031360B16049 -:10648000616D41F00101616501218140394208D00F -:1064900022685269120604D5B160616D41F00201B3 -:1064A000616504218140394208D022681268920750 -:1064B00004D5B160616D41F0040161651021814036 -:1064C000394215D020680268120711D5B160026800 -:1064D0000168520306D4C90506D4016821F00801F9 -:1064E000016001E0080342D4216C09B120468847CD -:1064F000E16D202000FA01F23A4244D0206803689E -:10650000DB0640D5B26094F835300268052B1ED109 -:1065100022F016020260426922F080024261226C7F -:106520000AB9A26C1AB1026822F0080202603F2088 -:106530008840B060002084F83400012084F83500E1 -:10654000216D002957D02046884701B0F0BD016871 -:10655000520310D4C90512D4016821F01001016062 -:10656000002084F83400012084F8350007E0A16C95 -:106570000029BBD1BCE7080301D4616C00E0E16BEA -:1065800009B120468847606DA8B3606DC0072ED062 -:10659000052084F835002068A90A026822F001026B -:1065A0000260009A01328A4200921AD80268D20729 -:1065B00017D0009A01328A42009212D80268D2079C -:1065C0000FD0009A01328A4200920AD80268D2079C -:1065D00007D0009A01328A42009202D80268D2079C -:1065E000DFD1002084F83400012084F83500E16C0C -:1065F00009B12046884701B0F0BD00002DE9F04107 -:1066000049F6EC61C1F200010B68002861D000225C -:1066100080F83420022280F835200268156825F0C1 -:10662000010515601568ED0736D1116848F23F0382 -:10663000CFF21003D0E901540B4000F10C0E9EE89C -:10664000C2512B43234319433143394341EA0801E3 -:10665000436A41EA0C01042B41EA0E043CD1D0E923 -:106660000A5E016B4EEA0403194311605169BEF1E1 -:10667000000F21F0030141EA050141F0040C31D083 -:10668000B8F5005F53D0B8F1000F5FD1022D60D094 -:10669000012D55D035BB5CE00D68ED1A052D42D8B3 -:1066A0001568ED07C1D00F68FF1A052F3BD8176892 -:1066B000FF07BAD00F68FF1A052F34D81768FF07F5 -:1066C000B3D00F68FF1A052F2DD81768FF07E3D145 -:1066D000ABE70120BDE8F0811460516921F00701AA -:1066E00041EA030C6FF00F014AF6AB2351FA82F135 -:1066F000CAF6AA23A1FB03373B091CA7FB5C40F2A7 -:10670000FF375F294FF03F01C2F814C022EA0702A9 -:1067100001FA03F188BF0432C0E916239160002416 -:10672000012300211CE003232024032118E0032D72 -:10673000D8D8012340240121DFE805F0120B120212 -:10674000AEF1C071B1FA81F1490904E0032D04D31F -:10675000C8D1CEF300610029C4D001234024012117 -:10676000446580F835300846BDE8F0810006101613 -:10677000000610162DE9F04349F6EC68C1F2000856 -:10678000D8F8001090F83510022920D1D0F80090E8 -:10679000D9F80010C90521D4D0E9161C20230F68B0 -:1067A00003FA0CF31F421ED0426D002A1CBF426D3B -:1067B0005FEAC2723ED1302202FA0CF28A600021F6 -:1067C00080F83410012180F83510BDE8F083802175 -:1067D0004165002180F83410BDE8F0834FF48071EA -:1067E0004165BDE8F083082707FA0CF4012707FA92 -:1067F0000CF5042707FA0CF602E000BF1F42D3D1C4 -:10680000476DFF07D0D10F683C4204D0426D42F083 -:10681000010242658C603D4204D0426D42F00202AA -:1068200042658D603E42E9D0426D42F0040242650D -:106830008E60E3E7D8F800E090F83520022A4AD1CC -:10684000D9F8002022F01602C9F80020D9F8142047 -:1068500022F08002C9F81420026C0AB9826C2AB1B5 -:10686000D9F8002022F00802C9F80020D9F8002049 -:1068700022F00102C9F80020D9F80020D20730D058 -:10688000D8F80020A2EB0E02052A1DD8D9F8002066 -:10689000D20726D0D8F80020A2EB0E02052A13D882 -:1068A000D9F80020D2071CD0D8F80020A2EB0E02A5 -:1068B000052A09D8D9F80020D20712D0D8F800202C -:1068C000A2EB0E02052AD7D920224265002280F8C9 -:1068D000342003220DE080224265002280F834201B -:1068E00069E73F2202FA0CF28A60002280F8342025 -:1068F000012280F835205EE72DE9F04F81B043F6A4 -:106900001441C4F201010A68024200F0D282086018 -:106910004AF22851C2F201010A78012A19D1002253 -:106920000A7041F204014AF22453CEF20001C2F28D -:10693000010342F670270A681B68C1F200073E682F -:10694000D21A32443A60096842F67422C1F2000257 -:106950001160411E072910D80FF20400DFE811F082 -:1069600008000800A9023901A902A902A9028D01A3 -:1069700001B0BDE8F04F17F0DDB9802800F09C8130 -:10698000B0F5806F40F0958247F6F910C1F2000033 -:106990000078002846F60560C1F2000040F07482DD -:1069A0000178002940F0708247F2F075C1F20005CD -:1069B000697805F124070029394605F1080308BF65 -:1069C000194609680A2900F05F824CF21B04C2F2E2 -:1069D00001042178002940F20101C0F2FF0113D126 -:1069E00047F6A612C1F2000212786AB947F69D1264 -:1069F000C1F2000212783AB94BF6F872C2F2010203 -:106A00001268002A00F0B1848E1C40F2180B45F287 -:106A1000555240F61801C4F2020BCAF6A922C4F27C -:106A200002014BF8182C082200BF00BF00BFCBF8B2 -:106A300000600A6004264FF440220E600A6000BF26 -:106A400000BF00BF2278D2B947F6A612C1F20002F9 -:106A50001278A2B947F69D12C1F20002127872B9FB -:106A60006A78002A08BF1F463A680A2A07D04BF600 -:106A7000F872C2F201021268002A00F079844BF227 -:106A8000705CC2F2010CDCF8002044F66027C2F210 -:106A9000010707EB820797ED000A9FEDDC1ABEEEB7 -:106AA000003A20EE010AB5EE400AB0EE434AB6EED7 -:106AB000002AF1EE10FAC8BFB0EE424A34EE000AE6 -:106AC000BDEEC00A10EE107A07F30F0545F260071D -:106AD000C2F2010707EB820292ED000A4FF47F43F6 -:106AE00020EE010AB5EE400AF1EE10FAC8BFB0EE92 -:106AF000423A33EE000ABDEEC00A10EE102A02F34D -:106B00000F044BF27852C2F2010217680137176086 -:106B10004CF21002C2F2010212782F46002A08BF7E -:106B2000274608BF2C46A5B2BAB22D0A05F57F0547 -:106B3000120ACBF800504FF4802563F31F2402F5AE -:106B40007F0263F31F270E6000BF00BF00BF0D6010 -:106B500000BF00BF00BFCBF800400E6000BF00BF09 -:106B600000BF0D6000BF00BF00BFCBF800200E606B -:106B700000BF00BF00BF0D6000BF00BF00BFCBF86B -:106B800000700E6000BF00BF00BF0D6000BF00BFFF -:106B900000BFDCF800104A1CBF29CCF8002000F030 -:106BA000238140F27F12914240F06E814BF6D47106 -:106BB000C2F201010A78002A00F0548146F6EC5135 -:106BC000C1F2000101220A7040F27F11CCF80010DE -:106BD0005AE14BF6F470C2F201000078002800F090 -:106BE000688147F2F070C1F20000417800F12402A0 -:106BF000002908BF00F1080210680B2800F0598135 -:106C000047F69D10C1F200000078002840F0518145 -:106C10001820C4F202004CF21B01D0F8F823C2F293 -:106C200001010B78012020EA920247F6A6164BF6E6 -:106C300094744BF274559342C1F20006C2F20104FF -:106C4000C2F2010506D00A7000213170207228704E -:106C500016F0ACFB4CF21A00C2F201003178027857 -:106C6000914200F026813178017001202072287055 -:106C700001B0BDE8F04F16F099BB4BF6F470C2F2CC -:106C800001000078002800F0148140F61800C4F2DA -:106C90000200D0F8F817D0F8F82746F60660C1F2DF -:106CA00000000378C2F3C004012B10D14BF28050D6 -:106CB000C2F20100027812E049F6EC60C1F2000075 -:106CC00000684DF29C21C2F201010860F1E04BF234 -:106CD0008052C2F20102147001220270224649F66B -:106CE000EC6542F6F458C1F20005C1F2000842F624 -:106CF0002C202B68D8F80070C1F20000C678DB1B8E -:106D0000B342C0F0D680A24200F0D3801CB1827999 -:106D1000002A40F09C804AF27057C2F20107C1F38A -:106D200040123968A2422FD1427901319142396033 -:106D3000C0F28D804BF28251C2F201010A8846F600 -:106D4000086C531C0B80C1F2000C2E68DCF800208C -:106D5000B21A322A60D91BB200EE103A9FED2C1AFB -:106D60002A68B8EEC00A20EE010A9FED2A1ACCF874 -:106D700000204BF27C5230EE011AC2F2010282ED89 -:106D8000001A00220A804DE04279013952429142B4 -:106D900039605CDC4BF28251C2F201010A8846F68E -:106DA000086C531C0B80C1F2000C2E68DCF800202C -:106DB000B21A322A3AD91BB200EE103A9FED141AD9 -:106DC0002A68B8EEC00A20EE010A9FED121ACCF82C -:106DD00000204BF27C5230EE010AC2F2010282ED39 -:106DE000000A00220A8027E04BF6D471C2F20101AA -:106DF0000A78002A41D046F6EC51C1F20001012286 -:106E00000A70BF21CCF800103EE000BF0000004730 -:106E1000CDCCCC3D6766663F4BF27C51C2F201019E -:106E200091ED001A4078B1EE410A08E04BF27C5136 -:106E3000C2F2010191ED000A4078B1EE401A00283B -:106E400008BFB0EE410AFEF79FFC002038602868BA -:106E5000C8F800004BF28050C2F20100047001B08B -:106E6000BDE8F08F4BF26C530022C2F20103CCF864 -:106E700000201A7001220A7006E04BF26C52C2F236 -:106E80000102012313700B704BF2745EC2F2010E0B -:106E90009EF8001059B946F60461C1F20001097864 -:106EA00029B94AF23001C2F20101097809B100782A -:106EB00010B101B0BDE8F08F9EF8002040F2010C47 -:106EC000C0F2FF0C45F255531821002A6246CAF65B -:106ED000A92340F61800C4F2020108BF0132C4F22F -:106EE000020041F8183C00BF00BF00BF0A60082242 -:106EF0000260042202604FF44022026000BF00BF23 -:106F000000BF9EF80020002A00F0E78047F2F074EE -:106F10004CF21B03C1F20004C2F20103627894ED4B -:106F20004C0A1B78E3B947F6A613C1F200031B789D -:106F3000B3B947F69D13C1F200031B7883B904F17E -:106F40002403002A08BF04F108031A680A2A07D09C -:106F50004BF6F872C2F201021268002A00F00382B6 -:106F6000002294F88E3194F83551002B18BF02326C -:106F700045B1BDEEC00A10EE103A63F3C602DD065D -:106F800048BF803202F57F024CF214060A600423E7 -:106F90004FF48022C2F20106036000BF00BF00BFB1 -:106FA000026000BF00BF00BF77884FF47F4565F3E4 -:106FB0001F270F60036000BF00BF00BF026000BF5B -:106FC00000BF00BF37683F0A65F31F270F600360EB -:106FD00000BF00BF00BF026000BF00BF00BF366837 -:106FE00065F31F260E6042F62C26C1F200060360F0 -:106FF00000BF00BF00BF026000BF00BF00BFF77945 -:1070000007F57F070F60036000BF00BF00BF02608D -:1070100000BF00BF00BF377A07F57F070F6003602E -:1070200000BF00BF00BF026000BF00BF00BF777A93 -:1070300007F57F070F60036000BF00BF00BF02605D -:1070400000BF00BF00BFB67A06F57F060E6047F6A8 -:10705000A016C1F20006036000BF00BF00BF0260BF -:1070600000BF00BF00BF778865F31F270F60036074 -:1070700000BF00BF00BF026000BF00BF00BF376895 -:107080003F0A65F31F270F60036000BF00BF00BF0A -:10709000026000BF00BF00BF366865F31F260E60A8 -:1070A000036000BF00BF00BF026000BF00BF00BFA1 -:1070B000657804F12006002D08BF261D34684BF6C4 -:1070C000A136A4F5B715A5F55875C0F20D06B54261 -:1070D00080F0B0800CF1070436E146F60462C1F29C -:1070E00000021778002F00F0C0800023CAF6A92301 -:1070F000042741F8183C00BF00BF00BF076000BF75 -:1071000000BF00BF51F8083C47F6861503F00106A2 -:10711000C1F200052E704BF6EE76C3F34003C2F2C7 -:10712000010633704FF48023036000BF00BF00BF2F -:10713000076000BF00BF00BF51F8086C036000BFCC -:1071400000BF00BF076000BF00BF00BF51F8085C70 -:10715000EDB245EA062647F68415C1F200052E80F9 -:107160002E882C8806F400666FF3DF3444EA061696 -:107170002E802E882C8806F4006624F4804444EA8D -:10718000C6062E802E882C8806F4006624F400544F -:1071900044EA86062E802E882C8806F4006624F4A5 -:1071A000805444EA46062E80036000BF00BF00BF43 -:1071B000076000BF00BF00BF51F8086C036000BF4C -:1071C00000BF00BF076000BF00BF00BF51F8081C30 -:1071D0004BF6EC77C9B241EA0621C2F201073980C9 -:1071E00039883E8801F400616FF3DF3646EA011109 -:1071F000398039883E8801F4006126F4804646EAE9 -:10720000C101398039883E8801F4006126F40056B6 -:1072100046EA8101398039883E8801F4006126F40C -:10722000805646EA41013980036000BF00BF00BFBD -:10723000002068E0A4F5101540F62136A5F51F459D -:10724000C0F22006B54202D20CF118047CE045F2EF -:10725000E055CFF6BB754CF2C1662544C0F22D0651 -:10726000B54220D20CF129046EE04AF23007C2F296 -:1072700001073A78002A3FF41CAE4BF27552C2F275 -:107280000102167856B30CF105030B60082101606A -:10729000042101604FF440210160002000BF00BFC5 -:1072A00000BF38702CE048F62075CFF68D754AF691 -:1072B00021262544C0F24406B54202D20CF13A041C -:1072C00042E0A4F537054BF68116A5F5D855C0F276 -:1072D0002A06B5421BD20CF1430435E041F8183CB4 -:1072E0000CF1040300BF00BF00BF0B600821016068 -:1072F000042101604FF44021016000BF00BF00BFC6 -:10730000107880F00100107001B0BDE8F08F42F6F7 -:107310008035CFF61E754DF601562544C0F26D0638 -:10732000B54202D20CF154040EE044F68065CFF66B -:10733000B06549F2816625440CF17604C0F29806E6 -:10734000B54238BF0CF165040C60036000BF00BF9C -:1073500000BF0260002000BF00BF00BF8EF8000029 -:1073600001B0BDE8F08F0122FBE5CE1CFFF74DBB5D -:107370004BF25052C2F20102127844F644634AF2D0 -:10738000D056C1F20003C2F20106002A08BF1E4611 -:107390004BF27853C2F201031F684FF4802E07F1BD -:1073A00001071F6040F20003CAF6A9234BF8183CFE -:1073B0004FF0040345F2446245F6446500BF00BF48 -:1073C00000BF0B6000BF00BF00BF5BF8084CC1F8F6 -:1073D00000E000BF00BF00BF0B6000BF00BF00BFE8 -:1073E0005BF8087CC1F20002C1F2000508BF154637 -:1073F000FAB242EA042247F6941912B2C1F2000925 -:1074000000EE102A99F8002046F6C858C1F200088C -:10741000D8F80070002A32469FED3E1A08BF2A466F -:10742000B8EEC00A02EB870246F6E85720EE010AE2 -:1074300044F2604CC1F20007C2F2010C82ED000A76 -:107440003A6843F66064E246C2F2010408BFA2460D -:107450000AEB820282ED000AC1F800E000BF00BF23 -:1074600000BF0B6000BF00BF00BF5BF8082CC1F875 -:1074700000E000BF00BF00BF0B6000BF00BF00BF47 -:107480005BF8083CDBB243EA022212B200EE102A9B -:1074900099F80020D8F80030B8EEC00A002A04BFDE -:1074A0003546644605EB83023B6820EE010A82ED17 -:1074B000000A04EB830282ED000AC1F800E000BF7D -:1074C00000BF00BF3A680132B2F5C07318BF13465F -:1074D000D8F800203B600132B2F5007FC8F80020E8 -:1074E00010D34BF250560022C2F20106C8F8002019 -:1074F00046F6CC523778C1F200020123137087F0B0 -:1075000001023270C1F800E000BF00BF00BFFFF70A -:10751000BBBC00BF000000382DE9F04F82B000F185 -:107520003E4241F6004502F1FF77C4F2020508220F -:1075300043F6004E4FEAB726A84208BF0722C4F21E -:10754000010E072E38BF4FEAB7224FF0010A00267E -:1075500000274FF00008009209E000BF08F1010881 -:107560000437B8F1100F06F1020600F0AA800C688B -:107570000AFA08F914EA090FF0D0D1F804C0BCF1F6 -:10758000120F00F296800AFA0CF414F0061F00F0B5 -:10759000908084680322CD68B2409443B5402C4368 -:1075A000846044686FEA020A24EA09024FEA1C1464 -:1075B00004FA08F42243B3464260C2688C6802EAC7 -:1075C0000A0204FA0BF422434CF01004122CC2609D -:1075D00012D16FF0030202EA58020244D2F820C02E -:1075E00007F01C040F230D69A3402CEA0303A540F8 -:1075F0002B431362D1F804C002680CF0030302EAC3 -:107600000A0203FA0BF31A435FEACC034FF0010AB4 -:107610000260A3D5002243F644030192C4F20203A0 -:107620001A684FF6084C42F480421A601A68CFF686 -:10763000FF7C02F480420192019A28F00302724416 -:1076400052F80C3007F00C050F24AC40A343009C0B -:1076500004FA05F52B4342F80C30DEF800204B68A5 -:1076600042EA0905DC0358BF22EA0905CEF80050BA -:10767000DEF804209C0342EA090558BF22EA090506 -:10768000CEF80450DEF80820DC0242EA090543F691 -:107690000C4458BF22EA0905CEF80850C4F2010490 -:1076A00022689B0242EA090558BF22EA09052560C3 -:1076B00054E7032202FA06F44FEA480B6FEA040A81 -:1076C0007BE702B0BDE8F08FF0B585B0D0F800E000 -:1076D00044F20C03DEF8081043F20C4CC4F2000331 -:1076E000C4F2000C04910CF57D711A468E4504BF5E -:1076F00043F20C42C4F2000252F8044C0394DEF848 -:107700000440029452F8084C0194446844F4007414 -:10771000B4F5007F7DD1049CA40744BF029C5FEABE -:10772000046400F1E280039CE40750D0019C6406ED -:107730004DD58E4508BF63461968C36A23F8021BFE -:10774000C362418E01394186418E00293FD152F8F2 -:10775000081C21F0600142F8081C418DB9BB43F6BA -:107760000043C4F2000301219E4580F841102ED150 -:1077700047F2D171C1F200010B782BB147F6F91332 -:10778000C1F2000301271F704CF22823C2F201034B -:1077900000271F7001230B7047F2F071C1F2000146 -:1077A0004F7801F12406002F08BF01F10806316867 -:1077B0000A2902BF4BF6D471C2F201010B7047F6E1 -:1077C000FC11C1F200010B6803F5C0730B60039953 -:1077D000490644BF01995FEA816100F1D7800499AD -:1077E000090744BF02995FEA81617CD5DEF8041085 -:1077F00021F0A001CEF8041052F8081C21F060011D -:1078000042F8081C00210091DEF808100091009950 -:107810004CE1039CA40744BF019C5FEA046400F1AF -:10782000D2800499C9074ED0029949064BD5DEF89B -:107830000C10C36A23F8021BC362418E01394186D2 -:10784000418E00293FD1DEF8041021F06001CEF80E -:107850000410418DB9BB43F60043C4F2000301217B -:107860009E4580F841102ED147F2D171C1F200013E -:107870000B782BB147F6F913C1F2000301271F70F3 -:107880004CF22823C2F2010300271F7001230B7062 -:1078900047F2F071C1F200014F7801F12406002F88 -:1078A00008BF01F1080631680A2902BF4BF6D471FE -:1078B000C2F201010B7047F6FC11C1F200010B6826 -:1078C00003F5C0730B600499490644BF02995FEA4F -:1078D000816100F1CB800399090744BF01995FEAF8 -:1078E000816100F1D78005B0F0BD446A34F8025BD5 -:1078F0004462CEF80C50448D013C4485448D002CEC -:107900007FF411AFDEF8044024F0A004CEF8044068 -:10791000448E002C7FF407AF43F60045C4F2000507 -:107920000124AE4580F841407FF4FDAE47F2D174AA -:10793000C1F2000425782DB147F6F915C1F2000512 -:1079400001262E704CF22825C2F2010500262E7069 -:107950000125257047F2F074C1F20004667804F145 -:107960002407002E08BF04F108073C680A2C02BF58 -:107970004BF6D474C2F20104257047F6FC14C1F230 -:107980000004256805F5C0752560CCE652F8081C92 -:1079900021F0600142F8081CDEF8041021F0A0017B -:1079A000CEF8041000210091DEF80C100091DEF8F2 -:1079B000081000910099012180F84110416C41F0BC -:1079C000020141640BE7446A8E4534F8025B44626D -:1079D00008BF63461D60418D01394185418D0029F5 -:1079E0007FF41FAF52F8081C21F0A00142F8081CD8 -:1079F000418E00297FF415AF43F60043C4F2000323 -:107A000001219E4580F841107FF40BAF47F2D17100 -:107A1000C1F200010B782BB147F6F913C1F2000354 -:107A200001271F704CF22823C2F2010300271F70A8 -:107A300001230B7047F2F071C1F200014F7801F1A0 -:107A40002406002F08BF01F1080631680A2902BF89 -:107A50004BF6D471C2F201010B7047F6FC11C1F272 -:107A600000010B6803F5C0730B60DAE6DEF8041062 -:107A700021F06001CEF8041052F8081C21F0A0019A -:107A800042F8081C012180F84110416C41F00201CC -:107A9000416420E752F8081C21F0A00142F8081CBC -:107AA000DEF8041021F06001CEF80410012180F806 -:107AB0004110416C41F00401416405B0F0BD00008B -:107AC0002DE9F04F87B0002740F60005CDE9057796 -:107AD000CDE903770446C4F202050068A5F5E63156 -:107AE0008842029702D007B0BDE8F08F40F25C10E8 -:107AF000C4F247204FF00109C0F8009043F630006F -:107B00000197C4F20200016902AE41F40041016133 -:107B100001694FF0020B01F40041019101990197B5 -:107B200001684FF0030841F00101016001684FF066 -:107B3000060A01F00101019101990197016841F0E4 -:107B4000040101600068314600F0040001900198D2 -:107B50004FF400400290A5F50060CDE903B9CDF8DF -:107B60001480CDF818A0FFF7D7FC4FF4A050CDE952 -:107B7000020B28463146CDE90498CDF818A0FFF74E -:107B8000CBFC4FF4006A0520CDE902ABCDE90498A7 -:107B9000069028463146FFF7BFFC46F21000C4F2BB -:107BA000020049F624057830C1F200054021C5E9FC -:107BB000000705F108004FF480694FF400524FF4BC -:107BC000807380E882064FF4403B04262846C5E9CE -:107BD0000623C5E908B6C5E90A872F63FEF70EFD3F -:107BE000A563AC634CF6AC1546F21001C2F2010578 -:107BF0004FF0C060C4F20201C5E900104FF400501C -:107C0000C5E90277C5E9049AA8614FF48070C5E917 -:107C1000070B2846C5E90968C5E90B77FEF7EEFCB6 -:107C20004EF60C50E563AC63CEF2000000684EF2F5 -:107C30003340CEF2000007704EF20410CEF2000086 -:107C40004FF40021016007B0BDE8F08F10B5014688 -:107C50004CF6D430C2F20100D0F8044469B1D4F833 -:107C600004038269002A08BF10BD94F8EC020328BF -:107C70002CD12046BDE810401047D4F8E422032A56 -:107C800024D1D4E962239A4221D9C1EBC10100EB8E -:107C90008100D11AD0F80802C4F88811994238BF7F -:107CA0000B46D4F820239BB2C2F81032136900248B -:107CB000C2F80802C2F81442012BA2F8FC4108BF26 -:107CC000C2F80C02106802F5FE711DE010BDD4F878 -:107CD0000403016929B194F8EC02032801D120467C -:107CE00088470420C4F8E402D4F820034FF48072DB -:107CF0000146036921F83C2F002282640265012BB2 -:107D0000426508BFC2640068DAB2BDE8104018F0EE -:107D100041B8000010B54CF6DC7CC2F2010C9CF8B6 -:107D20001D1011B1DCF8001011E042F60002DCF881 -:107D30000010C4F20002002391428CF81C3006D1DE -:107D400040F63C61C4F2472101230B601146022238 -:107D50008CF81D20CA224A6253224A62CA6852061F -:107D600029D44FF0FF32CA6049F6EC62C1F200023A -:107D70001368C86840061ED41068C01AB0F57A7F30 -:107D800071D8C868400616D41068C01AB0F57A7F5A -:107D90006BD8C86840060ED41068C01AB0F57A7F58 -:107DA00065D8C868400606D41068C01AB0F57A7F56 -:107DB000DFD9042252E088684FF6BF72CFF68F7287 -:107DC00010408860DCE9010EDCE90332DCF8144085 -:107DD00010438A682043104388600B61086940EAB9 -:107DE0000E400861C86820F08000C860886880067E -:107DF0002AD4C86849F6EC6220F0A000C860C1F23D -:107E000000021368C86880061ED41068C01AB0F556 -:107E10007A7F2ED8C868800616D41068C01AB0F5CC -:107E20007A7F28D8C86880060ED41068C01AB0F5CA -:107E30007A7F22D8C868800606D41068C01AB0F5C8 -:107E40007A7FDFD9042209E0086C20F480200864DE -:107E5000DCF818000A6C104301220864FF20486215 -:107E60008CF81D2010BD0422F8E70422F6E7042256 -:107E7000F4E70422F2E70422F0E70422EEE7000030 -:107E8000F0B582B049F68403C1F2000393F8506064 -:107E9000012E02D14FF0020CC3E0012649F6EC6E30 -:107EA00083F85060C1F2000EDEF8004093F85150A4 -:107EB000012D66D100284FF0010C18BF002900F0F9 -:107EC000AA80002A00F0A78093F85160042E1CBFFE -:107ED000052683F8516000265E659963DA879A87E4 -:107EE0001863DA861D689A861E645E642E687606BC -:107EF00003D42E6846F040062E60D3F804C0013A41 -:107F0000DE68BCFA8CF7B2FA82F27F095209B6F544 -:107F1000006F42EA070244D132B130F8022BEA6026 -:107F20001863DA8E013ADA861A68012506E000BF86 -:107F3000DEF80060361BB6F5007F6AD2DE8E16B919 -:107F4000DE8F002E72D09668B6070BD5DE8E012D1F -:107F500008D13EB130F8025BD5601863DD8E013D7B -:107F6000DD8600259668F607E2D0DE8F002EDFD092 -:107F7000D56821F8025B9963DD8F013DDD8701251E -:107F8000D6E75E68B6F5827F08D1042D4FF0020C6B -:107F900041D19D68002D3FF48DAF3CE04FF0020CC5 -:107FA00039E03AB100782873186B01301863D88E25 -:107FB0000138D886012005E0DEF80010091BB1F574 -:107FC000007F26D2D98E09B9D98F69B319688A681A -:107FD00092070DD5DA8E01280AD14AB1186B0078C4 -:107FE0000873186B01301863D88E0138D8860020CA -:107FF0008A68D207E0D0DA8F002ADDD0C868996B92 -:108000000870986B01309863D88F0138D8870120A9 -:10801000D2E74FF0030C012083F85100002083F8D1 -:108020005000604602B0F0BDD3F804C04AF24830B8 -:10803000C2F20100006849F68171C1F25E61A0FBE5 -:108040000101480D4FF47A71484301901868BCF55E -:10805000827F0FD18168090631D499684FF0000CF6 -:108060000029D8D1CDF800C0C16800918068009087 -:108070000098D0E701990029EFD0019901390191C9 -:1080800081680906E9D501990029E6D001990139ED -:10809000019181680906E0D501990029DDD0019997 -:1080A0000139019181680906D7D501990029D4D0F9 -:1080B00001990139019181680906DBD4CDE70021DE -:1080C000DEF80020121BB2F5007F1ED28268120675 -:1080D000C3D5DEF80020121BB1EB522F15D18268F8 -:1080E0001206BAD5DEF80020121BB1EB522F0CD1CC -:1080F00082681206B1D5DEF80020121BB1EB522FB8 -:1081000003D182681206DBD4A7E7416821F0E001C1 -:1081100041609968B1F5004F02D0B1F5806F03D18D -:10812000016821F040010160996AB1F5005F07D153 -:10813000016821F400510160016841F400510160BF -:108140004FF0010C002083F851C083F85000586DA7 -:1081500040F020005865202058655CE72DE9F04785 -:1081600086B049F6DC07C1F2000797F849100446CB -:1081700000293FD14BF28850C2F20100017800255E -:1081800087F848500595CDE903550295019589BBBF -:108190000121017043F638000095C4F20200016825 -:1081A00040F6004841F0010101600068C4F2020895 -:1081B00000F00100009000984FF6807001AE019031 -:1081C0004FF002094FF00C0A08F580603146CDE906 -:1081D00002950495CDF814A0FFF79EF94EF2B37006 -:1081E000CDE9010940463146CDE90355CDF814A04B -:1081F000FFF792F93868D7E9021907F1100E9EE8E7 -:108200004854D7F8248050F821208025CFF6F07507 -:108210002A4042EA09021A43324342EA0A0242EA87 -:108220000C0242EA0E02BE6A42EA0802FD6A3243CA -:108230003E6B2A437D6B32437E6C2A43BD6B3243D7 -:108240002A43082B08BF42F0400240F82120B968B9 -:10825000002500EB81014A6826692368CFF6F07596 -:1082600002F0404205EB065665681A43A36842EAED -:108270000512E56842EA032242EA05423243D4E9A4 -:1082800005631A4306F1FE0342EA03624A603A6B51 -:108290007968B2F5804FBA6806BF51F822304FF0C6 -:1082A000FF336FF0704341F82230B96850F8212055 -:1082B00042F0010240F8212006B0BDE8F08700003E -:1082C000002808BF704710B581B090F83D1040F20B -:1082D000004C0029C4F2010C40F04981016840F6CD -:1082E000FF73C4F200030022994280F83C2040DC76 -:1082F00040F20042C4F20002914277D040F6000200 -:10830000C4F20002914200F0CB8040F60042C4F279 -:108310000002914240F02B81002143F6400200917F -:10832000C4F20202136843F008031360126802F0FB -:1083300008020092009A4EF60C52CEF20002126829 -:10834000C2F3022282F00703042B28BF0423033A5E -:1083500038BF0A464FF0FF319940052323EA010157 -:1083600091404EF21D420901CEF2000251753221B8 -:10837000EFE041F20002C4F20002914263D041F208 -:108380000042C4F20002914200F0B780614540F023 -:10839000EE80002143F640020091C4F202025368CD -:1083A00043F002035360526802F002020092009A06 -:1083B0004EF60C52CEF200021268C2F3022282F094 -:1083C0000703042B28BF0423033A38BF0A464FF0A3 -:1083D000FF3199400A2323EA010191404EF21D42E8 -:1083E0000901CEF20002D1732C21B2E0002143F644 -:1083F00040020091C4F20202136843F002031360CA -:10840000126802F002020092009A4EF60C52CEF26E -:1084100000021268C2F3022282F00703042B28BF75 -:108420000423033A38BF0A464FF0FF319940022334 -:1084300023EA010191404EF21D420901CEF20002F1 -:1084400011701D2185E0002143F640020091C4F225 -:108450000202136843F010031360126802F0100266 -:108460000092009A4EF60C52CEF200021268C2F34D -:10847000022282F00703042B28BF0423033A38BFEB -:108480000A464FF0FF319940082323EA0101914049 -:108490004EF21D420901CEF200025176362158E01B -:1084A000002143F640020091C4F20202136843F037 -:1084B00004031360126802F004020092009A4EF660 -:1084C0000C52CEF200021268C2F3022282F00703BD -:1084D000042B28BF0423033A38BF0A464FF0FF316C -:1084E0009940092323EA010191404EF21D420901FE -:1084F000CEF2000251701E212BE0002143F6400213 -:108500000091C4F20202136843F020031360126862 -:1085100002F020020092009A4EF60C52CEF20002B7 -:108520001268C2F3022282F00703042B28BF04233F -:10853000033A38BF0A464FF0FF3199400B2323EA34 -:10854000010191404EF21D420901CEF200029176E6 -:10855000372101F01F02012303FA02F24EF2001349 -:10856000CEF2000343EAD10121F003010A600221A7 -:1085700080F83D10026840F6FF3E1368C4F2000E1A -:1085800072450FDCB2F1804F19D040F20041C4F2C5 -:1085900000018A4213D040F60001C4F200018A4271 -:1085A0000DD010E040F60041C4F200018A4206D02E -:1085B000624504D00021C4F201018A4203D18168DE -:1085C00023F070030B4341F6FF71C4F200018A42AD -:1085D0000FDC724521DCB2F1804F41D040F2004106 -:1085E000C4F200018A423BD040F60001C4F200010F -:1085F00034E043F6FF71C4F201018A421EDC42F20C -:108600000001C4F200018A422AD00021C4F2010113 -:108610008A4225D0624523D026E040F60041C4F2CC -:1086200000018A421CD041F60001C4F200018A42D6 -:1086300016D041F60041C4F200010FE044F20001FF -:10864000C4F201018A420BD044F60001C4F20101D8 -:108650008A4205D044F20041C4F201018A4203D1AA -:10866000016923F440730B4323F080018369D0F840 -:1086700004E0C46819431160D46242F48061C2F816 -:1086800028E0614504BF416911630121516180F80F -:108690003D1001B0BDE810407047000080B590F873 -:1086A0003C20012A08BF80BD012280F83C20022224 -:1086B00080F83D20D0F800C04FF67772DCF8083023 -:1086C00023EA0202CCF808200B683F2B1CDD5F2B4D -:1086D00020DD602B4FD0B3F5005F6CD0702B40F0E5 -:1086E0009E80D1E901E3C968DCF8082043EA0E0363 -:1086F00022F47F4243EA01211143CCF80810DCF850 -:10870000081041F0770188E01F2B28DC5BB3102BA9 -:1087100029D084E0402B61D0502B40F08080D1F8EC -:1087200004E0C968DCF82030DCF8202022F00102E7 -:10873000CCF82020DCF8182022F0F00242EA0111E7 -:1087400023F00A0242EA0E02CCF81810CCF82020DE -:10875000DCF8081041F0570121F020015DE0202BEA -:1087600001D0302B5BD1DCF8081021F070011943E7 -:1087700041F0070151E0D1F804E0C968DCF820308D -:1087800023F01003CCF82030DCF81830DCF820207F -:1087900023F4704322F0A00243EA013142EA0E12B0 -:1087A000CCF81810CCF82020DCF8081041F0670154 -:1087B00021F0100131E0D1E901E3C968DCF80820BB -:1087C00043EA0E0322F47F4243EA01211143CCF82D -:1087D0000810DCF8081041F480411EE0D1F804E0F4 -:1087E000C968DCF82030DCF8202022F00102CCF847 -:1087F0002020DCF8182022F0F00242EA011123F0D8 -:108800000A0242EA0E02CCF81810CCF82020DCF85C -:10881000081041F0470121F03001CCF80810012187 -:1088200080F83D10002180F83C1080BD01680A6985 -:10883000920744BFCA685FEA827239D40A69520754 -:1088400044BFCA685FEA427239D40A69120744BF5A -:10885000CA685FEA027239D40A69D20644BFCA689C -:108860005FEAC26239D40869C0071FBFC8685FEAFF -:10887000C0706FF0010008610869000641BFC86858 -:108880005FEA00606FF0800008610869400641BF40 -:10889000C8685FEA40606FF04000086108698006C0 -:1088A00041BFC8685FEA80606FF0200008617047D0 -:1088B0006FF002020A618A6900220277BEE76FF058 -:1088C00004020A618A6900220277BEE76FF008029B -:1088D0000A61CA6900220277BEE76FF010020A61DE -:1088E000CA6900220277BEE74AF22850C2F20100AC -:1088F0000178012919D10021017041F204004AF2E6 -:108900002452CEF20000C2F2010242F67023016846 -:108910001268C1F200031F68891A3944196000689F -:1089200042F67421C1F2000108604EF2FF054BF6D9 -:108930008C5640F21847C0F2F5550FF22C08C2F2DF -:108940000106C4F202074FF4800400E03C60404698 -:10895000002104F077FC00BF3068A842F6D2013055 -:10896000306000BFF8E700BF48617264204661755F -:108970006C74000080B5C16901B180BD816BCA6BA8 -:10898000824266D1D1F800E0DEF81C3003F4407377 -:10899000B3F5007F07D0DEF81C3013F4407F02D01F -:1089A0000EF104030FE043F20443C4F2000303F5A5 -:1089B0007F7C44F20403C4F20003E64504BF43F2A3 -:1089C0000443C4F200031A6822F001021A60002274 -:1089D0004A864A8DEABB43F60043C4F200030122F3 -:1089E0009E4581F8412034D147F2D172C1F2000294 -:1089F000137833B147F6F91CC1F2000C01238CF84F -:108A000000304CF2282CC2F2010C00238CF800300C -:108A10004FF0010C47F2F07E82F800C0C1F2000E68 -:108A20009EF801300EF12402002B08BF0EF108025F -:108A300012680A2A02BF4BF6D472C2F2010282F80F -:108A400000C047F6FC12C1F20002136803F5C073C0 -:108A500013608A6B824290D10868C26902F4407246 -:108A6000B2F5007F14D0C26912F4407F10D043F2F7 -:108A70000442C4F2000202F57F7344F20402C4F21D -:108A80000002984204BF43F20442C4F2000200E034 -:108A9000021D136823F00203136000224A854A8EE8 -:108AA000002A7FF46AAF43F60043C4F200030122B8 -:108AB000984281F8412018BF80BD47F2D170C1F2C1 -:108AC0000000017829B147F6F911C1F20001012235 -:108AD0000A704CF22821C2F2010100220A70012121 -:108AE000017047F2F070C1F20000427800F12403F7 -:108AF000002A08BF00F1080318680A2802BF4BF6D5 -:108B0000D470C2F20100017047F6FC10C1F20000FF -:108B1000016801F5C071016080BD0000806B0168D3 -:108B20004A6822F003024A6043F20442C4F200029F -:108B300002F57F7302F54062994204BF43F204429A -:108B4000C4F20002116821F0030111600021418587 -:108B50004186012180F84110416C41F008014164D7 -:108B600070470000806B43F600410068C4F20001CA -:108B7000884218BF704747F2D171C1F200010878EE -:108B800028B147F6F910C1F20000012202704CF240 -:108B90002822C2F2010201201070087047F2F07121 -:108BA000C1F200014A7801F12403002A08BF01F153 -:108BB000080319680A2902BF4BF6D471C2F20101F9 -:108BC000087047F6FC10C1F20000016801F5C071A1 -:108BD0000160704783B001688A68029290F8412072 -:108BE000042A1DD1029AD20713D04A68520610D522 -:108BF000CA68C36A23F8022BC362428E013A4286D6 -:108C0000428E32B94A6822F060024A60012280F83E -:108C10004120029A520644BF4A685FEA826213D436 -:108C200090F84120032A0DD1029A920744BF4A6866 -:108C30005FEA02621BD4029A120744BF4A685FEAE5 -:108C4000826227D403B070474A6822F060024A600B -:108C500000220192CA6801928A680192019A012257 -:108C600080F84120426C42F002024264D8E7426A36 -:108C700032F8023BCB604262428D013A4285428D1E -:108C8000002AD8D14A6822F0A0024A60012280F866 -:108C90004120D0E74A6822F0A0024A6000220092F8 -:108CA000896800910099012180F84110416C41F0E0 -:108CB0000401416403B0704782B049F60000C1F678 -:108CC000F070019047F2B470C1F200000121017010 -:108CD0004BF6F470C2F2010000210170B1A0002136 -:108CE00004F0B0FA04F074FD49F6EC62C1F200023F -:108CF000106800BF1168091AB1F57A7F0ED81168A3 -:108D0000091AB1F57A7F09D81168091AB1F57A7F85 -:108D100004D81168091AB1F57A7FEBD94EF6235CB5 -:108D2000CEF2000CBFF35F8FDCF801004EF2100EA4 -:108D300020F48030CCF801000020CEF2000ECCF8F8 -:108D40007100DEF8001043F6000321F00201C4F2C6 -:108D50000203CEF80010EFF3108172B6CEF80000D7 -:108D6000CEF80800CEF804001068196841F001013F -:108D70001960196889071CD41168091A022900F2C0 -:108D8000F1801968890714D41168091A022900F2C0 -:108D9000E980196889070CD41168091A022900F2C0 -:108DA000E1801968890704D41168091A0229E0D9F9 -:108DB000D8E01868002140F080001860106841F287 -:108DC000883499609D68116815F00C0F1ED0091A3F -:108DD000A14200F2C7809D68116815F00C0F15D0F4 -:108DE000091AA14200F2BE809D68116815F00C0FAF -:108DF0000CD0091AA14200F2B5809D68116815F0E7 -:108E00000C0F03D0091AA142DCD9ABE0186820F49A -:108E1000502018601C681068A4031BD5401A6428F1 -:108E200000F2A0801C681068A40313D5401A6428BF -:108E300000F298801C681068A4030BD5401A6428BF -:108E400000F290801C681068A40303D5401A6428BF -:108E5000E0D987E0196821F0807119601C681168F9 -:108E6000A40118D5091A02297CD81C681168A4012C -:108E700011D5091A022975D81C681168A4010AD5F0 -:108E8000091A02296ED81C681168A40103D5091AB1 -:108E90000229E3D966E0186820F08060186018683D -:108EA000000119D51068401A02285BD81868000123 -:108EB00012D51068401A022854D8186800010BD542 -:108EC0001068401A02284DD81868000104D51068AF -:108ED000401A0228E3D945E043F21000C0F20040F6 -:108EE000586043F20000C2F20000C3F88400D86862 -:108EF00042F2004120F4F850D860D868C0F2F40182 -:108F000020F40050D860D86840F41F00D860D868BA -:108F100040F40010D860586F20F001005867586F77 -:108F200040F0807058674AF24830C2F20100016098 -:108F300049F6F060C1F20000027843F67F61CEF896 -:108F40000410F0218CF8001000210723012ACEF82C -:108F50000810CEF8003005D15CF8172C8CF8001002 -:108F6000012101704FF0FF30CEF87001CEF8700291 -:108F7000CEF87401CEF87402CEF87801CEF87802FB -:108F8000CEF87C01CEF87C02CEF88001CEF88002CB -:108F900062B6019840680199096881F308888047A2 -:108FA000FEE700BF466C61736820444655206D6F34 -:108FB0006465000070B500254FF0C0422A21C6F25A -:108FC0000805118000230121DF262B802B802980BA -:108FD0002E802B2616802B802B803F2329802B80F0 -:108FE0002C2349F204741380C1F2000494F8342055 -:108FF00045F26066C2F20106012A308023D084F86F -:10900000341094F8350001281AD1022084F8350074 -:10901000002060652068A1680268402922F480224F -:10902000026047F23052426031462A4604BF294668 -:1090300032468160C260016841F00101016002E0D6 -:10904000002084F834002046FDF794FB94F83400A7 -:10905000012824D0012084F8340094F83500012838 -:109060001AD1022084F83500002060652068A168CC -:109070000268402922F48022026047F230524260A6 -:1090800031462A4604BF294632468160C2600168E3 -:1090900041F00101016002E0002084F83400204624 -:1090A000FDF768FB94F83400012824D0012084F8EF -:1090B000340094F8350001281AD1022084F83500D4 -:1090C000002060652068A1680268402922F480229F -:1090D000026047F23052426031462A4604BF2946B8 -:1090E00032468160C260016841F00101016002E026 -:1090F000002084F834002046FDF73CFB94F834004F -:10910000012824D0012084F8340094F83500012887 -:109110001AD1022084F83500002060652068A1681B -:109120000268402922F48022026047F230524260F5 -:1091300031462A4604BF294632468160C260016832 -:1091400041F00101016002E0002084F83400204673 -:10915000FDF710FB94F83400012824D0012084F896 -:10916000340094F8350001281AD1022084F8350023 -:10917000002060652068A1680268402922F48022EE -:10918000026047F23052426031462A4604BF294607 -:1091900032468160C260016841F00101016002E075 -:1091A000002084F834002046FDF7E4FA94F83400F7 -:1091B000012823D0012084F8340094F835000128D8 -:1091C00019D1022084F83500002060652068A1686C -:1091D0000268402922F4802202604FF46162314625 -:1091E000426004BF294635468160C560016841F090 -:1091F0000101016002E0002084F8340049F20470AB -:10920000C1F20000BDE87040FDF7B4BA2DE9F04F9F -:1092100081B0B2F5EF7FDDF828E088BF40F2DF12C1 -:10922000B3F59F7F88BF40F23F13571C5E1CBFB24F -:10923000B6B23F1A761A7E43002537464FF0C04C2F -:109240002A24C6F20805B6F5163F28BF4FF4163794 -:10925000ACF80040040AC0B22C802880100A288094 -:10926000D0B228802B20ACF80000080A2880C8B2B1 -:109270002880180A2880D8B245F2606928802C20FE -:10928000C2F20109332FACF80000A9F800E043D383 -:109290004BF27320C4F2E750A7FB0001480B47F2E2 -:1092A000305600FB167049F20474C1F2000447F214 -:1092B0002F5A4FF0010B4FF00008009007E000BF5D -:1092C00084F834802046FDF755FABF1B36D094F859 -:1092D0003400574535D90128F4D084F834B094F8D7 -:1092E00035000128ECD1022084F83500C4F8548000 -:1092F0002068A1680268402922F480220260494661 -:109300002A46466004BF29464A468160C260016819 -:1093100041F001010160D5E786B100BF012EA5F83B -:1093200000E00BD0022EA5F800E007D0032EA5F830 -:1093300000E003D0043EA5F800E0EFD101B0BDE8A5 -:10934000F08F012822D0012084F8340094F83500F1 -:10935000012818D1022084F83500002060652068BB -:10936000A1680268402922F480220260009A4946DE -:10937000426004BF29464D468160C560016841F0E6 -:109380000101016002E0002084F8340049F2047019 -:10939000C1F2000001B0BDE8F04FFDF7EBB90000ED -:1093A0002DE9F04F87B0994209D90D468346AB426B -:1093B0000BD82F46CDF818B01D46934607E01D4642 -:1093C00093460B460246AB42F3D91F460692109BCA -:1093D000312D26D106990FFA8BF081425846C8BF2D -:1093E0000846BCBF58468B4602B2934202460FFA6B -:1093F0008BF1C8BF1A468B42BCBF02469B46501C2D -:1094000001B20029D8BF002081B2B1F5EF7F88BF3B -:1094100040F2DF1082B25A4514D2104631215A462A -:1094200012E03A4629B2312F18BF013A0FFA82F8FA -:10943000A3EB0B004145C5F1310403950CDD0FFA98 -:1094400080FA4BE058463121119F31230097FFF7F6 -:10945000DDFE07B0BDE8F08F069A791BA2EB0B0288 -:1094600009B202970591A1B20FFA82F90FFA80FAB8 -:1094700000270026019404910598049996FBF0F0CA -:1094800097FBF1F15844594402B20BB29A420B4691 -:10949000C4BF0346084682B2581C01B200294FF0EF -:1094A0000001D8BF084681B2B1F5EF7F88BF40F216 -:1094B000DF1083B2A9B2119C934226BF10461A4610 -:1094C00018460B464E4457440094FFF79FFE681C15 -:1094D00005B24545D0DD109BDDE9014728B23128B2 -:1094E0003DDC0699C7F13102591A0BB203991FFAF4 -:1094F00082F8411AC01B01FB0AF600FB03F71FFAB2 -:1095000084F9059397FBF8F00699059C084496FBAF -:10951000F9F102B259440BB29A420B46C4BF03465A -:10952000084682B2581C01B20029D8BF002081B27F -:109530002744B1F5EF7F88BF40F2DF1083B2A9B2B4 -:10954000119C934226BF10461A4618460B465644B5 -:109550000094FFF75BFE28B201353128D2DB07B05B -:10956000BDE8F08F4FF0C0400121018049F6EC606A -:10957000C1F20000016800BF0268521A152A0BD21E -:109580000268521A142A07D80268521A142A03D8F9 -:109590000268521A152AEFD34FF0C04111220A80F7 -:1095A000016800BF0268521A152A0BD20268521ACB -:1095B000142A07D80268521A142A03D80268521AC9 -:1095C000152AEFD34FF0C042132300211380D0237C -:1095D000C6F2080113804FF0070C4223A1F800C027 -:1095E0000B8018230B80D123138000230B801023C2 -:1095F000A1F800C00B80D223138001220A8002222E -:109600000A80026803689B1A152B0BD203689B1A09 -:10961000142B07D803689B1A142B03D803689B1AD2 -:10962000152BEFD34FF0C042C023138010220A80C5 -:109630003B220A8000220A8002220A8011220A802C -:10964000026800BF03689B1A152B0BD203689B1A94 -:10965000142B07D803689B1A142B03D803689B1A92 -:10966000152BEFD34FF0C042C523138003220A808D -:10967000026800BF03689B1A152B0BD203689B1A64 -:10968000142B07D803689B1A142B03D803689B1A62 -:10969000152BEFD34FF0C042C82313800022322392 -:1096A0000A800B8036230B8045230B8006230B801A -:1096B00016230B8037230B8075230B8077230B80B9 -:1096C00054230B800C230B800A80026803689B1ACA -:1096D000152B0BD203689B1A142B07D803689B1A0F -:1096E000142B03D803689B1A152BEFD34FF0C042FD -:1096F0003623138008220A80026800BF03689B1A81 -:10970000152B0BD203689B1A142B07D803689B1ADE -:10971000142B03D803689B1A152BEFD34FF0C042CC -:109720003A23138055220A80026800BF03689B1AFF -:10973000152B0BD203689B1A142B07D803689B1AAE -:10974000142B03D803689B1A152BEFD34FF0C0429C -:109750002A23138000220A800A8001220A803F22E5 -:109760000A80026803689B1A152B0BD203689B1AA8 -:10977000142B07D803689B1A142B03D803689B1A71 -:10978000152BEFD34FF0C0422B23138000220A8009 -:109790000A8001220A80DF220A8001680268521AC8 -:1097A000152A0BD20268521A142A07D80268521AD4 -:1097B000142A03D80268521A152AEFD34FF0C04179 -:1097C00021220A80016800BF0268521A152A0BD2B2 -:1097D0000268521A142A07D80268521A142A03D8A7 -:1097E0000268521A152AEFD34FF0C04138220A807E -:1097F000016800BF0268521A152A0BD20268521A79 -:10980000142A07D80268521A142A03D80268521A76 -:10981000152AEFD34FF0C04129220A80016808E0E1 -:109820000268521A142A88BF70470268521A152A11 -:1098300007D20268521A152A03D20268521A142A51 -:10984000EED970472DE9F04F85B0202AC0F0AB81EA -:1098500047090E2F00F2A7818F09042F00F2A38180 -:10986000DDF83CC00CEB4C0700EB4705002D00F089 -:109870009A8101EBCC06002E00F095810024013D79 -:109880004FF0C0492A27C6F20804AF2A88BF013228 -:109890001FFA85FAA9F80070070AC0B22780208055 -:1098A0004FEA1A202080E8B220802B20013EA9F840 -:1098B0000000080A1FFA86F82080C8B220804FEA0C -:1098C00018202080F0B220802C20A9F80000D0B20F -:1098D00080004FF6887150FA82F0C0F603010A1832 -:1098E000085CDDF838E00490507800270390907809 -:1098F0000290D07801901079009003E00137082F92 -:1099000000F051810120019E00FA07F504980399A7 -:10991000029A05EA0608009E284029402A4005EAE6 -:1099200006094FF0000A05E00AF1010A4FFA8AF52C -:109930006545E3DAE0B14FF0000B00BF4BF00105E5 -:109940006DB26545238031DA4BF002056DB2654595 -:1099500023802BDA4BF003056DB26545238025DAB1 -:109960000BF1040B4FFA8BF565452380E6DB1DE018 -:10997000002500BF45F0010676B26645A4F800E078 -:1099800014DA45F0020676B26645A4F800E00DDA76 -:1099900045F0030676B26645A4F800E006DA043521 -:1099A0006EB26645A4F800E0E4DB00BF0025B9B163 -:1099B00045F0010676B2664523802DDA45F00206B1 -:1099C00076B26645238027DA45F0030676B266450F -:1099D000238021DA04356EB266452380E8DB1BE084 -:1099E00045F0010676B26645A4F800E014DA45F0C9 -:1099F000020676B26645A4F800E00DDA45F00306EB -:109A000076B26645A4F800E006DA04356EB2664523 -:109A1000A4F800E0E4DB00BF0025BAB145F0010680 -:109A200076B2664523802DDA45F0020676B26645A9 -:109A3000238027DA45F0030676B26645238021DAD3 -:109A400004356EB266452380E8DB1BE045F0010675 -:109A500076B26645A4F800E014DA45F0020676B264 -:109A60006645A4F800E00DDA45F0030676B26645D7 -:109A7000A4F800E006DA04356EB26645A4F800E00A -:109A8000E4DB00BF0025B8F1000F17D045F0010658 -:109A900076B2664523802DDA45F0020676B2664539 -:109AA000238027DA45F0030676B26645238021DA63 -:109AB00004356EB266452380E8DB1BE045F0010605 -:109AC00076B26645A4F800E014DA45F0020676B2F4 -:109AD0006645A4F800E00DDA45F0030676B2664567 -:109AE000A4F800E006DA04356EB26645A4F800E09A -:109AF000E4DB00BF0025B9F1000F17D045F00106E7 -:109B000076B2664523802DDA45F0020676B26645C8 -:109B1000238027DA45F0030676B26645238021DAF2 -:109B200004356EB266452380E8DB1BE045F0010694 -:109B300076B26645A4F800E014DA45F0020676B283 -:109B40006645A4F800E00DDA45F0030676B26645F6 -:109B5000A4F800E006DA04356EB26645A4F800E029 -:109B6000E4DB00BF002500BF45F0010676B2664584 -:109B7000A4F800E0BFF6D8AE45F0020676B266451E -:109B8000A4F800E0BFF6D0AE45F0030676B2664515 -:109B9000A4F800E0BFF6C8AE04356EB26645A4F87E -:109BA00000E0E1DBC0E605B0BDE8F08F2DE9F04F45 -:109BB000B3EB010848BFC8F10008171A48BF7F423D -:109BC000B84508DD9446024699420AD860469E464A -:109BD00094460B4607E09C4613460A4601469942C6 -:109BE000F4D910468E464FF0FF31604588BF012101 -:109BF0009E4538BFBDE8F08FAEEB03020FFA82F945 -:109C0000B0EB0C0609EBD9704FEA600440F200009B -:109C100048BF7642DDF824B0C6F20800B84536B237 -:109C20004FF0C0474FF02C0A26DD00BF2A223A80B1 -:109C3000CCF307255FFA8CF82B220580A0F8008072 -:109C40000580A0F800803A80C3F30725DAB20580CA -:109C500002800580028022B2941B220403F10103DA -:109C60009AB2A7F800A044BF8C4409FA84F4724564 -:109C7000A0F800B0DAD925E02A223A80C3F30725FC -:109C80005FFA83F82B220580A0F800800580A0F8F9 -:109C900000803A80CCF307255FFA8CF205800280C1 -:109CA0000580028022B2941B220403F101039AB2C0 -:109CB000A7F800A044BF8C4409FA84F47245A0F8C8 -:109CC00000B0D9D9BDE8F08F2DE9F04381B0064648 -:109CD00010B200281446DDF82090D8BF0024B4F557 -:109CE000EF7F1F46904688BF40F2DF14B4420D4616 -:109CF0000BD22046294632462B46CDF80090FFF77E -:109D000085FA2046394632460AE030462946224640 -:109D10002B46CDF80090FFF779FA304639462246B7 -:109D20003B46CDF80090FFF771FA781E01B200298A -:109D3000D8BF002081B2B1F59F7F88BF40F23F10AD -:109D400084B23046AC420BD2214632462B46CDF887 -:109D50000090FFF75BFA4046214642462B460AE058 -:109D6000294632462346CDF80090FFF74FFA404689 -:109D7000294642462346CDF80090FFF747FA01B046 -:109D8000BDE8F0832DE9F04F83B0DDE90E68DDE931 -:109D90000C750093198000244FF4F07E4FF4A073EB -:109DA0004FF000094FF0000A3A8034802C80029373 -:109DB00008E000BF98F80A100A4400210234282C59 -:109DC00000F0A480055D002D00F0A0800D2D53D083 -:109DD0000A2D05D198F80A100A4400214CE000BF72 -:109DE00098F808C0AC4547D898F80970AF4243D3FB -:109DF000D8F80470A5EB0C0507EBC50595F90570BF -:109E00000FFA8EF30F440FFA87FC95F90660D346DC -:109E1000CDF804909C45AB7895F803A0B8BFBE463A -:109E20008C464146F046DDF808E016443B440FFA04 -:109E300086F9013B0FFA8EF7B9450FFA83F90FFA4D -:109E40008BF7B8BFB646B945DDF8049006EB0A07B4 -:109E5000A7F10107CDF808E03EB2C8BF9B460FFA54 -:109E600089F39E422B79C64688466146DA460CEB5A -:109E70000301C8BFB94600BF03195D78002D45D066 -:109E80000D2D9BD00A2D95D098F80870AF4295D82B -:109E900098F80930AB4291D3D8F80430EF1B03EBAC -:109EA000C70595F90530CDF804900B440FFA83F9F6 -:109EB0000FFA8EF6B145AE7895F906701E4495F806 -:109EC0000390C346A6F10108B8BF9E46029E174400 -:109ED0000FFA87FC33B29C450FFA88FC0FFA8AF31D -:109EE000B8BF3E469C4507EB0903DDF80490A3F19B -:109EF000010302961FB20FFA89F6C8BFC246B742E5 -:109F00002F79D8463944C8BF994657E70FFA8EF0E3 -:109F10000FFA8AF1814207DB00980D99A0F800E062 -:109F2000AAEB0E0001300880029A0FFA89F110B2F4 -:109F3000814206DB0C980E990280A9EB02000130E9 -:109F4000088003B0BDE8F08F2DE9F04F85B00F9C7D -:109F5000074604EB44004500D01E984693460E4643 -:109F6000002180B24FF000094FF0000A02900392E6 -:109F70001FE000BF002A43D0A9EB0A0000FB0560E8 -:109F80000E9980B20091594643460194FFF75AFC5E -:109F900009F10100A0EB0A0101FB056149F6DE624F -:109FA000C1F2000211801FFA89F281B27F2A814634 -:109FB00026D27A5C5E2ADDD1C81940786F28DBD1C1 -:109FC000C34609F101086FEA0A000AF1010A049088 -:109FD0001FFA88F0A8EB0A0101FB05613A5C0E98B4 -:109FE0005B46009088B202990194FFF72BFC04991C -:109FF00009F10200C146D846DDF80CB00144CBE7B8 -:10A0000005B0BDE8F08F00002DE9F04F89B0DDE923 -:10A0100012EC49F6DE690026C1F20009C6F2080614 -:10A020004FF00008A9F800100CE000BF9CF80A707F -:10A0300000213A44A9F8001008F10108B8F5807F22 -:10A0400000F09A8110F808500A2DEFD00D2DF3D0B2 -:10A05000002D00F091819CF80870BD42ECD39CF873 -:10A060000940A542E8D8DCF80440A5EB070A04EB58 -:10A07000CA05AF78002F00F07C81EF78002F00F048 -:10A0800078815FFA8AF7CDE9005004EBC70595F9AE -:10A09000060095F803A0029210FA82F234F837B065 -:10A0A00002EB0A071404A7F101074FF0000495F82A -:10A0B00004C038B248BF22468CB200284FF0C049D5 -:10A0C0004FF02A004FEA1424A9F80000348001EB75 -:10A0D0000C04A4F10104C9B2CDF81CC03180A1B2B6 -:10A0E0004FEA11213180E1B2318091B24FF02B0063 -:10A0F0004FEA1121A9F800003180D1B24FF00000E1 -:10A10000D8BF07463180B9B2090A3180F9B2BAF135 -:10A11000000F4FF02C003180CDF818A0A9F80000F6 -:10A1200000F01C81681D05900798AF1C01460128AE -:10A1300098BF01214A1ECDE9032101F0FC01002551 -:10A140004FF0000C002408E0A6F800E00798089DF6 -:10A15000069A0135954280F001810028089500F0AB -:10A16000B18005984FF0000A90F9009003980328F9 -:10A17000049800F00300054627D200280798E6D08F -:10A18000CA45C0F2A18038784844504540F39C80CD -:10A190005FEA4C700CF1010C06D113981FFA8BF496 -:10A1A00000680BF1010B045D60B2B0F1FF3F18468F -:10A1B000C8BF70463080640088E000BFA6F800E0A9 -:10A1C0000AF1040A28465145D7D0CA451ADB387827 -:10A1D0004844504516DD5FEA4C700CF1010C06D185 -:10A1E00013981FFA8BF400680BF1010B045D60B249 -:10A1F000B0F1FF3F18464FEA4404C8BF70463080B4 -:10A2000002E000BFA6F800E00AF10100484519DBB2 -:10A210003A784A44824215DD5FEA4C700CF1010C39 -:10A2200006D113981FFA8BF200680BF1010B845CC6 -:10A2300060B2B0F1FF3F1846C8BF7046308064007E -:10A2400002E000BFA6F800E00AF10200484519DB71 -:10A250003A784A44824215DD5FEA4C700CF1010CF9 -:10A2600006D113981FFA8BF200680BF1010B845C86 -:10A2700060B2B0F1FF3F1846C8BF7046308064003E -:10A2800002E000BFA6F800E00AF10300484595DBB4 -:10A290003A784A44824291DD5FEA4C700CF1010C3D -:10A2A00006D113981FFA8BF200680BF1010B845C46 -:10A2B00060B2B0F1FF3F1846C8BF704630806400FE -:10A2C0007EE700BF002042E7A6F800E0012D284607 -:10A2D0003FF43CAF0AF1010048451BDB3A784A44A1 -:10A2E000824217DD5FEA4C700CF1010C06D1139825 -:10A2F0001FFA8BF200680BF1010B845C60B2B0F1C5 -:10A30000FF3F1846C8BF704630806400022D3FF4FE -:10A310001DAF04E0A6F800E0022D3FF417AF0AF1EC -:10A3200002004845FFF610AF3A784A4482427FF770 -:10A330000BAF5FEA4C700CF1010C06D113981FFAB9 -:10A340008BF200680BF1010B845C60B2B0F1FF3F4F -:10A350001846C8BF704630806400F7E649F6DE69EB -:10A36000C1F20009B9F80010029ADDF84CC0DDE92D -:10A3700000502F7939445DE609B0BDE8F08F000048 -:10A380002DE9F04F8FB047F2C171C1F20001097899 -:10A3900011B10FB0BDE8F08F044678B147F2B47048 -:10A3A000C1F20000007850B34BF69470C2F2010085 -:10A3B0000121417181710FB0BDE8F08F4BF6B8708B -:10A3C00047F2F073C2F20100C1F20003026858784C -:10A3D0001D6A596800282B4608BF0B469A42D8D000 -:10A3E00047F2B472C1F2000212787AB14BF694705F -:10A3F000C2F20100012141710FB0BDE8F08F47F2B8 -:10A40000F072C1F2000250785168156A47F2B473D5 -:10A41000002847F2F070C1F200034FF00102C1F2D0 -:10A4200000001A7008BF0D4690F85911B0F85A0193 -:10A4300043F609525043002918BF05EB80154CF62E -:10A44000FF10C3F69A30854228BF05464BF6B87018 -:10A45000C2F20100056049F27F60C0F298004EF23E -:10A46000FF0671278542C0F2F55688BF4927B542DD -:10A4700088BF25277CB14AF20800C2F201000068BB -:10A480003221B0F800C0252040F297127C23CDF88D -:10A4900000C0FEF7BBFEB5420CD84AF20800C2F27B -:10A4A000010000684121068825203A467423009661 -:10A4B000FEF7ACFE44F6D350C1F26200A5FB0012D9 -:10A4C0009109A1FB00034DF68360C4F21B30A5FB8C -:10A4D00000064FF61840CFF6FF7001FB00589809B0 -:10A4E0004FF47A714843B50C41F29C06C0EB9219C7 -:10A4F00047F2B570C0F60406C1F20000314642468C -:10A50000F5F762FF4BF6AE70C2F2010031464A46E3 -:10A51000F5F75AFF47F2BB70C1F2000031462A46F8 -:10A52000F5F752FF4AF2680A43F2A02642F6221BD0 -:10A53000C2F2010AC0F60306C1F2000B6CB9BBF807 -:10A540000000854209D102AF38463221F7F717F9EA -:10A5500047F2B47BC1F2000B1CE04AF20800C2F2E1 -:10A56000010000683946438900887422009047F250 -:10A57000BB70C1F200000196FFF746FD02AF3846FE -:10A580003221ABF80050F7F7FAF847F2B47BC1F28A -:10A59000000B1CB9BAF8000081451ED04BF6AE7115 -:10A5A00040F6BF530025C2F20101C0F6040338464D -:10A5B0000322009516F0CCFC4AF20800C2F201001A -:10A5C0000068AA2183890088742200903846019689 -:10A5D000FFF71AFDAAF8009094B942F62010C1F2D4 -:10A5E0000000008880450BD14BF6CE70C2F201000E -:10A5F000012101704BF69470C2F20100053033E086 -:10A6000047F2B57140F6BF530025C1F20001C0F614 -:10A61000040338460322009516F09AFC4AF208001B -:10A62000C2F20100006849F22016C3890088C0F612 -:10A6300003060090384640F2331172220196FFF76C -:10A64000E3FC42F62010C1F20000A0F800804BF6B7 -:10A65000CE70C2F20100012101704BF69470C2F27B -:10A660000100457114B106300021017000208BF803 -:10A6700000000FB0BDE8F08F2DE9F04F81B02DED57 -:10A68000048BAAB047F2C171C1F200010978002918 -:10A6900041F0638047F2B471C1F200010A783AB127 -:10A6A0004BF69470C2F201000121417201F055B8DD -:10A6B0004FF0010881F800804CF21B01C2F2010149 -:10A6C000097847F2F073C1F200030790E9B947F641 -:10A6D000A611C1F200010978B9B947F69D11C1F27E -:10A6E0000001097889B95A7803F12401002A08BFCA -:10A6F00003F1080109680A2907D04BF6F871C2F284 -:10A7000001010968002901F034804AF20804C2F20C -:10A71000010420687D210088712200905820852343 -:10A720004FF05809FEF772FD4CF20800C2F201002A -:10A73000006821F045FD0B4641F202210DF1400A6F -:10A740000246C0F604015046F5F73EFE206800219F -:10A75000B0F800B00025002621E000BF002A40D05C -:10A76000A81B00EB400009EB400080B27D214FF4B4 -:10A770007843CDF800B0CDF80480FFF763F8681C8B -:10A78000811B01EB410149F6DE6209EB4101C1F297 -:10A7900000021180AAB281B27F2A054621D21AF89E -:10A7A00001205E2ADAD101EB0A0040786F28D7D168 -:10A7B0006F1CF4430136B91BB8B201EB41011AF822 -:10A7C000002009EB410080B27A214FF47843CDF8A4 -:10A7D00000B0CDF80480FFF735F8A81C01193D46FC -:10A7E000CFE74AF20804C2F2010420687D21008804 -:10A7F000A82200908F2085234FF08F0BFEF706FDD7 -:10A800004CF20000C2F20100006821F0D9FC0B46B6 -:10A8100041F282010246C0F604015046F5F7D4FD2C -:10A820002068002106884FF00109002500241FE060 -:10A83000002A40D0281B00EB40000BEB400080B208 -:10A840007D214FF478430096CDF80490FEF7FAFF8F -:10A85000681C011B01EB410149F6DE620BEB410173 -:10A86000C1F200021180AAB281B27F2A054622D22B -:10A870001AF801205E2ADBD101EB0A0040786F282C -:10A88000D8D16F1C6FEA04080134391BB8B201EB50 -:10A8900041011AF800200BEB410080B27A214FF4FD -:10A8A00078430096CDF80490FEF7CCFFA81C00EB8F -:10A8B00008013D46CEE74AF20804C2F201042068CE -:10A8C0007D210088DF220090C6208523C626FEF762 -:10A8D0009DFC47F69010C1F20000006821F070FC6A -:10A8E0000B4641F282010246C0F604015046F5F7DC -:10A8F0006BFD2068002107884FF0010800254FF00C -:10A90000000B21E0002A44D0A5EB0B0000EB400037 -:10A9100006EB400080B27D214FF478430097CDF8DC -:10A920000480FEF78FFF681CA0EB0B0101EB4101D7 -:10A9300049F6DE6206EB4101C1F200021180AAB2C3 -:10A9400081B27F2A054624D21AF801205E2AD9D185 -:10A9500001EB0A0040786F28D6D16C1C6FEA0B0916 -:10A960000BF1010BA4EB0B01A0B201EB41011AF8B2 -:10A97000002006EB410080B27A214FF47843009723 -:10A98000CDF80480FEF75EFFA81C00EB0901254608 -:10A99000CCE74CF20000C2F2010090ED000A47F64D -:10A9A0009010C1F20000B1EE0C1A90ED002A9FED5C -:10A9B0003B3AB4EE410AF1EE10FAC8BFB0EE410ADC -:10A9C00022EE031ABDEEC11A20EE030A11EE100AA0 -:10A9D000BDEEC01A11EE105A01464AF2080985422E -:10A9E00088BF2946C2F201099FED2D2A081AD9F81D -:10A9F00000103D3032EE400A4F8E86B2BDEEC00AE6 -:10AA00003D208F213246962310EE108A0097FEF7E4 -:10AA1000FDFBD9F800003D35C48AAFB230468F2126 -:10AA20003A4696230094FEF7F1FBD9F800008F21F7 -:10AA3000068805EB080082B2384696230096FEF79A -:10AA4000E5FBD9F800007D21008840F2A9120090B2 -:10AA50004FF4C87085234FF4C87BFEF7D7FB47F649 -:10AA60008810C1F2000090ED000A9FED0E1A0FF25F -:10AA7000F85120EE010ABDEEC00A10EE102A504631 -:10AA80000692F5F7A1FCD9F800000021B0F818A053 -:10AA9000048801270026002524E000BF25492A421A -:10AAA000000095430000C842002A40D0701B00EB14 -:10AAB00040000BEB400080B27D215346009401978B -:10AAC000FEF7C0FE701C411B01EB410149F6DE623E -:10AAD0000BEB4101C1F200021180B2B281B27F2AB8 -:10AAE000064624D210A8425C5E2ADDD10844407894 -:10AAF0006F28DBD106F101086FEA05090135A8EBE3 -:10AB000005011FFA88F001EB410110AA125C0BEB62 -:10AB10004100012780B27A21534600940197FEF745 -:10AB200091FEB01C00EB090146460127CCE7069CCC -:10AB30005A2048F21F516043C5F2EB11A0FB0112ED -:10AB40004AF20801C2F20101096896234F8E4FF4C0 -:10AB5000B97101EB521542F28B31884288BF4FF434 -:10AB6000E6754FF4B9708F212A460097FEF74EFB29 -:10AB700047F2F076632CC1F200060DD84AF20800C5 -:10AB8000C2F2010000688F21078EA8B24FF4E6726E -:10AB900096230097FEF73AFB0020CDE90E00CDE9A1 -:10ABA0000C00CDE90A00CDE90800707806F1240216 -:10ABB00006F10801002810460592069108BF0846D4 -:10ABC000006808281DD8DFE800F005050A0A1616F7 -:10ABD00027191900B6F87221B6F8703103E0B6F8FB -:10ABE0006E21B6F86C3141F29001C0F6040108A85C -:10ABF000F5F7EAFB19E0B6F876210FE0B6F8702118 -:10AC00000CE044F65540C0F24C00099045F2427009 -:10AC1000C4F23A60089008E0B6F8742141F2990154 -:10AC2000C0F6040108A8F5F7CFFB1DAE304632216F -:10AC3000F6F7A5FD08AF30463946F5F728FEF5F7DB -:10AC40004AFE0B2809D820243046F5F744FE34523A -:10AC50003046F5F740FE0C28F6D31DA93846F5F727 -:10AC600016FE4AF20800C2F2010000680021C48CFE -:10AC7000B0F800A04FF03C0B4FF001080026002573 -:10AC800020E000BF002A44D0701B00EB40000BEB1B -:10AC9000400080B2A1212346CDF800A0CDF8048069 -:10ACA000FEF7D0FD701C411B01EB410149F6DE624D -:10ACB0000BEB4101C1F200021180B2B281B27F2AD6 -:10ACC000064626D27A5C5E2ADCD1C81940786F2805 -:10ACD000DAD106F101086FEA05090135A8EB050193 -:10ACE0001FFA88F001EB41013A5C0BEB41004FF099 -:10ACF000010C80B29E212346CDF800A0CDF804C0FF -:10AD0000FEF7A0FDB01C00EB090146464FF001081C -:10AD1000CAE747F2F071C1F2000191F8470168B14A -:10AD200000208DF8280044F64340C5F241200990E8 -:10AD300044F65210C3F6542008900DE091F8370104 -:10AD4000002800F010854CF20400C2F201000268F5 -:10AD5000C7A108A8F5F738FB1DAE30463221F6F73B -:10AD60000EFD0DF1200A30465146F5F790FDF5F73E -:10AD7000B2FD0B2809D820243046F5F7ACFD34523B -:10AD80003046F5F7A8FD0C28F6D31DA95046F5F777 -:10AD90007EFD4AF20800C2F2010000680021B0F80E -:10ADA00028B0B0F800808C240127002600251EE082 -:10ADB000002A42D0701B00EB400004EB400080B240 -:10ADC000A1215B46CDF800800197FEF73BFD701C8A -:10ADD000411B01EB410149F6DE6204EB4101C1F286 -:10ADE00000021180B2B281B27F2A064625D21AF83B -:10ADF00001205E2ADCD101EB0A0040786F28D9D10E -:10AE0000771C6FEA05090135791BB8B201EB4101E6 -:10AE10001AF8002004EB41004FF0010C80B29E2193 -:10AE20005B46CDF80080CDF804C0FEF70BFDB01CEA -:10AE300000EB09013E460127CBE74AF24C30C2F253 -:10AE40000100006821F0BCF902460B468BA1504678 -:10AE5000F5F7BAFA4AF20800C2F2010000680021D0 -:10AE6000B0F82AB0B0F80080C824012700260025D9 -:10AE70001FE000BF002A42D0701B00EB400004EB33 -:10AE8000400080B2A1215B46CDF800800197FEF71B -:10AE9000D9FC701C411B01EB410149F6DE6204EB59 -:10AEA0004101C1F200021180B2B281B27F2A06468E -:10AEB00025D21AF801205E2ADCD101EB0A00407885 -:10AEC0006F28D9D1771C6FEA05090135791BB8B213 -:10AED00001EB41011AF8002004EB41004FF0010C96 -:10AEE00080B29E215B46CDF80080CDF804C0FEF70D -:10AEF000A9FCB01C00EB09013E460127CBE74AF252 -:10AF00002C50C2F20100006821F05AF902460B46AB -:10AF10005DA15046F5F758FA4AF20800C2F2010066 -:10AF200000680021B0F82CB0B0F800804FF48C74A9 -:10AF30000127002600251EE0002A42D0701B00EBEE -:10AF4000400004EB400080B2A1215B46CDF80080B8 -:10AF50000197FEF777FC701C411B01EB410149F69C -:10AF6000DE6204EB4101C1F200021180B2B281B293 -:10AF70007F2A064625D21AF801205E2ADCD101EB91 -:10AF80000A0040786F28D9D1771C6FEA050901358E -:10AF9000791BB8B201EB41011AF8002004EB410023 -:10AFA0004FF0010C80B29E215B46CDF80080CDF8B9 -:10AFB00004C0FEF747FCB01C00EB09013E46012728 -:10AFC000CBE747F2F072C1F20002507802F1300193 -:10AFD000002808BF02F11401087850B145F255402D -:10AFE000C0F24F00CDF8270043F64820C5F241508B -:10AFF00006E04620ADF8280043F64820C4F24F6032 -:10B00000099044F64E70C4F254301DAE089030469C -:10B010003221F6F7B4FB0DF1200A30465146F5F720 -:10B0200036FCF5F758FC0B2809D820243046F5F7F4 -:10B0300052FC34523046F5F74EFC0C28F6D31DA9CD -:10B040005046F5F724FC4AF20800C2F201000068FD -:10B050000021B0F830B0B0F8008040F24F14012762 -:10B060000026002535E000BF2564252500000000EE -:10B0700053484946543A256400000000564C543A5F -:10B08000252E3166200000004350553A252E3166AA -:10B0900020000000002A42D0701B00EB400004EBAF -:10B0A000400080B2A1215B46CDF800800197FEF7F9 -:10B0B000C9FB701C411B01EB410149F6DE6204EB48 -:10B0C0004101C1F200021180B2B281B27F2A06466C -:10B0D00025D21AF801205E2ADCD101EB0A00407863 -:10B0E0006F28D9D1771C6FEA05090135791BB8B2F1 -:10B0F00001EB41011AF8002004EB41004FF0010C74 -:10B1000080B29E215B46CDF80080CDF804C0FEF7EA -:10B1100099FBB01C00EB09013E460127CBE747F243 -:10B12000F070C1F2000090F8870101380F2834D880 -:10B13000DFE800F008103318333333203333333370 -:10B14000333333267A20ADF8280043F63400C4F6B2 -:10B150006B001CE07A20ADF8280043F23240C4F6C0 -:10B160006B0014E07A20ADF8280043F23120C4F6D9 -:10B170006B000CE02020ADF8280046F6363004E0E5 -:10B180002020ADF8280046F63330C7F64820099055 -:10B1900044F24660C3F6542008904AF20800C2F216 -:10B1A000010000680021B0F81EB0B0F800804FF434 -:10B1B000D2740127002600251FE000BF002A42D0DC -:10B1C000701B00EB400004EB400080B2A1215B4605 -:10B1D000CDF800800197FEF735FB701C411B01EB99 -:10B1E000410149F6DE6204EB4101C1F20002118027 -:10B1F000B2B281B27F2A064625D21AF801205E2A11 -:10B20000DCD101EB0A0040786F28D9D1771C6FEAB6 -:10B2100005090135791BB8B201EB41011AF800208C -:10B2200004EB41004FF0010C80B29E215B46CDF84B -:10B230000080CDF804C0FEF705FBB01C00EB09014F -:10B240003E460127CBE74AF20800C2F2010000683F -:10B250004121078840F2B31040F2C71249230097FA -:10B26000FDF7D4FF47F68610C1F2000000780028F1 -:10B2700053D04CF21B00C2F20100007800284CD1E0 -:10B2800047F6A610C1F200000078002845D147F625 -:10B290009D10C1F20000007800283ED147F2F07006 -:10B2A000C1F2000040780028DDE9050108BF08462A -:10B2B00000680A2831D04BF6F870C2F2010000682D -:10B2C00058BB4AF20800C2F20100006841F21E09B0 -:10B2D000458F04880026C0F6040940F2B31A4FF0E7 -:10B2E000010B40F2B918032E17D006EB460719F8E8 -:10B2F00006200AEB470080B241212B460094CDF88E -:10B3000004B0FEF79FFA013649F6DE6108EB47000C -:10B31000802EC1F200010880E5D14BF6EE70C2F23A -:10B320000100007860B34AF20800C2F20100006830 -:10B3300041F21E09458F04880026C0F6040940F238 -:10B34000B31A4FF0010B40F2B91800BF032E17D00B -:10B3500006EB460719F806200AEB470080B24121A8 -:10B360002B460094CDF804B0FEF76CFA013649F68E -:10B37000DE6108EB4700802EC1F200010880E5D1B4 -:10B3800047F6F910C1F200000078012850D14CF2C4 -:10B390001B00C2F201000078002849D147F6A61030 -:10B3A000C1F200000078002842D147F69D10C1F29A -:10B3B00000000078E0BB47F2F070C1F20000407876 -:10B3C0000028DDE9050108BF084600680A282FD0DB -:10B3D0004BF6F870C2F20100006848BB4AF2080060 -:10B3E000C2F2010000680026458F04880FF630691C -:10B3F00040F2B31A4FF0010B40F2B918032E17D0E8 -:10B4000006EB460719F806200AEB470080B24121F7 -:10B410002B460094CDF804B0FEF714FA013649F635 -:10B42000DE6108EB4700802EC1F200010880E5D103 -:10B4300046F6EC50C1F20000007800284ED04CF2E5 -:10B440001B00C2F201000078F0B947F6A610C1F265 -:10B4500000000078C0B947F69D10C1F200000078E6 -:10B4600090B947F2F070C1F2000040780028DDE9A1 -:10B47000051008BF014608680A2805D04BF6F87089 -:10B48000C2F20100006848B34AF20800C2F20100AB -:10B4900000680026458F04880FF6885840F2B319DB -:10B4A0004FF0010A40F2B91B032E17D006EB4607F6 -:10B4B00018F8062009EB470080B241212B46009482 -:10B4C000CDF804A0FEF7BEF9013649F6DE610BEBBC -:10B4D0004700802EC1F200010880E5D147F2E070FC -:10B4E000C1F20000007848B34AF20800C2F201003D -:10B4F00000680026458F04880FF62C5840F2B319D7 -:10B500004FF0010A40F2B91B032E17D006EB460795 -:10B5100018F8062009EB470080B241212B46009421 -:10B52000CDF804A0FEF78EF9013649F6DE610BEB8B -:10B530004700802EC1F200010880E5D142F60000EC -:10B54000C4F20000006842F62416C0F3015141EA3B -:10B550008101C0F3034202EB4103C0F3023101EB6E -:10B560008101C0F3032202EB4102C0F3021101EB9F -:10B57000810100F00F00C1F2000600EB41003178BC -:10B5800047F20C644BF6C47A47F2E57B47F6E06875 -:10B590004AF26A09C1F20004C2F2010AC1F2000BC8 -:10B5A0009942C0F60308C2F2010923708AF800200C -:10B5B0008BF8000001D10798E8B341F29C0110AD6F -:10B5C000C0F6040128461A46F4F7FEFE40F6BF53C3 -:10B5D0000027C0F60403284629460222009715F0EA -:10B5E000B7FC4AF20807C2F20107386840F2951129 -:10B5F000B0F84E300088112200902846CDF8048023 -:10B60000FEF702FD386849F6DE61B0F84E3000887A -:10B61000C1F200010988009040F64560C0F60400C0 -:10B620001122CDF80480FEF7EFFC079920789AF8F4 -:10B630000020307019B999F80000824243D041F2DD -:10B640009C0110ADC0F604012846F4F7BDFE40F69B -:10B65000BF530027C0F6040328462946022200975C -:10B6600015F076FC4AF20804C2F2010420684FF497 -:10B67000D771B0F84E300088112200902846CDF8DE -:10B680000480FEF7C1FC206849F6DE61B0F84E3058 -:10B690000088C1F200010988009040F64560C0F6BC -:10B6A00004001122CDF80480FEF7AEFC07999AF849 -:10B6B000000089F8000031B19BF8002042F6251601 -:10B6C000C1F2000612E042F62516C1F200069BF810 -:10B6D00000203078824209D14BF69470C2F201000A -:10B6E00047F2B4720930C1F2000232E041F29C012B -:10B6F00010ADC0F604012846F4F766FE40F6BF53CD -:10B700000024C0F60403284629460222009415F0BE -:10B710001FFC4AF20800C2F20100006840F2C711A3 -:10B72000B0F84E300088112200902846CDF80480F1 -:10B73000FEF76AFC9BF80000079930704BF6947096 -:10B7400047F2B472C2F20100C1F20002447211B1B8 -:10B750000A3000210170002010702AB0BDEC048B6B -:10B7600001B0BDE8F08F44F24F60C0F24600FFF731 -:10B77000DEBA42F63016C1F200061F1D03F12001A9 -:10B7800096ED000A002ACDE9051708BF3946096879 -:10B7900044F2FF32C0F69502914204D996ED000AB8 -:10B7A0009FED671A01E09FED671AB8EEC00A9FEDA2 -:10B7B000662A30EE010A9FED651A9FED653A9FED0E -:10B7C000654A20EE022A20EE011A32EE032AB4EE78 -:10B7D000440AF1EE10FAB8BFB0EE412AB7EE000A03 -:10B7E0009FED5D1A32EE002AB4EE412A42F61C1497 -:10B7F000F1EE10FAC8BFB0EE412AB4EE402AC1F211 -:10B800000004F1EE10FAB8BFB0EE402A94ED001A31 -:10B81000B6EE083AB5EE004A21EE033A22EE042ACB -:10B8200032EE038AB4EE481AF1EE10FA08BF00288F -:10B8300000F0A58231EE482ABDEEC83A13EE105A48 -:10B84000B5EE402AF1EE10FA05F13C0615DD4AF29C -:10B85000080032EE000AC2F20100BDEEC00A006824 -:10B8600010EE101A0788701882B2B0B28F2196239A -:10B870000097FDF7CBFC94ED001A4AF20804C2F2DF -:10B880000104BDEEC10A216810EE100A00F13C026D -:10B890000F8800F13E0190B28AB28221A023009766 -:10B8A000FDF7B4FC2068B2B2478E3C208F2196236E -:10B8B00003920097FDF7AAFC4BF6AC74C2F20104A8 -:10B8C000208800EE100AB8EE400AB4EE408AF1EE8D -:10B8D00010FA11DA4AF20801C2F20101096800F116 -:10B8E0003C020F8800F13E0190B28AB28F2196236C -:10B8F0000097FDF78BFC208849F6EC614BF6A872A7 -:10B90000C1F20001C2F2010240B10B681768DB1BF3 -:10B91000B3F57A7F84BF0138208000E0002083B235 -:10B9200000EE103AB8EE400AB4EE408AF1EE10FA9A -:10B93000049515DD258008681060284612E000BFD8 -:10B94000000013430000FE4237D02940D8828D40CA -:10B950003C0ABF423D0A58420000C7435555D541F5 -:10B9600000F13C064AF20804C2F201042168962361 -:10B97000CF8E00F13E01B0B28AB28F210097FDF761 -:10B9800045FC20680027068B04880FF610094FF04D -:10B990003A0A4FF0010B4025012F17D007EB47085B -:10B9A00019F807200AEB480080B27D213346009445 -:10B9B000CDF804B0FDF746FF013749F6DE6105EB2F -:10B9C0004800802FC1F200010880E5D14AF208004A -:10B9D000C2F20100006840F6FD59068B048800277A -:10B9E000C0F604094FF0540A4FF0010B4FF05A080B -:10B9F000012F17D007EB470519F807200AEB450080 -:10BA000080B27D2133460094CDF804B0FDF71AFFD3 -:10BA1000013749F6DE6108EB4500802FC1F20001D5 -:10BA20000880E5D14AF20800C2F201000068002750 -:10BA3000068B04880FF268794FF08A0A4FF0010BE9 -:10BA40004FF09008012F17D007EB470519F8072092 -:10BA50000AEB450080B27D2133460094CDF804B056 -:10BA6000FDF7F0FE013749F6DE6108EB4500802F57 -:10BA7000C1F200010880E5D14AF20800C2F20100DB -:10BA800000680027068B04880FF218794FF0BF0A70 -:10BA90004FF0010B4FF0C508012F17D007EB4705FA -:10BAA00019F807200AEB450080B27D213346009447 -:10BAB000CDF804B0FDF7C6FE013749F6DE6108EBAC -:10BAC0004500802FC1F200010880E5D14AF208004C -:10BAD000C2F2010000680027068B04880FF2C869D3 -:10BAE0004FF0F40A4FF0010B4FF0FA08012F17D076 -:10BAF00007EB470519F807200AEB450080B27D21C6 -:10BB000033460094CDF804B0FDF79CFE013749F6AA -:10BB1000DE6108EB4500802FC1F200010880E5D10D -:10BB20004AF20800C2F2010000680027068B048870 -:10BB30000FF278694FF4957A4FF0010B4FF4987833 -:10BB4000012F17D007EB470519F807200AEB45002E -:10BB500080B27D2133460094CDF804B0FDF772FE2B -:10BB6000013749F6DE6108EB4500802FC1F2000184 -:10BB70000880E5D14AF20800C2F2010000680027FF -:10BB8000068B04880FF2286940F2571A4FF0010B18 -:10BB900040F25D18032F17D007EB470519F807206F -:10BBA0000AEB450080B27D2133460094CDF804B005 -:10BBB000FDF748FE013749F6DE6108EB4500802FAE -:10BBC000C1F200010880E5D14AF20800C2F201008A -:10BBD00000680027068B04880FF2D8594FF4C67A04 -:10BBE0004FF0010B4FF4C978032F17D007EB47052F -:10BBF00019F807200AEB450080B27D2133460094F6 -:10BC0000CDF804B0FDF71EFE013749F6DE6108EB02 -:10BC10004500802FC1F200010880E5D14AF20800FA -:10BC2000C2F2010000680027068B04880FF28859D1 -:10BC30004FF4E17A4FF0010B4FF4E478032F17D063 -:10BC400007EB470519F807200AEB450080B27D2174 -:10BC500033460094CDF804B0FDF7F4FD013749F602 -:10BC6000DE6108EB4500802FC1F200010880E5D1BC -:10BC70001FEDC69A00241FE005F13B0080B2882129 -:10BC800002468D23CDF80090FDF7C0FAB8B28621A8 -:10BC900002468D23CDF80090FDF7B8FA05F13D007E -:10BCA00080B2882102468D23CDF80090FDF7AEFAD0 -:10BCB0000F2E04F101041FD2E6B24AF2080000EE92 -:10BCC000106AC2F20100B8EE400A006820EE090ACC -:10BCD0001421BDEEC00A082E88BF162130F801904D -:10BCE00010EE105A002E05F13C07C5D014F00100EB -:10BCF000C2D1B8B28921D5E74AF20804C2F20104E0 -:10BD000020688D21878A3C204FF495728E230097FE -:10BD1000FDF7DAFF20688D21C78A40F22B104FF41F -:10BD2000E6728E230097FDF7CFFF20689721878A60 -:10BD30003C204FF4957298230097FDF7C5FF2068CB -:10BD40009721C78A40F22B104FF4E6729823009790 -:10BD5000FDF7BAFF20688221878E0498A0233E3029 -:10BD600082B203980097FDF751FA42F61C1042F692 -:10BD70003016C1F20000C1F2000680ED008A326880 -:10BD80000DF1400A0FF234415046F4F71DFB1DAE91 -:10BD900030463221F5F7F3FC30465146F4F777FD93 -:10BDA000F4F799FD062809D820243046F4F793FDCE -:10BDB00034523046F4F78FFD0728F6D31DA95046BC -:10BDC000F4F765FD4AF20800C2F2010000680021A4 -:10BDD000B0F824B007880524012600254FF000099B -:10BDE0001FE0002A44D0A5EB090000EB400004EB63 -:10BDF000400080B2A1215B4600970196FDF722FD2D -:10BE0000681CA0EB090101EB410149F6DE6204EB7D -:10BE10004101C1F200021180AAB281B27F2A054617 -:10BE200026D21AF801205E2ADBD101EB0A00407805 -:10BE30006F28D8D16E1C6FEA090809F10109A6EB39 -:10BE40000901B0B201EB41011AF8002004EB4100F6 -:10BE50004FF0010C80B29E215B460097CDF804C0E4 -:10BE6000FDF7F0FCA81C00EB080135460126CAE7E7 -:10BE700042F61810C1F2000090ED000A9FEDD11AB1 -:10BE800042F63011C1F2000120EE010A91ED001AD4 -:10BE90009FEDCD2AB8EEC11A21EE021A31EE000A4A -:10BEA00080ED000A91ED001AB8EEC11AB4EE410A15 -:10BEB000F1EE10FA05DA91ED000AB8EEC00A80ED55 -:10BEC000000A47F2F076C1F2000670780028DDE93A -:10BED000051008BF0146086844F2FF31C0F695011D -:10BEE0000131884262D29FEDC61AB4EE410AF1EEEA -:10BEF00010FA40F3C0809FEDC31AB4EE410AF1EE90 -:10BF000010FA40F3C0809FEDC01AB4EE410AF1EE82 -:10BF100010FA40F3C0809FEDBD1AB4EE410AF1EE75 -:10BF200010FA40F3C0809FEDBA1AB4EE410AF1EE68 -:10BF300010FA40F3C0809FEDAD1AB4EE410AF1EE65 -:10BF400010FA40F3C0809FEDB31AB4EE410AF1EE4F -:10BF500010FA40F3C0809FEDB01AB4EE410AF1EE42 -:10BF600010FA40F3C0809FEDA31AB4EE410AF1EE3F -:10BF700010FA40F3C0809FEDA01AB4EE410AF1EE32 -:10BF800010FA40F3C0809FED9D1AB4EE410AF1EE25 -:10BF900010FA40F3C0809FEDA11AB4EE410AF1EE11 -:10BFA00010FA40F3C080BBEE0C1A55E09FED871AE3 -:10BFB000B4EE410AF1EE10FA5DDD9FED851AB4EEA4 -:10BFC000410AF1EE10FA5EDD9FED821AB4EE410AED -:10BFD000F1EE10FA5FDD9FED801AB4EE410AF1EE4A -:10BFE00010FA60DD9FED7D1AB4EE410AF1EE10FA11 -:10BFF00061DD9FED7B1AB4EE410AF1EE10FA62DDCD -:10C000009FED781AB4EE410AF1EE10FA63DD9FED70 -:10C01000761AB4EE410AF1EE10FA64DD9FED731A60 -:10C02000B4EE410AF1EE10FA65DD9FED711AB4EE3F -:10C03000410AF1EE10FA66DD9FED6E1AB4EE410A88 -:10C04000F1EE10FA67DD9FED6C1AB4EE410AF1EEE5 -:10C0500010FA68DD9FED691A3020B4EE410AADF8A0 -:10C06000440043F65310F1EE10FACCBFC3F22B603C -:10C07000C3F22B405EE000208DF8420043F25310E3 -:10C08000ADF840005BE000208DF8420043F2532001 -:10C09000ADF8400053E000208DF8420043F25330E9 -:10C0A000ADF840004BE000208DF8420043F25340D1 -:10C0B000ADF8400043E000208DF8420043F25350B9 -:10C0C000ADF8400037E000208DF8420043F25360A5 -:10C0D000ADF840002FE000208DF8420043F253708D -:10C0E000ADF8400027E000208DF8420043F65300F1 -:10C0F000ADF840001FE000208DF8420043F65310D9 -:10C10000ADF8400017E03020ADF8440043F653107E -:10C11000C3F22B100EE03020ADF8440043F653106C -:10C12000C3F22B2006E03020ADF8440043F6531054 -:10C13000C3F22B30109047F2F076C1F200061DAD2D -:10C1400028463221F5F71BFB10A92846F4F79FFB80 -:10C15000F4F7C1FB052809D820242846F4F7BBFBD7 -:10C160002C522846F4F7B7FB0628F6D310A81DA9D1 -:10C17000F4F78DFB4AF20801C2F20101096847F6A3 -:10C180002C174B8C0988C0F6030700910C219622CE -:10C190000197FDF739FFFEF7FFBC00BF5300000019 -:10C1A00033000000350000003700000039000000B7 -:10C1B0002B3230002B3430002B36300025646442A3 -:10C1C0006D000000EC51783F8FC2F53C00000AC3BF -:10C1D000000004C30000FCC20000F0C20000E4C282 -:10C1E0000000D8C20000CCC20000C0C20000B0C233 -:10C1F00000009CC2000088C2000068C2000040C26B -:10C200000000ECC20000E0C20000D4C20000C8C2BE -:10C210000000BCC20000A4C2000098C2000018C206 -:10C220005742460046424600554246002DE9F04F2F -:10C2300081B02DED048B88B047F2C171C1F20001CD -:10C240000978002940F0718347F2B471C1F200010E -:10C250000446087848B14BF69470C2F201000121FF -:10C26000002C0CBFC17101725FE34AF20806012085 -:10C27000C2F2010608704CB130687D2107880020A9 -:10C280004FF4F072A9230097FCF7C0FF4CF21B009B -:10C29000C2F20100007847F6E067002847F69D10DB -:10C2A00047F2F073C0F60307C1F20000C1F20003C9 -:10C2B000059419D147F6A611C1F20001097899B980 -:10C2C000017889B9597803F12402002908BF03F1E4 -:10C2D000080211680A2907D04BF6F871C2F2010171 -:10C2E0000968002900F0278301783068838B008873 -:10C2F00021B100900FF2A060032105E0009041F20F -:10C300008A00C0F6040004214B220197FDF77CFE51 -:10C3100030688D21878A3C20E4228E230097FDF728 -:10C32000D3FC30688D21C78AE4204FF4B4728E2389 -:10C330000097FDF7C9FC30689721878A3C20E422EA -:10C3400098230097FDF7C0FC30689721C78AE42046 -:10C350004FF4B47298230097FDF7B6FC9FEDE58A81 -:10C36000002400BFE5B200EE105AB8EE400A20EEFD -:10C37000080A3068BDEEC00A10EE101A1422092D0A -:10C3800088BF1622875A01F13C00E10780B24FF0C6 -:10C39000870102464FF08D0308BF82210097FCF70A -:10C3A00035FF102D04F10104DCD3306849F6DE6658 -:10C3B000058C048800274FF041094FF0010B4FF026 -:10C3C000470AC1F2000600BF042F14D00FF2CC5070 -:10C3D00007EB4708C25D09EB480080B27D212B4680 -:10C3E0000094CDF804B0FDF72DFA01370AEB4800B0 -:10C3F000802F3080E8D14AF20800C2F201000068C4 -:10C400000027058C04884FF078094FF0010B4FF09E -:10C410007E0A00BF042F14D00FF2885007EB4708A4 -:10C42000C25D09EB480080B27D212B460094CDF817 -:10C4300004B0FDF707FA01370AEB4800802F30807F -:10C44000E8D14AF20800C2F2010000680027058C1A -:10C4500004884FF0AF094FF0010B4FF0B50A00BF51 -:10C46000042F14D00FF2445007EB4708C25D09EBCC -:10C47000480080B27D212B460094CDF804B0FDF732 -:10C48000E1F901370AEB4800802F3080E8D14AF209 -:10C490000804C2F2010420688D21878A4FF4B97024 -:10C4A0004FF4E6728E230097FDF70EFC206897216B -:10C4B000878A4FF4B9704FF4E67298230097FDF71E -:10C4C00003FC20680027058C048840F277194FF0A0 -:10C4D000010B40F27D1A00BF042F14D00FF2D4409C -:10C4E00007EB4708C25D09EB480080B27D212B466F -:10C4F0000094CDF804B0FDF7A5F901370AEB480028 -:10C50000802F3080E8D14AF20807C2F2010747F2D3 -:10C51000F07B3868C1F2000B9BF80110448A058A51 -:10C52000002089B15221252268230094FCF76EFE79 -:10C5300040F61E7606A80DF11A0107AAC0F60406F9 -:10C54000CDE90021029010E05221252268230094B9 -:10C55000FCF75CFE06A80DF11A0107AA40F6F76683 -:10C56000CDE900210290C0F6040647F6E06A0DF11D -:10C570001E03304600215222C0F6030ACDF80CA05B -:10C58000FDF700FCBDF81A00BDF81810C0F1250039 -:10C5900000EBD0705C2202EB5102C0F34F01304639 -:10C5A0002B460094CDF804A0FDF72EFD9BF801006A -:10C5B0000BF12408002840460BF1080408BF204670 -:10C5C0003A6800680FF2F431B2F8009051F8206038 -:10C5D000158F40F2A110532140F2D1126923CDF8FA -:10C5E000009040F2A117FCF711FE06A80DF11A0108 -:10C5F00007AACDE9002102900DF11E03304640F25A -:10C60000A1115322CDF80CA0FDF7BCFBBDF81A0018 -:10C61000BDF81810C0F1300000EBD07007EB5000EF -:10C620005D2202EB5102CDF800904AF2080981B276 -:10C6300030462B46C2F20109CDF804A0FDF7E4FC18 -:10C64000D9F800001921078840F2811040F2DB126E -:10C6500032230097FCF7DAFDD9F800001921B0F871 -:10C6600060704FF4C87040F2CD1219230097FCF7A8 -:10C67000CDFDD9F800003221B0F8607040F2811091 -:10C6800040F2DB1232230097FCF7C0FDD9F800001E -:10C690003221B0F860704FF4C3704FF4C8721923A0 -:10C6A0000097FDF783FAD9F800003221B0F86070E6 -:10C6B0004FF4EB704FF4E67219230097FDF776FA0A -:10C6C0009BF801009FEDC91A002808BFA046D8F8C2 -:10C6D0000000B7EE008A082800F27980B0EE410A27 -:10C6E000B0EE482ADFE800F0081F364D6477691283 -:10C6F000290000BF00009641BBF872019FEDC02ADF -:10C7000000EE100AB8EE400A20EE020ABBF87001F3 -:10C710009FEDBB3A02EE100AB8EE422A22EE032A3F -:10C72000B0EE418A57E0BBF872019FEDB52A00EEEA -:10C73000100AB8EE400A20EE020ABBF870019FED25 -:10C74000B03A02EE100AB8EE422A22EE038AB0EEA8 -:10C75000412A40E0BBF86E019FEDA81A00EE100AD6 -:10C76000BBF86C01B8EE400A20EE010A01EE100A97 -:10C770009FEDA12AB8EE411A9FED9C8A21EE022A74 -:10C7800029E0BBF86C019FED9C1A00EE100ABBF883 -:10C790006E01B8EE400A20EE018A00EE100A9FED0D -:10C7A000971AB8EE400A9FED912A20EE010A12E096 -:10C7B000BBF876019FED8F2A03E0BBF874019FED73 -:10C7C0008C2A00EE100AB8EE400A20EE028AB0EE83 -:10C7D000410AB0EE482AB3EE0E9A22EE091ABDEED7 -:10C7E000C11A20EE090A11EE107ABDEEC00A10EE51 -:10C7F000108A87B3D9F80000C7F5D771B0F8644044 -:10C80000C8F5D7708EB285B230461A212A46312338 -:10C810000094FCF7FBFCD9F8000040F2A511B0F839 -:10C820006440C81B80B2312132461A23009601941D -:10C83000FCF7B6FDB8F1000F0DD0D9F800001A21B1 -:10C84000B0F864704FF4D770009028462A46312320 -:10C850000197FCF7A5FDB5EE408AF1EE10FA35DD43 -:10C8600028EE090ABDEEC00AD9F8000010EE104A01 -:10C8700008F5D771B0F8647004F5D7708DB286B240 -:10C8800028461A21324631230097FCF7BFFCD9F81D -:10C8900000003121B0F8647004F2B71080B2324663 -:10C8A0001A2300960197FCF77BFDB8F1000F0DD01D -:10C8B000D9F800001A21B0F864704FF4D7700090D6 -:10C8C00028462A4631230197FCF76AFD4BF6A471EE -:10C8D000C2F201010A789BF83731002A104618BFCE -:10C8E0004FF4D770002B11BF002A012008704FF4BD -:10C8F000D770D9F80010431EB1F86270821C98B24C -:10C90000252131230097FCF781FC059A4BF69471A1 -:10C91000002A47F2B472C2F201014FF00000C1F2E6 -:10C920000002C87118BF0872107008B0BDEC048B0B -:10C9300001B0BDE8F08F4BF69470C2F20100012106 -:10C94000417230680321438B00884B22009011A074 -:10C950000197FDF759FB306840F6BC558389008884 -:10C9600043F2A024C0F60405C0F603040090284654 -:10C97000972174220194FDF747FB306840F21D11A6 -:10C98000C38900887422009028460194FDF73CFB7F -:10C99000B9E500BF52580000545500005357523AB1 -:10C9A000000000004657443A000000005245463A55 -:10C9B00000000000414C433A00000000FF0E040854 -:10C9C000030F04088C0F0408691004089C0F040866 -:10C9D000A00F0408990F0408910F04086E100408B2 -:10C9E00004100408FF0F0408881004080000000069 -:10C9F00017B7D13817B751386F12833ACD339A3AF7 -:10CA0000CD339A392DE9F04F97B047F2C171C1F299 -:10CA10000001097811B117B0BDE8F08F47F2B47189 -:10CA2000C1F200010A7842B14BF69470C2F20100E3 -:10CA30000121417017B0BDE8F08F4AF208040122CD -:10CA4000C2F201040A70049048B1206801210788ED -:10CA5000002040F2DF1233230097FCF7D7FB47F2A8 -:10CA6000F0772068C1F2000797F88E11021D048943 -:10CA7000002908BF821D1588022001214022292398 -:10CA800000944FF00209FCF7C1FB40F63D7647F6F3 -:10CA9000E0680DF1560116AA0DF15A00C0F6040621 -:10CAA000C0F60308CDE90002029105AB3046022131 -:10CAB0000122CDF80C80FDF765F9BDF85800BDF8EE -:10CAC0005610C0F13E0000EBD07009EB500014226C -:10CAD00002EB510281B230462B460094CDF804801F -:10CAE000FDF792FA05AD28464021F4F748FE97ED90 -:10CAF0004C0A0FF2CC41BDEEC00A10EE102A2846B7 -:10CB0000F3F762FCD7F830012923400002BF45F259 -:10CB10004140C0F2540005904AF20800C2F2010000 -:10CB2000006897F83511021D0489002908BF821D8D -:10CB3000158842200121802200944FF0420AFCF720 -:10CB400065FB16A805AE0DF1540900900DF1560BCA -:10CB50000DF15A03304642210122CDE901B9CDF849 -:10CB60000C80FDF70FF9BDF85600BDF85410C0F168 -:10CB70003E0000EBD0700AEB5000142202EB510291 -:10CB800081B230462B460094CDF80480FDF73CFA84 -:10CB90004AF20800C2F20100006897F83C10021D3A -:10CBA0000489002908BF821D1588C22001214FF485 -:10CBB000807229230094C227FCF728FB41F2640607 -:10CBC000C0F604060DF1580A0DF15A033046C22191 -:10CBD0000122CDE900ABCDF80890CDF80C80FDF72F -:10CBE000D1F8BDF85600BDF85410C0F13E0000EB7E -:10CBF000D07007EB5000142202EB510281B2304694 -:10CC00002B460094CDF80480FDF7FEF94AF20800A7 -:10CC100047F2F073C2F20100C1F2000300685978D4 -:10CC200003F138020489002908BF03F11C021178BE -:10CC3000021D002908BF821D158882200121C02203 -:10CC4000292300948227FCF7E1FA40F62076C0F60B -:10CC500004060DF15A03304682210122CDE900ABD2 -:10CC6000CDF80890CDF80C80FDF78CF8BDF8560093 -:10CC7000BDF85410C0F13E0000EBD07007EB50003F -:10CC8000142202EB510281B230462B460094CDF8BB -:10CC90000480FDF7B9F94AF20807C2F201073868C3 -:10CCA0004BF6FC71C2F2010104890978021D0029CA -:10CCB00008BF821D15884FF4817001214FF4A072C6 -:10CCC000292300944FF4817AFCF7A0FA40F65076BD -:10CCD0000DF1580B0DF15600C0F60406CDF800B06A -:10CCE000CDE901090DF15A0330464FF4817101225B -:10CCF000CDF80C80FDF746F8BDF85600BDF854108D -:10CD0000C0F13E0000EBD0700AEB5000142202EBA1 -:10CD1000510281B230462B460094CDF80480FDF7D5 -:10CD200073F9386801210489C68847F2F070C1F2AE -:10CD3000000090F846714FF4A1704FF4C07229239F -:10CD400000944FF4A179FCF761FA40F6877515A8B5 -:10CD5000C0F604050DF1560A02900DF15A0328465B -:10CD60004FF4A1710122CDF800B0CDF804A0CDF8A8 -:10CD70000C80FDF707F8BDF85600BDF854101422DA -:10CD8000C0F13E0002EB51024FF6000100EBD07003 -:10CD9000CFF6FF7109EB5000002F08BF31468BB270 -:10CDA00081B228460094CDF80480FDF72DF94AF2AF -:10CDB00008074AF2B029C2F20107C2F20109386835 -:10CDC000D9F800100489B0F85C5001F10A0600207F -:10CDD00040F22311762240F241130094FCF716FA38 -:10CDE000CDF800B0D346CDF804A00DF1540A0DF1F2 -:10CDF0005A033046002140F22312CDF808A0CDF8A6 -:10CE00000C80FCF7BFFFBDF85600BDF85410C0F110 -:10CE1000760000EBD07040F2311202EB5102C0F309 -:10CE20004F0130462B460094CDF80480FDF7ECF816 -:10CE30003868D9F800100489B0F85C5001F12E066A -:10CE4000782040F22311EE2240F24113009478271B -:10CE5000FCF7DCF916A8CDE9000B0DF15A033046BA -:10CE6000782140F22312CDF808A0CDF80C80FCF711 -:10CE700089FFBDF85600BDF85410C0F1760000EBF4 -:10CE8000D07007EB500040F2311202EB510281B238 -:10CE900030462B460094CDF80480FDF7B5F84AF2F1 -:10CEA0000800C2F201000068D9F800100489B0F847 -:10CEB0005C5001F15206F02040F223114FF4B3729E -:10CEC00040F241130094F027FCF7A0F916A8CDE931 -:10CED000000B0DF15A033046F02140F22312CDF839 -:10CEE00008A0CDF80C80FCF74DFFBDF85600BDF84A -:10CEF0005410C0F1760000EBD07007EB500040F208 -:10CF0000311B0BEB510281B230462B460094CDF819 -:10CF10000480FDF779F84AF20800C2F201004AF2F3 -:10CF2000B0210068C2F2010109680489B0F85C50C0 -:10CF300001F176064FF4B47040F223114FF4EF7212 -:10CF400040F2411300944FF4B477FCF75FF916A850 -:10CF500000900DF15600CDE9010A0DF15A0330465B -:10CF60004FF4B47140F22312CDF80C80FCF70AFFA5 -:10CF7000BDF85600BDF85410C0F1760000EBD0703B -:10CF800007EB50000BEB510281B230462B46009468 -:10CF9000CDF80480FDF738F804994BF69470C2F28E -:10CFA000010000294FF00001417018BF817047F265 -:10CFB000B470C1F20000017017B0BDE8F08F00BF7F -:10CFC00041545425640000002DE9F04F87B047F22A -:10CFD000B475C1F20005287810B107B0BDE8F08F34 -:10CFE0004BF69477C2F20107387860B101202870BF -:10CFF0004AF20800C2F2010000680088FBF7DAFF7D -:10D00000002038702870787810B10020FFF7FAFC03 -:10D01000B87810B10120FFF7F5FCF87847F2C17439 -:10D02000C1F2000458B1207848B9287810B1012025 -:10D03000F87004E0012028700020F870287038791A -:10D0400060B1207850B9287810B10120F87005E05F -:10D05000012028700020F87038712870787910B19C -:10D060000020FDF78DF9B87910B10120FDF788F99E -:10D07000F87910B10020FFF7D9F8387A10B1012003 -:10D08000FFF7D4F8787A10B10020FDF7F5FAB87AF6 -:10D0900010B10120FDF7F0FAF87A10B1002006F087 -:10D0A0004DFE387B10B1012006F048FE787B78B346 -:10D0B00049F6DB60C1F2000001784FF2F100CFF2D7 -:10D0C000F000A1FB0002100900EB00100A1AC0B228 -:10D0D0004DF2D443C2F20103081A1A7000EBC000EB -:10D0E000052202EB40034AF21440C2F2010002683A -:10D0F000080110584AF2184602EB0112C2F201066A -:10D10000117992683380012316F08CF9002078732E -:10D11000B87B00283FF461AF0120B873287800285D -:10D120007FF45BAF207820B10020B87307B0BDE872 -:10D13000F08F012042F2E8762870C0F6030605A8B9 -:10D140000DF1160106AACDE90021CDE9020647F648 -:10D15000B010C1F200000DF11A03F0214622F024B4 -:10D16000FCF710FE4CF21D0AC2F2010A9AF80010F8 -:10D17000BDF81600012904D1BDF814904FEA500BF8 -:10D1800032E04AF20802C2F20102A4EB5001126836 -:10D19000BDF814904FEA500B0844B2F84AC00A3068 -:10D1A00009F150028CB21FFA80F896B2204646214F -:10D1B00042463346CDF800C0FCF728F84AF2080092 -:10D1C000C2F2010000684621B0F84CC02046424639 -:10D1D000334642F2E876C0F60306CDF800C0FCF70D -:10D1E00073FD01208AF800004AF20800C2F2010033 -:10D1F0000068CBF1F501B0F84830B0F84A0009F109 -:10D200004B02009047F6B01089B292B2C1F2000012 -:10D210000196FCF7F9FE002049F6EC6147F6AC12E6 -:10D220002870C1F20001C1F2000209681268891A6F -:10D23000B1F57A7F82BFB8730120B87107B0BDE83D -:10D24000F08F00002DE9F04387B04BF6B476C2F2C0 -:10D25000010632780C460546C2BB47F2F070C1F2B7 -:10D26000000090F8850142F27C31C0F6030166228D -:10D270004AF2080710FB0210C2F201073860FCF7FF -:10D2800071F94FF0C040362101800020C6F208003D -:10D290002821018049F6EC60C1F20000016800BF5E -:10D2A0000268521A792A0BD20268521A782A07D8D1 -:10D2B0000268521A782A03D80268521A792AEFD3E0 -:10D2C00038680088FBF776FE0120307047F2B478AA -:10D2D000C1F20008012088F800004FF478404FF4B4 -:10D2E0007846FBF767FE42F2E877C0F6030705A829 -:10D2F0000DF1160106AACDE90021CDE902070DF1D5 -:10D300001A032846002100224FF00009FCF73AFDDD -:10D31000BDF81600F021A1EB5000BDF81410A022BA -:10D32000A2EB510281B292B228464FF6FF730096EB -:10D330000197FCF769FE002C57D049F6EC60C1F26A -:10D34000000001680268521AB2F5FA6F0ED802683E -:10D35000521AB2F5FA6F09D80268521AB2F5FA6F8A -:10D3600004D80268521AB2F5FA6FEBD94BF69471F1 -:10D370000020C2F20101012288F800000A708A71BF -:10D380008A720A728A700A71CA7242F61C11C1F25C -:10D39000000108604BF6B871C2F20101086042F664 -:10D3A0002410C1F20000FF2101704AF26A00C2F2AB -:10D3B0000100017042F62510C1F20000017042F632 -:10D3C0002210C1F2000042F20F7101804AF268009F -:10D3D000C2F20100018042F62010C1F2000001807B -:10D3E000FFF7F2FD07B0BDE8F08388F8009007B0C2 -:10D3F000BDE8F0832DE9F04F83B04AF26D55C2F2DB -:10D400000105297840F200430646C4F2020371B9CF -:10D410004FF48050009042F626100027C1F2000021 -:10D4200000210122019702F01DFFF5F76FF942F686 -:10D430002C2BC1F2000B002458462021002201238E -:10D440000094F5F797F880BB42F62C20C1F200005B -:10D450002021002201230094F5F78CF828BB42F626 -:10D460002C200024C1F2000020210022012300947E -:10D47000F5F780F8C8B942F62C20C1F2000020214F -:10D48000002201230094F5F775F870B942F62C20BC -:10D490000027C1F200002021002201230097F5F7A8 -:10D4A00069F810B9AEA01AF09DFC9BF81D00642825 -:10D4B00062D19BF8000064285ED1002E5CD19BF8FD -:10D4C00001009BF802109BF8032008449BF804100D -:10D4D00010449BF8052008449BF8061010449BF864 -:10D4E000072008449BF8081010449BF809200844C2 -:10D4F0009BF80A1010449BF80B2008449BF80C1072 -:10D5000010449BF80D2008449BF80E1010449BF823 -:10D510000F2008449BF8101010449BF81120084479 -:10D520009BF8121010449BF8132008449BF8141029 -:10D5300010449BF8152008449BF8161010449BF8E3 -:10D54000172008449BF8181010449BF81920084431 -:10D550009BF81A1010449BF81B2008449BF81E10DF -:10D5600010449BF81F20084410449BF81C103838C6 -:10D57000C0B2814200F0DE8048F21864C1F20004BB -:10D58000208848F218554CF27828029600277FA68A -:10D59000C1F20005C2F201084FF000090BE000BF24 -:10D5A00081B2B1F5807F09F1010924BF00202080FC -:10D5B000B9F11B0F1BD016F8091082B2A954013023 -:10D5C000B8F8001082B28A422080E9D14FF0000AF8 -:10D5D000F4F720FCB7EB5A2F03D101280AF1010A16 -:10D5E000F6D0F4F717FC012801D02088D8E79BF883 -:10D5F0001D0000211AF02EFD9BF8000000211AF0FA -:10D6000029FD6420002164241AF024FD02980021E1 -:10D610001AF020FD9BF81C0000211AF01BFD9BF85E -:10D6200000009BF801109BF802209BF8033008448F -:10D6300010449BF80410184408449BF805109BF80C -:10D64000062008449BF8071010449BF80820084463 -:10D650009BF8091010449BF80A2008449BF80B1013 -:10D6600010449BF80C2008449BF80D1010449BF8C4 -:10D670000E2008449BF80F1010449BF8102008441B -:10D680009BF8111010449BF8122008449BF81310CB -:10D6900010449BF8142008449BF8151010449BF884 -:10D6A000162008449BF8171010449BF818200844D3 -:10D6B0009BF8191010449BF81A2008449BF81B1083 -:10D6C00010449BF81D2008449BF81E1010449BF842 -:10D6D0001F2008441044C0B200211AF0BBFC642093 -:10D6E000C0F2010041F6321141F61A32C4F600616F -:10D6F000C2F61A028BE8070041F62D20ABF80C00A9 -:10D7000050208BF80E004FF6F370ABF8100000209D -:10D710000021C4F23010C4F22011CBE9050122A08F -:10D720008BF81D401AF05EFB06F082FD4AF26D5543 -:10D73000C2F20105287880B94FF4805000904AF277 -:10D74000453040F200430027C2F2010000210122CF -:10D75000C4F20203019702F085FD03B0BDE8F08F2B -:10D760005B4552525D205265616420454550524FE1 -:10D770004D2043414C494252415445206D756C74D3 -:10D7800069706C65206572726F7273005B455252EE -:10D790005D2043414C49425241544520466C6173DF -:10D7A0006820636865636B005B4F4B5D204C6F6165 -:10D7B0006465642064656661756C742063616C697E -:10D7C00062726174652073657474696E67730000BA -:10D7D0002DE9F04F81B04CF22026C2F201063078DC -:10D7E000012840F08E814CF24064C2F20104D4F86A -:10D7F000040301254168204684F8EC528847D4F898 -:10D80000207397F8BC03012800F07B81396887F802 -:10D81000BC53886840F6445220F001008860D1F87B -:10D82000000EC0F2030220F00300C1F8000ED1F890 -:10D83000040840F00200C1F804084FF48460086155 -:10D84000104604380CD00B699B0609D50B699B0662 -:10D8500044BF0B695FEA836302D50B699B06F0D472 -:10D86000B86A012806D1B869012803D0886B20F472 -:10D87000803088630020032387F8BC0387F8BD331A -:10D880004FF67F33C1F80839C1F8083BC1F8283991 -:10D89000C1F8283BC1F84839C1F8483BC1F868399C -:10D8A000C1F8683BC1F88839C1F8883BC1F8A8398C -:10D8B000C1F8A83BC1F8C839C1F8C83BC1F8E8397C -:10D8C000C1F8E83BC1F8083AC1F8083CC1F8283A69 -:10D8D000C1F8283CC1F8483AC1F8483CC1F8683A58 -:10D8E000C1F8683CC1F8883AC1F8883CC1F8A83A48 -:10D8F000C1F8A83CC1F8C83AC1F8C83CC1F8100842 -:10D90000C1F81408C1F81C0810200861104600BFB7 -:10D91000043800F0F4800B69DB0641BF0B695FEA55 -:10D92000C3630B695FEAC36302D50B69DB06EFD4FF -:10D930004FF484600861043A00F0E18008698006D1 -:10D9400009D50869800644BF08695FEA806002D58E -:10D9500008698006EFD43868B0F1A04F40F0088124 -:10D9600043F634000097C4F20200026843F6004117 -:10D9700040F20009C4F2010122F08002C4F202095F -:10D98000026000244FF0010CA1F57E7B4FF00F0EDA -:10D99000002200204FF00F0A31E000BF911CD9F89F -:10D9A0000030032707FA01F16FF003078B4307EA02 -:10D9B0005000C9F8003048440CF01C03076A0AFA0A -:10D9C00003F327EA03030362D9F80C004FF0010CBC -:10D9D0008843C9F80C00D9F804004FF00F0E00EA94 -:10D9E0000800C9F80400D9F808008843C9F80800FD -:10D9F000681C0432102804F1080400F0AA800CFA14 -:10DA000000F515F4C05F47D087085BF8276004F085 -:10DA100008030EFA03F31E426FEA050519D143F617 -:10DA20000041C4F201010E682E400E604E682E4087 -:10DA30004E608E682E408E6043F60C41C4F20101A8 -:10DA40000E682E400E605BF8276026EA03034BF851 -:10DA50002730D9F80030032101FA02F76FF00301F3 -:10DA6000BB4301EA5006C9F800304E4404F01803E5 -:10DA7000316A0EFA03F399433162D9F80C10B943B5 -:10DA8000C9F80C10D9F804102940C9F80410D9F8C5 -:10DA90000810B943C9F80810451C0CFA05F717F42B -:10DAA000C05FA5D04FEA900E04F1040C5BF82E1075 -:10DAB0000CF00C030AFA03F319426FEA07087FF42B -:10DAC0006DAF43F60046C4F20106316801EA080171 -:10DAD0003160716801EA08017160B16801EA08010A -:10DAE000B16043F60C46C4F20106316801EA080150 -:10DAF00031605BF82E1099434BF82E104EE7002052 -:10DB000030704CF6D430C2F2010090F8BC130129F9 -:10DB10001CD00168012280F8BC23D1F8002E22F02D -:10DB20000302C1F8002ED1F8042842F00202C1F825 -:10DB30000428826A012A06D18269012A03D08A6BED -:10DB400022F480328A63002180F8BC1301B0BDE862 -:10DB5000F08F4EF28810CEF2000008210160BFF372 -:10DB60004F8FBFF36F8F4CF22026009FC2F2010649 -:10DB7000002087F8BD03307001B0BDE8F08F0000D1 -:10DB80004AF22850C2F201000178012919D100217E -:10DB9000017041F204004AF22452CEF20000C2F2B7 -:10DBA000010242F6702301681268C1F200031F6887 -:10DBB000891A39441960006842F67421C1F20001E3 -:10DBC00008604EF2FF0547F2C47640F21847C0F2F3 -:10DBD000F5550FF22C08C1F20006C4F202074FF40B -:10DBE000800400E03C6040460021FFF72BFB00BFB3 -:10DBF0003068A842F6D20130306000BFF8E700BFBD -:10DC00004D656D6F7279204661756C74000000007F -:10DC10002DE9F0412DED108B47F2F070C1F20000BC -:10DC2000417800F12C02002908BF00F110021168B0 -:10DC30004AF26C00B1F5FA5FC2F2010012DB42F267 -:10DC40000F7291421ADD42F2107291422BD043F6CC -:10DC5000982291422AD044F62062914238D19FED19 -:10DC6000AC0A33E041F26F72914211DC09B341F228 -:10DC7000883291422CD19FEDA40A27E0B1F5FA5FDA -:10DC80001FD042F22832914222D19FEDA40A1DE01A -:10DC900041F27072914217D041F65832914217D139 -:10DCA0009FEDA00A12E09FED9C0A0FE09FED990AFC -:10DCB0000CE04CF600019FED950AC4F22F71016053 -:10DCC00008E09FED970A01E09FED970A80ED000ABA -:10DCD00090ED000A47F2D87047F2CC7C4BF6C87E34 -:10DCE00047F2C878C1F20000C1F2000CC2F2010E86 -:10DCF000C1F2000890ED001A9CED00BA9EED002ADA -:10DD000098ED00CA41F200509FED883A9FED884A95 -:10DD10009FED885A9FED886A9FED887ADFED880A2B -:10DD2000DFED881ADFED882ADFED883ADFED884ADB -:10DD300040F2884141F20024DFED865ADFED866A29 -:10DD4000DFED867A9FED868A9FED869A0023C2F2E8 -:10DD50000000C0F60401C2F200040025B5EE00AADE -:10DD600077E000BF2CEE26DA2DEE00DA2DEE27DA72 -:10DD7000BDEECDEA1EEE107AB5EE40DAF1EE10FA05 -:10DD8000B8BF013F0EEE107AB8EECEEA3DEE4EEA95 -:10DD90002EEE08EABDEECEFA1FEE107AB3EB572F47 -:10DDA0003EEE09FA1CBFB0EE4FEA002701EB8702F6 -:10DDB0000FEE107AD2ED008AD2ED019AB8EE4FFA4A -:10DDC0003EEE4FEA39EEE8FA2FEE0EEA3EEE28EA92 -:10DDD0002EEE01EA3DEE0ADA86ED00EABDEECDEA6E -:10DDE0001EEE102AB5EE40DAF1EE10FAB8BF013A95 -:10DDF0000EEE102AB8EECEEA3DEE4EDA2DEE08DA3F -:10DE0000BDEECDEA1EEE102AB3EB522F3DEE09EA2D -:10DE10001CBFB0EE4EDA002201EB82060EEE102A95 -:10DE200096ED00FAD6ED018AB8EE4EEA3DEE4EDAF6 -:10DE300038EECFEA2EEE0DDA3DEE0FDA04EB850276 -:10DE400001352DEE01DAC02D2BEE03BA82ED00DA9A -:10DE500050D000EB8506B0EE42DA96ED002A2CEEAB -:10DE600004CA32EE0BBA3BEE4DBA2BEE05DA3CEEAD -:10DE70000DDAB4EE46DAF1EE10FAB0EE4DCA19DD65 -:10DE80003CEE07DAB4EE46DAF1EE10FA12DD3CEEC3 -:10DE900020DAB4EE46DAF1EE10FA0BDD3CEE21DAD0 -:10DEA000B4EE46DAF1EE10FA04DD3CEE22DAE0E7F9 -:10DEB0003DEE25DAB4EE47DAF1EE10FAB0EE4DCAD7 -:10DEC000BFF650AF3DEE06CAB4EE47CAF1EE10FA07 -:10DED000BFF648AF3DEE23CAB4EE47CAF1EE10FAE2 -:10DEE000BFF640AF3DEE24CAB4EE47CAF1EE10FAD9 -:10DEF000DEDB37E78CED00BA8EED002A88ED00CA34 -:10DF0000BDEC108BBDE8F08100007A4500C82F47BA -:10DF100000401C470060EA4600606A4600204B460D -:10DF200000E02B460000FA450080BB453333733FC9 -:10DF30000012833AF1F4723F00803B4700803BC7F8 -:10DF40000080BBC700A00CC800803BC80080BB4756 -:10DF500000A00C4800803B481F42893883F9223ECC -:10DF600000000044000000C44AF22850C2F2010040 -:10DF70000178012918BF7047002141F2040C4AF2D0 -:10DF800024520170CEF2000CC2F2010242F670235C -:10DF9000DCF800101268C1F200031868891A0844FE -:10DFA0001860DCF8000042F67421C1F2000108603C -:10DFB000704700002DE9F04F89B04AF22850C2F2B4 -:10DFC00001000178012919D10021017041F20400FA -:10DFD0004AF22452CEF20000C2F2010242F670234D -:10DFE00001681268C1F200031F68891A3944196078 -:10DFF000006842F67421C1F2000108604CF6D43684 -:10E00000C2F20106D6F80080D8F81400C00741F02B -:10E010002E83D8F81400D8F81810014201F0278395 -:10E02000D8F81400D8F818100840800705D5D8F89B -:10E03000140000F00200C8F81400D8F81400D8F852 -:10E0400018100840C0067DD5D8F8180020F0100040 -:10E05000C8F81800D8F82000C0F34342062A00F0A0 -:10E060000F0154D0022A67D147F6F072024063D004 -:10E07000C1EBC10006EB80000323D0F8086203EB7C -:10E080001215002300F5027CB3EB950F4FEA121333 -:10E0900030D0AA08541E02F00307032C324617D3CF -:10E0A0006FF0030202EA950255424FF48054324663 -:10E0B00058F804100435116058F80410516058F8ED -:10E0C0000410916058F80410D16002F11002EFD1F1 -:10E0D00087B14FF4805558F80510012F116009D011 -:10E0E00058F80510022F516004D04FF4805158F8B1 -:10E0F00001109160D0F81422F118CCF80010D1185A -:10E100004CF6D436C0F81412C2F2010614E04FF4F3 -:10E11000805258F80230C1EBC101C6F8C43358F838 -:10E12000022006EB8101C6F8C823D1F81422C0F3FF -:10E130000A101044C1F81402D8F8180040F010007A -:10E14000C8F81800D8F81400D8F81810CDF81880BE -:10E1500008400003404640F17986D8F81808D8F8FE -:10E160001C184FF0000E0840BEEB104F00F06D86FB -:10E1700043F20A0B070C06F505754FF43069C4F637 -:10E18000547B4FF0000A3CE0C0F810EBD0F8101BB5 -:10E1900041F40021C0F8101BD0F8101B41F0180109 -:10E1A000C0F8101BD0F8101B41F0C041C0F8101B84 -:10E1B00006F57171C0F8141BD0F8001B41F08021E6 -:10E1C000C0F8001BE00642BF08EB09001021816087 -:10E1D000A00642BF08EB090020218160A00442BFD5 -:10E1E00008EB09004FF4005181600AF1010ABEEB0F -:10E1F000570F4FEA570709F1200905F11C0500F0F8 -:10E200002286F807F1D0306809EB00018A68D0F85F -:10E21000143803EA0204E20762D12007D2D540464F -:10E220004844082102970594039581603068D046E0 -:10E23000D0F840E048448168090404D5DE4584BF35 -:10E240004FF400418160D6F804A496F8C4530AF54F -:10E250003E748AF8F85296F8C53301948AF8F93278 -:10E2600096F8C67396F8C70305F01F0447EA002C1A -:10E27000AAF8FAC296F8C81396F8C923022C41EA04 -:10E280000220AAF8FC02B6F8CA234FF00106AAF849 -:10E29000FE22CAF8E462CDF81CA0CAF8E822CDF844 -:10E2A00010E05AD0012C6BD0002CC24640F0B08058 -:10E2B00015F0600100F014814CF6D436DDE90684D7 -:10E2C000029F4029C2F2010602D0202940F040817D -:10E2D000D4F80403019982682046904740E100BFCA -:10E2E00008EB090201239360036C8A683669012EEA -:10E2F00019D1160700F19C80960600F1D5804CF6E6 -:10E30000D43612F0280FC2F201067FF486AF0BF16B -:10E310000106B342C0F0528112F4004200F04E8177 -:10E320004FF40040C1E00BF58076B34240F091809D -:10E330004CF6D4361004C2F2010600F18680900635 -:10E3400044BF202088605FFA8AF0F9F77FFC4FF025 -:10E35000000E20077FF536AF61E715F0600600F08C -:10E360000381069C029F402EC24602D0202E40F020 -:10E3700013810798D0F804138A680199904714E133 -:10E380004CF6D436029F15F06000C2F20106C24678 -:10E3900018BF402840F09280079C94F8EC020138A6 -:10E3A000022800F28F80039DDDF81880082900F212 -:10E3B0007681079C0199D4F804038268204690472F -:10E3C0004CF6D43600284FF0000EC2F2010640F0A1 -:10E3D0007581B4F8FE02002840F07081D4F8200363 -:10E3E0000421C4F8E41201464FF4807221F83C2F56 -:10E3F0000269C0F848E0C0F850E0012AC0F854E0D3 -:10E4000008BFC0F84CE00068D2B211F0C3FC4FE185 -:10E41000079805F0800110F0B1FB4CF6D436DDF81A -:10E420001880C2F201064FF0000E039D029F45E1E5 -:10E430000BF101004CF6D4368342C2F20106FFF420 -:10E44000ECAE12F400403FF4E8AE4FF400408860B8 -:10E45000E3E64CF6D436C2F20106D6F8101251EAC1 -:10E460000A011AD1016C594503D9D0F8001B0029C3 -:10E4700013D4C0F810EBD0F8101B41F40021C0F801 -:10E48000101BD0F8101B41F01801C0F8101BD0F879 -:10E49000101B41F0C041C0F8101B5FFA8AF0F9F779 -:10E4A000D5FB4FF0000E01E0202088604CF6D436FA -:10E4B000C2F2010620077FF585AEB0E620283FF4C2 -:10E4C0006BAF079C2046802110F058FB20460021AE -:10E4D00010F054FB039DDDF818804FF0000EEDE0C6 -:10E4E000092B4FF0000E00F21B81DFE813F00A0049 -:10E4F000FB0019012B01190150016C0119018C015C -:10E50000B501079C039D94F8EC020138022800F243 -:10E51000AD814CF6D436DDF81880029F022AC2F293 -:10E52000010640F0A982079C0223D4F8F4024FF4BC -:10E53000807200284FF0030008BF012044F80C0F40 -:10E54000D4F81403C4F8D83201462361636178E13A -:10E550002046802110F012FB2046002110F00EFB17 -:10E56000039D4FF0000EA9E0069C029F002B4FF088 -:10E57000000EC2465DD0039D012B00F08280032B6C -:10E58000A04640F08C80079890F8EC32032B00F006 -:10E590007E81022B7ED082E0079D8021284610F0EC -:10E5A000EDFA2846002110F0E9FA4CF6D436039D26 -:10E5B000C2F201064FF0000EA0467FE0096955E95E -:10E5C00004236FF3DF41511A296099184CF6D436B1 -:10E5D00045F80C1CC2F20106D6F8101251EA0A01E5 -:10E5E0007FF4B1AE016C594504D9D0F8001B002965 -:10E5F0003FF5A9AEC0F810EBD0F8101B41F4002194 -:10E60000C0F8101BD0F8101B41F01801C0F8101B07 -:10E61000D0F8101B41F0C041C0F8101B06F5717115 -:10E62000C0F8141BD0F8001B41F08021C0F8001B7B -:10E6300089E607984CF6D43690F8EC02039D03283F -:10E64000C2F2010600F04C810228A04640F014827C -:10E6500041F08000802840F00F8201F07F00079B8E -:10E6600000EB400003EBC00202F114004FF0020C7B -:10E67000090658BF02F5BE70C0F800E0C3F8E4C256 -:10E68000E4E10798A04690F8EC22032A00F05181BB -:10E69000022A04D141F08000802840F08B81079C41 -:10E6A0002046802110F06AFA2046002110F066FA18 -:10E6B0004CF6D4364FF0000EC2F201060498059CC9 -:10E6C00058457FF67FAD306901287FF47BAD306817 -:10E6D000016C59457FF658ADD0F8001B00297FF535 -:10E6E00053AD6FE5079C039D94F8EC1201390229A4 -:10E6F00000F2BC801FFA8CF04CF6D436DDF818809E -:10E70000029F0128C2F20106D8D1D4F820030421C7 -:10E71000C4F8E41201464FF48072C4F8F4E228E031 -:10E72000079C8021204610F029FA2046002110F095 -:10E7300025FA4CF6D436DDF818804FF0000EC2F200 -:10E74000010672E61FFA8CF04CF6D436DDE906823B -:10E75000DDE902750128C2F20106AFD10120C2F83D -:10E76000F402D2F820030421C2F8E41201464FF467 -:10E77000807221F83C2F0269C0F848E0C0F850E0F0 -:10E78000012AC0F854E008BFC0F84CE053E340EA67 -:10E790000201039D090468D11FFA8CF07F2864D818 -:10E7A000079C4CF6D43694F8EC02C2F2010603281A -:10E7B00040F007812046802110F0E0F9204600213A -:10E7C00010F0DCF9C3E10798ADF822E0B0F8FA02E6 -:10E7D0004FF0FF3101EB1021039D062945D8DFE8FA -:10E7E00011F0070057016901440044007F018901CD -:10E7F0000798D0F8000301680DF122008847DDF882 -:10E800001880029FA6E2039D012A2ED1079C4CF698 -:10E81000D43694F8EC02DDF81880411E029F0229DC -:10E82000C2F2010680F0098144F808EF0220C4F822 -:10E83000DC02D4F81803012301464FF480726361AF -:10E84000A36121F83C2F026984640365012AC0F8A2 -:10E8500054E008BFC464EEE247F6F010039D022FB7 -:10E86000C1F200000770C0F08480079C2046802120 -:10E8700010F084F92046002110F080F94CF6D436CF -:10E88000DDF818804FF0000EC2F20106CEE55FEA17 -:10E890000C4007D141F08000802803D012B90798BE -:10E8A00010F06CF9079A0421D2F82003C2F8E412A0 -:10E8B00001464FF4807221F83C2F026900238364E3 -:10E8C0000365012A436508BFC3640068D2B211F032 -:10E8D00061FA0798D0F804138A6801999047E7E62F -:10E8E00001F00F00A046079C00EB400011F08003F0 -:10E8F00004EBC00014BF8069D0F8800100283FF409 -:10E90000CFAE01F07F0606EB460004EBC00202F139 -:10E910001400002B41F0800108BF02F5BE70802971 -:10E9200040F01A81D4F820C34CF6D436C0F800E089 -:10E9300028E15FEA0C4007984CF6D436C2F2010693 -:10E940007FF4BCAED0F820034A0600F0C8814368CB -:10E9500001F00F029A4200F2C281090600F18A8199 -:10E96000C2EBC20100EB810303F5FE7183F8FDE108 -:10E970008DE107984CF6D43690F8EC02C2F201060D -:10E98000032800F0C780022840F0D380002F00F059 -:10E990001381079C0321D4F804036760002884F8DE -:10E9A000EC123FF407AF01682046884700287FF447 -:10E9B00001AF1DE1079C204610F0E0F820468021C1 -:10E9C00074E6D4F8200384F8EE7290F8BC130129A1 -:10E9D00012D080F83870016807F07F03D1F8002862 -:10E9E00022F4FE62C1F80028D1F8002842EA03129E -:10E9F000C1F8002880F8BCE3079A0421C2F8E412A9 -:10EA000001464FF4807221F83C2F51F82C2CC1F8AC -:10EA10000CE0C1F814E0012AC1F818E008BFC0F802 -:10EA20004CE00068D2B211F0B5F9002F14BF0220FB -:10EA30000120079981F8EC0289E003281CD1079B8B -:10EA40000221181D4FF0010CC3F8E412C3F81CC0DA -:10EA5000D3F82023C3F820C011464FF4807321F867 -:10EA60003C3F90641369C2F850C0012BC2F854E0D7 -:10EA700008BFD06410689DE0079C2046802110F0FC -:10EA80007DF82046002110F079F84FF0000E15E6D1 -:10EA90000798DDF81880017CD0F804030029029F54 -:10EAA0000CBF816AC16A0DF1220088470646022028 -:10EAB000707050E1C0B207283FF6D7AEDDF818807D -:10EAC000029FDFE810F00800220127012C0131012C -:10EAD00036013B0140010798D0F80003416836E158 -:10EAE000079CDDF81880207C029F50B9D4F80403FD -:10EAF000416B2CE1079CDDF81880207C029F0028E8 -:10EB000061D02046802110F039F82046002110F015 -:10EB100035F870E1002F60D0079CDDF81880606840 -:10EB2000029F01287AD1D4F820030421C4F8E4120A -:10EB30001CE6079C8021204610F020F8204600218A -:10EB400010F01CF8D4F80403416820468847DDF82B -:10EB500018804FF0000E69E4D4F820C3C6EBC6015C -:10EB60000CEB810101F13E06002B08BF01F5FF7699 -:10EB700031784CF6D43600290EBFC0F800E00121F0 -:10EB80000160079CC2F20106022261464FF48073C5 -:10EB9000C4F8E422C4E9072221F83C3F51F82C3C98 -:10EBA000C8604A61012BC1F818E008BFCCF84C00DE -:10EBB000DCF80000DAB240E1079A0421D2F8200321 -:10EBC000C2F8E41219E0D4F80403016B0DF122003D -:10EBD0008847064607207070BDE0079C0221D4F8E4 -:10EBE000040384F8EC1241682046C4F804E0884726 -:10EBF000D4F820030421C4F8E41201464FF48072D3 -:10EC000021F83C2F02690023DDF81880029F8364FD -:10EC10000365012A436508BFC3640CE1D4F804030B -:10EC200041682046884747F6F011D4F80403C1F242 -:10EC300000010978002861603FF41FAF0168204699 -:10EC4000884700287FF419AFD4F820030421C4F8C2 -:10EC5000E41201464FF4807221F83C2F0269002330 -:10EC600083640365012A4FF0000C436508BFC0F8B8 -:10EC70004CC0E0E0C2EBC20100EB810C01234CF67A -:10EC8000D4360CF13C018CF83D30C2F2010690F80C -:10EC9000BC3381F802E0012B0A7020D04E78036863 -:10ECA000012E4FF001064FEA421280F8BC6314BFF8 -:10ECB00003F5306303F510639E5826F400169E504A -:10ECC000C97801F0FE01022903D1D15841F08051E9 -:10ECD000D1504CF6D436C2F2010680F8BCE3079A54 -:10ECE0000421C2F8E41201464FF4807221F83C2F4F -:10ECF00051F82C2CC1F80CE0C1F814E0012AC1F83D -:10ED000018E008BFC0F84CE095E00798D0F8000381 -:10ED100081681CE00798D0F80003C16817E00798E5 -:10ED2000D0F80003016912E00798D0F800034169A8 -:10ED30000DE00798D0F80003816908E00798D0F843 -:10ED40000003C16903E00798D0F80003016A0DF1E0 -:10ED5000220088470646BDF8221019B3079B4FF0E2 -:10ED6000000CB3F8FE0200284CD0814238BF0846A0 -:10ED7000D3F820230221C3F8E412D86118621146A7 -:10ED80004FF4807321F83C3F1369ADF82200106501 -:10ED90009664012BC2F854C008BFD6641068DAB27A -:10EDA00010F0F8FF079B4FF0000CB3F8FE0248B3D9 -:10EDB000BDF8221000293FF472AC814238BF0846EA -:10EDC000079B0221D3F82023C3F8E412D86118620C -:10EDD00011464FF4807321F83C3F1369ADF82200CF -:10EDE00010659664012BC2F854C008BFD664106841 -:10EDF000DAB210F0CFFF4CF6D436C2F201064FF073 -:10EE0000000E5BE4D3F820030421C3F8E4120146AA -:10EE10004FF4807221F83C2F02694CF6D436012A57 -:10EE2000C2F20106C0F848C0C0F850C0C0F854C0D3 -:10EE300001D1C0F84CC00068D2B210F0ABFF4FF067 -:10EE4000000EFFF73BBC306800E040464169826934 -:10EE50001140490340F1D081D0F81818D0F81C288F -:10EE600011401FFA81F8B8F1000F00F0C581069833 -:10EE7000002300F510604FF0000A059008E000BF85 -:10EE80000AF1010AB3EB580F4FEA580800F0AF81BE -:10EE90005FEAC870F4D04CF6D436C2F2010630688E -:10EEA0000AF00F05D0F81018D0F8342800EB4A13F8 -:10EEB000EA40D201D2B2D3F80839114301EA030B78 -:10EEC000059F5FEACB714FEA4A19049500F0D6809E -:10EED000069B0124D3F8341804FA05F29143C3F8D1 -:10EEE000341807EB09018C60316901290AD1CAEB9A -:10EEF000CA0106EB8101D1E91123BAF1000F1A44CE -:10EF00008A641BD0D6F804445FEA0A6010D0D4F8B3 -:10EF100004034269002A00F0B18094F8EC0203284F -:10EF200040F0AC805FFA8AF120469047059FA5E04B -:10EF3000CAEBCA0006EB800048302FE0316D49BBB8 -:10EF4000016C43F20A02C4F65472914203D9D0F81C -:10EF5000001B00291ED40021C0F8101BD0F8101B84 -:10EF600041F40021C0F8101BD0F8101B41F018012B -:10EF7000C0F8101BD0F8101B41F0C041C0F8101BA6 -:10EF800006F57171C0F8141BD0F8001B41F0802108 -:10EF9000C0F8001BD6F8044406F14800D4F8E41287 -:10EFA000022964D1D4E90812914219D9891AD4F8F6 -:10EFB00020238BB2006813651369216211464FF458 -:10EFC0008076002521F83C6F9064012B556508BFC1 -:10EFD000D0641068DAB210F0DDFED4F8200332E01D -:10EFE0001ED1E06988421BD3D4F8E812884217D2B8 -:10EFF000D4F820034FF48072014621F83C2F0269B7 -:10F00000002585640565012A456508BFC56400685B -:10F01000D2B210F0BFFED4F82003C4F8E85212E0D8 -:10F02000D4F804030025C06820B194F8EC12032939 -:10F0300008BF8047204680210FF0A0FDD4F82003B0 -:10F040000521C4F8E412C0F80852C0F81052026951 -:10F05000C0F81452012AA0F8FC5108BFC0F80C52A5 -:10F0600000F5FE710068D2B210F094FE059F94F88E -:10F07000F002012804BF002084F8F0025FEA0B7060 -:10F0800042BF07EB0900082181605FEACB6042BF05 -:10F0900007EB0900102181605FEA4B6042BF07EB7C -:10F0A0000900402181605FEA8B704FF0000342BF8E -:10F0B00007EB0900022181605FEA0B607FF5E0AE9B -:10F0C0004CF6D431CAEBCA00C2F2010101EB800058 -:10F0D000014651F850EF0A4652F804CFE645FFF4D6 -:10F0E000CFAE4CF6D433C2F201031D6883465BF801 -:10F0F000443FAEEB0C0609EB05049E4288BF1E465A -:10F10000D4F818790336BFB2B7EB960F28BFE6459F -:10F110000DD8E6454FF000033FF6B2AED5F83408FF -:10F12000049A012191408843C5F83408A8E6483084 -:10F13000D0F8009004F51064029005F580504FEA75 -:10F140000A36079403954CF6D435C2F20105AEEBAE -:10F150000C072C7C9F4288BF1F469CB11368FD1C86 -:10F1600003EB070C079BC2F800C09B69D1F800E0D5 -:10F170009BB2B3EB950FB94428BFE64532D9DBF813 -:10F180000030E0E7032313FA87F30025B5EB930F74 -:10F19000E4D09C08651E04F0030C032D4C4611D3EB -:10F1A0006FF0030505EA93035B424C462568043380 -:10F1B000355065683550A5683550E56804F1100490 -:10F1C0003550F3D1BCF1000FC8D02368BCF1010F5A -:10F1D0003350C3D06368BCF1020F33501CBFA36827 -:10F1E0003350BBE70298039DC0F8009091E74CF6BE -:10F1F000D436C2F20106306841698269DDF818E050 -:10F200001140B1F1FF3F1BDCDEF8041821F00101D1 -:10F21000CEF8041896F8F413012903D1002186F8DA -:10F22000F41309E0D6F8041491F8EC22042A04BF80 -:10F2300091F8ED2281F8EC22416901F00041416131 -:10F24000416982691140090520D5DEF80818C9070F -:10F2500018D0D6F8041491F8EC2281F8ED2204229B -:10F2600081F8EC22D0F8001E41F00101C0F8001E28 -:10F27000316A39B14EF61051CEF200010A6842F0FF -:10F2800006020A60416901F40061416141698269D5 -:10F290001140C90440F14D81DEF804280EF50061EB -:10F2A00022F00102CEF804284FF48462026140F695 -:10F2B0004452C0F20302043A0CD003699B0609D5FC -:10F2C00003699B0644BF03695FEA836302D5036950 -:10F2D0009B06F0D47368002B00F0D7805A1E032AD7 -:10F2E00003F0030C01D200237CE023F003035F4210 -:10F2F00000234FF67F3600BF0EEB0305C5F8086903 -:10F30000D5F80049043724F40014C5F80049D5F8AD -:10F31000004903F1800344F00064C5F80049C5F8D2 -:10F32000086BD5F8004B24F40014C5F8004BD5F851 -:10F33000004B44F00064C5F8004BC5F82869D5F8C7 -:10F34000204924F40014C5F82049D5F8204944F098 -:10F350000064C5F82049C5F8286BD5F8204B24F483 -:10F360000014C5F8204BD5F8204B44F00064C5F8D4 -:10F37000204BC5F84869D5F8404924F40014C5F875 -:10F380004049D5F8404944F00064C5F84049C5F803 -:10F39000486BD5F8404B24F40014C5F8404BD5F821 -:10F3A000404B44F00064C5F8404BC5F86869D5F897 -:10F3B000604924F40014C5F86049D5F8604944F068 -:10F3C0000064C5F86049C5F8686BD5F8604B24F453 -:10F3D0000014C5F8604BD5F8604B44F00064C5F8E4 -:10F3E000604B89D1BCF1000F4FD00EF51067FE18AD -:10F3F0004FF67F35B560FE58BCF1010F26F40016BC -:10F40000FE50FC580EF5306644F00064FC5006EBEC -:10F410000304A560F45824F40014F450F45844F0A4 -:10F420000064F45031D003F120043A1995603A5940 -:10F43000BCF1020F22F400123A513A5942F0006234 -:10F440003A5106EB04029560325922F4001232510F -:10F45000325942F00062325117D003F14002BB181A -:10F460004FF67F359D60BB5823F40013BB50BB584B -:10F4700043F00063BB50B3189D60B35823F40013EE -:10F48000B350B35843F00063B350CA694CF6D43656 -:10F4900042F00112CA61C2F20106326B42B1D1F8E8 -:10F4A000842042F00B02C1F8842001F1440206E0FE -:10F4B0004A6942F22B031A434A6101F110021368B0 -:10F4C00043F00B0313600A6843F20A0322F4FE625E -:10F4D0000A603169026CC4F654739A4203D9D0F8B9 -:10F4E000002B002A21D40022C0F8102BD0F8102BBA -:10F4F000C9B242F40022C0F8102BD0F8102B012919 -:10F5000042F01802C0F8102BD0F8102B42F0C04285 -:10F51000C0F8102B09D106F57171C0F8141BD0F892 -:10F52000001B41F08021C0F8001B416901F48051AB -:10F53000416141698269114089044FD5D0F80019B1 -:10F5400040F2FF729143C0F80019D0F8041841F45A -:10F550008071C0F80418D0F8081811F0060F04D014 -:10F56000890700F187800F2100E00021F1604FF44E -:10F570001051C268012522F47052C260C268114362 -:10F58000C160D6F804440020C4F8E4026060C4F806 -:10F59000F402D4F80403257484F8EC5210B14168E5 -:10F5A00020468847204600210022102310260FF015 -:10F5B00079FA2046802100221023C4F88051C4F833 -:10F5C0008C610FF06FFA4CF6D430C2F20100006883 -:10F5D000A5616662416901F4005141614169826936 -:10F5E0004CF6D4351140C2F2010509070FD5D5F804 -:10F5F000041491F8EC22032A05D1D1F80413C96947 -:10F6000009B188472868416901F0080141614169F1 -:10F6100082691140C90203D5416901F48011416139 -:10F62000416982691140890203D5416901F40011E1 -:10F630004161416982691140490003D5416901F086 -:10F640008041416141698269114049070FD5446891 -:10F65000610709D5D5F804040122D0F8041380F815 -:10F66000EC2249688847286841682143416009B015 -:10F67000BDE8F08F4AF24831C2F20101096845F253 -:10F680004032CFF6277243F200530A44C0F20C0313 -:10F690009A424FF00202F26002D24FF4705168E7D2 -:10F6A00041F64062CFF61B7244F240230A44C0F296 -:10F6B0000F039A4202D24FF460515AE7A1F5740247 -:10F6C00044F68073A2F51052C0F212039A4202D29D -:10F6D0004FF450514DE7A1F183724DF22063A2F532 -:10F6E000E742C0F213039A4202D24FF4405140E77E -:10F6F0004BF26062CFF6E5624EF260330A44C0F22C -:10F7000016039A4202D24FF4305132E74DF20032E2 -:10F71000CFF6CE6247F240730A44C0F21B039A420E -:10F7200002D24FF4205124E745F6C032CFF6B3623F -:10F7300049F2C0130A44C0F221039A42FFF417AF02 -:10F74000A1F1B77247F22053A2F55852C0F2380324 -:10F750009A4202D24FF400510BE745F2E042CFF655 -:10F76000596249F6E0430A44C0F241034FF4C051E4 -:10F770009A4238BF4FF4E051FBE600004AF22850AD -:10F78000C2F201000178012918BF7047002141F23F -:10F79000040C4AF224520170CEF2000CC2F20102B3 -:10F7A00042F67023DCF800101268C1F200031868FA -:10F7B000891A08441860DCF8000042F67421C1F28E -:10F7C000000108607047000081B000204CF6641111 -:10F7D0000090C2F201010A686FF004011160136C1D -:10F7E0009FED723A00939DED002A00901160D36B5B -:10F7F00000939DED000A00901160536C0093009BF4 -:10F8000000901160926C0092009A49F25C62009044 -:10F81000C1F2000212681160136C0093009B00900B -:10F820001160D06B4AF24C3100909DED001A42F607 -:10F830002C20B8EE411AC1F2000021EE031A90ED1F -:10F84000063AC2F2010191ED004A21EE031A34EEAC -:10F85000413A9FED574AB0EEC33AB4EE443AB8EE9F -:10F86000423A9FED542AF1EE10FAC8BF81ED001A1A -:10F870009FED511A23EE023AB4EE413AF1EE10FA3E -:10F8800013DA4BF6DC70C2F201000021016047F28E -:10F89000DC70C1F2000001604CF20800C2F201000D -:10F8A0004FF07E51016001B07047B8EE400A9FED05 -:10F8B000434A90ED055A4BF6DC7020EE020AC2F284 -:10F8C000010033EE043A30EE042AB4EE410A90ED22 -:10F8D000000A47F2DC7125EE033A25EE022A9FED7D -:10F8E000384AC1F20001F1EE10FAB8BFB0EE442A76 -:10F8F00033EE401AB6EE003A91ED004A21EE031ABB -:10F9000031EE001A32EE440A20EE030A30EE040A09 -:10F9100030EE012A31EE403A82EE032A80ED001AE1 -:10F920004CF2080081ED000AC2F20100B4EE410A77 -:10F930000021F1EE10FAC4F22011B2EE043A21EEE9 -:10F94000011A20EE000A80ED002AC8BF016090ED88 -:10F95000002AB4EE432A9FED1B2AF1EE10FAC8BF2D -:10F9600001604CF2000021EE021AC2F2010080EDAB -:10F97000001A90ED001A20EE020AB5EE401AF1EEE0 -:10F9800010FABCBF0021016047F69010C1F20000E0 -:10F9900080ED000A90ED000AB5EE400AF1EE10FA93 -:10F9A000BCBF0021016001B0704700BF3333533A40 -:10F9B000CDCC4C3EC836533A0AD7233C3D0A573E7D -:10F9C0006F12833A0AD7A33C2DE9F04F81B02DED99 -:10F9D000028B82B047F2F07AC1F2000A9AF8010075 -:10F9E0000AF12C0B002858460AF1100308BF1846EC -:10F9F000056848F6E4570020C0F60307002D08BF4D -:10FA000040F68C25019303E02430B0F54F7F16D0EB -:10FA1000395C012903D13E1871898D4222D03918F1 -:10FA20000A7B012A02D1CA8A954219D00A7E012A8C -:10FA3000EAD14A8C9542E7D101F1180612E041F271 -:10FA40007920C0F6040018F0CDF9012018F040FA32 -:10FA5000A8B218F03DFA48F6E456C0F6030601E0F5 -:10FA600001F10C064BF6CD72C2F20102307A7168D8 -:10FA70009146127847F294684BF68C64C1F2000804 -:10FA8000C2F2010488F80000C8F808102AB1C100C9 -:10FA90002046F1F774FE307A7168C8F8044099F88E -:10FAA00000204BF6047447F2A068C2F20104C1F2D0 -:10FAB00000082070A1602AB1C1004046F1F75FFE46 -:10FAC000307A7168C4F8048099F8002047F29C7479 -:10FAD0004BF61C76C1F20004C2F201062070A16050 -:10FAE00032B1C1004BF61C70C2F20100F1F747FEC3 -:10FAF0004FF6FE70C7F6FF7000210222666005E037 -:10FB00002431B1F54F7F02F103021DD07B5C022B43 -:10FB100003D1931E03402C2B11D07B181E7B022E89 -:10FB200003D1561E06402C2E0BD01E7E022EE7D18E -:10FB300002EA00062C2EE3D103F1180015E0781834 -:10FB400013E003F10C0010E041F27920C0F604004C -:10FB500018F048F9022018F0BBF94FF47A7018F049 -:10FB6000B7F948F6E450C0F603009AF801100AF11C -:10FB70002402002908BF0AF10802116821F00101DE -:10FB800002292DD1002103E02431B1F54F7F15D09A -:10FB9000785C022803D178184289954220D07818E1 -:10FBA000027B022A02D1C28A954218D0027E022A22 -:10FBB000EAD1428C9542E7D1183011E041F2792028 -:10FBC000C0F6040018F00EF9022018F081F9A8B26E -:10FBD00018F07EF948F6E450C0F6030000E00C305F -:10FBE000017A406899F800204BF6905447F210656E -:10FBF000C2F20104C1F200052170A06032B147F2E7 -:10FC00001060C900C1F20000F1F7B9FD9AF80100D7 -:10FC10000AF128016560002808BF0AF10C010C6890 -:10FC20000020002C08BF4FF4967403E02430B0F598 -:10FC30004F7F14D0395C19B93D1869898C4221D0A5 -:10FC400039180A7B12B9CA8A944219D00A7E002A4E -:10FC5000ECD14A8C9442E9D101F1180512E041F24D -:10FC60007920C0F6040018F0BDF8002018F030F933 -:10FC7000A0B218F02DF948F6E455C0F6030501E0EE -:10FC800001F10C05287A696899F8002047F2886626 -:10FC90004BF69C54C1F20006C2F201043070B16010 -:10FCA0002AB1C1002046F1F76AFD287A69687460BC -:10FCB00099F800204BF6107447F22475C2F2010443 -:10FCC000C1F200052070A16032B1C10047F224707A -:10FCD000C1F20000F1F753FD9AF8010065600028B9 -:10FCE000019808BF8346DBF8000043F697210138EE -:10FCF000884219D900200022B0F54C7F35D011463A -:10FD00003A1892F82430002B08BF04293FD092F80B -:10FD100030300A1D002B00F13000EDD141F003011D -:10FD20004329E9D138443AE00020B0F54C7F10D0A7 -:10FD300039180A7E002A08BF30282BD091F82420D9 -:10FD4000002A08BFB0F5407F27D03030B0F54C7F97 -:10FD5000EED141F27920C0F6040018F043F80020FB -:10FD600018F0B6F843F698200AE041F27920C0F680 -:10FD7000040018F037F8002018F0AAF844F62060C4 -:10FD800018F0A6F848F6E450C0F6030007E002F1C8 -:10FD9000240004E001F1180001E001F12400017ADF -:10FDA000406899F8002047F218744BF61465C1F2C8 -:10FDB0000004C2F201052170A06032B14BF614605C -:10FDC000C900C2F20100F1F7DAFC9AF960619FED17 -:10FDD000F48A00EE106AB8EEC00AB0EEC00A20EE57 -:10FDE000080A10EE100A65601BF0C8F9002E00EE3C -:10FDF000100A0ED49FEDEB1A9FEDEB2A20EE014A7C -:10FE00009FEDEA1A9FEDEA3A34EE020A32EE442AF6 -:10FE10001BE09FEDE81A9FEDE83A20EE014A34EE30 -:10FE2000030AB7EE001A81EE005A9FEDE40A9FED37 -:10FE3000E41A9FEDE42A33EE443A25EE000A25EE5B -:10FE4000011A25EE022A25EE033A42F630609AF9AD -:10FE50006141C1F2000080ED000A00EE104AB8EEE8 -:10FE6000C00AB0EEC00AB1EE414AB1EE433A20EE0C -:10FE7000080A80ED011A80ED022A80ED034A80ED28 -:10FE8000043A10EE100A1BF079F9002C00EE100A6B -:10FE90000ED49FEDCD1A9FEDCD2A20EE014A9FEDA5 -:10FEA000CC1A9FEDCC3A34EE020A32EE442A1BE023 -:10FEB0009FEDC91A9FEDC93A20EE014A34EE030ABC -:10FEC000B7EE001A81EE005A9FEDC50A9FEDC51AE4 -:10FED0009FEDC52A33EE443A25EE000A25EE011ABD -:10FEE00025EE022A25EE033A4AF2B4509AF962410D -:10FEF000C2F2010080ED000A00EE104AB8EEC00A1E -:10FF0000B0EEC00AB1EE414AB1EE433A20EE080A23 -:10FF100080ED011A80ED022A80ED034A80ED043A5B -:10FF200010EE100A1BF02AF9002C00EE100A0ED475 -:10FF30009FEDAE1A9FEDAE2A20EE014A9FEDAD1A5D -:10FF40009FEDAD3A34EE020A32EE442A1BE09FEDFB -:10FF5000AB1A9FEDAB3A20EE014A34EE030AB7EE3E -:10FF6000001A81EE005A9FEDA70A9FEDA71A9FED98 -:10FF7000A72A33EE443A25EE000A25EE011A25EEB3 -:10FF8000022A25EE033A42F614609AF96341C1F25F -:10FF9000000080ED000A00EE104AB8EEC00AB0EE94 -:10FFA000C00AB1EE414AB1EE433A20EE080A80EDB4 -:10FFB000011A80ED022A80ED034A80ED043A10EE2A -:10FFC000100A1BF0DBF8002C00EE100A0ED49FED97 -:10FFD000751A9FED752A20EE014A9FED741A9FED68 -:10FFE000743A34EE020A32EE442A1BE09FED711A95 -:10FFF0009FED713A20EE014A34EE030AB7EE001A83 -:020000040801F1 -:1000000081EE005A9FED6D0A9FED6D1A9FED6D2AEE -:1000100033EE443A25EE000A25EE011A25EE022AB7 -:1000200025EE033A4AF290509AF96441C2F2010077 -:1000300080ED000A00EE104AB8EEC00AB0EEC00A29 -:10004000B1EE414AB1EE433A20EE080A80ED011AC2 -:1000500080ED022A80ED034A80ED043A10EE100A8A -:100060001BF08CF8002C00EE100A0ED49FED561AEF -:100070009FED562A20EE014A9FED551A9FED553A05 -:1000800034EE020A32EE442A1BE09FED531A9FED34 -:10009000533A20EE014A34EE030AB7EE001A81EE1D -:1000A000005A9FED4F0A9FED4F1A9FED4F2A33EEF6 -:1000B000443A25EE000A25EE011A25EE022A25EE25 -:1000C000033A42F6F8509AF96541C1F2000080ED1A -:1000D000000A00EE104AB8EEC00AB0EEC00AB1EE57 -:1000E000414AB1EE433A20EE080A80ED011A80ED54 -:1000F000022A80ED034A80ED043A10EE100A1BF04C -:100100003DF8002C00EE100A0ED49FED381A9FED3A -:10011000382A20EE014A9FED371A9FED373A34EE28 -:10012000020A32EE442A1BE09FED341A9FED343A66 -:1001300020EE014A34EE030AB7EE001A81EE005AAF -:100140009FED300A9FED301A9FED302A33EE443A8E -:1001500025EE000A25EE011A25EE022A25EE033AC5 -:100160004AF27450C2F20100B1EE414AB1EE433A94 -:1001700080ED000A80ED011A80ED022A80ED034A2D -:1001800080ED043A4BF6CC70C2F201000021017000 -:1001900089F8001002B0BDEC028B01B0BDE8F08F11 -:1001A0002D152A3EF7B44B3DB044733F58EFF2BFD4 -:1001B0006189663FDC83563D7816803F97CA863F4B -:1001C00010D3FFBFB2C4723FC5F07A3DF450703F08 -:1001D000A242EEBFE8A1603FA73B863DC58C803FB1 -:1001E0007FF0883F76E6FEBF1552703F8AA7A23D9A -:1001F0000FAB6B3F54A3E3BF1E56573FE3BFB33D66 -:10020000F337823FF1738D3F1B90FBBFEAF76D3FE1 -:100210004AF22850C2F20100017801291BD10021C5 -:1002200041F2040C4AF224520170CEF2000CC2F2E8 -:10023000010242F67023DCF800101268C1F20003DC -:100240001868891A08441860DCF8000042F6742126 -:10025000C1F2000108604CF68C30C2F20100416B23 -:10026000084700002DE9F04F87B047F2E174C1F272 -:10027000000492462278012A38D148F21864C1F26B -:100280000004218848F2185A4CF2782800270FF20F -:10029000C879C1F2000AC2F2010800250FE000BFD0 -:1002A000F1F7B8FD218801283BD088B2B0F5807FF6 -:1002B00005F1010524BF00212180082D31D019F856 -:1002C00005008AB20AF802000131B8F800008AB2CB -:1002D00082422180E9D10026F1F79CFDB7EB562F31 -:1002E000DED1012806F10106F6D0D9E749F6840BE4 -:1002F000C1F2000B8046DBF81C00109F1D460E4625 -:10030000102800F0A68010204FF00009CBF81C0048 -:10031000CBF828909BF8511041B3BE46AC46002163 -:1003200067E088B20A220AF80020481CB8F80010DA -:1003300082B28A42208011D100250026F1F76AFDA1 -:10034000B5EB562F03D1012806F10106F6D0F1F7DF -:1003500061FD012801D10021FFE1208880B2B0F5C4 -:10036000807F4FF0000128BF2180F6E143F60000B6 -:10037000DBF80010C4F2000081428BF85090CDF8F9 -:100380001890CDE90499CDF80C90CDF8089002D0E2 -:10039000102000212BE0002143F630020191C4F22D -:1003A0000202136900F5E63043F4804313611369D8 -:1003B00003F480430193019B0191136843F002030E -:1003C0001360126802F002020192019A4FF4604237 -:1003D0000292022203920122CDE904210521069115 -:1003E00002A9F7F799F8DBF81C00DBF82810BE46E5 -:1003F000AC4602228BF851209BE83C00234317684F -:100400002B4327F040071760DBF8107047F2E174C8 -:100410003B43DBE90575C1F200043B4305F400777B -:100420003B43DBF820701843384308431060042036 -:10043000DBF8241000EA154008435060D069654697 -:1004400020F40060D06101207746CBF854908BF8FF -:100450005100DDF84490012020703804A86146B1B5 -:1004600030465146F0F7EFFFB8F1000F6CD0404630 -:1004700076E09BF8500001287BD04FF0010E49F642 -:10048000EC628BF850E0C1F2000213689BF8510057 -:10049000012840F08980B8F1000F4FF0010018BF2B -:1004A000BAF1000F00F04881032000218BF85100C1 -:1004B000CBF85410CBF83080ABF834A0ABF836A0B2 -:1004C000CBF83810ABF83C10ABF83E10DBF80870F6 -:1004D000DBF80000B7F5004FCBF840100097CBF8E1 -:1004E000441003D1016841F4804101600168AC46C9 -:1004F000490603D4016841F040010160DBF8046063 -:10050000AAF10107DBF80C10B6FA86F5B7FA87F7FF -:100510006D097F09B1F5006F47EA050140F0AF8032 -:1005200049B138F8021BC160CBF83080BBF83610F7 -:100530000139ABF83610BBF83610002900F0D28034 -:10054000DBF80070002126E0DBF80430B3F5827F91 -:100550000BD1DBF8080040B904208BF8510030467D -:1005600031465246F7F78CFCEBE09BF8500001282F -:100570001CD10220E5E038F8025BC560CBF8308082 -:10058000BBF836000138ABF83600BBF8360000285F -:10059000384600F0A7808568AD07ECD41068C01A13 -:1005A000B1EB502FF1D099E00220C5E04FF0010CE3 -:1005B00049F6EC6E8BF850C0C1F2000EDEF8002058 -:1005C0009BF85110012956D1BAF1000F00F0EF80CD -:1005D00004218BF851100021CBF85410CBF838606F -:1005E000ABF83CA0ABF83EA0CBF83010ABF8341021 -:1005F000ABF83610DBF80870CBF84010B7F5004FB9 -:10060000DBF80070CBF8441003D1396821F4804145 -:1006100039603968A846490603D4396841F0400179 -:100620003960DBF80C50BBF83E10002D00F0C5809F -:10063000002900F0C080DBF8005000210FE0F868CE -:1006400026F8020BCBF83860BBF83E000138ABF857 -:100650003E00BBF83E002F46002800F0AC80B86892 -:10066000C007ECD1DEF80000801AB1EB502FF0D0BB -:1006700003204546ECE102208BF851C05EE069B1F1 -:1006800098F800100173DBF8300000F10108CBF896 -:100690003080BBF836000138ABF83600BBF83600C6 -:1006A00000B3DBF80000002110E098F8005005735B -:1006B000DBF8305005F10108CBF83080BBF836503C -:1006C000013DABF83650BBF836505DB18568AD07DB -:1006D000EBD41568ED1AB1EB552FF4D0109F032021 -:1006E000654629E04AF24830C2F20100006849F646 -:1006F0008171C1F25E61A0FB0101480D4FF47A7176 -:1007000048430290DBF80070B6F5827F29D1B868C3 -:100710006546000672D40099109F41B900200290EE -:10072000DBF80000C1680291806802900298DBF853 -:100730005400002818BF01208BF851E000218BF8ED -:100740005010B9F1000F4FF0000140F0020008BF57 -:10075000AF610328217018BF0121084607B0BDE82A -:10076000F08F654602980028D5D002980138029093 -:10077000B8680006CFD502980028CCD0029801387E -:100780000290B8680006C6D502980028C3D0029827 -:1007900001380290B8680006BDD502980028BAD08A -:1007A000029801380290B8680006DBD4B3E7012054 -:1007B0008BF851C0C2E7454673E00029454670D02A -:1007C0000023B868C00706D1DEF80000801AB3EB3A -:1007D000502F0CD006E1387B3070DBF83800461C17 -:1007E000CBF83860BBF83E000138ABF83E00BBF8F0 -:1007F0003E00002853D0DBF80070E2E700201168CB -:10080000C91AB1F5007F1ED2B96809067FF583AF1A -:100810001168C91AB0EB512F15D1B96809067FF5D7 -:100820007AAF1168C91AB0EB512F0CD1B96809061B -:100830007FF571AF1168C91AB0EB512F03D1B968B8 -:100840000906DCD467E77868009920F0E000B1F58C -:10085000004F786002D0B1F5806F03D1386820F086 -:1008600040003860DBF82800B0F5005F07D1386839 -:1008700020F400503860386840F40050386001209F -:100880008BF8510000208BF85000DBF8540040F04A -:100890002000CBF854002020CBF854003CE7DBF8D4 -:1008A0000430B3F5827F41D1DBF80870B7F5004F13 -:1008B00002D0B7F5806F65D1DBF80000B7F5806F27 -:1008C000016821F0400101605CD1DBF800309868DC -:1008D000C00700F0B8800027DEF80000801AB0F5ED -:1008E000007F80F08C809868C00700F0AC80DEF854 -:1008F0000000801AB7EB502F40F081809868C00745 -:1009000000F0A180DEF80000801AB7EB502F76D1FE -:100910009868C00700F09780DEF80000801AB7EBF7 -:10092000502F6CD19868C007D6D18CE0DBF800302E -:100930009868C00700F087800027DEF80000801A62 -:10094000B0F5007F49D29868C0077CD0DEF800007F -:10095000801AB7EB502F40D19868C00773D0DEF8EB -:100960000000801AB7EB502F37D19868C0076AD0C3 -:10097000DEF80000801AB7EB502F2ED19868C00720 -:10098000DBD160E0DBF80030986800065BD500261C -:10099000DEF80000801AB0F5007F25D298680006C6 -:1009A00051D5DEF80000801AB6EB502F1CD19868A4 -:1009B000000648D5DEF80000801AB6EB502F13D1A0 -:1009C000986800063FD5DEF80000801AB6EB502F7D -:1009D0000AD198680006DBD435E0586820F0E000C2 -:1009E000586014E0032033E05868B7F5004F20F05A -:1009F000E000586007D0B7F5806F04D007E0586872 -:100A000020F0E0005860186820F040001860DBF823 -:100A10002800B0F5005F07D1186820F40050186076 -:100A2000186840F40050186001208BF85100002035 -:100A30008BF85000DBF8540040F02000CBF8540055 -:100A40002020CBF85400DBF85400002818BF012008 -:100A5000109F8BF851C071E653504920427573794D -:100A6000000000004AF22850C2F20100017801297A -:100A700018BF7047002141F2040C4AF22452017061 -:100A8000CEF2000CC2F2010242F67023DCF8001034 -:100A90001268C1F200031868891A08441860DCF86B -:100AA000000042F67421C1F20001086070470000A6 -:100AB0002DE9F04381B004464008E02800F2EC80C4 -:100AC00000296DD004F11A07BDB200262846052181 -:100AD0002A4640F22B1300964FF00508F8F796FBD4 -:100AE00000214FF0C0404FF02A0C2A0AC6F208013C -:100AF000FDB24FF02B094FF02C0E47F6EF37402395 -:100B0000A0F800C00A800D800A800D80A0F8009037 -:100B10000E80A1F800800E80A1F80080A0F800E00F -:100B20000F80A0F800C00A800D800A800D80A0F818 -:100B300000900E800B800E800B807B23A0F800E0DD -:100B40000F80A0F800C00A800D800A800D80A0F8F8 -:100B500000900E800B800E800B80B623A0F800E082 -:100B60000F80A0F800C00A800D800A800D80A0F8D8 -:100B700000900E800B800E800B80A0F800E00F80AC -:100B8000A0F800C00A800D800A80F1220D80A0F834 -:100B900000900E800A800E800A80A0F800E00F808E -:100BA000002C43D0611E47F6106289B2C1F20002E8 -:100BB00002EB810191ED000A9FED391A9FED392A70 -:100BC00020EE010A32EE400ABDEEC00A10EE101A05 -:100BD0004F1D002948BF052702EB840240F22B116C -:100BE000B7F5957FC8BF0F4692ED000A04F11900D2 -:100BF00020EE010A32EE400ABDEEC00A10EE103AB5 -:100C00005E1D04F11A02002B48BF0526B6F5957F3C -:100C1000C8BF0E464FF47845B3B2B9B280B292B2B3 -:100C20000095F8F7C3FF01B0BDE8F08347F6106008 -:100C3000C1F2000090ED000A9FED1B1A9FED192AEA -:100C400020EE010A30EE020ABDEEC00A10EE100AD4 -:100C5000411D002848BF05214FF0C0422A23B1F5AD -:100C6000957FC8BF40F22B111380002388B2C6F2D3 -:100C7000080300271A261F801E801F802B27000ACA -:100C8000C9B21E8017801880198018802C20198006 -:100C900010804FF47840188001B0BDE8F08300BFA9 -:100CA000721C03428EE3A343721C03C22DE9F04F72 -:100CB00093B047F2B472C1F20002117811B113B0CF -:100CC000BDE8F08F4FF001094AF2B63682F8009085 -:100CD000C2F20106044630880121FFF7E9FEB4F1B3 -:100CE000FF3F05DC30880021013080B2FFF7E0FED5 -:100CF00030880DF10C0B051928B200240028D8BF4C -:100D00002546A8B2B0F5E07F58464FF0400188BFB5 -:100D100040F2C1153580F0F732FD4DF2D04049F276 -:100D20003461C2F20100C1F20001006809684BF6AB -:100D3000B942091AC9F2F51252FB01110A1202EB6B -:100D4000D171AAB201FB020044F6D351C1F2620193 -:100D500050FB01F147F61060C1F2000050F822008C -:100D60008B1103EBD1751BF02BFA41EC100B41F208 -:100D7000F311C0F6040158462A468DED000BEFF73B -:100D800023FBAA2040F22F114FF4B97240F2351321 -:100D90000094AA25F8F73AFA49F6DE67C1F200078F -:100DA00000214FF00008002620E000BF002A49D0B3 -:100DB000A8EB060000EB400005EB400080B240F2DB -:100DC0002F114FF4FC630094CDF80490F8F73AFD2E -:100DD00008F10100811B01EB410105EB41011FFA04 -:100DE00088F2398081B27F2A80462BD21BF80120FD -:100DF0005E2ADBD101EB0B0040786F28D8D108F1D7 -:100E000001096FEA060A0136A9EB06011FFA89F00B -:100E100001EB410100231BF8002005EB41000124F8 -:100E2000009380B24FF496714FF4FC630194F8F78D -:100E300009FD08F1020000EB0A01C84600244FF04A -:100E40000109C8E74AF2B630C2F2010000884FF447 -:100E5000FC671A3080B20521024640F22B1300973E -:100E6000F8F7D4F947F2B4710020C1F2000108701C -:100E700013B0BDE8F08F00002DE9F04FA5B047F2A8 -:100E8000B4724DF2D045C1F200024FF0010AC2F235 -:100E9000010582F800A0286049F23460C1F2000028 -:100EA000016047F2F071C1F2000148780A1D20315B -:100EB000002808460491039208BF104600684BF6CC -:100EC000C071C2F2010108604BF6FC70C2F2010071 -:100ED00000784BF6BC71C2F20101087000204FF09F -:100EE0000008F8F767F84FF6FF741920052119225A -:100EF00040F22B130094F8F789F919204FF49671FA -:100F000040F2DB124FF496730094F8F77FF90DF17D -:100F1000140948464021F0F732FC286844F6D351C2 -:100F2000C1F26201A0FB01018A0941F25161C0F6E0 -:100F300004014846EFF748FA49F6DE651B24C1F282 -:100F400000050021002600271EE000BF002A49D02E -:100F5000F01B00EB400004EB400080B240F22F1188 -:100F60004FF4FC63CDF80080CDF804A0F8F76AFCDC -:100F7000701CC11B01EB410104EB4101B2B229809D -:100F800081B27F2A06462DD219F801205E2ADDD1D2 -:100F900001EB090040786F28DAD106F1010B6FEA06 -:100FA000070A0137ABEB07011FFA8BF001EB410198 -:100FB00019F8002004EB4100012580B24FF496712E -:100FC0004FF4FC63CDF800800195F8F73BFCB01CB2 -:100FD00049F6DE6500EB0A014FF0010A5E46C1F2F8 -:100FE0000005C7E749F23460C1F20000006844F62A -:100FF000D351C1F26201A0FB01018A0941F25161A2 -:10100000C0F604014846EFF7DFF94FF0000840F260 -:10101000B71B4FF0010A00210027002422E000BF87 -:10102000002A42D0381B00EB40000BEB400080B29E -:1010300040F22F114FF4FC63CDF80080CDF804A0EE -:10104000F8F700FC781C011B01EB410149F6DE6258 -:101050000BEB4101C1F200021180BAB281B27F2ACA -:10106000074622D219F801205E2AD9D101EB0900E6 -:1010700040786F28D6D17D1CE6430134291BA8B2E5 -:1010800001EB410119F800200BEB410080B24FF455 -:1010900096714FF4FC63CDF80080CDF804A0F8F70A -:1010A000D1FBB81C81192F46CEE715AE3046402142 -:1010B000F0F765FB4DF2D04049F23461C2F2010015 -:1010C000C1F20001006809684BF6B942091AC9F279 -:1010D000F51252FB01114AF2B633C2F201030A12B1 -:1010E000B3F9003002EBD17101FB030044F6D35198 -:1010F000C1F2620150FB01F147F61060C1F200003D -:1011000050F823008A1102EBD1771BF059F841EC1B -:10111000100B41F2F311C0F6040130463A468DED52 -:10112000000BEFF751F90025AA2040F22F114FF4E0 -:10113000B97240F235130095AA24F8F767F849F61A -:10114000DE6B012700214FF000084FF0000AC1F2CA -:10115000000B20E0002A48D0A8EB0A0000EB40007A -:1011600004EB400080B240F22F114FF4FC63009575 -:101170000197F8F767FB08F10100A0EB0A0101EB0A -:10118000410104EB41011FFA88F2ABF8001081B273 -:101190007F2A804629D2725C5E2ADBD1881940788A -:1011A0006F28D9D108F101056FEA0A0B0AF1010A8B -:1011B000A5EB0A01A8B201EB41010023325C04EB6C -:1011C0004100009380B24FF496714FF4FC63019795 -:1011D000F8F738FB08F1020000EB0B0149F6DE6B73 -:1011E000C1F2000BA8460025C9E74AF2B630C2F2A8 -:1011F000010000884FF4FC671A3080B205210246D6 -:1012000040F22B130097F8F701F89FEDEB0B41F23A -:10121000022153EC102BC0F604014846EFF7D4F836 -:101220004FF000084FF0010A0021002400271AE0C7 -:10123000D2B3E01B00EB4000400080B205214FF428 -:10124000FC63CDF80080CDF804A0F8F7FBFA601C31 -:10125000C11B01EB41014900A2B2ABF8001081B201 -:101260007F2A044620D219F801205E2AE0D101EB42 -:10127000090040786F28DCD1651CFE430137E91B6B -:10128000A8B201EB410119F80020480080B2022108 -:101290004FF4FC63CDF80080CDF804A0F8F7D2FA43 -:1012A000A01C81192C46D4E747F6EF3719200521F9 -:1012B00040F2DB1205230097F7F7A8FF9FEDC00B64 -:1012C00041F2022153EC102BC0F604014846EFF71F -:1012D0007BF84FF000084FF0010A0021002400279E -:1012E0001BE000BFD2B3E01B00EB4000400080B227 -:1012F00040214FF4FC63CDF80080CDF804A0F8F74E -:10130000A1FA601CC11B01EB41014900A2B2ABF87C -:10131000001081B27F2A044620D219F801205E2AEB -:10132000E0D101EB090040786F28DCD1651CFE4359 -:101330000137E91BA8B201EB410119F80020480070 -:1013400080B23D214FF4FC63CDF80080CDF804A0BD -:10135000F8F778FAA01C81192C46D4E747F6EF3746 -:101360001920402140F2DB1240230097F7F74EFF8F -:101370009FEDEF0B41F2022153EC102BC0F604015C -:101380004846EFF721F84FF000084FF0010A00211E -:10139000002400271BE000BFD2B3E01B00EB40009D -:1013A000400080B27B214FF4FC63CDF80080CDF883 -:1013B00004A0F8F747FA601CC11B01EB410149008A -:1013C000A2B2ABF8001081B27F2A044620D219F8ED -:1013D00001205E2AE0D101EB090040786F28DCD1C2 -:1013E000651CFE430137E91BA8B201EB410119F866 -:1013F0000020480080B278214FF4FC63CDF80080D3 -:10140000CDF804A0F8F71EFAA01C81192C46D4E7E9 -:1014100047F6EF3719207B2140F2DB127B23009740 -:10142000F7F7F4FE9FEDC40B41F2022153EC102BB1 -:10143000C0F604014846EEF7C7FF4FF000084FF032 -:10144000010A0021002400271BE000BFD2B3E01BEB -:1014500000EB4000400080B2B6214FF4FC63CDF8B1 -:101460000080CDF804A0F8F7EDF9601CC11B01EB7A -:1014700041014900A2B2ABF8001081B27F2A0446B4 -:1014800020D219F801205E2AE0D101EB0900407852 -:101490006F28DCD1651CFE430137E91BA8B201EBC4 -:1014A000410119F80020480080B2B3214FF4FC63D9 -:1014B000CDF80080CDF804A0F8F7C4F9A01C81197C -:1014C0002C46D4E747F6EF371920B62140F2DB125D -:1014D000B6230097F7F79AFE9FED990B41F2022190 -:1014E00053EC102BC0F604014846EEF76DFF4FF0A9 -:1014F00000084FF0010A0021002400271BE000BF74 -:10150000D2B3E01B00EB4000400080B2F1214FF469 -:10151000FC63CDF80080CDF804A0F8F793F9601CC7 -:10152000C11B01EB41014900A2B2ABF8001081B22E -:101530007F2A044620D219F801205E2AE0D101EB6F -:10154000090040786F28DCD1651CFE430137E91B98 -:10155000A8B201EB410119F80020480080B2EE2149 -:101560004FF4FC63CDF80080CDF804A0F8F76AF9D9 -:10157000A01C81192C46D4E747F6EF371920F1213A -:1015800040F2DB12F1230097F7F740FE9FED6E0B60 -:1015900041F2022153EC102BC0F604014846EEF74D -:1015A00013FF4FF000084FF0010A0021002400272C -:1015B00026E000BF00BF00BF000000000000244084 -:1015C0000000006066662040E2B3E01B00EB4000D4 -:1015D000400080B24FF496714FF4FC63CDF8008068 -:1015E000CDF804A0F8F72EF9601CC11B01EB4101F6 -:1015F0004900A2B2ABF8001081B27F2A044621D282 -:1016000019F801205E2ADFD101EB090040786F282C -:10161000DBD1651CFE430137E91BA8B201EB410198 -:1016200019F80020480080B240F229114FF4FC6301 -:10163000CDF80080CDF804A0F8F704F9A01C8119BA -:101640002C46D3E747F6EF3719204FF4967140F256 -:10165000DB124FF496730097F7F7D8FD47F2F0705E -:10166000C1F200004DF2D0454178C2F20105286870 -:101670000029DDE9032108BF11460CF0F9FB4BF608 -:10168000FC700124C2F20100047047F69D10C1F203 -:101690000000047000784CF21B01C2F201010870D6 -:1016A0000BF084FE4BF27450C2F2010049F2346137 -:1016B0000470C1F20001286809684BF2C532091AAA -:1016C000C9F2A21200EE100A49F6F850A1FB02126C -:1016D000B8EE400AC1F2000080ED000A100A00EEE8 -:1016E000100A4CF23C60B8EE400AC2F2010080EDF4 -:1016F000000A49F23860C1F200000021018049F679 -:10170000EC60C1F20000006849F6E062C1F200023C -:10171000106047F2B470C1F2000001704BF6947093 -:10172000C2F20100C47225B0BDE8F08F00BF00BF57 -:10173000000000A0999919400000008066661240E0 -:101740000000008066660640000000000000F03FD8 -:101750004AF2144041F29C61C2F20100C0F6040159 -:10176000016042F66C1041F2BC61C1F20000C0F6AB -:10177000040101604DF2D540C2F201000121017067 -:1017800049F6DB60C1F20000002202704BF6947053 -:10179000C2F2010001737047B0B547F2F074C1F2B4 -:1017A000000420B1C043C0B2C00984F88E01607843 -:1017B00004F12001002808BF211D0868012101252E -:1017C00012F064F9012809DBC1B2890094F88E2176 -:1017D00051FA80F004EB800080F84D204BF27450F9 -:1017E000C2F201000570B0BD40B1C043C0B247F2C3 -:1017F000F071C009C1F2000181F88F014BF2745001 -:10180000C2F2010001210170704700004AF2144049 -:1018100041F2C061C2F20100C0F60401016042F66B -:101820006C1041F64001C1F20000C0F604010160F5 -:101830004DF2D540C2F201000121017049F6DB6092 -:10184000C1F20000002202704BF69470C2F2010057 -:101850000173704747F2F071C1F2000191F85E2107 -:10186000104442B212F1500FD8BF6FF04F0042B295 -:1018700012F10A0FA8BF6FF0090081F85E017047EE -:101880002DE9F04147F2F078C1F20008B8F8741180 -:1018900001281DDBB1F5616F36DB41F287308142F3 -:1018A0005FDD41F65730814240F39E8041F658306B -:1018B000814200F0FA8042F22830B1F5FA5F00F080 -:1018C000F980814204BF42F21070A8F874013DE132 -:1018D00040F6D76081422DDD41F26F70814261DDBB -:1018E000B1F5FA5FC0F2AE8000F0048142F2283018 -:1018F000814200F0048142F21070814204BF42F242 -:101900002830A8F8740121E140F65330814238DCD8 -:1019100040F6C310814274DC40F63400814200F08E -:10192000CC8040F6FC00814204BF40F6C410A8F809 -:1019300074010BE140F6B730814244DC40F68B2065 -:10194000814200F38C8040F6FC00814200F0DC8094 -:1019500040F6C410814204BF40F6FC00A8F87401B0 -:10196000F4E0B1F57A6F59DA40F6D860B1F5616FFD -:1019700000F0A880814204BF4FF47A60A8F8740197 -:10198000E4E0B1F5486F53DA40F65430814200F09C -:101990009C8040F6B830814204BF4FF44860A8F8FC -:1019A0007401D3E041F29310814265DC40F6D860C7 -:1019B000814200F0AE80B1F57A6F04BF40F6D86086 -:1019C000A8F87401C2E040F64750814261DC40F65D -:1019D000B830814200F0A280B1F5486F04BF40F6F4 -:1019E000B830A8F87401B1E041F28830814271D07A -:1019F00041F27070814204BF41F65830A8F874017A -:101A0000A4E040F6C410814269D040F68C208142A7 -:101A100004BF40F65430A8F8740197E041F29410E6 -:101A200062D0814204BF41F28830A8F874018DE091 -:101A300040F648505BD0814204BF4FF46160A8F883 -:101A4000740183E041F2707081426CD041F65830ED -:101A5000814204BF41F27070A8F8740176E040F64C -:101A60008C20814264D040F65430814204BF40F65D -:101A70008C20A8F8740169E041F2941081425CD096 -:101A800041F28830814204BF41F29410A8F87401F9 -:101A90005CE040F64850814254D0B1F5616F04BF1C -:101AA00040F64850A8F8740150E04FF4FA50A8F8F6 -:101AB00074014BE0A8F8740148E040F6FC00A8F877 -:101AC000740143E0A8F8740140E040F6B830A8F88B -:101AD00074013BE041F27070A8F8740136E040F602 -:101AE0008C20A8F8740131E0A8F874012EE0A8F861 -:101AF00074012BE041F65830A8F8740126E04FF449 -:101B0000FA50A8F8740121E040F63400A8F87401F6 -:101B10001CE040F64850A8F8740117E040F6543035 -:101B2000A8F8740112E041F28830A8F874010DE0C1 -:101B300040F6C410A8F8740108E040F6D860A8F890 -:101B4000740103E04FF44860A8F8740198F80100AC -:101B500008F108050028284608F1240608BF304689 -:101B600008F104070068394608F1200408BF21463F -:101B70000CF086FB98F80100002808BF2E4630685C -:101B800008BF3C462146BDE8F0410CF079BB00009F -:101B9000002808BF7047C043C0B247F2F071C009C7 -:101BA000C1F2000181F87901704700002DE9F04190 -:101BB00047F2F078C1F20008B8F86E11012806DB90 -:101BC0003C290CD091B93C20A8F86E010EE06429A4 -:101BD00009D03C290AD10020A8F86E0106E0642053 -:101BE000A8F86E0102E03C20A8F86E0198F8010008 -:101BF00008F108050028284608F1240608BF3046E9 -:101C000008F104070068394608F1200408BF21469E -:101C10000CF036FB98F80100002808BF2E4630680B -:101C200008BF3C462146BDE8F0410CF029BB00004E -:101C30002DE9F04147F2F078C1F20008B8F86C11D4 -:101C4000012818DB40F2252081422DDCB1F5967F7A -:101C500059DBB1F5C87FC0F28D8000F0E180B1F5AD -:101C6000E17F00F0E280B1F5FA7F04BF40F2262068 -:101C7000A8F86C0131E1B1F5167F2FDAB1F5AF7F2D -:101C80005DDBB1F5E17FC0F2A18000F0F780B1F536 -:101C9000FA7F00F0F88040F22620814204BF4FF422 -:101CA000FA70A8F86C0118E140F2ED20814235DDB0 -:101CB00040F25130814268DD40F25230814200F002 -:101CC000B98040F2B630B1F5617F00F0B880814252 -:101CD00004BF4FF47A70A8F86C01FEE0B1F5487FBC -:101CE00038DBB1F5617F7CDB00F0D28040F2B630AA -:101CF000814200F0D280B1F57A7F04BF40F2B63065 -:101D0000A8F86C01E9E0C7294BDC642900F09A804F -:101D1000962904BFC820A8F86C01DEE040F28920B3 -:101D2000814247DC40F22620814200F08F80B1F5ED -:101D3000167F04BF40F28A20A8F86C01CDE0F92993 -:101D40005BDC962900F0AE80C82904BF9620A8F875 -:101D50006C01C2E0B1F52F7F58DAB1F5167F00F0C3 -:101D6000A58040F28A20814204BF4FF41670A8F883 -:101D70006C01B2E0B1F5967F6DD0B1F5AF7F04BFD5 -:101D80004FF4C870A8F86C01A7E040F2EE20814241 -:101D900066D0B1F5487F04BF40F25230A8F86C011C -:101DA0009BE0C82961D0FA2904BF4FF49670A8F8C7 -:101DB0006C0192E040F28A2081425AD0B1F52F7F27 -:101DC00004BF40F2EE20A8F86C0186E0B1F5AF7FC9 -:101DD00071D0B1F5C87F04BF4FF4AF70A8F86C01A3 -:101DE0007BE0B1F5487F6BD040F25230814204BFB6 -:101DF0004FF44870A8F86C016FE0FA2965D0B1F58E -:101E0000967F04BFFA20A8F86C0166E061D040F22A -:101E1000EE20814204BF4FF42F70A8F86C015CE003 -:101E20004FF4E170A8F86C0157E04FF4FA70A8F88D -:101E30006C0152E04FF46170A8F86C014DE0A8F815 -:101E40006C014AE09620A8F86C0146E04FF4167049 -:101E5000A8F86C0141E04FF4AF70A8F86C013CE0C9 -:101E60004FF44870A8F86C0137E0FA20A8F86C012C -:101E700033E04FF42F70A8F86C012EE04FF4C870D7 -:101E8000A8F86C0129E04FF4E170A8F86C0124E097 -:101E900040F25230A8F86C011FE04FF46170A8F8CE -:101EA0006C011AE06420A8F86C0116E040F22620CC -:101EB000A8F86C0111E04FF49670A8F86C010CE0E2 -:101EC00040F2EE20A8F86C0107E0C820A8F86C01E9 -:101ED00003E040F28A20A8F86C0198F8010008F1AC -:101EE00008050028284608F1240608BF304608F1F6 -:101EF00004070068394608F1200408BF21460CF0A9 -:101F0000BFF998F80100002808BF2E46306808BFC6 -:101F10003C462146BDE8F0410CF0B2B947F2F07101 -:101F2000C1F2000191F867211044020608BF0120A8 -:101F3000C2B2642A28BF642081F86701704700009C -:101F400047F2F071C1F2000191F8682110440206D5 -:101F500008BF0120C2B2642A28BF642081F868014A -:101F60007047000047F2F071C1F2000191F866215C -:101F70001044020608BF0120C2B2642A28BF6420B0 -:101F800081F8660170470000B0B547F2F074C1F205 -:101F9000000494F87811B0F1FF3F01DC01B9B0BD45 -:101FA0000D18E8B20A2828BF0A25607804F120013C -:101FB00084F87851002808BF211D0868012111F01C -:101FC00065FD0128B8BFB0BDC1B2890051FA80F0EB -:101FD00004EB800080F84E50B0BD00002DE9F041C8 -:101FE00047F2F078C1F20008B8F87611012812DB48 -:101FF000B1F5FA5F24DB42F20F70814231DC42F22C -:102000002830B1F5FA5F56D0814204BF42F2107019 -:10201000A8F8760174E042F2273081422EDD43F6C3 -:10202000972081423ADC42F22830814255D042F278 -:102030001070814204BF42F22830A8F876015FE0B8 -:1020400041F28830814239D041F2707081423AD0F9 -:1020500041F65830814204BF4FF4FA50A8F8760197 -:102060004EE042F21070814232D043F69820814215 -:1020700004BF44F62060A8F8760141E041F2707098 -:1020800081422FD041F65830814235D0B1F5FA5F08 -:1020900004BF41F65830A8F8760131E043F69820A5 -:1020A000814224D044F62060814204BF43F6982048 -:1020B000A8F8760124E0A8F8760121E041F27070DA -:1020C000A8F876011CE041F65830A8F8760117E030 -:1020D00043F69820A8F8760112E04FF4FA50A8F8D9 -:1020E00076010DE041F28830A8F8760108E042F26E -:1020F0001070A8F8760103E041F27070A8F876013C -:1021000098F8010008F108050028284608F124067F -:1021100008BF304608F104070068394608F120047A -:1021200008BF21460CF0ACF898F80100002808BF61 -:102130002E46306808BF3C462146BDE8F0410CF011 -:102140009FB8000047F2F071C1F2000191F85D21E3 -:102150001044020608BF0120C2B2502A28BF5020F6 -:1021600081F85D017047000047F2F071C1F2000193 -:1021700091F86521104442B212F10A0FD8BF6FF0F6 -:10218000090042B20A2AA8BF0A2081F865014BF66D -:10219000CC70C2F2010001210170704747F2F0716A -:1021A000C1F2000191F86321104442B212F10A0F0A -:1021B000D8BF6FF0090042B20A2AA8BF0A2081F8EE -:1021C00063014BF6CC70C2F201000121017070472F -:1021D00047F2F071C1F2000191F86421104442B25B -:1021E00012F10A0FD8BF6FF0090042B20A2AA8BF45 -:1021F0000A2081F864014BF6CC70C2F20100012183 -:102200000170704747F2F071C1F2000191F85F214F -:102210001044020608BF0120C2B2142A28BF1420AD -:1022200081F85F017047000047F2F071C1F20001D0 -:1022300091F86A211044020608BF0120C2B2142A94 -:1022400028BF142081F86A017047000047F2F0713E -:10225000C1F2000191F869211044020608BF012073 -:10226000C2B2142A28BF142081F869017047000007 -:1022700047F2F071C1F2000191F86221104442B2BC -:1022800012F10A0FD8BF6FF0090042B20A2AA8BFA4 -:102290000A2081F862014BF6CC70C2F201000121E4 -:1022A0000170704747F2F071C1F2000191F86021AE -:1022B000104442B212F10A0FD8BF6FF0090042B2C7 -:1022C0000A2AA8BF0A2081F860014BF6CC70C2F23E -:1022D000010001210170704747F2F071C1F2000165 -:1022E00091F86121104442B212F10A0FD8BF6FF089 -:1022F000090042B20A2AA8BF0A2081F861014BF600 -:10230000CC70C2F201000121017070472DE9F0414B -:1023100047F2F078C1F20008B8F8721101280ADB20 -:10232000C72915DC69B33C292FD0642904BFC82014 -:10233000A8F8720147E0B1F5967F16DA3C2932D051 -:10234000642938D0C82904BF6420A8F872013AE093 -:10235000C8291ED0B1F5967F20D0B1F5C87F04BF43 -:102360004FF4FA70A8F872012DE020D0B1F5C87FC3 -:1023700025D0B1F5FA7F04BF4FF4C870A8F87201F8 -:1023800021E03C20A8F872011DE06420A8F8720149 -:1023900019E04FF49670A8F8720114E04FF4C87079 -:1023A000A8F872010FE00020A8F872010BE0C82025 -:1023B000A8F8720107E03C20A8F8720103E04FF48E -:1023C0009670A8F8720198F8010008F10805002835 -:1023D000284608F1240608BF304608F104070068C3 -:1023E000394608F1200408BF21460BF049FF98F850 -:1023F0000100002808BF2E46306808BF3C46214631 -:10240000BDE8F0410BF03CBF2DE9F04147F2F07818 -:10241000C1F20008B8F87011012818DB40F6FB0083 -:1024200081422FDD40F6533081424FDC40F6FC0004 -:10243000814278D040F6C410814279D040F68C2099 -:10244000814204BF40F65430A8F87001ADE040F678 -:102450008B20814226DC40F6330081424BDD40F682 -:102460003400814200F0848040F6FC00814200F09C -:10247000848040F6C410814204BF40F6FC00A8F8F6 -:10248000700192E0B1F5C86F30DB56D040F63400F1 -:10249000B1F5E16F56D0814204BF40F6FC00A8F8C8 -:1024A000700182E040F6B73081422FDD40F6B8304F -:1024B000814267D0B1F5486F69D040F648508142FB -:1024C00004BF4FF44860A8F870016EE040F6543045 -:1024D00081423AD040F6B83081423BD0B1F5486FE6 -:1024E00004BF40F64850A8F870015EE0B9B3B1F5FA -:1024F000AF6F38D059E0B1F5C86F4DD0B1F5E16F8D -:1025000004BF4FF4C860A8F870014EE040F68C207C -:10251000814246D040F65430814204BF40F68C20C0 -:10252000A8F8700141E040F6C410A8F870013CE042 -:1025300040F68C20A8F8700137E04FF4E160A8F86D -:10254000700132E0A8F870012FE040F6B830A8F82A -:1025500070012AE04FF44860A8F8700125E04FF4BC -:10256000AF60A8F870014FF4C860A8F870011CE0D3 -:102570004FF4E160A8F8700117E040F63400A8F8C5 -:10258000700112E040F65430A8F870010DE040F6FA -:10259000B830A8F8700108E04FF4AF60A8F87001F7 -:1025A00003E040F6C410A8F8700198F8010008F1A3 -:1025B00008050028284608F1240608BF304608F11F -:1025C00004070068394608F1200408BF21460BF0D3 -:1025D00057FE98F80100002808BF2E46306808BF53 -:1025E0003C462146BDE8F0410BF04ABE47F2F0718F -:1025F000C1F2000191F86B211044020608BF0120CE -:10260000C2B20A2A28BF0A2081F86B017047000075 -:1026100047F2F071C1F2000191F85C21104402060A -:1026200008BF0120C2B2642A28BF642081F85C017F -:102630007047000010B546F66400C2F201004FF486 -:102640004061EFF79CF847F26440C2F201004FF49A -:102650004061EFF794F845F26260C2F201004FF476 -:102660009061EEF7F0FE45F6E220C2F201004FF471 -:102670009061EEF7E8FE45F66270C2F201004FF499 -:102680001061EEF7E0FE4AF2F440C2F201000024CD -:10269000046040F20000C1F200004FF41061EFF757 -:1026A0006EF84BF6FD70C2F20100012101704BF68D -:1026B000F470C2F20100047047F2B470C1F200007D -:1026C000017010BD49F6D760C1F200000078012802 -:1026D00018BF70474AF2144041F64401C2F20100AB -:1026E000C0F60401016042F66C1041F65411C1F2CB -:1026F0000000C0F6040101604DF2D540C2F20100B5 -:102700000121017049F6DB60C1F200000022027075 -:102710004BF69470C2F201000173704742F62C210F -:10272000C1F200010A7A1044C2B2102A98BF1020E8 -:10273000C2B21E2A28BF1E200872704742F62C2102 -:10274000C1F20001CA791044C2B2102A98BF102009 -:10275000C2B2562A28BF5620C871704742F62C21B3 -:10276000C1F200018A7A1044C2B20E2A98BF0E202C -:10277000C2B21C2A28BF1C208872704742F62C2146 -:10278000C1F200010A79002802DC002A08BF704764 -:102790001044C2B2FA2A28BF6FF0050008717047D2 -:1027A000002808BF7047C043C0B242F62C21C009C0 -:1027B000C1F200018870704742F62C21C1F200017D -:1027C000CA78002802DC002A08BF70471044C2B251 -:1027D000FA2A28BF6FF00500C8707047002808BFAC -:1027E0007047C043C0B242F62C21C009C1F20001BB -:1027F00048707047002808BF7047C043C0B242F617 -:102800002C21C009C1F200018871704742F62C21C9 -:10281000C1F200014A791044020608BF0120C2B289 -:10282000642A28BF642048717047000042F62C2CAF -:10283000C1F2000C9CF80D200023002A1ABF0244AC -:102840008CF80D200022D1B2B1FA81F1490900289B -:10285000C8BF0123194018BF024602D1D0B2652873 -:1028600005D3D0B2642888BF64228CF80D2047F2CB -:10287000F070C1F20000417800F12002002908BF89 -:10288000021D12684CF68060C0F2CA40824202D932 -:102890009FED190A28E002D10CF10F001BE048F26D -:1028A0008040C0F21E00824202D20CF10B0012E006 -:1028B00044F64030C0F24C00824202D20CF10C00CF -:1028C00009E04CF280310CF10E00C0F2C9118A42CD -:1028D00038BF0CF10D0000789FED081A00EE100AC9 -:1028E000B8EE400A20EE010A42F62C10C1F20000B8 -:1028F00080ED000A704700BF000000000AD7233CAB -:1029000042F62C2CC1F2000C9CF80E200023002A69 -:102910001ABF02448CF80E200022D1B2B1FA81F124 -:1029200049090028C8BF0123194018BF024602D137 -:10293000D0B2652805D3D0B2642888BF64228CF851 -:102940000E2047F2F070C1F20000417800F1200241 -:10295000002908BF021D12684CF68060C0F2CA4010 -:10296000824202D99FED190A28E002D10CF10F0032 -:102970001BE048F28040C0F21E00824202D20CF1FD -:102980000B0012E044F64030C0F24C00824202D20A -:102990000CF10C0009E04CF280310CF10E00C0F299 -:1029A000C9118A4238BF0CF10D0000789FED081A5A -:1029B00000EE100AB8EE400A20EE010A42F62C1092 -:1029C000C1F2000080ED000A704700BF0000000067 -:1029D0000AD7233C42F62C2CC1F2000C9CF80C20A8 -:1029E0000023002A1ABF02448CF80C200022D1B226 -:1029F000B1FA81F149090028C8BF0123194018BF65 -:102A0000024602D1D0B2652805D3D0B2642888BF6F -:102A100064228CF80C2047F2F070C1F2000041787B -:102A200000F12002002908BF021D12684CF68060E8 -:102A3000C0F2CA40824202D99FED190A28E002D1B1 -:102A40000CF10F001BE048F28040C0F21E008242F1 -:102A500002D20CF10B0012E044F64030C0F24C0000 -:102A6000824202D20CF10C0009E04CF280310CF1F0 -:102A70000E00C0F2C9118A4238BF0CF10D00007877 -:102A80009FED081A00EE100AB8EE400A20EE010A87 -:102A900042F62C10C1F2000080ED000A704700BF22 -:102AA000000000000AD7233C42F62C2CC1F2000C97 -:102AB0009CF80B200023002A1ABF02448CF80B203C -:102AC0000022D1B2B1FA81F149090028C8BF01231F -:102AD000194018BF024602D1D0B2652805D3D0B242 -:102AE000642888BF64228CF80B2047F2F070C1F292 -:102AF0000000417800F12002002908BF021D126881 -:102B00004CF68060C0F2CA40824202D99FED190A99 -:102B100028E002D10CF10F001BE048F28040C0F227 -:102B20001E00824202D20CF10B0012E044F640304B -:102B3000C0F24C00824202D20CF10C0009E04CF2CF -:102B400080310CF10E00C0F2C9118A4238BF0CF17D -:102B50000D0000789FED081A00EE100AB8EE400A4A -:102B600020EE010A42F62C10C1F2000080ED000AAE -:102B7000704700BF000000000AD7233C00EE100A97 -:102B800042F62C209FED101AC1F20000B8EEC00AE8 -:102B900090ED052A20EE010A32EE000AB7EE001A87 -:102BA0009FED0A2AB4EE410AF1EE10FAB8BFB0EE7A -:102BB000410AB4EE420AF1EE10FAC8BFB0EE420A82 -:102BC00080ED050A704700BFCDCCCC3D00004842E7 -:102BD00042F62C21C1F200010A8A104402B212F11D -:102BE000320FD8BF6FF0310002B2322AA8BF3220B4 -:102BF0000882704742F62C21C1F200014A7A104443 -:102C0000C2B2102A98BF1020C2B21E2A28BF1E20AE -:102C10004872704700EE100A42F62C209FED101A01 -:102C2000C1F20000B8EEC00A90ED062A20EE010ABB -:102C300032EE000AB7EE001A9FED0A2AB4EE410AFE -:102C4000F1EE10FAB8BFB0EE410AB4EE420AF1EE6E -:102C500010FAC8BFB0EE420A80ED060A704700BF06 -:102C6000CDCCCC3D000048424AF2144041F6581108 -:102C7000C2F20100C0F60401016042F66C1041F698 -:102C8000B811C1F20000C0F6040101604DF2D54058 -:102C9000C2F201000121017049F6DB60C1F20000BF -:102CA000002202704BF69470C2F201000170817133 -:102CB0008172017281700171C17242F61C10C1F201 -:102CC000000002604BF6B870C2F20100026042F6EA -:102CD0002410C1F20000FF2101704AF26A00C2F222 -:102CE0000100017042F62510C1F20000017042F6A9 -:102CF0002210C1F2000042F20F7101804AF2680016 -:102D0000C2F20100018042F62010C1F200000180F1 -:102D10007047000047F2F071C1F20001B1F87A216A -:102D2000642310FB032082B2642A88BF034698B252 -:102D300042F21072904228BF1346A1F87A317047D0 -:102D400040B1C043C0B247F2F071C009C1F2000106 -:102D500081F884014BF6CC70C2F2010001210170B0 -:102D60007047000047F2F071C1F20001B1F87C2118 -:102D7000322310FB032082B2322A88BF034698B266 -:102D800041F28832904228BF1346A1F87C31704747 -:102D9000002808BF7047C043C0B247F2F071C009B5 -:102DA000C1F2000181F880017047000047F2F07124 -:102DB000C1F20001B1F882211044020408BF0120D1 -:102DC00082B2C82A28BFC820A1F88201704700003B -:102DD000002808BF7047C043C0B247F2F071C00975 -:102DE000C1F20001A1F87E01704700004AF21440D0 -:102DF00041F65021C2F20100C0F60401016042F622 -:102E00006C1041F6E021C1F20000C0F6040101603F -:102E10004DF2D540C2F201000121017049F6DB609C -:102E2000C1F20000002202704BF69470C2F2010061 -:102E30000173704780B547F2F071C1F2000191F85B -:102E40008521002802DC0AB9002006E04042C0B219 -:102E5000101A18BF012081F8850142F24841C0F6DE -:102E60000301662210FB02104AF20801C2F20101BE -:102E70000860EFF7C7FE4BF69470C2F20100012123 -:102E8000017081718172017281700171C17242F6AB -:102E90001C10C1F20000002101604BF6B870C2F2B4 -:102EA0000100016042F62410C1F20000FF21017010 -:102EB0004AF26A00C2F20100017042F62510C1F226 -:102EC0000000017042F62210C1F2000042F20F71C0 -:102ED00001804AF26800C2F20100018042F620102F -:102EE000C1F20000018080BD47F2F071C1F2000123 -:102EF00091F888211044020608BF0120C2B20A2AB4 -:102F000028BF0A2081F8880170470000002808BF08 -:102F10007047C043C0B247F2F071C009C1F200016E -:102F200081F88D017047000047F2F071C1F2000195 -:102F300091F88A211044020608BF0120C2B2072A74 -:102F400028BF072081F88A01EFF75CBE002808BF80 -:102F50007047C043C0B247F2F071C009C1F200012E -:102F600081F88B0170470000002808BF7047C043FC -:102F7000C0B247F2F071C009C1F2000181F88601C8 -:102F80007047000047F2F071C1F2000191F88C2106 -:102F9000104442B2002AD8BF0020C2B2032A28BF80 -:102FA000032081F88C01EFF72DBE000080B547F2B9 -:102FB000F071C1F2000191F889211044020608BFA6 -:102FC0000120C2B2032A28BF032081F88901F0F74B -:102FD000AFF8BDE88040EFF715BE000047F2F07192 -:102FE000C1F2000191F8872101280DDB501E07284E -:102FF0002FD8DFE800F01C212E042E2E2E260820CC -:1030000081F88701EFF7FEBD901EC2B2520842EA76 -:10301000C010C0B207281CD8DFE800F004091B0E5E -:103020001B1B1B18012081F88701EFF7EBBD022065 -:1030300081F88701EFF7E6BD042081F88701EFF7FB -:10304000E1BD102081F88701EFF7DCBD082081F891 -:103050008701EFF7D7BD000049F6D860C1F2000044 -:10306000012101704AF2144041F6E422C2F201004B -:10307000C0F60402026042F66C1041F60432C1F25E -:103080000000C0F6040202604DF2D540C2F2010019 -:10309000017049F6DB60C1F20000002202704BF6BD -:1030A0009470C2F2010001708171817201728170AD -:1030B0000171C17242F61C10C1F2000002604BF6B1 -:1030C000B870C2F20100026042F62410C1F20000A2 -:1030D000FF2101704AF26A00C2F20100017042F65B -:1030E0002510C1F20000017042F62210C1F200006A -:1030F00042F20F7101804AF26800C2F201000180C1 -:1031000042F62010C1F20000018070472DE9F04F17 -:103110008FB04DF2D645C2F2010529784AF2080B6C -:103120000446C2F2010B21B9DBF800000088F5F774 -:1031300041FF0120287042F60000C4F2000001683F -:1031400047F2E373C1F3015040EA8000C1F3034248 -:1031500002EB4000C1F3023202EB8202C1F303270B -:1031600007EB4202C1F302174BF6E47507EB870742 -:1031700001F00F0147F2E4764CF21C0AC1F20003A1 -:10318000C2F2010501EB4701C1F20006002CC2F2B8 -:10319000010A18702A70317000F05A819AF8007094 -:1031A000022F09D0012F0ED0B7B9B4F1FF3F00DCD8 -:1031B00068B1204418700FE0B4F1FF3F00DC31B17A -:1031C0002144317008E0B4F1FF3F03DC12B90FB0C5 -:1031D000BDE8F08F22442A70C7B2182F24BF002008 -:1031E0001870D3B23C2BCBB224BF00222A703C2BE8 -:1031F00043F6300324BF00213170C4F202031F697B -:10320000A42647F080571F611F68C4F20E2647F4BA -:1032100080271F600123336049F6EC63C1F200038D -:103220001D6847F20407C4F200073C6824071CD459 -:103230001C68641BB4F57A7F17D83C68240714D443 -:103240001C68641BB4F57A7F0FD83C6824070CD443 -:103250001C68641BB4F57A7F07D83C68240704D443 -:103260001C68641BB4F57A7FDFD9012546F8845CBD -:1032700035603E68360709D43E6836075CBF3E6855 -:103280005FEA067602D43E683607F2D54CF6DC7962 -:10329000C2F2010999F81C70012F00F0D9800127B2 -:1032A00089F81C70022789F81D70D9F80050C7B240 -:1032B000D5F808C00A2F11D3A0F10A074CF6CD4467 -:1032C000FEB2CCF6CC44A6FB0464E60806EB86040A -:1032D000A7EB4408102707EB061E02E04FF0000E94 -:1032E0008046D4B20A2C10D30A3A4CF6CD46D4B25A -:1032F000CCF6CC46A4FB0646F40804EB8406A2EB0D -:103300004602102606EB041A01E04FF0000ACCB288 -:103310000A2C10D30A394CF6CD47CCB2CCF6CC47A8 -:10332000A4FB0747FC0804EB8407A1EB4701102727 -:1033300007EB041400E00024CA276F6253276F6272 -:10334000EF687F0625D44FF0FF37EF601F68EE6807 -:1033500076061ED41E68F61BB6F57A7F17D8EE687F -:10336000760616D41E68F61BB6F57A7F0FD8EE687F -:1033700076060ED41E68F61BB6F57A7F07D8EE687F -:10338000760606D41E68F61BB6F57A7FDFD90421CF -:1033900051E04EEA0807FFB242EA0A023F04D2B205 -:1033A000214347EA0222C9B2114347F67F724FF424 -:1033B0008006C0F27F0206EA0C47114039432960BB -:1033C000A96821F48021A960A96841F48021A9603D -:1033D000E96821F08001E960A96889062AD4E968D2 -:1033E0004CF21C0A21F0A001E9601968C2F2010A3E -:1033F000EA68920624D41A68521AB2F57A7F17D86E -:10340000EA6892061CD41A68521AB2F57A7F0FD86D -:10341000EA68920614D41A68521AB2F57A7F07D86D -:10342000EA6892060CD41A68521AB2F57A7FDFD98C -:10343000042106E001214CF21C0AC2F2010A00E05C -:103440000121FF226A6289F81D10002189F81C10F1 -:1034500041F29C010DF10A06C2B2C0F604013046E9 -:10346000ECF7B2FF40F6BF534FF00008C0F604037C -:10347000304631460222CDF800800DF069FDDBF8C0 -:1034800000009AF80010B0F804A0002908BF02302C -:10349000B0F800B04FF04C090327002500242BE0C2 -:1034A0006F1CE6430134391BB8B201EBC1010DF1C9 -:1034B0000A02125C09EB41004FF0030C80B261215B -:1034C0005346CDF800B0CDF804C0F6F7BBF9A81C00 -:1034D00081193D4603270DF10A0601EBC10149F6AA -:1034E000DE6209EB4101C1F200021180A9B21FFAAC -:1034F00080F87F2905461AD216F808205E2A01D0E6 -:103500002AB914E008EB060040786F28C8D0281BC1 -:1035100000EBC00009EB400080B264215346CDF8B7 -:1035200000B00197F6F78EF9681C011BD5E74AF247 -:10353000080BC2F2010BDBF8000000268588048826 -:103540004FF07C084FF0030A4FF08E09012E1AD07D -:1035500040F64560C0F6040006EBC607825D08EB46 -:10356000470080B264212B460094CDF804A0F6F702 -:1035700069F9013649F6DE6109EB4700802EC1F298 -:1035800000010880E2D14BF6E470C2F2010002783B -:1035900041F29C010DF10A07C0F604013846ECF730 -:1035A00013FF40F6BF534FF00008C0F6040338463F -:1035B00039460222CDF800800DF0CAFC4CF21C0105 -:1035C000C2F20101DBF800000978B0F8049001298B -:1035D00008BF023006884FF0940B03254FF0000A15 -:1035E00000242DE00AF10105E7430134291BA8B2AC -:1035F00001EBC1010DF10A02125C0BEB41004FF02F -:10360000030C80B261214B460096CDF804C0F6F75A -:1036100019F90AF10200C1190DF10A07AA4603259A -:1036200001EBC10149F6DE620BEB4101C1F2000280 -:1036300011801FFA8AF11FFA80F87F2982461BD277 -:1036400017F808205E2A01D02AB915E008EB070018 -:1036500040786F28C6D0AAEB040000EBC0000BEB4B -:10366000400080B264214B4600960195F6F7EAF8D7 -:103670000AF10100011BD3E74AF2080BC2F2010B69 -:10368000DBF800000026858804884FF0C2084FF060 -:10369000030A4FF0D40900BF012E1AD040F645604E -:1036A000C0F6040006EBC607825D08EB470080B257 -:1036B00064212B460094CDF804A0F6F7C3F8013638 -:1036C00049F6DE6109EB4700802EC1F20001088057 -:1036D000E2D147F2E470C1F20000027841F29C01AD -:1036E0000DF10A06C0F604013046ECF76DFE40F617 -:1036F000BF534FF00008C0F60403304631460222A3 -:10370000CDF800800DF024FC4CF21C01C2F2010146 -:10371000DBF800000978B0F804A0022908BF0230E5 -:10372000B0F800B04FF0DC090327002500242CE09E -:103730006F1CE6430134391BB8B201EBC1010DF136 -:103740000A02125C09EB41004FF0030C80B26121C8 -:103750005346CDF800B0CDF804C0F6F773F8A81CB6 -:1037600081193D4603270DF10A0601EBC10149F617 -:10377000DE6209EB4101C1F200021180A9B21FFA19 -:1037800080F87F290546BFF422AD16F808205E2A8E -:1037900002D032B91BE500BF08EB060040786F2865 -:1037A000C6D0281B00EBC00009EB400080B26421AA -:1037B0005346CDF800B00197F6F744F8681C011B9A -:1037C000D3E7000010B549F6D961C1F200010A78CB -:1037D000012A03D1BDE81040FDF768BA47F2F07046 -:1037E0000124C1F200000C70417800F12002002990 -:1037F00008BF021D1068012110F048F942F25C2157 -:1038000000EB4000C0F6030101EBC000D0E902125A -:10381000A1F5C03002F5C031A0F5D46001F5D46146 -:10382000FDF72AFB4BF69470C2F20100047310BD41 -:1038300010B549F6D961C1F200010A78012A03D115 -:10384000BDE81040FDF732BA01240C7044F240206C -:1038500048F20071C0F20F00C0F29331FDF70CFB8B -:103860004BF69470C2F20100047310BD4AF214408A -:1038700041F60831C2F20100C0F60401016042F6CF -:103880006C1041F60841C1F20000C0F6040101606D -:103890004DF2D540C2F201000121017049F6DB6012 -:1038A000C1F20000002202704BF69470C2F20100D7 -:1038B0000173704747F2F071C1F2000191F83421B1 -:1038C0001044020608BF0120C2B20F2A28BF0F20F1 -:1038D00081F834017047000040B1C043C0B247F2E4 -:1038E000F071C009C1F2000181F845014BF274503A -:1038F000C2F201000121017070470000002808BFDA -:103900007047C043C0B247F2F071C009C1F2000174 -:1039100081F8410170470000002808BF7047C0438C -:10392000C0B247F2F071C009C1F2000181F8400154 -:1039300070470000002808BF7047C043C0B247F27C -:10394000F071C009C1F2000181F848017047000020 -:1039500047F2F071C1F20001B1F83E310A22632B47 -:103960000ADC0A2B15D0192B18D0322B2DD10222AC -:10397000B0F1FF3F1BDC2FE0642B14D0B3F5FA7FCE -:1039800026D0B3F57A7F20D10522B0F1FF3F0EDCBF -:1039900022E00022B0F1FF3F09DC1DE00122B0F17E -:1039A000FF3F04DC18E00322B0F1FF3F14DD042ADE -:1039B00082BF4FF47A72A1F83E2170474CF6500056 -:1039C000C0F6020000EB42004288A1F83E21704799 -:1039D0000422B0F1FF3FEADC52B14CF65000C0F6D1 -:1039E000020000EB420030F8022CA1F83E217047A3 -:1039F0000A22A1F83E21704747F2F071C1F200019E -:103A0000B1F83C310122182B0ADD192B11D0322BD1 -:103A100014D0642B23D10422B0F1FF3F12DC25E047 -:103A2000012B1FD00A2B1AD10122B0F1FF3F09DC74 -:103A30001CE00222B0F1FF3F04DC17E00322B0F1EA -:103A4000FF3F13DD032A82BF6422A1F83C217047A7 -:103A50004CF65C00C0F6020000EB42004288A1F880 -:103A60003C2170470022B0F1FF3FEBDC52B14CF635 -:103A70005C00C0F6020000EB420030F8022CA1F816 -:103A80003C2170470122A1F83C21704747F2F071B8 -:103A9000C1F2000120B1C043C0B2C00981F84301A6 -:103AA000002081F8420181F844014BF69470C2F283 -:103AB00001000121C17209F079BC000047F2F071E8 -:103AC000C1F2000120B1C043C0B2C00981F8420177 -:103AD0000020A1F843014BF69470C2F201000121CD -:103AE000C17209F063BC000047F2F071C1F200013D -:103AF00091F836211044020608BF0120C2B2642AA0 -:103B000028BF642081F836017047000047F2F07149 -:103B1000C1F20001B1F83821642310FB032082B206 -:103B2000642A88BF034698B242F21072904228BFBE -:103B30001346A1F8383170472DE9F04F83B04AF2AF -:103B40000804C2F2010420684DF2D7410088C2F295 -:103B5000010101220A70F5F72DFA206840F63D694F -:103B6000448806880027C0F604094FF0050B02259B -:103B70004FF01108092F16D007EB470A19F8072054 -:103B80000BEB8A0080B20521234600960195F5F7DC -:103B900059FE013749F6DE6108EB8A00802FC1F239 -:103BA00000010880E6D14AF20800C2F20100006874 -:103BB00047F2F079B0F800A00021C1F200094FF0FF -:103BC0000A0B4FF002080025002620E0002A42D010 -:103BD000A81B00EB40000BEB800080B225214FF4C6 -:103BE000FC63CDF800A0CDF80480F5F72BFE681C2F -:103BF000811B01EB410149F6DE620BEB8101C1F251 -:103C000000021180AAB281B27F2A054623D209EBB5 -:103C1000010090F849215E2AD8D190F84A016F2816 -:103C2000D6D16C1CF7430136A01B00EB400019FAFB -:103C300084F191F849210BEB800080B222214FF4EE -:103C4000FC63CDF800A0CDF80480F5F7FBFDA81CBF -:103C5000C1192546CDE749F6DA60C1F200000078C7 -:103C600040F2CB1300EB400081000822B1F5E57F64 -:103C700098BF830002EB800214334FF478479342DD -:103C800006D21846362136230097F5F7BFFA06E02C -:103C9000104636211A4636230097F5F7B7FA4BF649 -:103CA0009470C2F201000121017303B0BDE8F08FEE -:103CB000002808BF7047C043C0B247F2F071C00986 -:103CC000C1F2000181F859017047000047F2F0711C -:103CD000C1F20001B1F85A211044020408BF0120CA -:103CE00082B2B2F5FA7F28BF4FF4FA70A1F85A01F8 -:103CF00070470000002808BF7047C043C0B247F2B9 -:103D0000F071C009C1F2000181F83A01704700006A -:103D100047F2F071C1F2000120B1C043C0B2C00946 -:103D200081F844010020A1F842014BF69470C2F2E0 -:103D300001000121C17209F039BB00002DE9F04FEB -:103D400093B047F2B47BC1F2000B9BF8001004461D -:103D500061B14BF69470C2F201000121002CC172D6 -:103D600000F06382017313B0BDE8F08F47F2C170B9 -:103D7000C1F200000078002800F057824DF2D640D2 -:103D8000C2F201000078012803D10020FFF7BEF93C -:103D900042E24DF2D740C2F201000078012840F023 -:103DA0008D80029474B34AF20804C2F201042068C0 -:103DB0000088F5F7FFF8206849F6DE694588048831 -:103DC00000264FF0050A4FF0020B1127C1F200093F -:103DD000092E17D040F63D60C0F6040006EB4608F9 -:103DE000825D0AEB880080B205212B460094CDF855 -:103DF00004B0F5F727FD013607EB8800802EA9F8FF -:103E00000000E5D14AF20800C2F20100006847F262 -:103E1000F07BB0F8009049F6DE6A0021C1F2000B99 -:103E20000A270225C1F2000A002600241DE000BF77 -:103E3000002A62D0301B00EB400007EB800080B20C -:103E400025214FF4FC63CDF800900195F5F7FAFCBD -:103E5000701C011B01EB410107EB8101B2B2AAF812 -:103E6000001081B27F2A064647D20BEB010090F882 -:103E700049215E2ADCD190F84A016F28DAD1751CFD -:103E80006FEA04080134281B00EB40001BFA85F19F -:103E900091F8492107EB80004FF0020C80B22221FB -:103EA0004FF4FC63CDF80090CDF804C0F5F7CAFCE0 -:103EB000B01C00EB08012E460225CBE749F6D9607D -:103EC000C1F200000078012830D19BF800000028E2 -:103ED00040F0A28149F6EC6049F6E061C1F20000D1 -:103EE000C1F2000102680B689A427BD14BF69470D4 -:103EF000C2F201000121C1728EE149F6DA60C1F21D -:103F00000000007840F2CB1300EB40008100082253 -:103F1000B1F5E57F98BF830002EB800214334FF4C4 -:103F20007847934255D21846362155E001208BF848 -:103F300000004DF2D440C2F20100002101704AF2AB -:103F40001840C2F20100052101803CB14AF208008C -:103F5000C2F2010000680088F5F72CF849F6DB6032 -:103F6000C1F2000000784FF2F109CFF2F00942F6F9 -:103F70006C18A0FB0901C1F20008D8F800000E0976 -:103F8000007806EB0611814288BF0026002800F069 -:103F900040814AF2144A0025C2F2010A002705E0D6 -:103FA0000137874205F1100580F03381F9B2A1FB9A -:103FB0000912B6EB121FF3D1DAF800000023421900 -:103FC0004059117992680FF02DFAD8F80000007866 -:103FD000E6E7104636211A4636230097F5F716F91C -:103FE000029C19E14CF20805C2F2010595ED000AA8 -:103FF000B5EE400AF1EE10FA00F00E81006808609C -:1040000001208BF80000FBF7DFFB296849F23865D7 -:10401000C1F20005288847F61068C1F2000848F888 -:1040200020100121FCF744FD4AF2B630C2F2010033 -:104030002E88B0F900004DF2D04949F2346786422B -:10404000C2F20109C1F2000740F0AA8003AD284680 -:104050004021EDF794FBD9F8000039684BF6B942DE -:10406000091AC9F2F51252FB01110A1202EBD171C1 -:1040700001FB060044F6D351C1F2620150FB01F18D -:1040800058F826008A1102EBD17618F099F841EC25 -:10409000100B41F2F311C0F60401284632468DEDB3 -:1040A000000BECF791F94FF00009AA2040F22F1114 -:1040B0004FF4B97240F23513CDF800904FF0AA0AD0 -:1040C000F5F7A4F8002100274FF0000823E0002AAC -:1040D00049D0A7EB080000EB40000AEB400080B29B -:1040E00040F22F114FF4FC630126CDF800900196A9 -:1040F000F5F7A8FB781CA0EB080101EB410149F69C -:10410000DE620AEB4101C1F200021180BAB281B253 -:104110007F2A074627D26A5C5E2AD8D148194078A0 -:104120006F28D6D17E1C6FEA080908F10108A6EBBA -:104130000801B0B201EB410100232A5C0AEB410007 -:10414000009380B24FF496714FF4FC63AC460125A6 -:1041500001956546F5F776FBB81C00EB09014FF0B9 -:1041600000093746C9E74AF2B630C2F201000088BA -:104170004FF4FC671A3080B20521024640F22B133F -:104180000097F5F743F849F23865C1F200052E882B -:104190004DF2D04949F23467C2F20109C1F2000779 -:1041A00049F6F85097ED001AC1F2000090ED000AB0 -:1041B000B8EE411AB4EE410A711CF1EE10FA2980F2 -:1041C00007DD99ED000A0021B8EE400A298080ED54 -:1041D000000A4CF23C61C2F2010191ED001A47F273 -:1041E000F07230EE010AC1F2000280ED000ABCEE6E -:1041F000C00A537810EE100A02F12001002B08BF0C -:10420000111D09F035FE4BF27450C2F2010001217C -:10421000017000208BF800004BF69470C2F2010090 -:104220000021002CC17218BF017313B0BDE8F08FDC -:104230002DE9F04F83B04AF26C5BC2F2010B9BF8A0 -:10424000000010B103B0BDE8F08F4AF26D59C2F220 -:10425000010999F8000040F20048C4F20208012464 -:1042600000284FF0010011D14FF48050009042F629 -:1042700026100027C1F200000021012243460197C9 -:10428000FBF7F0FFEEF742FA99F8000042F62C2116 -:104290008BF80040C1F200010A784B788F78CD7816 -:1042A0001A443A440B792A441A444B798F791A44B8 -:1042B000CB793A440F7A1A444B7A3A448F7A1A44AB -:1042C000CB7A3A440F7B1A444B7B3A448F7B1A4497 -:1042D000CB7B3A440F7C1A444B7C3A448F7C1A4483 -:1042E000CB7C3A440F7D1A444B7D3A448F7D1A446F -:1042F000CB7D3A440F7E1A444B7E3A448F7E1A445B -:10430000CB7E3A444F7F1A448B7F3A44CF7F1A4486 -:104310003A4400280A7742D147F2E274C1F200041D -:10432000207868B1207858B1207848B1207838B129 -:10433000207828B14AF26C54C2F2010443A077E01D -:104340004AF2F8454AF2B4304FF0010AC2F20105D0 -:1043500000274FF48056C2F201000021012243469B -:1043600084F800A0AF702F8000960197FBF77AFFCA -:1043700042F62810C1F200000021012243460096B7 -:10438000CDF804A0FBF76EFF284600210322434628 -:1043900000960197FBF766FFEEF7B8F9277042F633 -:1043A0002C20C1F20000202100220024EEF712FA96 -:1043B00018BB42F62C20C1F2000020210022EEF7AB -:1043C00009FAD0B942F62C20C1F2000020210022C7 -:1043D000EEF700FA88B942F62C20C1F20000202145 -:1043E0000022EEF7F7F940B942F62C20C1F20000A6 -:1043F00020210022EEF7EEF908B38BF8004099F87F -:10440000000068B94FF4805000904AF24530002710 -:10441000C2F201000021012243460197FBF722FF6F -:1044200047F2D07441F2D900C1F20004C0F6040092 -:1044300013F0D8FC0020207003B0BDE8F08F4AF2E2 -:104440006C54C2F201040DA0F2E700BF5B4552526A -:104450005D20457261736520454550524F4D206384 -:10446000616C696272617465206D756C7469706CE1 -:1044700065206572726F7273000000005B455252D6 -:104480005D20577269746520454550524F4D206339 -:10449000616C696272617465206D756C7469706CB1 -:1044A00065206572726F7273000000002DE9F041A3 -:1044B00043F63000C4F20200016940F2200841F0E6 -:1044C000805101610168C4F20E2841F4802101602D -:1044D000012049F6EC61C8F88400C1F200010A68C5 -:1044E00047F20400C4F2000003681B071CD40B68E9 -:1044F0009B1AB3F57A7F17D803681B0714D40B688F -:104500009B1AB3F57A7F0FD803681B070CD40B688E -:104510009B1AB3F57A7F07D803681B0704D40B688E -:104520009B1AB3F57A7FDFD90121C8F80010C8F8CB -:10453000841000BF016809075FBF01685FEA01716D -:1045400001685FEA017102D401680907F2D547F2F8 -:10455000F070C1F200000021C21E4FF4CA7380F84F -:10456000901100BF12F8046F043B12F8015C5478FC -:1045700029449778314421443944F3D149F6D6652A -:10458000C1F200052E7880F8901147F2F071C1F267 -:10459000000186B144F60000C4F202004FF4CA7272 -:1045A000ECF71FFF44F20000C4F202004FF4CA719E -:1045B000EDF7E5F80FE044F20000C4F202004FF41A -:1045C000CA72ECF70EFF44F60000C4F202004FF48A -:1045D000CA71EDF7D4F84BF6CE710020C2F201019A -:1045E000C8F80000087086F001002870BDE8F0816E -:1045F0002DE9F04F83B04AF26C5AC2F2010A9AF8E0 -:104600000000002840F0DD804AF26D59C2F2010935 -:1046100099F8000040F20048C4F2020878B94FF45B -:104620008050009042F626100027C1F200000021C1 -:10463000012243460197FBF715FEEEF767F80120CC -:104640008AF8000047F2F070C1F200000021C21E9B -:104650004FF4CA7380F8901112F8047F043B12F8EB -:10466000015C547829449678394421443144F3D18B -:1046700099F8002080F89011002A4FD147F2E27497 -:10468000C1F200042078C0B12078B0B12078A0B188 -:10469000207890B1207880B156A013F0A3FB0024BD -:1046A000002500BFEDF7B6FBB4EB552F40F09B8023 -:1046B000012805F10105F5D095E04AF2F8454FF0E3 -:1046C000010BC2F201054FF4804084F800B028804D -:1046D0004AF2B43000274FF48056C2F201000021A4 -:1046E00001224346AF7000960197FBF7BBFD42F6EF -:1046F0002810C1F200000021012243460096CDF8A7 -:1047000004B0FBF7AFFD2846002103224346009684 -:104710000197FBF7A7FDEDF7F9FF277047F2F0705F -:10472000C1F200004FF4CA710422EEF753F838BB0F -:1047300047F2F070C1F200004FF4CA710422EEF7A4 -:1047400049F8E8B947F2F070C1F200004FF4CA71BD -:104750000422EEF73FF898B947F2F070C1F200007A -:104760004FF4CA710422EEF735F848B947F2F070F9 -:10477000C1F200004FF4CA710422EEF72BF818B30F -:1047800000248AF8004099F8000060B94FF4805086 -:1047900000904AF24530C2F2010000210122434656 -:1047A0000194FBF75FFD29A013F01CFB002500BF5F -:1047B000EDF730FBB4EB552F03D1012805F10105CE -:1047C000F6D003B0BDE8F08F15A013F00BFB00246A -:1047D000002500BFEDF71EFBB4EB552F03D10128D8 -:1047E00005F10105F6D000208AF8000003B0BDE80D -:1047F000F08F00BF5B4552525D20457261736520AA -:10480000454550524F4D2053657474696E6773204F -:104810006D756C7469706C65206572726F7273006F -:104820005B4552525D205772697465204545505270 -:104830004F4D2053657474696E6773206D756C7489 -:1048400069706C65206572726F7273005B4F4B5DAF -:1048500020454550524F4D2053657474696E6773FF -:1048600020536176656400004AF22850C2F20100CC -:10487000017801291BD1002141F2040C4AF2245293 -:104880000170CEF2000CC2F2010242F67023DCF895 -:1048900000101268C1F200031868891A08441860F1 -:1048A000DCF8000042F67421C1F20001086049F60C -:1048B000EC60C1F200000168013101607047000046 -:1048C0004EF68850CEF20000016841F4700101609C -:1048D0004FF0006140F8801C704700002DE9F04364 -:1048E00081B04AF22850C2F201000178012919D1A1 -:1048F0000021017041F204004AF22452CEF200007D -:10490000C2F2010242F6702301681268C1F200038C -:104910001F68891A39441960006842F67421C1F28F -:10492000000108604CF6FC7040F61006C2F201006F -:10493000C4F20206F3F77AFF4BF6E570C2F201000B -:1049400031680278C1F34034DAB347F2E675C1F258 -:1049500000052878A0427DD04BF6F470C2F2010029 -:104960000078002875D049F6EC6842F6F059C1F29B -:104970000008C1F2000942F62C21D6F8000832687E -:10498000D8F80030D9F80060C1F200010F799B1B04 -:10499000BB425ED3C2F3403212B18B79002B54D1AB -:1049A000C0F380108978904215D1002947F2F07148 -:1049B000C1F200014FF0FF3091F8461108BF01200D -:1049C00015E047F2E671C1F200010C70012101709F -:1049D00001B0BDE8F083002947F2F071C1F2000197 -:1049E00091F846114FF0010008BF4FF0FF3061BB56 -:1049F00047F2C171C1F20001097819B140B20EF05D -:104A00001BFE22E04BF6CF71C2F201010A7810447E -:104A100042B2002A48BF032042B2032AC8BF002086 -:104A2000087040B24AF2700100EBC000C2F201010E -:104A300001EB00104AF2B021C2F2010108604BF60E -:104A40009470C2F2010001214170D8F80000C9F849 -:104A500000002C7001B0BDE8F08300002DE9F04F9C -:104A600081B02DED108BCAB04AF22850C2F201007D -:104A70000178012919D10021017041F204004AF2A4 -:104A80002452CEF20000C2F2010242F67023016805 -:104A90001268C1F200031F68891A3944196000685E -:104AA00042F67421C1F20001086049F62C10C1F2EF -:104AB0000000F3F7BBFE49F6D960C1F200000078B0 -:104AC000012808D100204AB0BDEC108B01B0BDE830 -:104AD000F04FFFF733B947F2F07BC1F2000B9BF8C0 -:104AE0008601002800F0AE8146F6CC58C1F20008DD -:104AF00098F80000002800F0A5814BF25050C2F257 -:104B00000100007844F644614AF2D055C1F2000138 -:104B1000C2F20105002808BF0D464CF21B01C2F28B -:104B2000010145F64464097845F24460C1F200048D -:104B3000C1F2000008BF0446002940F0A88047F6F3 -:104B4000A610C1F200000078002840F0A08047F6CF -:104B50009D10C1F200000078002840F098809BF87A -:104B600001000BF12401002808BF0BF108010868BF -:104B70000A2800F08C804BF6F870C2F20100006841 -:104B8000002840F084804AF2405042F68821C2F268 -:104B90000100C1F2000190ED001A91ED002A9FED95 -:104BA000640AA5F110026FF0030392ED043A92ED4E -:104BB000054A33EE416A22EE002A32EE066A92ED91 -:104BC000065A34EE432A26EE003A33EE023A92EDCC -:104BD000071A35EE442A23EE004A34EE024A31EE3B -:104BE000452A24EE005A35EE022A043382ED046A87 -:104BF00082ED053A82ED064A82ED072A1032B3F5BE -:104C0000FE7FD2D380ED001A81ED002A4AF2445093 -:104C100042F68C21C2F20100C1F2000190ED001AAF -:104C200091ED002AA4F110036FF0030293ED043A12 -:104C300093ED054A33EE416A22EE002A32EE066A0F -:104C400093ED065A34EE432A26EE003A33EE023A4A -:104C500093ED071A35EE442A23EE004A34EE024A59 -:104C600031EE452A24EE005A35EE022A043283ED55 -:104C7000046A83ED053A83ED064A83ED072A103373 -:104C8000B2F5FE7FD2D380ED001A81ED002A9BF8A9 -:104C90008701012850D94AF25C00C2F20100D0E934 -:104CA000011200784FF400762B46009501960CF027 -:104CB00021FA42F60C10C1F20000D0E9011200788E -:104CC0002346009401960CF015FA4AF25050C2F2B5 -:104CD000010029462A460CF079FC42F6D020C1F2A8 -:104CE0000000214622460CF071FC4DF67070C2F2B5 -:104CF0000100D0F800A043F64460C1F200004FF478 -:104D0000006200EB8A01A2EB8A02ECF76AFBBAF1BF -:104D1000000F00F09480B6FBFAF0AAF1010103291C -:104D20000AF00301209181F08D83002201F0FABB8B -:104D300000E07F3FF304353F43F64460C1F20000DA -:104D40004DF2DC523C300021C2F201026B185718C0 -:104D500093ED000A97ED001A661896ED002A21EEF1 -:104D6000000A00ED0F0A22EE010A93ED011A97EDF9 -:104D7000012A00ED0E0A22EE010A96ED011A00ED5D -:104D80000D0A21EE020A93ED021A97ED022A00EDB8 -:104D90000C0A22EE010A96ED021A00ED0B0A21EE32 -:104DA000020A93ED031A97ED032A00ED0A0A22EE98 -:104DB000010A96ED031A00ED090A21EE020A93EDAD -:104DC000041A97ED042A00ED080A22EE010A96ED76 -:104DD000041A00ED070A21EE020A93ED051A97ED79 -:104DE000052A00ED060A22EE010A96ED051A00EDED -:104DF000050A21EE020A93ED061A97ED062A00ED48 -:104E0000040A22EE010A96ED061A00ED030A21EECD -:104E1000020A93ED071A97ED072A00ED020A22EE27 -:104E2000010A96ED071A00ED010A21EE020A20316F -:104E300080ED000AB1F5006F00F1400086D100203E -:104E400088F800004BF25150C2F2010000780028AF -:104E500041F010809BF88601002801F00B804BF692 -:104E6000F470C2F201000078002801F0038042F6DD -:104E7000446443F64465C1F20004C1F200052046D3 -:104E800029464FF48052ECF7ACFA28464FF4805193 -:104E9000ECF775FC01205FED59CA05904FF40072E4 -:104EA000201D0390D308DD00A5EBD20003EB4301E6 -:104EB0004FEAC00C0801CE0003EB830104934FEAD4 -:104EC0000319CF005901039BD4004FF0000E20942A -:104ED000CDE91E25CDE90E170E9903EB050A1944FD -:104EE00003EB070803EB09051F1803EB060B03EBA5 -:104EF0000C0213ED011A11ED012A1AED013A18ED19 -:104F0000014A15ED017A57ED010A5BED011A52EDE8 -:104F1000012A32EE015A34EE036A70EE873A72EEDD -:104F2000A14A73EE855A74EE866A76EEA57A43ED51 -:104F3000017A75EEE65ADAED007A98ED008A9BED7B -:104F400000BA92ED00CAD3ED006A41ED015AD1EDED -:104F5000005A95ED009A97ED00AA38EE27EA7CEE0C -:104F60000B8A35EEA6DA3AEE09FA35EE635A36EEDA -:104F7000646A7EEE684A7FEE0D9A78EE8EAA7DEE28 -:104F80004F3A34EE85DA7AEEA9BA79EEEA9A05ED6F -:104F900001DA33EEC6DAC3ED00BAC1ED009A85ED51 -:104FA00000DA35EE645ADDE91F5407ED015A33EE9D -:104FB000865A31EE421A33EE442A37EE603A31EE29 -:104FC000E24A37EEC86A7BEE4C0A72EE441A34EEBF -:104FD000022A36EE604A30EE866A39EE4A7A61EE8F -:104FE000AC1A26EE2C6A87ED005A36EEE55A22EE10 -:104FF0002C2A24EE2C4A71EE810A76EE074A72EED4 -:10500000032A74EE053A74EEA05A70EEE40A4AEDF3 -:10501000015A73EEE25A42ED010A73EEA20ACAED9A -:10502000005AC2ED000A1E9A31EE611A33EE422A8E -:1050300035EE443A37EE464A0F9F34EE015A31EED0 -:10504000441A964408ED015A33EE425A0BED011A08 -:1050500033EE021ABEF5007F234488ED005A8BED33 -:10506000001AFFF439AF3F2A40F2DD81CDE9096033 -:10507000CDF840900599002688B20890049A42F62F -:1050800044611046C1F20001022A98BF022001F1DA -:105090000C09C2EBC2010790C8000124069000BFB2 -:1050A000089841F60C470644C0F6040707EBC60211 -:1050B00092ED000A07EB06138DED1D0A93ED000A31 -:1050C00006EB460307EBC3058DED1C0A95ED000AC0 -:1050D00007EB46118DED1B0A91ED000A06EB8601E8 -:1050E000CA0007EBC1018DED1A0A91ED000A4FEAE3 -:1050F000C30B190107EB03138DED190A93ED000A99 -:10510000C6EBC6034FEAC60CDD0007EBC3038DED0B -:10511000180A93ED000A0CF104034FEA061E3B4403 -:105120000C968DED170A93ED000A0EF104033B4433 -:105130008DED160A93ED000A0BF104034FEA4618B1 -:105140003B448DED150A93ED000A08F104033B443E -:105150008DED140A93ED000A04323A448DED130AE2 -:1051600092ED000A043139448DED120A91ED000AE6 -:10517000291D8DED110A3944CDF834900B94D1EDF1 -:10518000006ACE46A046DDE909A0DDE90E64DDF83F -:10519000189000BF1F990EEB06050EEB010C10993D -:1051A0000EEB040B71440EEB00070EEB0A030EEB43 -:1051B00009025EED017A15ED018A1CED019A1BEDE5 -:1051C00001AA11ED01DA17ED01EA13ED01FA52ED32 -:1051D000018A38EE27BA3AEE09CA7EEE0D9A78EEC9 -:1051E0008FAA79EE8BBAF0EE6C3A7AEE8CCA7CEE2E -:1051F000ABDA4EED01DA7BEEECBADEED00CAD5EDAE -:1052000000DADCED00EADBED00FA91ED000A97ED43 -:10521000001A93ED002A92ED003A3DEEAC4A3FEEC3 -:10522000AE5A31EE006A33EE027A76EE040A77EE79 -:10523000051ADDED1A4ADDED135A71EEA02A70EE63 -:10524000E10ACEED002A6BEEA41A60EEA52A72EEFA -:10525000A11A60EEA40A6BEEA52A70EEE20A45EDF3 -:10526000011AC5ED000A7BEE690A7CEE6A1A34EE7B -:10527000464A35EE475A9DED1CCADDED154A35EE1E -:10528000206A34EE617A66EE0C2A27EE24BA27EE05 -:105290000C7A26EE246A30EEC55A34EE214ADDED52 -:1052A000180ADDED111A37EE466A81ED006A25EE27 -:1052B000206A24EE217A24EE204A25EE215A36EE89 -:1052C000076A34EE454A39EE4A5A3FEE687A7EEE76 -:1052D000EF1A30EE410A32EE431A72EE8B2A35EEA7 -:1052E000472A37EE053A31EEC15A31EE211A41ED27 -:1052F000012A07ED016A87ED004A37EEC84A3DEE04 -:105300004E6A7CEEED0A22EE232A23EE233A25EEA6 -:10531000235A21EE231AF0EE63CA32EE047A73EEBA -:10532000061A75EE202A71EE007ADDED1D3ADDEDEC -:10533000164A37EE878A32EEE19A28EE23AA29EE42 -:1053400024BA29EE239ADDED173A37EE677A72EE2A -:10535000A11A67EE232A61EEA67A61EEA31A27EE60 -:10536000267A28EE248A31EEC77A34EE422A36EEC7 -:10537000433A30EEC54A30EE410ADDED190ADDED63 -:10538000121A39EE488A30EE021A34EE435A8CED86 -:10539000008A82ED007A21EE206A25EE217A25EE40 -:1053A000205A21EE211A35EE411A1E998BED001A72 -:1053B00034EE031A9DED1B4A9DED145A32EE400A5D -:1053C0008844209920EE042A21EE053A21EE041AA1 -:1053D00020EE050A3AEE0BAA72EEA72A36EE076A0D -:1053E00032EE032A31EE400AB8F5007F8E440CED10 -:1053F00001AA42ED012A0BED016A03ED012A83EDBA -:10540000000AFFF4C7AE0B9C0798DDF83490013416 -:105410000C9E844209F108097FF442AE0598C00051 -:105420000590049A3EE54FF6C23C42F644680020DF -:10543000C0F6030CC1F200080CEB00023CF800308F -:10544000578823F0030327F0030758F8035058F850 -:10545000074008EB030608EB070148F8034048F84B -:1054600007504B68776873604F609188D38821F04C -:10547000030123F0030358F8016058F8035008EBC8 -:10548000010708EB030448F8015048F8036061681D -:105490007B68796063605389118923F0030358F8AE -:1054A000035021F0030158F8016008EB030448F8A9 -:1054B000015008EB0107616848F803607B68796078 -:1054C0009189D289636021F0030122F0030258F828 -:1054D000017058F8026008EB010308EB020548F878 -:1054E000016048F8027069685A681030B0F5607F52 -:1054F00059606A60A0D147F2F07BA8F18001A8F161 -:1055000040026FF07F00C1F2000B00BF91ED200A56 -:1055100091ED211A20EE000A21EE011A31EE000A67 -:10552000B1EEC00A043082ED100A91ED220A91ED2D -:10553000231A20EE000A21EE011A31EE000AB1EE24 -:10554000C00A82ED110A91ED240A91ED251A20EE90 -:10555000000A21EE011A31EE000AB1EEC00A82ED16 -:10556000120A91ED260A91ED271A20EE000A21EE8B -:10557000011A31EE000AB1EEC00A82ED130A91ED74 -:10558000280A91ED291A20EE000A21EE011A31EEC7 -:10559000000AB1EEC00A82ED140A91ED2A0A91EDDB -:1055A0002B1A20EE000A21EE011A31EE000AB1EEAC -:1055B000C00A82ED150A91ED2C0A91ED2D1A20EE0C -:1055C000000A21EE011A31EE000AB1EEC00A82EDA6 -:1055D000160A91ED2E0A91ED2F1A20EE000A21EE07 -:1055E000011A31EE000AB1EEC00A82ED170A91ED00 -:1055F000300A91ED311A20EE000A21EE011A31EE47 -:10560000000AB1EEC00A82ED180A91ED320A91ED5E -:10561000331A20EE000A21EE011A31EE000AB1EE33 -:10562000C00A82ED190A91ED340A91ED351A20EE87 -:10563000000A21EE011A31EE000AB1EEC00A82ED35 -:105640001A0A91ED360A91ED371A20EE000A21EE82 -:10565000011A31EE000AB1EEC00A82ED1B0A91ED8B -:10566000380A91ED391A20EE000A21EE011A31EEC6 -:10567000000AB1EEC00A82ED1C0A91ED3A0A91EDE2 -:105680003B1A20EE000A21EE011A31EE000AB1EEBB -:10569000C00A82ED1D0A91ED3C0A91ED3D1A20EE03 -:1056A000000A21EE011A31EE000AB1EEC00A82EDC5 -:1056B0001E0A91ED3E0A91ED3F1A20EE000A21EEFE -:1056C000011A31EE000AB1EEC00A01F1800182ED4B -:1056D0001F0A02F140027FF419AF08F50065284661 -:1056E00041464FF48062EBF77CFE08F58066404649 -:1056F00031464FF48062EBF774FE304629464FF492 -:105700008062EBF76EFE9FED0A0A9FED0A1A9FED8D -:105710000A2A46F2446C0020C1F2000CB7EE008A5F -:10572000B0EE003AB0EE084AB1EE009A13E000BFC6 -:105730008988883F000000008988083FCDCC4CBF95 -:1057400085EE065A02300CEB8201B0F5F07F81ED58 -:10575000005A00F0E18005EE100AB8EE455A25EE39 -:10576000005ABCEEC55A15EE102A22EAE275B2F5CF -:10577000FF7F40F2FF13D8BF13469D42B0EE415A5F -:10578000B0EE416A32D808EB850707F10806B0EEA3 -:10579000416AB0EE415A2F4616ED027A7C1C9C42BB -:1057A00037EE055A1AD816ED017ABC1C9C4237EE2A -:1057B000055A18D896ED007AFC1C9C4237EE055A23 -:1057C00000F2998096ED017A043737EE055A36EEED -:1057D000096A9F4206F11006DED907E036EE086A34 -:1057E000012A05DA0EE036EE036A00BF012A09DB62 -:1057F00008EB850717ED017A36EE026A27EE027A8A -:1058000037EE055AB2F5FF7F09DC08EB830292ED13 -:10581000017A36EE026A27EE027A37EE055A85EEF5 -:10582000065A0CEB800240F2FF17B0EE416A82ED9F -:10583000005A40F0010205EE102AB8EE455A25EE56 -:10584000005ABCEEC55A15EE103A23EAE374B3F5DC -:10585000FF7FD8BF1F46BC42B0EE415A30D808EB9C -:10586000840606F10805B0EE416AB0EE415A2646BC -:1058700015ED027A711CB94237EE055A19D815EDAB -:10588000017AB11CB94237EE055A17D895ED007A66 -:10589000F11CB94237EE055A33D895ED017A04363A -:1058A00037EE055A36EE096ABE4205F11005DFD91A -:1058B00006E036EE086A012B04DA0DE036EE036AE4 -:1058C000012B09DB08EB840111ED017A36EE026A47 -:1058D00027EE027A37EE055AB3F5FF7F3FF730AF78 -:1058E00008EB870191ED017A36EE026A27EE027A23 -:1058F00037EE055A24E736EE046A012ABFF678AF80 -:1059000080E736EE046A012BDCDAE5E7FFFF7FFF74 -:10591000CDCCCC3D95BFD63342F64464C1F20004F1 -:1059200046F24461C1F2000120464FF4F062EBF709 -:1059300058FD00203A9031903AAA31AB20464FF4FE -:10594000F0710DF00BF94CF21B06C2F20106307833 -:10595000002840F08E8047F6A610C1F200000078C3 -:10596000002840F0868047F69D10C1F200000078C4 -:1059700000287ED19BF801000BF12401002808BF0C -:105980000BF1080108680A2873D04BF6F870C2F2D0 -:105990000100006800286CD19DED3A0A1FED991AAC -:1059A000A4F1400120EE010A6FF0770091ED101A8A -:1059B00091ED112A91ED123A31EE001A91ED134A50 -:1059C00081ED101A32EE001A81ED111A33EE001A31 -:1059D00091ED142A81ED121A34EE001A81ED131A9A -:1059E00032EE001A91ED152A81ED141A32EE001AEA -:1059F00091ED162A81ED151A32EE001A91ED172A53 -:105A000081ED161A32EE001A91ED182A81ED171A5F -:105A100032EE001A91ED192A81ED181A32EE001AB1 -:105A200091ED1A2A81ED191A32EE001A91ED1B2A16 -:105A300081ED1A1A32EE001A91ED1C2A81ED1B1A23 -:105A400032EE001A91ED1D2A81ED1C1A32EE001A79 -:105A500091ED1E2A81ED1D1A32EE001A91ED1F2ADA -:105A600081ED1E1A32EE001A81ED1F1A403104300A -:105A70009CD146F6CD5046F2446542F64464C1F2EC -:105A80000000C1F20005C1F2000407782846214653 -:105A90004FF4F062EBF7A5FC012F09D14BF2525005 -:105AA000C2F201000278002040F2DF110DF07EFA10 -:105AB00049F6F450C1F2000095EDF00A90ED002A8D -:105AC0003078E0B947F6A610C1F200000078B0B90E -:105AD00047F69D10C1F20000007880B99BF80100E4 -:105AE0000BF12401002808BF0BF1080108680A28FF -:105AF00005D04BF6F870C2F20100006828B14DF2F3 -:105B0000C810C2F2010090ED002AB1EE081A20EE92 -:105B1000013A1FED821A6FF00F0000BF211891EDBE -:105B2000044A91ED055A91ED066AB4EE441A91EDDE -:105B3000077AF1EE10FAB8BFB0EE441AB4EE451A87 -:105B4000F1EE10FAB8BFB0EE451AB4EE461AF1EE17 -:105B500010FAB8BFB0EE461AB4EE471AF1EE10FADA -:105B600000F11000B8BFB0EE471AB0F5EE6FD5D116 -:105B7000B2EE004A20EE044A20EE095A1FED9C0ABC -:105B800033EE423A23EE000A30EE020AB4EE450A42 -:105B9000F1EE10FAB8BFB0EE450AB4EE440A307820 -:105BA000F1EE10FAC8BFB0EE440AB8B947F6A61035 -:105BB000C1F20000007888B947F69D10C1F20000DC -:105BC000007858B99BF801000BF12401002808BFA8 -:105BD0000BF1080108680A2840F0F1853078B8B95F -:105BE00047F6A610C1F20000007888B947F69D106C -:105BF000C1F20000007858B99BF801000BF12401B4 -:105C0000002808BF0BF1080108680A2840F0A486A4 -:105C1000B0EE410A1FEDC11A3078B4EE410AF1EE40 -:105C200010FAB8BFB0EE410AF0B947F6A610C1F2BB -:105C300000000078C0B947F69D10C1F2000000785E -:105C400090B99BF801000BF12401002808BF0BF16B -:105C5000080108680A2807D04BF6F870C2F2010064 -:105C60000068002801F02C804DF2C810C2F201003B -:105C70004BF25157B5EE400AC2F20107F1EE10FAAD -:105C800080ED000A69DD88EE000A6FF03F0000BF7A -:105C9000211891ED101A91ED112A91ED123A91ED22 -:105CA000134A21EE001A22EE002A23EE003A24EED7 -:105CB000004A81ED101A81ED112A81ED123A81ED31 -:105CC000134A91ED141A91ED152A91ED163A91EDC2 -:105CD000174A21EE001A22EE002A23EE003A24EEA3 -:105CE000004A81ED141A81ED152A81ED163A81EDF5 -:105CF000174A91ED181A91ED192A91ED1A3A91ED82 -:105D00001B4A21EE001A22EE002A23EE003A24EE6E -:105D1000004A81ED181A81ED192A81ED1A3A81EDB8 -:105D20001B4A91ED1C1A91ED1D2A91ED1E3A91ED41 -:105D30001F4A403021EE001A22EE002A23EE003ADC -:105D400024EE004AB0F5E86F81ED1C1A81ED1D2AA2 -:105D500081ED1E3A81ED1F4A9AD19BF888014AF6DF -:105D6000D05100EE100AB8EE400A88EE000A002872 -:105D70004FF00000C2F2010108BFB0EE480A00BFB8 -:105D80000A18231892ED001A93ED002A1830B4EE89 -:105D9000421A32EE412A22EE002AF1EE10FAACBF8E -:105DA00031EE021A32EE011A92ED012A93ED013A18 -:105DB00082ED001A33EE421AB4EE432A21EE001AA5 -:105DC000F1EE10FAB4BF31EE021A32EE011A92ED82 -:105DD000022A93ED023A82ED011A33EE421AB4EE32 -:105DE000432A21EE001AF1EE10FAB4BF31EE021A86 -:105DF00032EE011A92ED032A93ED033A82ED021A74 -:105E000033EE421AB4EE432A21EE001AF1EE10FAF4 -:105E1000B4BF31EE021A32EE011A92ED042A93ED6C -:105E2000043A82ED031A33EE421AB4EE432A21EE0D -:105E3000001AF1EE10FAB4BF31EE021A32EE011A76 -:105E400092ED052A93ED053A82ED041AB4EE432A49 -:105E500033EE421AF1EE10FA21EE001AB4BF31EE21 -:105E6000021A32EE011AB0F5F06F82ED051A87D1F1 -:105E7000012038704CF24069C2F20109D9F80C13C4 -:105E8000B1B1D9F820034A6910230027C0F8402295 -:105E9000C0E9923703690227012BA0F8347208BFCA -:105EA000C0F8442200F50D710068DAB209F0EEFF87 -:105EB0004CF23420C2F201000178002956D000F1E2 -:105EC000100E90E88E009EE860503AAC00F1200A77 -:105ED0008EC4CDE93E569AE82E04D0E90D74C66B07 -:105EE000CDE940CE0DF5847C4996CDE947748CE828 -:105EF0002E0440210DF1E808EBF741FC09210120B7 -:105F0000C0F2400198F800200A3A162A29D800FA6F -:105F100002F20A4225D098F801200A3A162A18D827 -:105F200000FA02F20A4214D098F802200A3A162A1D -:105F300012D800FA02F20A420ED098F803200A3A68 -:105F4000162A0CD800FA02F20A4208D008F1040816 -:105F5000D8E708F1010804E008F1020801E008F1BF -:105F600003084046EAF7B7FC022805D24AB0BDEC68 -:105F7000108B01B0BDE8F08F002439A84146022201 -:105F80008DF8E640ADF8E440EAF7D0FC4046CDE9B4 -:105F90003744CDE93544CDE93344CDE93144ADF85A -:105FA000C0402F94CDE92D44CDE92B44CDE92944BF -:105FB000EAF791FC0328C0F02F8108F10201821E4C -:105FC00031A8EAF7B3FC40F68171C0F6040139A8A4 -:105FD0000322EAF730FC0028C8D040F62771C0F64B -:105FE000040139A80322EAF726FC002800F03683D2 -:105FF00041F25401C0F6040139A80322EAF71BFC60 -:10600000002800F0218341F24E01C0F6040139A8B6 -:106010000322EAF710FC002800F0558340F6607177 -:10602000C0F6040139A80322EAF705FC002800F0B5 -:10603000158340F6ED61C0F6040139A803225D46E0 -:10604000EAF7F9FB002800F0928440F6F961C0F607 -:10605000040139A80322EAF7EEFB002800F0BC8512 -:1060600040F6F661C0F6040139A80322EAF7E3FB23 -:10607000002800F02B8640F6F361C0F6040139A831 -:106080000322EAF7D8FB002800F0328641F24B01E8 -:10609000C0F6040139A80322EAF7CDFB002800F07E -:1060A000D38241F25701C0F6040139A80322EAF76E -:1060B000C2FB002800F03C8640F62A71C0F60401BD -:1060C00039A80322EAF7B7FB002800F05A8640F609 -:1060D0002471C0F6040139A80322EAF7ACFB0028BA -:1060E00000F0BC8240F67E71C0F6040139A803229C -:1060F000EAF7A1FB002800F0C88640F6FC61C0F674 -:10610000040139A80322EAF796FB002800F0028771 -:1061100041F21B01C0F6040139A80322EAF78BFB08 -:10612000002800F0458741F28D01C0F6040139A82E -:106130000322EAF780FB002800F0908241F251012F -:10614000C0F6040139A80322EAF775FB002800F025 -:106150004B8740F69671C0F6040139A80322EAF78E -:106160006AFB002800F0718740F6FC71C0F604015C -:1061700039A80322EAF75FFB002800F0768740F693 -:106180008471C0F6040139A80322EAF754FB002801 -:1061900000F0648241F24801C0F6040139A80322EC -:1061A000EAF749FB002800F0858740F6F061C0F669 -:1061B000040139A80322EAF73EFB002800F08A8791 -:1061C00040F6E371C0F6040139A80322EAF733FB75 -:1061D000002800F08F8741F28A01C0F6040139A837 -:1061E0000322EAF728FB002840F02981BDF8C4000B -:1061F000302805D147F6A610C1F200000021017039 -:10620000BDF8C40031287FF4B1AE47F6A610C1F244 -:10621000000001210170A9E640F68171C0F6040179 -:1062200039A80322EAF707FB002800F0F58140F6C1 -:106230002771C0F6040139A80322EAF7FCFA002806 -:1062400000F0F58141F25401C0F6040139A803229F -:10625000EAF7F1FA002800F0098241F24E01C0F697 -:10626000040139A80322EAF7E6FA002800F0378291 -:1062700040F66071C0F6040139A80322EAF7DBFAA0 -:10628000002800F03C8240F6ED61C0F6040139A818 -:106290000322EAF7D0FA002800F0768340F6F9618D -:1062A000C0F6040139A80322EAF7C5FA002800F075 -:1062B000A98440F6F661C0F6040139A80322EAF782 -:1062C000BAFA002800F0CA8140F6F361C0F6040172 -:1062D00039A80322EAF7AFFA002800F0BF8141F2A3 -:1062E0004B01C0F6040139A80322EAF7A4FA0028FA -:1062F00000F0138541F25701C0F6040139A80322CA -:10630000EAF799FA002800F0A98140F62A71C0F650 -:10631000040139A80322EAF78EFA002800F09E81D2 -:1063200040F62471C0F6040139A80322EAF783FA83 -:10633000002800F04E8540F67E71C0F6040139A8B1 -:106340000322EAF778FA002800F0888140F6FC6121 -:10635000C0F6040139A80322EAF76DFA002800F01C -:106360007D8141F21B01C0F6040139A80322EAF73E -:1063700062FA002800F0728141F28D01C0F604013A -:1063800039A80322EAF757FA002800F0218641F2E3 -:106390005101C0F6040139A80322EAF74CFA00289B -:1063A00000F05C8140F69671C0F6040139A8032222 -:1063B000EAF741FA002800F0518140F6FC71C0F67E -:1063C000040139A80322EAF736FA002800F04681D2 -:1063D00040F68471C0F6040139A80322EAF72BFACB -:1063E000002800F05B8641F24801C0F6040139A89C -:1063F0000322EAF720FA002800F0308140F6F0612D -:10640000C0F6040139A80322EAF715FA002800F0C3 -:10641000258140F6E371C0F6040139A80322EAF7AA -:106420000AFA002800F01A8141F28A01C0F604013C -:1064300039A80322EAF7FFF9002800F06C860FF66E -:106440002C500FE14FF47E7143F64462A1EB4A01F8 -:10645000C1F200024DF2DC572AF0030902EB81067B -:1064600000214FF6FC7EC2F201074FF6FE7B0022B0 -:1064700000FB02F303EA0E0307EB830305EB0108BD -:1064800093ED001A42F0010398ED000A04EB010CB1 -:1064900043439CED002A9BB221EE000A07EB8303E5 -:1064A00086ED080A22EE010A98ED011A93ED002A02 -:1064B00042F00203434386ED090A22EE010A9CEDF5 -:1064C000011A03EA0B0307EB830386ED0A0A21EEA8 -:1064D000020A98ED021A93ED002A42F003034343A7 -:1064E00086ED0B0A22EE010A9CED021A9BB207EB25 -:1064F000830386ED0C0A21EE020A98ED031A93ED50 -:10650000002A86ED0D0A22EE010A9CED031A86EDA3 -:106510000E0A21EE020A043286ED0F0A20361031EF -:106520009145A5D1DDF8809047F2F07B46F6CC5836 -:1065300043F6446EB9F1000FC1F2000BC1F200083E -:10654000C1F2000E3EF47BAC00FB02F14DF2DC5CCC -:1065500089B2C2F2010C05EB82030CEB810193EDD1 -:10656000000A91ED001ACAF500718F1821EE000A99 -:106570000EEBC70686ED000A04EB820696ED000AD4 -:10658000FF00043720EE010A7744B9F1010F87EDCF -:10659000000A3EF454AC571C00FB07F605EB8703DA -:1065A00093ED000AB3B20CEB830393ED001ACB1901 -:1065B00021EE000A0EEBC30604EB870786ED000A06 -:1065C00097ED000ADB00043320EE010A7344B9F1B1 -:1065D000020F83ED000A3EF432AC0232504380B227 -:1065E00005EB82030CEB800093ED000A90ED001A9E -:1065F000881821EE000A0EEBC00181ED000A04EBC1 -:10660000820191ED000AC000043020EE010A7044BE -:1066100080ED000AFEF713BCD9F80C13486A002875 -:106620007FF4A4AC40F68E60C0F6040028E0D9F8F0 -:106630000C13486A00287FF499AC40F6B860C0F6A5 -:106640000400886107221DE0BDF8C40030283FF433 -:106650008DACBDF8C40031283FF488AC40F60C5036 -:10666000C0F60400414611F0E1FA7FE4D9F80C13BA -:10667000486A00287FF47AAC40F69D60C0F60400BA -:10668000042288610A624B6A002B7FF46FAC0123FD -:106690004B62D9F820334FF481771946C9F84C2062 -:1066A00021F8747FC3F88000C3F888201A69002796 -:1066B000012AC3F88C7008BFC3F884001868D2B2EE -:1066C00009F0E4FB52E4BDF8C400302800F0BA81C0 -:1066D000BDF8C400312840F0B8810120B3E1D9F8F9 -:1066E0000C039BF80120416A002A00F0F381002985 -:1066F0007FF43CAC40F6C562C0F60402F1E1002034 -:1067000021ACADF8A0002790CDE92500CDE923000C -:10671000CDE921002046EAF7DEF844F24962C3F2EF -:10672000300221182250312088809BF801000BF1A3 -:106730002001002808BF0BF104010D6849F28060B8 -:10674000C0F29800854204D22046EAF7C4F830210E -:10675000215241F251610DF1A408C0F604014046F6 -:106760002A46E9F731FE20464146EAF7D3F8EAF730 -:10677000B2F843F22B02C3F2300221182250302724 -:1067800020468F80EAF7A7F827522046EAF7A3F8B9 -:1067900027529BF801000BF12401002808BF0BF1E0 -:1067A000080108780138C1B20A2900F2C3814CF609 -:1067B000680140B2C0F6020151F82020BBE14BF65F -:1067C000F870C2F20100006800287FF407AA9BF865 -:1067D0008B0100283FF402AA9FEDD42A9FEDD44AF2 -:1067E00020EE022A31EE423A88EE033A20EE044AC5 -:1067F000002004E02830B0F5F06F3FF4EFA9211835 -:1068000091ED005AB4EE425AF1EE10FA09DD35EE80 -:10681000425A24EE055A25EE035A35EE025A81ED0E -:10682000005A91ED015AB4EE425AF1EE10FA09DD28 -:1068300035EE425A24EE055A25EE035A35EE025A39 -:1068400081ED015A91ED025AB4EE425AF1EE10FA7E -:1068500009DD35EE425A24EE055A25EE035A35EE8F -:10686000025A81ED025A91ED035AB4EE425AF1EE0A -:1068700010FA09DD35EE425A24EE055A25EE035A88 -:1068800035EE025A81ED035A91ED045AB4EE425AA4 -:10689000F1EE10FA09DD35EE425A24EE055A25EEE6 -:1068A000035A35EE025A81ED045A91ED055AB4EEC1 -:1068B000425AF1EE10FA09DD35EE425A24EE055A3D -:1068C00025EE035A35EE025A81ED055A91ED065A2E -:1068D000B4EE425AF1EE10FA09DD35EE425A24EEDA -:1068E000055A25EE035A35EE025A81ED065A91ED0E -:1068F000075AB4EE425AF1EE10FA09DD35EE425A6B -:1069000024EE055A25EE035A35EE025A81ED075A58 -:1069100091ED085AB4EE425AF1EE10FA09DD35EE67 -:10692000425A24EE055A25EE035A35EE025A81EDFD -:10693000085A91ED095AB4EE425AF1EE10FA7FF777 -:1069400059AF35EE425A24EE055A25EE035A35EE7C -:10695000025A81ED095A4DE74BF6F870C2F2010078 -:106960000068002818BFB0EE410AFFF753B96878F5 -:1069700028B931A8E9F752FF291D07F079FA31A8A3 -:10698000E9F74CFF686034E121AC00262046ADF801 -:10699000A0602796CDE92566CDE92366CDE921667D -:1069A000E9F799FF44F2461221182252DBF804500D -:1069B00049F28060C0F2980085428E7004D2204671 -:1069C000E9F789FF3021215241F2516129AFC0F628 -:1069D000040138462A46E9F7F7FC20463946E9F72C -:1069E00099FFE9F778FF3B2121522046E9F773FF31 -:1069F000D9F80C134A6A002A7FF4B8AA80B28C61D5 -:106A000008624A6A002A7FF4B1AA01224A62D9F8D0 -:106A10002023C9F84C004FF48173114621F8743FCC -:106A20001369C2F888000020C2F88040012BC2F828 -:106A30008C0008BFC2F884401068DAB209F026FA68 -:106A4000FFF794BA00208BF801004BF6CE70C2F22B -:106A50000100012101704BF6CC70C2F201000170FF -:106A60004BF69470C2F20100017081718172017263 -:106A700081700171C17242F61C10C1F20000002148 -:106A800001604BF6B870C2F20100016042F62410BA -:106A9000C1F20000FF2101704AF26A00C2F2010057 -:106AA000017042F62510C1F20000017042F622107A -:106AB000C1F2000042F20F7101804AF26800C2F296 -:106AC0000100018042F62010C1F2000001800FF2A7 -:106AD000B460C7E500297FF449AA40F69862C0F681 -:106AE0000402042382610362416A00297FF43EAA02 -:106AF00001214162D9F820034FF481770146C9F89A -:106B00004C3021F8747FC0F88020C0F888300369C9 -:106B10000027012BC0F88C7008BFC0F884200068E3 -:106B2000DAB209F0B3F9FFF721BA00BF6666663F33 -:106B3000D0CCCC3D012241F29C0129ADC0F604012C -:106B40002846E9F741FC20462946E9F7E3FEE9F744 -:106B5000C2FE27522046E9F7BEFE27522046E9F73B -:106B6000BAFE43F2300221182252002520468D70D1 -:106B7000E9F7B1FE43F630322118225220468D70DB -:106B8000E9F7A9FED9F80C134A6A002A7FF4EEA9A6 -:106B900080B28C6108624A6A002A7FF4E7A9012268 -:106BA0004A62D9F82023C9F84C004FF4817311468A -:106BB00021F8743F1369C2F888000020C2F88040B1 -:106BC000012BC2F88C0008BFC2F884401068DAB20A -:106BD00009F05CF9FFF7CAB9687830B131A8E9F774 -:106BE0001DFE05F1200107F043F931A8E9F716FE73 -:106BF00028624BF69470C2F201000121417141708C -:106C0000FFF7B4B921AC00262046ADF8A060279666 -:106C1000CDE92566CDE92366CDE92166E9F75BFE7E -:106C200044F2461221182252DBF8205049F28060CB -:106C3000C0F2980085428E7004D22046E9F74BFEE0 -:106C40003021215241F2516129AFC0F6040138468A -:106C50002A46E9F7B9FB20463946E9F75BFEE9F732 -:106C60003AFE3B2121522046E9F735FED9F80C13B4 -:106C70004A6A002A7FF47AA980B28C6108624A6A63 -:106C8000002A7FF473A901224A62D9F82023C9F8A7 -:106C90004C004FF48173114621F8743F1369C2F818 -:106CA00088000020C2F88040012BC2F88C0008BF89 -:106CB000C2F884401068DAB209F0E8F8FFF756B974 -:106CC00049F6F450C1F20000FEF7D2BFBDF8C4008F -:106CD00030287FF4C3ACD9F80C13486A00287FF43D -:106CE00045A940F66460C0F6040088610522C9E445 -:106CF000BDF8C40030287FF4B1ACD9F80C0395F886 -:106D00008E21416A002A00F0B68000297FF42EA966 -:106D100040F6AC62C0F60402B4E0D9F80C13486A3D -:106D200000287FF423A940F6C060C0F60400A7E461 -:106D3000BDF8C40030287FF491AC95F869010028B3 -:106D400000F0DD80697805F13802002908BF05F1FF -:106D50001C021178002900F0D280032800F0548131 -:106D6000022800F05C81012840F06481D9F80C13FE -:106D7000486A00287FF4FAA840F6E060C0F60400F4 -:106D8000B3E7BDF8C400302800F09280687805F1C0 -:106D90002401002808BF05F108010C6831A811F092 -:106DA000BFF984423FF4E2A831A811F0B9F99BF889 -:106DB00001200BF12001002A08BF0BF1040107F0AC -:106DC0005FFA4BF69470C2F2010001214170FFF7A7 -:106DD000CDB821AC00252046ADF8A0502795CDE9CF -:106DE0002555CDE92355CDE92155E9F774FD211845 -:106DF00044F2503222529BF836218D7041F29C01B0 -:106E000029ADC0F604012846E9F7DEFA20462946F6 -:106E1000E9F780FDE9F75FFD3B2121522046E9F7C4 -:106E20005AFDD9F80C134A6A002A7FF49FA880B251 -:106E30008C6108624A6A002A7FF498A801224A629B -:106E4000D9F82023C9F84C004FF48173114621F87A -:106E5000743F1369C2F888000020C2F88040012BFB -:106E6000C2F88C0008BFC2F884401068DAB209F09A -:106E70000DF8FFF77BB800297FF478A840F65E6232 -:106E8000C0F60402826105232DE6BDF8C400302857 -:106E90007FF46CA8D9F80C13486A00287FF466A820 -:106EA00040F6E660C0F6040088610622FFF7EABB00 -:106EB000002021ACADF8A0002790CDE92500CDE958 -:106EC0002300CDE921002046E9F705FD44F24D41BC -:106ED000C0F230012150687805F12401002808BF74 -:106EE00005F1080108780138C1B20A2922D84CF608 -:106EF000680140B2C0F6020151F820201BE0D9F829 -:106F00000C13486A00287FF431A840F68860C0F668 -:106F10000400EAE6BDF8C40030287FF49FABD9F83E -:106F20000C13486A00287FF421A840F66A60C0F676 -:106F30000400DAE6012241F29C0129ADC0F6040109 -:106F40002846E9F741FA20462946E9F7E3FCE9F744 -:106F5000C2FC3B2121522046E9F7BDFCD9F80C13B5 -:106F60004A6A002A7FF402A880B28C6108624A6AE9 -:106F7000002A7EF4FBAF01224A62D9F82023C9F827 -:106F80004C004FF48173114621F8743F1369C2F825 -:106F900088000020C2F88040012BC2F88C0008BF96 -:106FA000C2F884401068DAB208F070FFFEF7DEBF66 -:106FB000BDF8C40030287FF451ABD9F80C13486AEF -:106FC00000287EF4D3AF40F67660C0F604008CE66D -:106FD000D9F80C13486A00287EF4C8AF40F6A760C1 -:106FE000C0F60400FFF74CBBBDF8C40030287FF4A6 -:106FF00035ABD9F80C13486A00287EF4B7AF40F6D9 -:107000008260C0F6040070E6D9F80C13486A0028C4 -:107010007EF4ACAF40F6CF60C0F6040065E6D9F868 -:107020000C13486A00287EF4A1AF40F6DA60C0F67F -:1070300004005AE6D9F80C13486A00287EF496AF8B -:1070400040F6B260C0F604004FE6BDF8C400302838 -:107050007FF404ABD9F80C13486A00287EF486AF9D -:1070600040F67060C0F604003FE640F6BE51C0F640 -:10707000040131A80322E9F7DEFB00287FF4EEAA21 -:10708000D9F80C13486A00287EF470AF40F64760C8 -:10709000C0F6040088610822FFF7F4BAD9F80C138F -:1070A000486A00287EF462AF40F69360C0F60400A0 -:1070B000FFF7E6BABDF8C40030287FF4CFAAD9F8AC -:1070C0000C13486A00287EF451AF40F67C60C0F68D -:1070D00004000AE6BDF8C40030287EF447AFD9F8B2 -:1070E0000C13486A00287EF441AF40F65860C0F6A1 -:1070F0000400FAE5BDF8C40030287EF437AFD9F8B3 -:107100000C13486A00287EF431AF40F65060C0F698 -:107110000400FFF796BA47F6A610C1F20000007807 -:1071200058B1D9F80C13486A00287EF41FAF40F616 -:10713000CA60C0F60400FFF7A3BA4CF21B00C2F20B -:1071400001000278D9F80C03416A3AB100297EF4B3 -:107150000DAF40F6D562C0F60402C2E400297EF409 -:1071600005AF40F6A262C0F60402BAE4556E6B6E3B -:107170006F776E2043415420636F6D6D616E643A8A -:107180002000000043415420617267756D656E7484 -:10719000733A20002DE9F04F81B02DED108B8CB0AB -:1071A0004AF22850C2F201000178012919D10021C8 -:1071B000017041F204004AF22452CEF20000C2F201 -:1071C000010242F6702301681268C1F200031F68D1 -:1071D000891A39441960006842F67421C1F200012D -:1071E00008604DF23C00C2F20100F1F71FFB4BF6C4 -:1071F000D471C2F20101087838B947F2D170C1F2F6 -:1072000000000078002801F015874CF21B00C2F244 -:107210000100007847F2F075C1F20005F8B947F6B1 -:10722000A610C1F200000078C8B947F69D10C1F25F -:107230000000007898B96C7805F12409484605F1FA -:10724000080A002C08BF504600680A2807D04BF6F1 -:10725000F870C2F201000068002801F0FB876A782C -:1072600005F12400002A08BF05F1080006680B2E6E -:1072700001F0E0860878002801F0DC8642F628203C -:107280000492C1F2000001689FEDD31A0131016040 -:1072900095F83601B7EE008A00EE100AB8EE400A03 -:1072A00020EE010A30EE080A0BA812F0FDFB9FED5C -:1072B000CB1A9FEDCB2A20EE011A31EE021A9FED78 -:1072C000C92A21EE001A31EE021A21EE000A9DEDC4 -:1072D0000B1A9FEDC52AB8EEC11A31EE021A42F61A -:1072E0002C1031EE000A9FEDC11AC1F2000090EDA2 -:1072F000002A20EE010A47F2D87A22EE000AC1F2F3 -:10730000000A8AED000A9AED000A47F69D1EB5EEC6 -:10731000400AF1EE10FABCBF0020CAF800000A2EA5 -:10732000C1F2000E07D19EF80000002804BF4FF004 -:107330007C50CAF800009EF8000095F83A8110B120 -:10734000B8F1000F06D026F0010102299EF80000D6 -:1073500008BF002895F844010696C8B34CF22120D6 -:10736000C2F201000178002001294FF0000106D18E -:107370004CF24061C2F20101D1F81013096A4AF6D9 -:10738000AB23CAF6AA23A1FB03177A08A2FB0313B7 -:10739000590801EB4101C1EB57010129934608BF90 -:1073A0004FF0FF304FF0FF3308BF03EB570BBBF537 -:1073B000C07F32D240F27F13CBF5C079A3EB0B0331 -:1073C0000BF5C071032B09F0030570D20023C7E051 -:1073D0004CF68C30C2F20100C06B40F2FF21006815 -:1073E0004FF4C077406880B2C0F54072D30718BF31 -:1073F0000A1A47F2644040F20001C2F20100C2F2F0 -:1074000000014FF44073009710F096FA47F69D1E66 -:1074100095F83A81C1F2000E75E1104445F66271AB -:1074200000EB4000C2F20101084440F20001C2F248 -:1074300000014FF67432A0F281401039CFF6FF728E -:1074400010F80C3F0C3210F80A6C10F80B7C10F896 -:10745000094C360446EA072710F8076C10F8085C58 -:1074600047EA046741F8107F370410F8066C47EAD2 -:10747000052747EA06674F6010F8047C10F8056C92 -:107480003F0410F8035C47EA062747EA05678F6068 -:1074900010F8017C10F8026C3F0447EA062747EA1F -:1074A000036312F10C07CB60CAD1069E2BE1104496 -:1074B00045F6627200EB4000C2F20102CDF8149072 -:1074C00029F0030E02EB000940F20000C2F20000B6 -:1074D0001038002340F27542CDE9021503EB430555 -:1074E00009EB02014D4491F80CA095F8807491F8D5 -:1074F0000DC04FEA0A4646EA072646EA0C67CE7BED -:1075000040F8107F8F7B0C7C360446EA072747EA59 -:10751000046747608F7C4E7C3F0495F8885447EAA7 -:10752000062747EA05674E7D87600F7D897D360413 -:1075300046EA0727043347EA01619E4502F10C023F -:10754000C160CBD1029947F2D87A069E47F69D1EBC -:10755000DDF81490039D1944C1F2000AC1F2000E37 -:107560006DB345F6627401EB4102C2F20104A0184A -:10757000A25C44788178240444EA022242EA01644D -:1075800040F20002C2F20002012D42F8234016D060 -:107590000779C17846793F0447EA012141EA06614B -:1075A000069E02EB8302022D516008D0C3798179D7 -:1075B000007A1B0443EA012141EA00609060BBF1BC -:1075C000000F00F0A080ABF1010003280BF0030CCA -:1075D0000ED2002167E000BFEB51B83DA8A19D3F4E -:1075E000F5CA83C0FBB3C040E09248C09B209A3EDE -:1075F00040F20000C2F20000CDF8149000EB8900C8 -:1076000045F662792BF0030EA0F5C06A002140F226 -:10761000F450C2F20109002409EB01056B7819F856 -:107620000120AE781B042F7943EA022295F803B0BB -:1076300042EA06620AEB8403C3F800263A046E7934 -:1076400042EA0B2242EA06620AEB000BCBF810205A -:10765000EA79AF7912042E7A42EA072242EA0662F8 -:10766000C3F8082601F10902AB7A6D7A42F00202F2 -:107670001B0419F8022043EA0523043443EA02629A -:107680000C31A64500F11000CBF81820C4D1DDF86C -:10769000149047F2D87A069E47F69D1EA144C1F287 -:1076A000000AC1F2000EBCF1000F2CD045F6627248 -:1076B000C2F201025018515C42788378120442EA07 -:1076C000012141EA036240F20001C2F20001BCF173 -:1076D000010F41F8292016D00379C27847791B049D -:1076E00043EA022242EA076201EB8901BCF1020F80 -:1076F0004A6008D0C3798279007A1B0443EA0222E7 -:1077000042EA006088609EF8000041F20059C2F22F -:107710000009C0B3B8F1000F35D141F20020C2F228 -:1077200000004FF44071EAF72AF847F69D1EC1F2B7 -:10773000000E0020DAF8001009EB000249F80010F2 -:107740008030B0F5407FC2E90111C2E90311C2E9FE -:107750000511C2E90711C2E90911C2E90B11C2E919 -:107760000D11C2E90F11C2E91111C2E91311C2E9E9 -:107770001511C2E91711C2E91911C2E91B11C2E9B9 -:107780001D11D167D6D1B8F1000F41F2002B49F697 -:10779000E86C40F28848C2F2000BC1F2000CC0F65F -:1077A00004089EF8000018BF002800F01281DCF8E1 -:1077B00000709FED9F1A9FED9F2A9FED9F3A9FEDCE -:1077C0009F4AA9F108016FF00700B6EE000A0022F7 -:1077D0004BF67F3305EE107AB8EE455A25EE016A76 -:1077E000BDEEC67AB8EEC77A36EE476A26EE026A72 -:1077F000BDEEC67A17EE106AB2EB562F36EE037A5C -:107800001CBFB0EE476A002608EB860507EE106A3B -:10781000D5ED000AD5ED011AB8EE477A36EE476A83 -:1078200031EEE07A27EE066A9AED007A36EE206AAB -:1078300027EE007A25EE045A26EE076ABDEEC57AD9 -:10784000B8EEC77A35EE475A25EE025ABDEEC57A34 -:1078500017EE106AB2EB562F35EE037A1CBFB0EE6E -:10786000475A002608EB860507EE106AD5ED000A98 -:10787000D5ED011AB8EE477A35EE475A31EEE07A87 -:1078800027EE055A9AED007A35EE205A27EE007A57 -:1078900025EE075A35EE065A0BEB0006013781ED4F -:1078A000025A86ED025A9F4288BF002705EE107AE1 -:1078B000B8EE455A25EE016ABDEEC67AB8EEC77A33 -:1078C00036EE476A26EE026ABDEEC67A17EE105A09 -:1078D000B2EB552F36EE037A1CBFB0EE476A002597 -:1078E00008EB850407EE105AD4ED000AD4ED011A16 -:1078F000B8EE477A36EE476A31EEE07A27EE066A4E -:107900009AED007A36EE206A27EE007A25EE045AC8 -:1079100026EE076ABDEEC57AB8EEC77A35EE475A4D -:1079200025EE025ABDEEC57A17EE105AB2EB552F6E -:1079300035EE037A1CBFB0EE475A002508EB8504EC -:1079400007EE105AD4ED000AD4ED011AB8EE477ACA -:1079500035EE475A31EEE07A27EE055A9AED007A75 -:1079600035EE205A27EE007A25EE075A013735EE1C -:10797000065A9F4200F1080081ED035A86ED035A32 -:1079800001F1080188BF0027B0F53E7F7FF422AFE8 -:107990004BF26050C2F20100D0E9011200884B4660 -:1079A000CCF80070CDF8009064460AF0C1FB46F6B2 -:1079B000DC50C1F20000D0E9011200885B46CDF82E -:1079C00000B00AF0B5FB069E47F69D1EA446C1F224 -:1079D000000E9EF8000026F001010429059140F0F8 -:1079E0002B81002800F028814AF21041C2F20101E7 -:1079F00008689FED130A01300209182A88BF002089 -:107A0000DCF800509FED0F1A9FED0F2A9FED093A09 -:107A10009FED094A9FED0D5A9FED0D6A9FED0D7A7E -:107A2000E6464FF0000C4BF67F320023086053E02F -:107A3000ABAAAA3C00000044000000C4ABAA2A3D47 -:107A400000C05A45DB0FC940C5835E360000FA44CA -:107A50000000000000007A440000003000EE905A60 -:107A6000F8EE600A60EE810A60EEA10A60EE820A1A -:107A7000FDEEE01AF8EEE11A70EEE10A60EE830A1C -:107A8000FDEEE01A11EE901ABCEB512F70EE841A45 -:107A90001CBFF0EE610A002108EB810401EE901A90 -:107AA000D4ED002AD4ED013AF8EE611A70EEE10A45 -:107AB00073EEE21A61EEA00A70EEA20A0135954259 -:107AC00003F10C0388BF0025B3F5407FC6ED020A21 -:107AD000C7ED020A00F0A780B0F5967FF0EE400AED -:107AE0000AD8C828F0EE450A06D86528F0EE470AFD -:107AF000F0EE461A30D300BF01EE905AF8EE611A4C -:107B000061EE811A61EEA00A60EE820AFDEEE01AD3 -:107B1000F8EEE11A70EEE10A60EE830AFDEEE01A7B -:107B200011EE907ABCEB572F70EE841A1CBFF0EE6A -:107B3000610A002708EB870601EE907AD6ED002A4D -:107B4000D6ED013AF8EE611A70EEE10A73EEE21A30 -:107B500061EEA00A70EEA21A0135954288BF002599 -:107B600009EB03060BEB0307B0F5967FF0EE400A36 -:107B7000C6ED001AC7ED001A0AD8C828F0EE450A6B -:107B800006D86528F0EE470AF0EE461A30D300BF5B -:107B900001EE905AF8EE611A61EE811A61EEA00AC8 -:107BA00060EE820AFDEEE01AF8EEE11A70EEE10AEC -:107BB00060EE830AFDEEE01A11EE904ABCEB542F02 -:107BC00070EE841A1CBFF0EE610A002408EB8401F9 -:107BD00001EE904AD1ED002AD1ED013AF8EE611A9A -:107BE00070EEE10A73EEE21A61EEA00A70EEA21ADC -:107BF0000135954288BF0025C6ED011AC7ED011A6F -:107C0000B0F5967FF0EE401A3FF628AFC828F0EEA8 -:107C1000451A3FF623AF6528F0EE471AF0EE460A04 -:107C2000BFF41CAF4AE7CEF80050F5F7F1FF069E0F -:107C300047F69D1EC1F2000E9EF80000002840F09D -:107C4000078340F20000C2F200001FED7D0AA0F1A0 -:107C50004001002251F8403F00EB420601EE103A8D -:107C6000B8EEC11A21EE001A09EB020383ED001AE7 -:107C700096ED011A96ED022AB8EEC11A21EE001A0D -:107C80000BEB020796ED033A87ED001AB8EEC21A25 -:107C900021EE001A96ED044A83ED011AB8EEC31ADC -:107CA00021EE001A87ED011AB8EEC41A96ED052AE6 -:107CB00021EE001A83ED021AB8EEC21A96ED062ADA -:107CC00021EE001A87ED021AB8EEC21A21EE001A50 -:107CD00083ED031A91ED071A91ED082AB8EEC11A47 -:107CE00021EE001A87ED031AB8EEC21A96ED092AA2 -:107CF00021EE001A83ED041AB8EEC21A21EE001A22 -:107D000087ED041A96ED0A1A96ED0B2AB8EEC11A01 -:107D100021EE001A96ED0C3A83ED051AB8EEC21A60 -:107D200021EE001A96ED0D4A87ED051AB8EEC31A3A -:107D300021EE001A83ED061AB8EEC41A96ED0E2A4B -:107D400021EE001A87ED061AB8EEC21A91ED0F2A3D -:107D500021EE001A83ED071AB8EEC21A203221EE86 -:107D6000001AB2F5407F87ED071A7FF473AF47F230 -:107D7000F074C1F2000494F84201069E002800F05D -:107D80000D8194F85F0100EE100AB8EE400A6FF022 -:107D90003F0000BF09EB000191ED101A91ED112A8F -:107DA00091ED123A91ED134A21EE001A22EE002ACB -:107DB00023EE003A24EE004A81ED101A81ED112ADB -:107DC00081ED123A81ED134A91ED141A91ED152AC5 -:107DD00091ED163A91ED174A21EE001A22EE002A93 -:107DE00023EE003A24EE004A81ED141A81ED152AA3 -:107DF00081ED163A81ED174A91ED181A91ED192A85 -:107E000091ED1A3A91ED1B4A21EE001A22EE002A5A -:107E100023EE003A24EE004A81ED181A81ED192A6A -:107E200081ED1A3A81ED1B4A91ED1C1A91ED1D2A44 -:107E300091ED1E3A91ED1F4A403021EE001A22EEDC -:107E4000002A23EE003A24EE004AB0F5307F81ED9F -:107E50001C1A81ED1D2A81ED1E3A81ED1F4A99D130 -:107E60006FF03F000BEB000191ED101A91ED112A1C -:107E700091ED123A91ED134A21EE001A22EE002AFA -:107E800023EE003A24EE004A81ED101A81ED112A0A -:107E900081ED123A81ED134A91ED141A91ED152AF4 -:107EA00091ED163A91ED174A21EE001A22EE002AC2 -:107EB00023EE003A24EE004A81ED141A81ED152AD2 -:107EC00081ED163A81ED174A91ED181A91ED192AB4 -:107ED00091ED1A3A91ED1B4A21EE001A22EE002A8A -:107EE00023EE003A24EE004A81ED181A81ED192A9A -:107EF00081ED1A3A81ED1B4A91ED1C1A91ED1D2A74 -:107F000091ED1E3A91ED1F4A403021EE001A22EE0B -:107F1000002A23EE003A24EE004AB0F5307F81EDCE -:107F20001C1A81ED1D2A81ED1E3A81ED1F4A99D15F -:107F3000F01F032832D394F8630168B14AF21800A5 -:107F4000C2F20100D0E901120078C0274B46CDF8FB -:107F50000090019709F0CEF894F8640168B142F6F8 -:107F60006C00C1F20000D0E901120078C0274B4636 -:107F7000CDF80090019709F0BDF894F8650168B15B -:107F80004AF20C00C2F20100D0E901120078C027C9 -:107F90004B46CDF80090019709F0ACF894F84401F5 -:107FA000002800F0D3806FF03F00B2EE040A00BF5B -:107FB00009EB000191ED101A91ED112A91ED123AA1 -:107FC00091ED134A21EE001A22EE002A23EE003A28 -:107FD00024EE004A81ED101A81ED112A81ED123A4A -:107FE00081ED134A91ED141A91ED152A91ED163A8F -:107FF00091ED174A21EE001A22EE002A23EE003AF4 -:1080000024EE004A81ED141A81ED152A81ED163A0D -:1080100081ED174A91ED181A91ED192A91ED1A3A4E -:1080200091ED1B4A21EE001A22EE002A23EE003ABF -:1080300024EE004A81ED181A81ED192A81ED1A3AD1 -:1080400081ED1B4A91ED1C1A91ED1D2A91ED1E3A0E -:1080500091ED1F4A403021EE001A22EE002A23EE55 -:10806000003A24EE004AB0F5307F81ED1C1A81ED14 -:108070001D2A81ED1E3A81ED1F4A99D16FF03F0014 -:108080000BEB000191ED101A91ED112A91ED123ACE -:1080900091ED134A21EE001A22EE002A23EE003A57 -:1080A00024EE004A81ED101A81ED112A81ED123A79 -:1080B00081ED134A91ED141A91ED152A91ED163ABE -:1080C00091ED174A21EE001A22EE002A23EE003A23 -:1080D00024EE004A81ED141A81ED152A81ED163A3D -:1080E00081ED174A91ED181A91ED192A91ED1A3A7E -:1080F00091ED1B4A21EE001A22EE002A23EE003AEF -:1081000024EE004A81ED181A81ED192A81ED1A3A00 -:1081100081ED1B4A91ED1C1A91ED1D2A91ED1E3A3D -:1081200091ED1F4A403021EE001A22EE002A23EE84 -:10813000003A24EE004AB0F5307F81ED1C1A81ED43 -:108140001D2A81ED1E3A81ED1F4A99D14AF238501D -:1081500042F68021C2F20100C1F2000190ED001A46 -:1081600091ED002A9FEDB90AA9F110026FF0030307 -:1081700092ED043A92ED054A33EE416A22EE002A6E -:1081800032EE066A92ED065A34EE432A26EE003AA3 -:1081900033EE023A92ED071A35EE442A23EE004AF6 -:1081A00034EE024A31EE452A24EE005A35EE022A18 -:1081B000043382ED046A82ED053A82ED064A82EDCF -:1081C000072A1032BC2BD3D380ED001A81ED002A90 -:1081D0004AF23C5042F68421C2F20100C1F2000191 -:1081E00090ED001A91ED002AABF110036FF003023D -:1081F00093ED043A93ED054A33EE416A22EE002AEC -:1082000032EE066A93ED065A34EE432A26EE003A21 -:1082100033EE023A93ED071A35EE442A23EE004A74 -:1082200034EE024A31EE452A24EE005A35EE022A97 -:10823000043283ED046A83ED053A83ED064A83ED4B -:10824000072A1033BC2AD3D380ED001A81ED002A0F -:10825000092E07D047F69D10C1F2000000780028D3 -:1082600000F0928041F200550020C2F2000507AEF6 -:10827000CDE90A00CDE908000BA9284632460AF0EC -:10828000A3FA41F20028C2F200080AA94046324689 -:108290000AF09AFA09AA2846C02133460AF05EFC81 -:1082A00008AA4046C02133460AF058FC9DED0B0A4F -:1082B0009DED0A1A9DED092AB4EE401AF1EE10FA6E -:1082C000C8BFB0EE410AB1EE421A9DED082AB4EEE5 -:1082D000410AF1EE10FAB8BFB0EE410AB1EE421A0F -:1082E000B4EE410AF1EE10FAB8BFB0EE410AB5EEB5 -:1082F000400A42F66800F1EE10FAC1F200003BDDE0 -:108300009AED001A9FED522A47F2F07121EE021AFF -:1083100081EE002A90ED001AC1F20001B4EE412A6C -:10832000F1EE10FA40F39A8032EE413A9FED494A5D -:10833000B0EEC35AB4EE445A069B47F69D17F1EED1 -:1083400010FAC1F2000740F38E8091F86B11DA1F2A -:1083500004EE101A0FF6A031022A38BF043191ED55 -:10836000005AB8EE444A23EE053A23EE043A33EEBF -:10837000011A80ED001A76E090ED001A069B47F690 -:108380009D17C1F200079FE047F2F074C1F20004AC -:10839000049904F12800002908BF04F10C000068CA -:1083A00070B14BF61070C2F20100D0E901120078F2 -:1083B000C0274B46CDF80090019708F09BFE04992A -:1083C00004F12C00002908BF04F110000068069E8B -:1083D00068B147F29C70C1F20000D0E90112007848 -:1083E000C0274B46CDF80090019708F083FE41F27C -:1083F000002441F20055C2F20004C2F200052046FA -:1084000029464FF44072E8F7ECFF082E3FF62AAFFA -:108410000699DFE811F0090015062D062D061B0749 -:108420001B074B090900150646F6DC50C1F2000097 -:10843000D0E9011200882B46009509F079FE4BF235 -:108440006050C2F2010000F00ABE00BF00E07F3FB2 -:10845000A4707D3FACC5273700000000069B47F69F -:108460009D17C1F20007B5EE401AF1EE10FA03DADB -:108470001FED071A002101609AED003A21EE004A33 -:10848000B4EE434AF1EE10FAC4BF80ED002AB0EE1C -:10849000421A1FED102AB4EE420AF1EE10FA06DB82 -:1084A000B1EE043AB4EE431AF1EE10FA0CDDB1EE7F -:1084B000041AB4EE420A1FED183AF1EE10FAB8BFF2 -:1084C000B0EE431A80ED001AB4EE481A0021F1EE26 -:1084D00010FAA3F10202C8BF0121042A06D3A3F1B6 -:1084E0000A02B2FA82F25209114004D04FF07E51D2 -:1084F000B7EE001A0160397800291EBF4FF07E5197 -:108500000160B0EE481A6FF03F0000BF291891EDEE -:10851000102A91ED113A91ED124A91ED135A22EE83 -:10852000012A23EE013A24EE014A25EE015A81ED9B -:10853000102A81ED113A81ED124A81ED135A91ED25 -:10854000142A91ED153A91ED164A91ED175A22EE43 -:10855000012A23EE013A24EE014A25EE015A81ED6B -:10856000142A81ED153A81ED164A81ED175A91EDE5 -:10857000182A91ED193A91ED1A4A91ED1B5A22EE03 -:10858000012A23EE013A24EE014A25EE015A81ED3B -:10859000182A81ED193A81ED1A4A81ED1B5A91EDA5 -:1085A0001C2A91ED1D3A91ED1E4A91ED1F5A403063 -:1085B00022EE012A23EE013A24EE014A25EE015A69 -:1085C000B0F5307F81ED1C2A81ED1D3A81ED1E4A08 -:1085D00081ED1F5A9AD16FF03F0000BF08EB0001F8 -:1085E00091ED102A91ED113A91ED124A91ED135A45 -:1085F00022EE012A23EE013A24EE014A25EE015A29 -:1086000081ED102A81ED113A81ED124A81ED135A64 -:1086100091ED142A91ED153A91ED164A91ED175A04 -:1086200022EE012A23EE013A24EE014A25EE015AF8 -:1086300081ED142A81ED153A81ED164A81ED175A24 -:1086400091ED182A91ED193A91ED1A4A91ED1B5AC4 -:1086500022EE012A23EE013A24EE014A25EE015AC8 -:1086600081ED182A81ED193A81ED1A4A81ED1B5AE4 -:1086700091ED1C2A91ED1D3A91ED1E4A91ED1F5A84 -:10868000403022EE012A23EE013A24EE014A25EE83 -:10869000015AB0F5307F81ED1C2A81ED1D3A81ED44 -:1086A0001E4A81ED1F5A99D14BF6D87021EE000A6F -:1086B000C2F2010080ED000A9AED000AB5EE400A10 -:1086C000F1EE10FA06DD90ED000A9AED001A80EE48 -:1086D000010A01E01FEDA00A47F68810C1F2000070 -:1086E0004BF2505B80ED000AC2F2010B9BF80010C8 -:1086F00044F644604AF2D052C1F20000C2F20102D4 -:10870000002908BF024645F2446045F64463C1F2C1 -:108710000000C1F2000308BF034646F6C850C1F28C -:108720000000066846F6CC5AC1F2000A4FF0010E6E -:10873000002408E041F200552034C2F20005B4F5EF -:10874000407F00F09F80285906F1010942F8260079 -:1087500058F80400B9F5007F43F8260007D381F0EC -:1087600001014FF000098AF800E08BF800102E1983 -:10877000706808EB040C42F82900DCF8040009F1E9 -:1087800001080025B5EB582F43F8290007D081F0E8 -:1087900001014FF000088AF800E08BF80010B06883 -:1087A000DCF8087042F8280008F10100B0F5007FFD -:1087B00043F8287006D381F0010100208AF800E018 -:1087C0008BF80010F76841F2002842F82070DCF8BE -:1087D0000C50471CC2F20008B7F5007F43F8205048 -:1087E00006D381F0010100278AF800E08BF8001021 -:1087F0003069DCF8105042F82700781CB0F5007F93 -:1088000043F8275006D381F0010100208AF800E0E8 -:108810008BF800107569471C42F82050DCF81450A2 -:10882000B7F5007F43F8205006D381F001010027FF -:108830008AF800E08BF80010B069DCF8185042F8B4 -:108840002700781CB0F5007F43F8275006D381F04D -:10885000010100208AF800E08BF80010F569DCF8CF -:108860001C70461CB6F5007F42F8205043F820707B -:10887000FFF460AF81F0010100268AF800E08BF878 -:10888000001057E7069847F69D110A2846F6C8508B -:10889000C1F20000C1F20001066003D1087800288F -:1088A00000F0AD8047F2F074C1F20004B4F87E012C -:1088B00047F2D87AC1F2000A18B394F8800188B957 -:1088C00047F6A510C1F20000007858B947F6A41089 -:1088D000C1F20000007828B94CF21800C2F2010081 -:1088E000007830B10598022803D10878002800F0FC -:1088F000398246F66400C2F201004FF44061E8F7A5 -:108900003EFF4BF26C50C2F201000078D0B34CF63F -:108910006C26C2F2010696F834004BF6D47441F28C -:10892000005341F200250128C2F20104C2F2000303 -:10893000C2F2000500F0BB81012086F8340096F8F1 -:108940003500012840F0B081022086F83500002073 -:1089500070653068B1680268402922F480220260A4 -:108960004FF0C002426045F26002C2F201021946B5 -:1089700004BF11461A468160C260016841F00101DE -:10898000016094E14CF60C26C2F2010696F8340020 -:108990004BF6D47441F2005341F200250128C2F293 -:1089A0000104C2F20003C2F2000500F0A58101201B -:1089B00086F8340096F83500012840F09A810220AC -:1089C00086F83500002070653068B168026840297B -:1089D00022F4802202604FF0C002426045F2600241 -:1089E000C2F2010202F54072194604BF11461A464E -:1089F0008160C260016841F0010101607CE147F2E1 -:108A0000F070C1F2000090F85C019FEDD31A00EE07 -:108A1000100AB8EE400A20EE018A9FEDD01A9FEDB1 -:108A2000D02A20EE010A30EE020A10EE100A12F0EF -:108A3000A5FB9FEDFB1A00EE100AB4EE418A6FF021 -:108A40003F009FEDF82AF1EE10FAB8BFB0EE420AEF -:108A5000291891ED101A91ED112A91ED123A91ED2C -:108A6000134A21EE001A22EE002A23EE003A24EEE9 -:108A7000004A81ED101A81ED112A81ED123A81ED43 -:108A8000134A91ED141A91ED152A91ED163A91EDD4 -:108A9000174A21EE001A22EE002A23EE003A24EEB5 -:108AA000004A81ED141A81ED152A81ED163A81ED07 -:108AB000174A91ED181A91ED192A91ED1A3A91ED94 -:108AC0001B4A21EE001A22EE002A23EE003A24EE81 -:108AD000004A81ED181A81ED192A81ED1A3A81EDCB -:108AE0001B4A91ED1C1A91ED1D2A91ED1E3A91ED54 -:108AF0001F4A403021EE001A22EE002A23EE003AEF -:108B000024EE004AB0F5307F81ED1C1A81ED1D2A5C -:108B100081ED1E3A81ED1F4A9AD140F200089FED87 -:108B2000C28A00256FF00F0A6FF00307C2F2000837 -:108B3000B6EE009ABEEE00AA6FF0004941F2005076 -:108B4000C2F20000284490ED000AB0EE4A1A20EE6E -:108B5000080AB5EE400A90ED01BA90ED02CA90ED18 -:108B600003DAF1EE10FAC8BFB0EE491A31EE000A8E -:108B700010EE100A08EB070B13F04AFCB1EBE07F94 -:108B800018BF89EAE1702BEE080A4FEA304008EB83 -:108B90004504B5EE400AB0EE4A1ACBF80400606016 -:108BA000F1EE10FAC8BFB0EE491A31EE000A10EE2D -:108BB000100A13F02DFCB1EBE07F18BF89EAE170D9 -:108BC0002CEE080A4FEA304008EB0A06B5EE400AE0 -:108BD000B0EE4A1AA060F061F1EE10FAC8BFB0EE34 -:108BE000491A31EE000A10EE100A13F011FCB1EB35 -:108BF000E07F18BF89EAE1702DEE080A4FEA3040A5 -:108C0000B5EE400AB0EE4A1A30626061F1EE10FA39 -:108C1000C8BFB0EE491A31EE000A10EE100A13F088 -:108C2000F7FBB1EBE07F18BF89EAE17010354FEA3E -:108C300030400AF1200AB5F5407F07F12007A06116 -:108C4000CBF820007FF47AAF4CF22820C2F201006A -:108C50000078002800F0AF814CF66C26C2F20106C5 -:108C600096F834004BF6D4740128C2F2010400F0E7 -:108C7000D281012086F8340096F83500012840F0B2 -:108C8000C781022086F83500002070653068B16821 -:108C9000026822F4802202604FF4C072426046F6FD -:108CA0006402C2F20102ADE1002086F834003046D1 -:108CB000EDF760FD96F83400012800F0AC8101204A -:108CC00086F8340096F83500012840F0A181022092 -:108CD00086F83500002070653068B168026822F4BB -:108CE00080220260C022426044F66022C2F2010289 -:108CF00025E0002086F834003046EDF73BFD96F87D -:108D00003400012800F08781012086F8340096F8AD -:108D10003500012840F07C81022086F835000020D3 -:108D200070653068B168026822F480220260C02257 -:108D3000426044F66022C2F2010202F5407240290C -:108D4000294604BF11462A468160C260016841F08D -:108D5000010101605FE100BF0AD7233CF010883DAC -:108D6000789AD4C094F85C011FED051A00EE100A41 -:108D7000B8EE400A20EE018A1FED081A1FED082AFE -:108D800020EE010A30EE020A10EE100A12F0F6F997 -:108D900094F95E119FED251A00EE101AB8EEC00A84 -:108DA00020EE010A09EE100A10EE100A12F0E6F9A0 -:108DB0009FED1F0A9FED1A2A29EE000AB4EE428A9F -:108DC00001EE100A9FED173AF1EE10FAB8BFB0EEBF -:108DD000430AB4F87A014CF2282120EE010A01EE90 -:108DE000100A41F20050C2F20101C2F2000091F8F3 -:108DF00000809FED102A9FED103A9FED104A9FEDE5 -:108E0000105A46F66409B8EE411A04304FF0000ECD -:108E1000C2F201094FF0020C0026002521E000BF3C -:108E20000AD7A33C000000000000004F2D152A3E89 -:108E3000000000471F42093983F9223E0000004428 -:108E4000000000C40AD723BC09EB8601F51C8A6028 -:108E500049F82520651C0830C02D06F104063FF4B2 -:108E600050AD06EE105AB8EE466A26EE026A26EEBD -:108E7000016A26EE036ABDEEC67AB8EEC77A36EE10 -:108E8000476A26EE046ABDEEC67A40F2884117EEC4 -:108E9000102AC0F60401BEEB522F36EE057A1CBF35 -:108EA000B0EE476A002201EB820407EE102AD4EDEF -:108EB000000AD4ED011AB8EE477A36EE476A31EE71 -:108EC000E07A27EE066A10ED017A36EE206A20EE8F -:108ED000077ADAED000A27EE066A86EE206AB8F114 -:108EE000000FBDEEC66A16EE102A4FEA324707D0D1 -:108EF000721C49F826700AE06F12833A8988083D8F -:108F00006A0009EB8604C4F8007602F281126C1C38 -:108F100006EE104AB8EE466A26EE026A26EE016AAE -:108F200026EE036ABDEEC67AB8EEC77A36EE476A19 -:108F300026EE046ABDEEC67A40F2884317EE101A98 -:108F4000C0F60403BEEB512F36EE057A1CBFB0EE1F -:108F5000476A002103EB810307EE101AD3ED000AE4 -:108F6000D3ED011AB8EE477A36EE476A31EEE07A71 -:108F700027EE066A90ED007A49F8227036EE206AF4 -:108F800020EE077ADAED000A27EE066A86EE206AFE -:108F9000B8F1000FBDEEC66A16EE101A4FEA314264 -:108FA0007FF452AF4CEA450109EB8603C3F808266B -:108FB00001F281154CE74CF60C26C2F2010696F838 -:108FC00034004BF6D4740128C2F2010423D00120EE -:108FD00086F8340096F83500012819D1022086F869 -:108FE0003500002070653068B168026822F4802284 -:108FF00002604FF4C072426046F66402C2F201029F -:1090000002F5C0624029414604BF114642469BE634 -:10901000002086F834003046EDF7ACFB47F2D17102 -:109020000020C1F200012070087047F6F111C1F272 -:10903000000108700CB0BDEC108B01B0BDE8F08FE2 -:109040004BF26050C2F20100D0E9011200882B46B9 -:10905000009509F06DF846F6DC50C1F20000416859 -:10906000826800882346009409F062F8FFF7FAB896 -:1090700047F2F072C1F20002B2F882114FF4966327 -:10908000B3FBF1F892F88001B2F87C2147F60C6648 -:109090001FED940A1FED9D1A1FEDD12A08EB480120 -:1090A00049F6EC6E47F2B07C47F2AC7B4FF000091A -:1090B000C1F200060391C1F2000EC1F2000CC1F230 -:1090C000000B002104920BE086ED004A6A1844F878 -:1090D00001900431B1F5407F82ED004A3FF4C2A80F -:1090E0009AED003AC8B1DEF80070DCF80030032BCE -:1090F00000F27C80DFE803F0022A5C6A47F6A412E3 -:10910000C1F200021278002A00F0928001223B4650 -:10911000CBF80070CCF800201AE047F6A512C1F297 -:1091200000021378F3B94CF21B02C2F20102137869 -:10913000C3B947F6A412C1F20002137893B94CF2F6 -:109140001802C2F20102137863B94FE0DBF8003075 -:1091500003EB0802BA4231D9049A4BF6F873C2F213 -:1091600001031A6096ED006A23EE024AB4EE436AE8 -:10917000B0EE465A36EE044AF1EE10FAB8BFB0EE41 -:10918000445AB4EE435AF1EE10FAB0EE454AB4EE4A -:10919000436AC8BFB0EE434AF1EE10FAFFF694AF4F -:1091A000B4EE435AF1EE10FAC8BF86ED004A8DE7DF -:1091B000DBF80030039A1A44BA42CDD816D2032203 -:1091C000CBF80070CCF800203B4601E0DBF8003023 -:1091D00003EB0802BA4206D9049A4BF6F873C2F2BE -:1091E00001031A6002E038BFCCF8009096ED004A07 -:1091F00023EE003AB5EE404A34EE035AF1EE10FA8F -:10920000B0EE443AC8BFB0EE453A05DCB5EE403AA0 -:10921000F1EE10FABFF65AAFB5EE403AF1EE10FAA1 -:10922000B8BFB0EE413AB0EE434A86ED003A4DE7A2 -:109230004CF21802C2F201021278002AD6D00222A1 -:10924000CBF80070CCF800203B46B3E7F4F7E0FC25 -:10925000FFF708B847F2D170C1F20000007800288B -:109260003FF4E8AE42F62828C1F20008D8F8000022 -:1092700040F6004B0130C8F8000046F6E850C1F255 -:1092800000000068C2F2000B461E002843F6606032 -:1092900008BF4FF4C076C025C2F2010059463246DD -:1092A0004FF4C07300950EF047FB44F2604040F667 -:1092B0000071C2F20100C2F20001324647F2F076BC -:1092C0004FF4C073C1F2000600950EF035FB242068 -:1092D000002C08BF08200690305804380328C0F03E -:1092E000868040F60071C2F200014AF23050A1F1CE -:1092F000100242F67821C2F20100C1F2000190EDA5 -:10930000001A91ED002A9FEDE40A6FF0030392ED3D -:10931000043A92ED054A33EE416A22EE002A32EE1B -:10932000066A92ED065A34EE432A26EE003A33EEF0 -:10933000023A92ED071A35EE442A23EE004A34EE43 -:10934000024A31EE452A24EE005A35EE022A043351 -:1093500082ED046A82ED053A82ED064A82ED072A23 -:109360001032BC2BD3D380ED001A81ED002A4AF2D3 -:10937000345042F67C21C2F20100C1F2000190EDAE -:10938000001A91ED002AABF110036FF0030293ED88 -:10939000043A93ED054A33EE416A22EE002A32EE9A -:1093A000066A93ED065A34EE432A26EE003A33EE6F -:1093B000023A93ED071A35EE442A23EE004A34EEC2 -:1093C000024A31EE452A24EE005A35EE022A0432D2 -:1093D00083ED046A83ED053A83ED064A83ED072A9F -:1093E0001033BC2AD3D380ED001A81ED002AD8F8BF -:1093F000000040F60077DDF818800128C2F200076F -:1094000040F021819FEDA50A0020B0EE401A3A18E5 -:109410000BEB000192ED007A91ED002AD2ED010AEA -:1094200091ED013AB5EE407A91ED024A91ED035A81 -:10943000B1EE426AD2ED021AD2ED032AF1EE10FA31 -:10944000B0EEC27AB8BFB0EE462AF5EE400A32EE70 -:10945000000A37EE011AB1EE432AF1EE10FAB0EE2F -:10946000C36AB8BFB0EE423A33EE000A36EE011AD4 -:10947000B1EE442AF5EE401AB0EEC43AF1EE10FA1D -:10948000B8BFB0EE424A33EE011AB1EE452AF5EE0E -:10949000402AB0EEC53AF1EE10FAB8BFB0EE425A2B -:1094A00033EE011A91ED042A92ED043A34EE000AEB -:1094B000B5EE403AB0EEC23A35EE000AB1EE424A9D -:1094C000F1EE10FAB8BFB0EE442A33EE011A92ED75 -:1094D000053A32EE000A91ED052AB5EE403AB1EEBA -:1094E000424AF1EE10FAB0EEC23AB8BFB0EE442AEA -:1094F00032EE000A33EE011A91ED062A92ED063A99 -:10950000B1EE424AB5EE403AB0EEC23AF1EE10FA90 -:10951000B8BFB0EE442A33EE011A92ED073A32EEAC -:10952000000A91ED072AB5EE403AB1EE424AF1EE5B -:1095300010FAB0EEC23AB8BFB0EE442A32EE000ADA -:1095400033EE011A91ED082A92ED083AB1EE424A43 -:10955000B5EE403AB0EEC23AF1EE10FAB8BFB0EE56 -:10956000442A33EE011A92ED093A32EE000A91EDE7 -:10957000092AB5EE403AB1EE424AF1EE10FAB0EEE9 -:10958000C23AB8BFB0EE442A32EE000A33EE011AF6 -:1095900091ED0A2A92ED0A3AB1EE424AB5EE403A0E -:1095A000B0EEC23AF1EE10FAB8BFB0EE442A33EE94 -:1095B000011A92ED0B3A32EE000A91ED0B2AB5EE4C -:1095C000403AF1EE10FAB0EEC23A00F13000B1EEDE -:1095D000424AB8BFB0EE442A32EE000AB0F5407FEE -:1095E00033EE011A7FF413AF4DF2A420C2F2010052 -:1095F0009FED2B2A90ED003A9FED2A4A20EE020AB9 -:1096000023EE043A33EE000A80ED000A49F6CC60FE -:10961000C1F2000090ED003A21EE021A23EE042A76 -:1096200032EE011AB5EE401AF1EE10FA80ED001A92 -:1096300009DD80EE010A0FF033FC4BF6F070C2F248 -:10964000010080ED000A56F80850092D40F0108105 -:1096500047F2D474C1F2000494ED000AB5EE400A5A -:10966000F1EE10FA40F0CD8600200B900BA809F027 -:10967000C1FD4CF27420C2F2010090ED000AB5EE7B -:10968000400AF1EE10FA40F300829DED0B1A81EED4 -:10969000000A8DED0B0AFAE100E07F3F00000000B8 -:1096A0006F128337643B7F3F2D152A3E46F6DC5010 -:1096B000C1F20000D0E9011200882B46009508F0A5 -:1096C00037FD4BF26050C2F20100D0E90112008870 -:1096D0002346009408F02CFD0020B6EE000A2A185C -:1096E000211892ED001A91ED004A92ED012A92EDB7 -:1096F000023A91ED015A91ED026A9AED007A71EE0B -:10970000440A30EE877ADAED000A27EE007A31EE6D -:10971000201A34EE411A21EE001A82ED007A81ED12 -:10972000001A9AED001A32EE454A34EE011A9AED0B -:10973000004A21EE001A32EE042A35EE422A22EEC9 -:10974000002A82ED011A81ED012A9AED001A33EE0A -:10975000462A32EE011A9AED002A21EE001A33EE63 -:10976000022A36EE422A22EE002A82ED021A81ED0A -:10977000022A92ED031A91ED032A9AED003A31EE96 -:10978000424A34EE033A9AED004A23EE003A31EEB3 -:10979000041A32EE411A21EE001A82ED033A81EDED -:1097A000031A92ED041A91ED042A9AED003A31EE73 -:1097B000424A34EE033A9AED004A23EE003A31EE83 -:1097C000041A32EE411A21EE001A82ED043A81EDBC -:1097D000041A92ED051A91ED052A9AED003A31EE40 -:1097E000424A34EE033A9AED004A23EE003A31EE53 -:1097F000041A32EE411A21EE001A82ED053A81ED8B -:10980000051A92ED061A91ED062A9AED003A31EE0C -:10981000424A34EE033A9AED004A23EE003A31EE22 -:10982000041A32EE411A21EE001A82ED063A81ED59 -:10983000061A92ED071A91ED072A9AED003A31EED9 -:10984000424A34EE033A9AED004A203031EE041ACF -:1098500032EE411A23EE003A21EE001AB0F5407FB5 -:1098600082ED073A81ED071A7FF439AFFEF7FABCB3 -:1098700096F85D011FED741A00EE100AB8EE400A6A -:1098800020EE010A10EE100A11F078FC00EE100A2A -:109890006FF03F00391891ED101A91ED112A91EDFA -:1098A000123A91ED134A21EE001A22EE002A23EE1D -:1098B000003A24EE004A81ED101A81ED112A81ED63 -:1098C000123A81ED134A91ED141A91ED152A91ED9A -:1098D000163A91ED174A21EE001A22EE002A23EEE5 -:1098E000003A24EE004A81ED141A81ED152A81ED2B -:1098F000163A81ED174A91ED181A91ED192A91ED5A -:109900001A3A91ED1B4A21EE001A22EE002A23EEAC -:10991000003A24EE004A81ED181A81ED192A81EDF2 -:109920001A3A81ED1B4A91ED1C1A91ED1D2A91ED19 -:109930001E3A91ED1F4A403021EE001A22EE002A15 -:1099400023EE003A24EE004AB0F5307F81ED1C1A78 -:1099500081ED1D2A81ED1E3A81ED1F4A9AD16FF0EB -:109960003F000BEB000191ED101A91ED112A91EDE2 -:10997000123A91ED134A21EE001A22EE002A23EE4C -:10998000003A24EE004A81ED101A81ED112A81ED92 -:10999000123A81ED134A91ED141A91ED152A91EDC9 -:1099A000163A91ED174A21EE001A22EE002A23EE14 -:1099B000003A24EE004A81ED141A81ED152A81ED5A -:1099C000163A81ED174A91ED181A91ED192A91ED89 -:1099D0001A3A91ED1B4A21EE001A22EE002A23EEDC -:1099E000003A24EE004A81ED181A81ED192A81ED22 -:1099F0001A3A81ED1B4A91ED1C1A91ED1D2A91ED49 -:109A00001E3A91ED1F4A403021EE001A22EE002A44 -:109A100023EE003A24EE004AB0F5307F81ED1C1AA7 -:109A200081ED1D2A81ED1E3A81ED1F4A99D14CF23C -:109A30007422C2F20102082D82ED000A3FF608AE40 -:109A4000DFE815F00900290009002900C800ED0031 -:109A50000E01BE02D90246F6D050C1F20000D0E994 -:109A6000011200883B46009708F062FB4BF254500D -:109A7000C2F20100D0E9011200885B46CDF800B0C7 -:109A800008F056FB09F03AFC1EE09DED0B0A84ED50 -:109A9000000A00F0B6BC46F6D050C1F20000D0E992 -:109AA000011200883B46009708F042FB4BF25450ED -:109AB000C2F20100D0E9011200885B46CDF800B087 -:109AC00008F036FB07F082FA707806F128010028CA -:109AD00008BF06F10C01096889B147F28860C1F23C -:109AE0000000D0E901120078C0263B46019647F2FB -:109AF000F0760097C1F2000607F0FCFA707806F1E4 -:109B00002C01002808BF06F11001086880B147F257 -:109B10009460C1F20000D0E901120078C0263B46F3 -:109B2000019647F2F0760097C1F2000607F0E2FADC -:109B300096F88401B0B17078002808BFD146D9F8F2 -:109B4000000020F0010002280CD14BF69050C2F228 -:109B50000100D0E901120078C0253B46009701952D -:109B600007F0C8FA707806F13001002808BF06F146 -:109B70001401087850B138460DF098FB07F5807055 -:109B80000DF094FB07F500700DF090FB47F2D474D4 -:109B9000C1F2000494ED000AB5EE400AF1EE10FAAD -:109BA00040F0AC8300200B900BA809F023FB4CF293 -:109BB0007420C2F2010090ED000AB5EE400AF1EE09 -:109BC00010FA40F368829DED0B1A81EE000A8DEDCC -:109BD0000B0A62E2707806F12C01002808BF06F13A -:109BE00010010868D8B147F29460C1F200004168E2 -:109BF000154682680078C0243B460097019407F020 -:109C000079FA4BF60470C2F20100D0E90112007833 -:109C10005B46CDF800B0019407F06CFA2A4647F293 -:109C2000D474C1F2000494ED000AB5EE400AF1EEDE -:109C300010FA40F04D8200200B900BA8154609F059 -:109C4000D9FA95ED000AB5EE400AF1EE10FA40F3AC -:109C50003B829DED0B1A81EE000A8DED0B0A35E279 -:109C6000707806F12C01002808BF06F11001086881 -:109C70001546C8B147F29460C1F20000D0E9011264 -:109C80000078C0243B460097019407F033FA4BF666 -:109C90000470C2F20100D0E9011200785B46CDF8F1 -:109CA00000B0019407F026FA384639463A4609F0E2 -:109CB000EDF840F60046C2F2000630463146324624 -:109CC00009F0E4F807F082F99FEDF50A0020391851 -:109CD00091ED001A91ED013A91ED025AB5EE401A5C -:109CE000B1EEC12A91ED036AF1EE10FAB5EE403AF9 -:109CF000B1EEC34AB8BFB0EE402AF1EE10FAB5EEAD -:109D0000405AB1EEC57A81ED002AB1EEC61AB8BF4D -:109D1000B0EE404A91ED042AF1EE10FAB5EE406A39 -:109D200081ED014AB8BFB0EE407A81ED027A91ED43 -:109D3000054AF1EE10FAB8BFB0EE401AB1EEC23AE1 -:109D400081ED031A91ED061AB5EE402AB1EEC45A20 -:109D5000F1EE10FAB8BFB0EE403AB5EE404AB1EEBF -:109D6000C12A81ED043A91ED073AF1EE10FAB8BF3D -:109D7000B0EE405AB5EE401A81ED055A91ED085A01 -:109D8000F1EE10FAB8BFB0EE402AB1EEC34A81ED51 -:109D9000062A91ED092AB5EE403AB1EEC51AF1EE68 -:109DA00010FAB8BFB0EE404A91ED0A6AB5EE405ADB -:109DB000B1EEC23A81ED074AF1EE10FAB8BFB0EE4B -:109DC000401AB5EE402AB1EEC64A81ED081A91ED6F -:109DD0000B1AF1EE10FAB8BFB0EE403AB5EE406A99 -:109DE00081ED093AF1EE10FA91ED0C3AB8BFB0EE00 -:109DF000404AB1EEC12A81ED0A4A91ED0D4AB5EE15 -:109E0000401AF1EE10FA91ED0E6AB8BFB0EE402A9A -:109E1000B5EE403AB1EEC35A81ED0B2A91ED0F2A0F -:109E2000F1EE10FAB5EE404AB1EEC41AB8BFB0EE8A -:109E3000405AF1EE10FAB5EE406AB1EEC67A81ED05 -:109E40000C5AB8BFB0EE401AF1EE10FAB5EE402A47 -:109E5000B1EEC23A81ED0D1AB8BFB0EE407AF1EE24 -:109E600010FA00F1400081ED0E7AB8BFB0EE403A32 -:109E7000B0F5407F81ED0F3A7FF429AF6FF03F00DE -:109E8000B6EE000A391891ED101A91ED112A91EDF4 -:109E9000123A91ED134A21EE001A22EE002A23EE27 -:109EA000003A24EE004A81ED101A81ED112A81ED6D -:109EB000123A81ED134A91ED141A91ED152A91EDA4 -:109EC000163A91ED174A21EE001A22EE002A23EEEF -:109ED000003A24EE004A81ED141A81ED152A81ED35 -:109EE000163A81ED174A91ED181A91ED192A91ED64 -:109EF0001A3A91ED1B4A21EE001A22EE002A23EEB7 -:109F0000003A24EE004A81ED181A81ED192A81EDFC -:109F10001A3A81ED1B4A91ED1C1A91ED1D2A91ED23 -:109F20001E3A91ED1F4A403021EE001A22EE002A1F -:109F300023EE003A24EE004AB0F5307F81ED1C1A82 -:109F400081ED1D2A81ED1E3A81ED1F4A9AD147F21B -:109F5000F072C1F20002507802F13001002808BF0F -:109F600002F11401087850B138460DF09FF907F559 -:109F700080700DF09BF907F500700DF097F947F22E -:109F8000D474C1F2000494ED000AB5EE400AF1EE7B -:109F900010FA40F0758600200B900BA809F02AF902 -:109FA00095ED000AB5EE400AF1EE10FA40F35E8638 -:109FB0009DED0B1A81EE000A8DED0B0A00F058BEE4 -:109FC00046F6D050C1F200009146D0E90112008857 -:109FD0003B46009708F0ACF84BF25450C2F2010037 -:109FE000D0E9011200885B46CDF800B008F0A0F877 -:109FF00009F084F919E046F6D050C1F2000091460C -:10A00000D0E9011200883B46009708F091F84BF226 -:10A010005450C2F20100D0E9011200885B46CDF82D -:10A0200000B008F085F806F0D1FF707806F12C0139 -:10A03000002808BF06F11001086860B147F294607B -:10A04000C1F20000D0E901120078C0253B4600971C -:10A05000019507F04FF847F2D474C1F2000494ED73 -:10A06000000AB5EE400AF1EE10FA40F047810020F8 -:10A070000B900BA809F0BEF899ED000AB5EE400A66 -:10A08000F1EE10FA40F328819DED0B1A81EE000AE3 -:10A090008DED0B0A22E19DED0B0A84ED000A2DE106 -:10A0A00000000000CDCC4C3D3661023D8FC2753FB3 -:10A0B000A69BC43D52B87E3F0AD7A33B3333333F00 -:10A0C0009A9999BE9A99993E9DED0B0A84ED000AE2 -:10A0D00042F6DC20C1F20000007896F87811727820 -:10A0E000002918BF012100EA010542F6E820C1F26B -:10A0F0000000002A08BFD14690ED00AA4AF2645041 -:10A10000C2F20100834690ED009A42F6EC20C1F2C3 -:10A110000000D9F8007090ED008A42F6F0261FED9D -:10A120001FBA1FED21CA1FED20DA4AF260581FED59 -:10A1300021EA1FED21FA42F6E42A4FF00009C1F2AC -:10A140000006C2F20108C1F2000A16E098ED000A0A -:10A150009AED001A30EE080A30EE410A20EE0E1A8F -:10A1600088ED001A20EE0F1A8AED008A09F1040921 -:10A17000B9F5407F84ED001A33D040F60040C2F2BA -:10A1800000004844B0EE492A90ED009A40F6007075 -:10A19000C2F2000000EB0904B0EE4A1A94ED00AAE6 -:10A1A00021EE090A22EE0A3A2AEE011A22EE092AC3 -:10A1B00030EE430A72EE010A0EF008FF30EE481A44 -:10A1C00021EE0B1A06EB090031EE088A002DB0EEE5 -:10A1D0004C1A80ED000AC9D1052FB7D120EE0D1A17 -:10A1E000C4E742F6E820C1F2000080ED00AA47F281 -:10A1F0001870C1F2000042F6EC23D0E90112007899 -:10A20000C1F2000383ED008AC02733468BED009A2C -:10A210000096019706F06EFF96ED000A42F6E020E8 -:10A22000B0EEC00AB1EEC00AC1F200004AF25C51C1 -:10A2300090ED001A1FED612A1FED613AC2F2010193 -:10A240000A6821EE021A0132312A47F2F07640F60E -:10A25000004440F6007720EE030A30EE010A80ED5C -:10A26000000A88BF0022DDF81880002AC1F200062B -:10A27000C2F20004C2F200070A6040F089801FEDBC -:10A28000711AB4EE410AF1EE10FA06DD43F23331F1 -:10A29000C3F63371B0EE410A016096F87801C8B197 -:10A2A00042F6DC21C1F200010978B2EE041A01295C -:10A2B00020EE010A4CD1C0F10A0001EE100A1FED98 -:10A2C000802AB8EEC11A31EE021AB4EE410AF1EE5C -:10A2D00010FA5DDC002056E09DED0B0A84ED000ACB -:10A2E00047F2F07640F6004B40F60077DDF8188034 -:10A2F000C1F20006C2F2000BC2F2000756F80800D5 -:10A30000E7F76CFF49F2A460C1F2000090F8341046 -:10A31000012970D0012180F8341090F835100129FE -:10A3200066D1022180F835100021416501688068FE -:10A330000A68402822F480220A604FF0C0023846A2 -:10A340004A6004BF5846BB468860C1F80CB048E07C -:10A3500002EE100AB8EE423A1FEDA62A31EE431A79 -:10A36000B4EE421AF1EE10FA07DDC0F10A0001EE78 -:10A37000100AB8EEC11A31EE021AB4EE410AF1EE3B -:10A3800010FA05DD012042F6DC21C1F2000108705F -:10A3900056F80800E7F722FF49F2A460C1F2000076 -:10A3A00090F83410012926D0012180F8341090F85B -:10A3B000351001291CD1022180F83510002141659A -:10A3C000016880680A68402822F480220A604FF001 -:10A3D000C0024A603846224604BF20463A4688609A -:10A3E000CA60086840F00100086004E00000004F07 -:10A3F000002180F8341049F2A460C1F20000ECF7AB -:10A40000B9F94BF6D070C2F20100006840F20002C8 -:10A41000002856F8080040F20061C2F20002A0F1E4 -:10A420000700C2F2000108BF0A46032804922FD396 -:10A4300096F8600160B142F68400C1F20000D0E9F4 -:10A4400001120078C0253B460097019506F052FEA8 -:10A4500096F8610160B14AF22400C2F20100D0E92D -:10A4600001120078C0253B460097019506F042FE98 -:10A4700096F8620160B142F67800C1F20000D0E9BE -:10A4800001120078C0253B460097019506F032FE88 -:10A490004BF6FC70C2F20100007850B16FF03F043F -:10A4A000381940304021E7F76AF94034B4F5307F7D -:10A4B000F6D156F808001FED338A00246FF00F0A1A -:10A4C0006FF00309B6EE009ABEEE00AA039027448F -:10A4D00097ED000A049D20EE080A05EB0906B5EE8B -:10A4E000400AB0EE4A1A069697ED01EA97ED02DAB5 -:10A4F00097ED03BAF1EE10FAC8BFB0EE491A31EE8B -:10A50000000A10EE100A11F083FFB1EBE07F6FF04C -:10A51000004218BF82EAE170706040F60040C2F26B -:10A520000000039E011938460591092E08BF084610 -:10A5300090ED000AB0EE4A1A20EE080AB5EE400A85 -:10A5400090ED01FA90ED03CAF1EE10FAC8BFB0EE3B -:10A55000491A31EE000A10EE100A6FF0004805EBC0 -:10A56000440B11F055FF2EEE080AB1EBE07FB5EE7B -:10A57000400AB0EE4A1A18BF88EAE170CBF804002E -:10A58000F1EE10FAC8BFB0EE491A31EE000A10EE33 -:10A59000100A083711F03CFF2FEE080AB1EBE07FFC -:10A5A000B5EE400AB0EE4A1A18BF88EAE170CBF85F -:10A5B0000800F1EE10FAC8BFB0EE491A31EE000AF9 -:10A5C00010EE100A05EB0A0811F022FF2DEE080A22 -:10A5D000B1EBE07FB5EE400AB0EE4A1A6FF00042F0 -:10A5E00018BF82EAE170C8F81C00F1EE10FAC8BF8B -:10A5F000B0EE491A31EE000A10EE100A6FF0004575 -:10A6000011F006FFB1EBE07F18BF85EAE170092E7B -:10A61000059908BF01F1080797ED000AB0EE4A1A44 -:10A6200020EE080AB5EE400AC8F82000F1EE10FA54 -:10A63000C8BFB0EE491A31EE000A40F6007710EEBE -:10A64000100AC2F2000711F0E3FE2BEE080AB1EB8C -:10A65000E07FB5EE400AB0EE4A1A6FF0004518BF31 -:10A6600085EAE170CBF81400F1EE10FAC8BFB0EE45 -:10A67000491A31EE000A10EE100A11F0C9FE2CEE54 -:10A68000080AB1EBE07FB5EE400AB0EE4A1A18BFF7 -:10A6900085EAE170CBF81800F1EE10FAC8BFB0EE11 -:10A6A000491A31EE000A10EE100A6FF0004511F061 -:10A6B000AFFEB1EBE07F18BF85EAE1700699103478 -:10A6C0000AF1200AB4F5407F09F1200908627FF4FD -:10A6D000FEAE4BF6D071C2F2010108684BF6F47A77 -:10A6E000B0FA80F04009086047F6F110C1F20000AE -:10A6F0000078DDF810800028C2F2010A00F0A58081 -:10A700009AF80000002800F0A0804CF22220C2F24B -:10A710000100007845F2626245F6E229C2F20102C8 -:10A72000C2F20109002808BF914600226FF02F0BEA -:10A730004FF0000C08EB0B0ADAF8300009EB020EC0 -:10A74000040A09F802400CEB4C04060C4C44000EC1 -:10A75000A07008EB8C006670D0E90161D0E903536A -:10A760004FEA1628370C360E66710E0AA6710E0CCB -:10A77000090E2172290AE67102F109066172290C9B -:10A78000A172290E46F0020584F80380277109F8AA -:10A790000510190A2173190C6173190EA173DAE9F6 -:10A7A0001113DDF810800D0AE5730D0C090E2574E8 -:10A7B0008EF81110190AA174190C8EF81310190EC5 -:10A7C000C3692175190A02F115056175190CA17586 -:10A7D000190E45F00203056A09F80310290A2176CB -:10A7E000290C436A6176290EA176190AE176190CC3 -:10A7F000806A2177190E6177010AA177010CE17750 -:10A80000DAF85C10000E84F82000080A02F1210337 -:10A8100084F82100080C0CF10C0C8EF82200080EB4 -:10A8200043F002012432BCF5C07F0BF1300B09F874 -:10A8300001007FF47FAF47F6F1114BF6F47A002068 -:10A84000C1F20001C2F2010A087047F2F070C1F2D1 -:10A85000000090F85C019FEDD91A00EE100AB8EEE6 -:10A86000400A20EE01CA9FEDD61A9FEDD62A20EEAF -:10A87000010A30EE020A10EE100A10F07FFC00EE22 -:10A88000100A4DF2D8509FEDD03AC2F2010090ED7F -:10A89000001A9FEDCE2A20EE03BA9FEDCD0A21EEDD -:10A8A000021AB4EE40CA9FEDCB2AF1EE10FAB8BFFF -:10A8B000B0EE42BA31EE0B0A98ED001A80ED000AB4 -:10A8C000B8EEC11A20EE011ABDEEC11A11EE100A3F -:10A8D0004FEA3040C8F80000002008EB800191EDFD -:10A8E000011A91ED022AB8EEC11A20EE011ABDEE4E -:10A8F000C11A11EE102AB8EEC21A91ED033A20EEF9 -:10A90000011ABDEEC11A11EE103AB8EEC31A20EECC -:10A91000011ABDEEC11A11EE107A4FEA32424FEA27 -:10A9200033434FEA3747B0F5BE7FC1E90123CF601B -:10A930000ED091ED041A0430B8EEC11A20EE011ABF -:10A94000BDEEC11A11EE102A4FEA32420A61C4E785 -:10A9500047F6F810C1F200000078012840F0F280BC -:10A960009FEDE7CA9FEDE7DA9FEDE7EA40F28847FF -:10A97000A8F118054FF00009C0F604076FF0004475 -:10A98000002600EE106AB8EE400A20EE0C0ABDEE7A -:10A99000C01AB8EEC11A30EE410A20EE0D0ABDEE23 -:10A9A000C01A11EE100AB9EB502F30EE0E1A1CBF70 -:10A9B000B0EE410A002007EB800101EE100A91ED94 -:10A9C000002A91ED013AB8EE411A30EE410A33EE19 -:10A9D000421A21EE000A30EE020A20EE0B0A20EEA7 -:10A9E000080AB5EE400AB0EE4A1AF1EE10FAC8BFF6 -:10A9F000B0EE491A31EE000A10EE100A11F008FD0F -:10AA0000721C00EE102AB8EE400A20EE0C0ABDEED1 -:10AA1000C01AB8EEC11A30EE410A20EE0D0ABDEEA2 -:10AA2000C01A11EE102AB1EBE07F18BF84EAE17082 -:10AA3000B9EB522F30EE0E1A1CBFB0EE410A0022C5 -:10AA400007EB820101EE102A91ED002A91ED013A07 -:10AA5000B8EE411A30EE410A33EE421A21EE000AF6 -:10AA600030EE020A20EE0B0A20EE080AB5EE400A8C -:10AA7000B0EE4A1AF1EE10FAC8BFB0EE491A31EE44 -:10AA8000000A4FEA304010EE101A45F8180F6860BF -:10AA9000084611F0BDFCB21C00EE102AB8EE400AC8 -:10AAA00020EE0C0ABDEEC01AB8EEC11A30EE410A13 -:10AAB00020EE0D0ABDEEC01A11EE102AB1EBE07FB8 -:10AAC00018BF84EAE170B9EB522F30EE0E1A1CBFAA -:10AAD000B0EE410A002207EB820101EE102A91ED4F -:10AAE000002A91ED013AB8EE411A30EE410A33EEF8 -:10AAF000421A21EE000A30EE020A20EE0B0A20EE86 -:10AB0000080AB5EE400AB0EE4A1AF1EE10FAC8BFD4 -:10AB1000B0EE491A31EE000A10EE101A4FEA30403A -:10AB2000C5E90200084611F073FCB1EBE07F18BFE5 -:10AB300084EAE17003364FEA3040C02E2861686134 -:10AB40007FF41FAF4CF22920C2F2010000780128E7 -:10AB500004D140464FF4C061E6F711FE9AF80000B8 -:10AB600000287BD04CF22820C2F20100007898B374 -:10AB70004CF66C22C2F2010292F8340001286DD02A -:10AB8000012082F83400916D92F83500012862D1DD -:10AB9000022082F835000020506510689368176C19 -:10ABA000D26D066826F4802606604FF4C0764660B3 -:10ABB00046F66406C2F2010634E000BF0AD7233C21 -:10ABC000F010883D789AD4C0CDCCCC3D6666663F07 -:10ABD0000AD7A33C000000004CF60C22C2F201028E -:10ABE00092F83400012839D0012082F83400916DA8 -:10ABF00092F8350001282ED1022082F8350000207D -:10AC0000506510689368176CD26D066826F480262C -:10AC100006604FF4C076466046F66406C2F201064E -:10AC200006F5C066402B434604BF33464646836064 -:10AC30003F2303FA02F2C6608A60016841F0160100 -:10AC400001601FB1016841F008010160016841F035 -:10AC50000101016002E0002082F8340047F2D17166 -:10AC60000020C1F200010870FEF7E4B99DED0B0A67 -:10AC700084ED000A40F60077DDF81880C2F2000784 -:10AC800047F2F070C1F2000050F80800E7F7A6FAAA -:10AC900049F2A460C1F2000090F83410012923D0D9 -:10ACA000012180F8341090F83510012919D10221C2 -:10ACB00080F8351000214165016880680A684028E5 -:10ACC00022F480220A604FF0C00238464A6004BF76 -:10ACD00030463E468860CE60086840F0010008605B -:10ACE00002E0002180F8341049F2A460C1F20000B3 -:10ACF000EBF740FD47F2F076C1F20006FFF781BBAB -:10AD00000000003D00000044000000C42DE9F04FA9 -:10AD100081B02DED0C8B8AB04AF22850C2F20100AE -:10AD2000017842F6702B0129C1F2000B17D10021E6 -:10AD3000017041F204004AF22452CEF20000C2F245 -:10AD4000010201681268DBF80030891A1944CBF857 -:10AD50000010006842F67421C1F20001086049F653 -:10AD60006C10C1F20000EDF761FD4DF2CC16C2F29D -:10AD7000010630684BF6F87101303060C2F2010113 -:10AD8000086847F6A514C1F20004F8B12078E8B9C4 -:10AD900047F6A410C1F200000078B8B94CF21800D0 -:10ADA000C2F20100007888B908680A3808600868AB -:10ADB00060B94BF69470C2F201000121C1714BF2EF -:10ADC0007450C2F20100017002F0F0FA4BF6CE703E -:10ADD000C2F20100007828B14BF27450C2F20100B7 -:10ADE000012101704BF6CC70C2F2010000780028FE -:10ADF00018BFF4F7E9FD40F2104AC4F2020AF4F772 -:10AE0000E3FC47F6A6184CF21A05C1F20008C2F29C -:10AE1000010598F8000029784CF21B098842C2F21B -:10AE2000010934D047F69D10C1F20000007870BBD4 -:10AE3000DAF8001099F80020012020EA91018A42F6 -:10AE400010D089F80010002188F800104BF694719A -:10AE5000C2F2010108724BF27451C2F20101087092 -:10AE600002F0A4FA98F80000297888420FD098F8E8 -:10AE70000000012128704BF69470C2F201000172AB -:10AE80004BF27450C2F20100017002F08FFA4CF2E2 -:10AE90001901C2F2010120780978884218BF02F036 -:10AEA00049FF30684CF6CD41CCF6CC41414349F6E0 -:10AEB00099124FEA7101C1F69912914200F2628231 -:10AEC00046F60460C1F20000012147F2D47401701B -:10AED000C1F2000494ED000AB5EE400AF1EE10FA5A -:10AEE00047D094ED000AB6EE001A20EE011AB5EE36 -:10AEF000401AF1EE10FA3ADD9FEDE72A07A820EE9E -:10AF0000020A20EE010AB0EEC00A0EF0CDFD9FED60 -:10AF1000E31A9FEDE32A20EE011A31EE021A9FEDAB -:10AF2000E12A21EE001A31EE021A21EE000A9DED0F -:10AF3000071A9FEDDD2AB8EEC11A31EE021A9FED15 -:10AF4000DB2A31EE000A20EE020ABDEEC00A42F60C -:10AF5000301110EE100AC1F20001086042F62C20F8 -:10AF6000C1F20000B0F910000A6810440860002027 -:10AF7000206044F2604147F2EC72C2F20101C1F27A -:10AF8000000291ED000A92ED001A4BF6E870B4EE63 -:10AF9000410A47F2E8733446F1EE10FAC2F20100BA -:10AFA000C1F200030CD043F66067C2F2010797EDCF -:10AFB000000A90ED001AB4EE410AF1EE10FA33D116 -:10AFC0001F880137BEB2042E1F802FD399F800705E -:10AFD00067BB98F800704FBB47F69D17C1F200079A -:10AFE0003F781FBB47F2F077C1F200077E7807F188 -:10AFF0002405002E08BF07F108052E680A2E15D07B -:10B000004BF6F876C2F20106366897F88F71002F7A -:10B0100008BF002E0AD100271F804AF23003C2F277 -:10B02000010301271F7001E000271F80096847F214 -:10B03000F075116043F66061C1F20005C2F20101D2 -:10B0400095F845210968002A016000F0898199F886 -:10B050000000002840F0848198F80000002840F0AB -:10B060007F8147F69D10C1F200000078002840F073 -:10B070007781687805F12401002808BF05F10801EF -:10B0800008680A2800F06C814BF6F870C2F20100E3 -:10B090000068002840F064814BF6EC70C2F20100B9 -:10B0A000B0F9000047F68411C1F20001002848BF42 -:10B0B0004042B1F90020002A48BF5242824204DDDA -:10B0C000B1F90000002848BF404247F68C16C1F293 -:10B0D00000063178092900F28180DFE811F00A00CA -:10B0E0002A00350055007F008100A000EB007F00A2 -:10B0F00009014BF2745100220120C2F2010185F8CE -:10B100008E2185F8350108704BF69471C2F2010169 -:10B11000487047F68D10C1F2000001784CF22C23E4 -:10B12000C2F2010300291A6040F0DF800FF63820D8 -:10B13000F4E095ED4C1A9FED5E2A00EE100AB8EE91 -:10B14000C08A21EE020A87E04BF274500022C2F25C -:10B150000100012185F8352185F88E2101704BF61B -:10B160009470C2F20100417047F68D10C1F20000E8 -:10B1700001784CF22C23C2F2010300291A6040F03E -:10B18000B4800FF6F810C9E000EE100A47F2305113 -:10B190008842B8EEC00A05D847F68610C1F2000012 -:10B1A000007840B130780A210338307047F68D10AE -:10B1B000C1F2000001709FEDED1A95ED4C2A9FED54 -:10B1C000EC3A20EE018A22EE030A10EE100A0FF08C -:10B1D000D5FF00EE100A28EE000A45E00020A1E0AD -:10B1E0004BF2745100220120C2F2010185F8352191 -:10B1F00085F88E0108704BF69471C2F20101487017 -:10B2000047F68D10C1F2000001784CF22C23C2F2F7 -:10B21000010300291A6068D10FF670107EE000EE7D -:10B22000100A47F230518842B8EEC08A05D847F676 -:10B230008610C1F20000007840B130780A2103384E -:10B24000307047F68D10C1F20000017095ED4C0A88 -:10B250009FED171A20EE010A10EE100A0FF08EFF74 -:10B2600000EE100A20EE080A4CF22C20C2F2010077 -:10B270009FEDC01A0168B4EE410A0131F1EE10FAF7 -:10B28000C8BF0021052901604DD3317801313170EB -:10B290000021016047E000BFFFFF1F40A8A19D3FC4 -:10B2A000F5CA83C0FBB3C040E09248C0C2A840408A -:10B2B0002D152A3E4BF2745100220120C2F20101E9 -:10B2C00085F8352185F88E0108704BF69471C2F22D -:10B2D0000101487047F68D10C1F2000001784CF270 -:10B2E0002C23C2F201031A60B1B1013901701AE0D6 -:10B2F00047F23051884205D847F68610C1F2000067 -:10B30000007880B130780A210338307047F68D100C -:10B31000C1F20000017006E00FF68C000CF062FD37 -:10B32000307801303070687805F12001002808BFBE -:10B33000291D0868012108F0A9FB012810DBC1B212 -:10B34000890051FA80F095F8351195F88E2105EBBA -:10B35000800080F84C1080F84D20317880F8501033 -:10B3600047F6F910C1F200000021017046F6EC50DA -:10B37000C1F20000017047F2E070C1F200000170FC -:10B38000206826464AF6AB21CAF6AA214843B0F106 -:10B39000553F4BF6F4774BF69470C2F20107C2F2B8 -:10B3A00001000CD94079002818BFF1F70DFE4BF6CB -:10B3B000E670C2F201000078012809D010E00124F3 -:10B3C0004472F1F701FE4BF6E670C2F20100047020 -:10B3D0004BF69470C2F201000078002800F013854B -:10B3E000306849F6EC656528C1F2000540F09D859E -:10B3F0004AF22050C2F201000168DBF8002000EEA2 -:10B40000101A8B189FED5C2AB8EE400A01EE103A34 -:10B41000B8EE411A20EE020A80EE010A00233360E2 -:10B42000002A08BFB0EE420A9FED541A114308BF2C -:10B43000B0EE410A036047F2F0704AF22C51C1F2BB -:10B440000000C2F2010190F8402181ED000A42F6AD -:10B450006C21C1F20001002ACBF800300B6000F033 -:10B46000838449F6E462C1F20002296812689FED04 -:10B47000442A891A01EE101AB8EE411A82EE011A16 -:10B4800006914BF27851C2F2010191ED002A47F684 -:10B49000FC11C1F2000191ED003A44F26041B8EEB6 -:10B4A000422AC2F2010191ED009A43F66061C2F2B4 -:10B4B000010121EE022ABCEEC22A12EE107AB8EE89 -:10B4C000432AB6EE003A91ED008A4CF21B0122EEBF -:10B4D000032AC2F2010122EE011A0978BCEEC11A58 -:10B4E000002911EE101A04911BD147F6A611C1F2E2 -:10B4F00000010978A9B947F69D11C1F20001097848 -:10B5000079B9417800F12402002908BF00F108024E -:10B5100010680A2805D04BF6F870C2F201000068E6 -:10B5200058B145F26000C2F2010090ED009A44F675 -:10B530006020C2F2010090ED008ABCEEC00A48F221 -:10B54000186810EE100AC1F200080590B8F8000063 -:10B5500048F2185B4CF2782900240FF6646AC1F2B5 -:10B56000000BC2F2010900251DE000BFFFFF1F41D3 -:10B570002D152ABE00409C460000C84200007F43B3 -:10B5800000007A44E6F746FC012825D0B8F8000010 -:10B5900081B2B1F5807F05F1010524BF0020A8F834 -:10B5A00000000E2D18D01AF8051082B20BF8021008 -:10B5B0000130B9F8001082B28A42A8F80000E7D141 -:10B5C000002600BFE6F726FCB4EB562FDAD101289F -:10B5D00006F10106F6D0D5E73846002100270CF029 -:10B5E000D5FCB8F800000FF6E854002511E000BFC4 -:10B5F000E6F710FC012823D0B8F8000081B2B1F5BD -:10B60000807F05F1010524BF0020A8F80000132D5C -:10B6100016D0615D82B20BF802100130B9F800104B -:10B6200082B28A42A8F80000E8D10026E6F7F2FBD1 -:10B63000B7EB562FDCD1012806F10106F6D0D7E78B -:10B640000498002100260CF0A1FCB8F800000FF6C9 -:10B650009454002511E000BFE6F7DCFB012823D05D -:10B66000B8F8000081B2B1F5807F05F1010524BF73 -:10B670000020A8F800000A2D16D0615D82B20BF8F8 -:10B6800002100130B9F8001082B28A42A8F8000016 -:10B69000E8D10027E6F7BEFBB6EB572FDCD1012837 -:10B6A00007F10107F6D0D7E70598002100250CF037 -:10B6B0006DFCB8F800000FF63854002611E000BF0A -:10B6C000E6F7A8FB012823D0B8F8000081B2B1F555 -:10B6D000807F06F1010624BF0020A8F800000C2E90 -:10B6E00016D0A15D82B20BF802100130B9F800103B -:10B6F00082B28A42A8F80000E8D10027E6F78AFB68 -:10B70000B5EB572FDCD1012807F10107F6D0D7E7B9 -:10B710000698002100240CF039FCB8F8000040F62F -:10B72000B045C0F60405002611E000BFE6F772FB45 -:10B73000012823D0B8F8000081B2B1F5807F06F16E -:10B74000010624BF0020A8F800001B2E16D0A95D1A -:10B7500082B20BF802100130B9F8001082B28A42AE -:10B76000A8F80000E8D10027E6F754FBB4EB572F08 -:10B77000DCD1012807F10107F6D0D7E7B0EE490A7E -:10B7800001200CF07DF9B8F8000020210BF8001022 -:10B790000130B9F8001082B28A42A8F8000010D136 -:10B7A00000240025E6F736FBB4EB552F03D1012822 -:10B7B00005F10105F6D0E6F72DFB012850D0B8F8C9 -:10B7C000000081B2B1F5807F24BF0020A8F80000FE -:10B7D00081B22F220BF801200130B9F8001082B29B -:10B7E0008A42A8F8000010D100240025E6F712FBD9 -:10B7F000B4EB552F03D1012805F10105F6D0E6F78A -:10B8000009FB01282CD0B8F8000081B2B1F5807F87 -:10B8100024BF0020A8F8000081B220220BF80120EC -:10B820000130B9F8001082B28A42A8F8000010D1A5 -:10B8300000240025E6F7EEFAB4EB552F03D10128DA -:10B8400005F10105F6D0E6F7E5FA012808D0B8F8C9 -:10B85000000080B2B0F5807F24BF0020A8F800006F -:10B86000B0EE480A002000240CF00AF9B8F80000F5 -:10B8700040F6E445C0F60405002610E0E6F7CAFAF3 -:10B88000012823D0B8F8000081B2B1F5807F06F11D -:10B89000010624BF0020A8F80000102E16D0A95DD4 -:10B8A00082B20BF802100130B9F8001082B28A425D -:10B8B000A8F80000E8D10027E6F7ACFAB4EB572F60 -:10B8C000DCD1012807F10107F6D0D7E74BF6F0707D -:10B8D000C2F2010090ED000A002000240CF0D0F824 -:10B8E000B8F8000040F6CC45C0F60405002610E08C -:10B8F000E6F790FA012823D0B8F8000081B2B1F53C -:10B90000807F06F1010624BF0020A8F80000172E52 -:10B9100016D0A95D82B20BF802100130B9F8001000 -:10B9200082B28A42A8F80000E8D10027E6F772FA4E -:10B93000B4EB572FDCD1012807F10107F6D0D7E788 -:10B9400047F68410C1F20000B0F9000001210CF0AC -:10B9500007F9B8F8000020210BF800100130B9F801 -:10B96000001082B28A42A8F8000010D100240025FD -:10B97000E6F750FAB4EB552F03D1012805F1010584 -:10B98000F6D0E6F747FA012850D0B8F8000081B2A7 -:10B99000B1F5807F24BF0020A8F8000081B22F22DB -:10B9A0000BF801200130B9F8001082B28A42A8F8E1 -:10B9B000000010D100240025E6F72CFAB4EB552F37 -:10B9C00003D1012805F10105F6D0E6F723FA012895 -:10B9D0002CD0B8F8000081B2B1F5807F24BF0020E0 -:10B9E000A8F8000081B220220BF801200130B9F83C -:10B9F000001082B28A42A8F8000010D1002400256D -:10BA0000E6F708FAB4EB552F03D1012805F101053B -:10BA1000F6D0E6F7FFF9012808D0B8F8000080B2A8 -:10BA2000B0F5807F24BF0020A8F800004BF6EC7032 -:10BA3000C2F20100B0F90000002100240CF090F8DF -:10BA4000B8F800000A210BF800100130B9F8001016 -:10BA500082B28A42A8F800000FD10025E6F7DAF991 -:10BA6000B4EB552F03D1012805F10105F6D0E6F717 -:10BA7000D1F9012808D0B8F8000080B2B0F5807F75 -:10BA800024BF0020A8F800004DF2A82340F6F54A94 -:10BA90000026C2F20103C0F6040A0025002005EBCF -:10BAA000850103EB81014C3151F83C2CB2B9062DD4 -:10BAB00000F0FF8051F8282C9AB9052D00F0F9808C -:10BAC00051F8142C7AB9042D00F0F3800A6862B999 -:10BAD0000435072D01F15001E6D1EAE0A1F13C0067 -:10BAE00009E0013502E0023500E0033505EB850091 -:10BAF00003EB800010300690B8F80000002410E03E -:10BB0000E6F788F9012827D0B8F8000081B2B1F52E -:10BB1000807F04F1010424BF0020A8F80000092C54 -:10BB20001AD040F63A51C0F60401095D82B20BF812 -:10BB300002100130B9F8001082B28A42A8F8000061 -:10BB4000E4D10027E6F766F9B6EB572FD8D10128E4 -:10BB500007F10107F6D0D3E7E8B201210CF07AFA39 -:10BB6000B8F80000002440E04155544F4741494E89 -:10BB700020425046202B2041545400004155544F40 -:10BB80004741494E20425046000000004155544F65 -:10BB90004741494E20425046202B204452495645A9 -:10BBA00052202B20415454004155544F4741494E97 -:10BBB00020425046202B2044524956455200000056 -:10BBC0000AD7233C00007043E6F724F9012825D06A -:10BBD000B8F8000081B2B1F5807F04F1010424BF00 -:10BBE0000020A8F800000A2C18D01AF8041082B21D -:10BBF0000BF802100130B9F8001082B28A42A8F89E -:10BC00000000E7D1002700BFE6F704F9B6EB572F95 -:10BC1000DAD1012807F10107F6D0D5E7069801210E -:10BC200000680CF0B3F9B8F800000024062D0CD120 -:10BC3000BBE300BF81B2B1F5807F04F1010424BFF2 -:10BC40000020A8F800000B2C20D040F60051C0F6D0 -:10BC50000401095D82B20BF802100130B9F800103E -:10BC600082B28A42A8F80000E4D10027E6F7D2F8B1 -:10BC7000B6EB572F03D1012807F10107F6D0E6F7FD -:10BC8000C9F8012802D0B8F80000D3E74DF2A82780 -:10BC900005EB8500C2F2010707EB8004A0680021D4 -:10BCA0000CF074F90698A6603B4606600135012049 -:10BCB000F5E640F2104A49F6EC65C007C4F2020A04 -:10BCC000C1F2000550D0B8F800000D210BF80010AB -:10BCD0000130B9F8001082B28A42A8F8000014D1ED -:10BCE00000240025E6F796F8B4EB552F03D1012880 -:10BCF00005F10105F6D0E6F78DF849F6EC65012867 -:10BD0000C1F2000530D0B8F8000081B2B1F5807FF3 -:10BD100024BF0020A8F8000081B20A220BF80120FD -:10BD20000130B9F8001082B28A42A8F8000014D19C -:10BD300000240025E6F76EF8B4EB552F03D1012857 -:10BD400005F10105F6D0E6F765F849F6EC6501283E -:10BD5000C1F2000508D0B8F8000080B2B0F5807FCD -:10BD600024BF0020A8F800004BF6CE70C2F20100FC -:10BD7000007828B1DAF80000000648BFF8F796FB13 -:10BD8000286849F6E461C1F2000108604BF278517D -:10BD90000020C2F20101086042F62821C1F2000130 -:10BDA000086047F6FC11C1F20001086046F6C45174 -:10BDB000C1F2000108804BF6E071C2F20101086097 -:10BDC00047F6A811C1F2000108604BF27450C2F2AC -:10BDD00001000121017047F2E770C1F20000037811 -:10BDE0004BF6F4775A1C272BC2F20107027079D068 -:10BDF000132B40F086804BF69470C2F201000121B3 -:10BE00008170817273E047F2B470C1F20000017872 -:10BE100000297FF4E5AA47F2F072C1F2000292F81D -:10BE2000861100293FF4DCAA397800293FF4D8AA0A -:10BE30004BF25151C2F20101097800293FF4D0AA16 -:10BE400047F2C171C1F20001097800297FF4C8AA44 -:10BE500001210170507847F6086B02F12001C1F210 -:10BE6000000B002808BF111DD1F800A0DBF80080EE -:10BE7000C24500F071844AF2B830C2F2010050218C -:10BE8000E5F77DFC41F25970CDF2B710AAFB000135 -:10BE900047F2F070C1F2000090F98CC190F8870170 -:10BEA00000EE10AAB8EE401A069000EE100A080B39 -:10BEB00041F28831484343F6982746F2A81144F6E8 -:10BEC000206EC61B47F230571FEDC32A1FEDC33A41 -:10BED000B8EE400AA0EB0109A0EB0E03C51B00243D -:10BEE0000C27F0E04BF69470C2F20100817147F626 -:10BEF000A6184CF21B090172C1F20008C2F2010936 -:10BF000013E0D1B247F6A6184CF21B093C29C1F246 -:10BF10000008C2F2010908D34BF69471C2F2010184 -:10BF200001220A728A7200210170DAF8000000060C -:10BF30001DD44DF29C21C2F2010128680968401A03 -:10BF4000B0F57A7F13D947F2D070C1F200000078C3 -:10BF500068B947F2E270C1F20000007838B94AF2DD -:10BF60006C50C2F201000078002800F0228199F89C -:10BF70000000E8B998F80000D0B947F69D10C1F26A -:10BF800000000078A0B947F2F070C1F200004178DB -:10BF900000F12402002908BF00F1080210680A28F5 -:10BFA00006D04BF6F870C2F201000068002863D09A -:10BFB0004CF20800C2F2010090ED000AB1EE041A42 -:10BFC000B4EE410AF1EE10FA56DD47F69D10C1F2CB -:10BFD0000000017800294FD147F2F071C1F2000151 -:10BFE0004A7801F12403002A08BF01F10803196807 -:10BFF0000A2941D0002606704BF694744BF6CE7099 -:10C00000C2F201040125C2F2010089F8006088F83B -:10C010000060E5716570057001F0C8F941F28C604F -:10C02000C0F604000BF0DEFE49F6EC60C1F2000041 -:10C03000006847F6AC11C1F20001086047F6B01085 -:10C0400045F25371C1F20000C2F2520146F6747219 -:10C0500044F64813C2F26F02C4F6470380E80E00AC -:10C06000212181814CF21D00C2F201000670A07BEB -:10C07000002818BFA571A5733878B0B14CF2242000 -:10C08000C2F2010049F6EC620068C1F2000241F21E -:10C09000883112680844904207D20AB0BDEC0C8B7C -:10C0A00001B0BDE8F04F02F0EDBD0AB0BDEC0C8B65 -:10C0B00001B0BDE8F08F002F00F04B81043FF14448 -:10C0C000734476447544BCF1010FF4DB04EE105A5E -:10C0D000B8EE444A34EE414A24EE024A24EE004AC5 -:10C0E00034EE034ABDEEC44A14EE100A42090E2A89 -:10C0F00007D84AF2B831E2B2C2F20101013441F884 -:10C100002200002F00F02581BCF1010FD6DB04EEE8 -:10C11000109AB8EE444A34EE414A24EE024A24EE24 -:10C12000004A34EE034ABDEEC44A14EE100A420936 -:10C130000E2A07D84AF2B831E2B2C2F20101013444 -:10C1400041F8220004EE103AB8EE444A34EE414A77 -:10C1500024EE024A24EE004A34EE034ABDEEC44AFD -:10C1600014EE100A42090E2A07D84AF2B831E2B298 -:10C17000C2F20101013441F8220004EE106AB8EE67 -:10C18000444A34EE414A24EE024A24EE004A34EE98 -:10C19000034ABDEEC44A14EE100A42090E2A8DD895 -:10C1A0004AF2B831E2B2C2F20101013441F8220090 -:10C1B00084E7002047F2B4743870C1F20004012013 -:10C1C000207028682968091A0B290BD22968091AD6 -:10C1D0000A2907D82968091A0A2903D82968091AD7 -:10C1E0000B29EFD34CF22920C2F201000126067080 -:10C1F0004FF40000CAF8080446F66400C2F20100D9 -:10C200004FF44061E5F7BBFA47F26440C2F2010027 -:10C210004FF44061E5F7B3FA45F26260C2F2010003 -:10C220004FF49061E5F70FF945F6E220C2F2010004 -:10C230004FF49061E5F707F945F66270C2F201002C -:10C240004FF41061E5F7FFF84AF2F440C2F2010042 -:10C250000025056040F20000C1F200004FF41061BB -:10C26000E5F78DFA0020ECF7A5FE4AF208072670E4 -:10C27000C2F2010738680088ECF79CFE40F63054A3 -:10C2800042F2E87608A80DF1220109AAC0F60404DA -:10C29000C0F60306CDE9002102900DF126032046E9 -:10C2A000002100220396EDF76DFDBDF82200F0217C -:10C2B0003A68A1EB5000BDF82010A027B2F84E302C -:10C2C0001288A7EB5107009281B2BAB220460196BC -:10C2D000EDF79AFEF8F7EAF8F8F78AF9002400BFBC -:10C2E000E5F798FDB5EB542F03D1012804F10104C3 -:10C2F000F6D04FF48000CAF80800DAF80000000613 -:10C300005FBFDAF800005FEA0060DAF800005FEA79 -:10C31000006003D4DAF800000006EED549F6EC62BE -:10C32000C1F2000210681168091AB1F5FA7F0FD83E -:10C330001168091AB1F5FA7F0AD81168091AB1F51E -:10C34000FA7F05D81168091AB1F5FA7FEBD900BF59 -:10C35000FEE74AF6D057BAEB0800C2F2010700F038 -:10C36000F98101EE100AB8EEC11A21EE021A21EE8F -:10C37000008A18EE105A28464FF07E510EF04AFF00 -:10C38000B5EE408AF1EE10FAB7EE00BACDF814A07F -:10C3900080F2848085F000404FF07E510EF03AFF2D -:10C3A0000AEE100A3BEE4A9A7CE040F6245540F231 -:10C3B000104AC0F604050026C4F2020A2FE000BFAE -:10C3C000465047412053616D706C65733A20000000 -:10C3D000417564696F20444D412073616D706C65D7 -:10C3E000733A2000435055204C6F61643A2000009E -:10C3F00054494D362064656C61793A200000000094 -:10C400000000F0430000000081B2B1F5807F06F12A -:10C41000010624BF0020A8F800000B2E1CD0A95D47 -:10C4200082B20BF802100130B9F8001082B28A42D1 -:10C43000A8F80000E8D10027E5F7ECFCB4EB572F93 -:10C4400003D1012807F10107F6D0E5F7E3FC012845 -:10C4500002D0B8F80000D7E74AF24830C2F2010033 -:10C4600000684DF68361C4F21B314DF2A825A0FB94 -:10C470000101C2F20105D5F88000890CB0FBF1F092 -:10C48000002100240BF082FDC5F88040069849F693 -:10C49000EC65C1F200050460FFF715BC09EE100A57 -:10C4A0003BEE49AA46F244681FED2BBA1FED2BCA9A -:10C4B0004FF0000AC1F2000800240EE007EB8000F4 -:10C4C00090ED001A21EE091A31EE000A89ED030AF7 -:10C4D0000134B4F5F07F00F01D8100EE104AB8EE93 -:10C4E000C00A38EE00DA1DEE106A30460EF05AFE31 -:10C4F000054630460EF0FCFDB4EE4BDA08EB84093D -:10C50000F1EE10FA48F824A032DAB5EE40DAF1EE96 -:10C5100010FA2DDB00EE105ABDEEC00A10EE101A14 -:10C5200000EE100ABDEEC00A4A0910EE100A0E2AEB -:10C53000B0EE4C0A07D807EB810292ED000A20EE1C -:10C540000A0A89ED000A42090E2A0AD807EB800080 -:10C5500090ED001A21EE091A31EE000A89ED000A69 -:10C5600006E0B0F5F07FA4BF57F8210048F824009A -:10C57000013400EE104AB8EEC00A38EE00DA1DEEC3 -:10C58000106A30460EF00EFE054630460EF0B0FD45 -:10C59000B4EE4BDAF1EE10FAC9F804A030DAB5EED9 -:10C5A00040DAF1EE10FA2BDB00EE105ABDEEC00AB5 -:10C5B00010EE101A00EE100ABDEEC00A4A0910EE85 -:10C5C000100A0E2AB0EE4C0A07D807EB810292ED52 -:10C5D000000A20EE0A0A89ED010AB0F5F07F0AD2BE -:10C5E00007EB800090ED001A21EE091A31EE000AE7 -:10C5F00089ED010A04E003DB57F82100C9F80400C3 -:10C60000013400EE104AB8EEC00A38EE00DA1DEE32 -:10C61000106A30460EF0C6FD054630460EF068FD45 -:10C62000B4EE4BDAF1EE10FAC9F808A030DAB5EE44 -:10C6300040DAF1EE10FA2BDB00EE105ABDEEC00A24 -:10C6400010EE101A00EE100ABDEEC00A4A0910EEF4 -:10C65000100A0E2AB0EE4C0A07D807EB810292EDC1 -:10C66000000A20EE0A0A89ED020AB0F5F07F0AD22C -:10C6700007EB800090ED001A21EE091A31EE000A56 -:10C6800089ED020A04E003DB57F82100C9F808002D -:10C69000013400EE104AB8EEC00A38EE00DA1DEEA2 -:10C6A000106A30460EF07EFD054630460EF020FD45 -:10C6B000B4EE4BDAF1EE10FAC9F80CA0BFF608AFF1 -:10C6C000B5EE40DAF1EE10FAFFF602AF00EE105AC6 -:10C6D000BDEEC00A10EE101A00EE100ABDEEC00A40 -:10C6E0004A0910EE100A0E2AB0EE4C0A07D807EBE2 -:10C6F000810292ED000A20EE0A0A89ED030AB0F5E4 -:10C70000F07FFFF4DBAEFFF6E3AE57F82100C9F887 -:10C710000C00DDE64AF6D05046F24461C2F2010058 -:10C72000C1F200014FF4F062E4F75BFE0698DDF819 -:10C7300014A001280ED94DF67070C2F201000068F5 -:10C740004FF48051A1EBC00143F64460C1F20000F8 -:10C75000E5F715F8CBF800A04DF28034C2F20004E2 -:10C7600046F2405049F2C4754AF2880A2044002734 -:10C77000C1F20005C1F2000A4FF002084FF078093B -:10C7800000260FE085F834702846E9F7F3FF0AEB3E -:10C790008600D0F8D410013EC0F8D81016F136004B -:10C7A000584623D095F83410A0F5F07B0129EBD042 -:10C7B000012185F8341095F835100129E2D185F86A -:10C7C00035806F652968AA680B68402A23F48023A6 -:10C7D0005A460B60C1F8049004BF024658468A606E -:10C7E000C860086840F001000860CDE74AF6D05103 -:10C7F000C2F2010101F1240247F608614CF27A2EDF -:10C80000C1F20001C2F2010ED1F800C09FEDDE0AB4 -:10C81000AEF114000023322712ED091AE51821EEBB -:10C82000001ABDEEC11A11EE101A322928BF39467E -:10C8300012ED081A20F8141F21EE001ABDEEC11ADD -:10C84000C1F1320111EE106AE154322E28BF3E468A -:10C8500012ED071A0EEB430121EE001A4E80C6F1CD -:10C860003206BDEEC11A6E7011EE106A322E28BF6C -:10C870003E4612ED061A8E8021EE001AC6F13206EF -:10C88000BDEEC11AAE7011EE106A322E28BF3E46C0 -:10C8900012ED051ACE8021EE001AC6F13206BDEE69 -:10C8A000C11AEE7011EE106A322E28BF3E4612ED0C -:10C8B000041A068121EE001AC6F13206BDEEC11A35 -:10C8C0002E7111EE106A322E28BF3E4612ED031A69 -:10C8D000468121EE001AC6F13206BDEEC11A6E7114 -:10C8E00011EE106A322E28BF3E4612ED021A8E81DA -:10C8F00021EE001AC6F13206BDEEC11AAE7111EE7C -:10C90000106A322E28BF3E4612ED011ACE8121EE6A -:10C91000001AC6F13206BDEEC11AEE7111EE106AB0 -:10C92000322E28BF3E4692ED001A0E8221EE001AEA -:10C93000C6F13201BDEEC11A297211EE101A322968 -:10C9400028BF39460A334182C1F13201B3F5F07F85 -:10C9500002F1280269727FF45FAF47F2F073C1F20F -:10C960000003587803F124052946CAF800C003F1F2 -:10C970000807002808BF39460968CDE90457082987 -:10C9800000F26C844CF27A26C2F20106DFE811F064 -:10C9900009002E0009002E00520076045200090002 -:10C9A0002E0003F12C01002808BF03F1100191EDC6 -:10C9B000000A9FEDE21A93F88701B8EE400A20EED4 -:10C9C000010A01EE100AB8EE411A20EE010ABDEE8E -:10C9D000C00A4CF2322110EE100AC2F20101F02816 -:10C9E000A8BFF0200880C0F1F0014EE003F12C0157 -:10C9F000002808BF03F1100191ED000A9FEDCF1A46 -:10CA000093F88701B8EE400A20EE010A01EE100A01 -:10CA1000B8EE411A20EE010ABDEEC00A4CF23222F5 -:10CA200010EE100AC2F20102F021F028A8BF084659 -:10CA300010802AE003F12C01002808BF03F1100147 -:10CA400091ED000A93F887019FEDD32AB8EE400AD2 -:10CA500001EE100AB8EE411A20EE020A20EE010A99 -:10CA6000BDEEC00A10EE100AB0F5F07FA8BF4FF47B -:10CA7000F07081B24CF23222C2F2010200EBD131ED -:10CA8000108009B2F022A2EB610147F6C252C1F256 -:10CA90000002118082B200EBD23212B247F6C057C8 -:10CAA00001EB5202C1F200073A804CF230220844F6 -:10CAB000C2F20102108093F88D210DB20FFA80F9B5 -:10CAC000002A00F0C28041F600010020C2F20001FD -:10CAD000069107E006990130322801F57071069140 -:10CAE00000F0748149F6FC51C1F2000131F810C028 -:10CAF0004DF2D011C2F2010101EB400E49F6626124 -:10CB0000C1F2000101EB40084DF23621C2F20101F1 -:10CB100001EB400B0024002310E000BF61464CF203 -:10CB20007A2690425A46C2F2010628BF1188013384 -:10CB3000B3F5F07F04F10C047981CBD0315BAB42CB -:10CB4000C1F1320208D34B4506D87746904238BF30 -:10CB500047463A8805E000BF904262465F4628BFDC -:10CB60003A88069906EB040A0A53BAF80220591CBF -:10CB7000A942C2F1320209D34B4507D2774690420F -:10CB800038BF47463A8806E0000048429042624675 -:10CB90005F4628BF3A88069902330F19BAF8041085 -:10CBA0007A80AB42C1F1320208D34B4506D890429D -:10CBB000724638BF4246118805E000BF6146904288 -:10CBC0005A4628BF1188B980BAF806100133AB4223 -:10CBD000C1F1320208D34B4506D89042724638BFA5 -:10CBE0004246118805E000BF614690425A4628BF80 -:10CBF0001188F980BAF808100133AB42C1F1320252 -:10CC000008D34B4506D89042724638BF4246118839 -:10CC100005E000BF614690425A4628BF118839811D -:10CC2000BAF80A100133AB42C1F13202FFF476AF19 -:10CC30004B453FF673AF9042724638BF42464CF2C6 -:10CC40007A261188C2F2010671E74AF20800C2F2A0 -:10CC50000100006841F6000700880021C2F20007C9 -:10CC6000069005E00131322907F5707700F0AE80BB -:10CC70004DF2D010C2F2010000EB410E49F66260A5 -:10CC8000C1F2000000EB410B4DF23620C2F2010070 -:10CC900000EB410800234FF0000C12E0069A81429D -:10CCA0004046374628BF028804F1010C4CF27A2630 -:10CCB000BCF5F07F03F10C03C2F20106AAF80A20CA -:10CCC000D0D0F05AAC45C0F1320007D3CC4505D8DE -:10CCD0008142704638BF5846028804E0069A814275 -:10CCE000404628BF0288F0184488FA520CF101022D -:10CCF000AA42C4F1320207D3CC4505D27446914210 -:10CD000038BF5C46228804E09142069A444628BF18 -:10CD1000228807EB030AAAF8022082880CF1020499 -:10CD20003E46AC42C2F132020AD34C4508D8914289 -:10CD3000724638BF5A46178807E000BF0AD7233C1F -:10CD4000069F9142424628BF1788C2880134AC42F0 -:10CD5000C2F13202AAF8047008D34C4506D89142B9 -:10CD6000724638BF5A46178805E000BF069F9142B9 -:10CD7000424628BF178802890134AC42C2F1320210 -:10CD8000AAF806700AD34C4508D89142724638BFBB -:10CD90005A46178807E000BF0AD7A33C069F914276 -:10CDA000424628BF178840890134AC42C0F13200A6 -:10CDB000AAF80870FFF472AF4C453FF66FAF81429E -:10CDC000704638BF5846028837466DE747F2F07024 -:10CDD000C1F2000090F88C0141F6000201380128F0 -:10CDE000C2F2000200F2B2804DF23620C2F201001F -:10CDF000B0F84A00002149F6C01B49F680564AF2B5 -:10CE000040154FF425444AF6C00C4AF6804E4BF2CA -:10CE100040084FF434494BF2C07A03E001310D2948 -:10CE200000F094804AF2B833C2F2010353F8213083 -:10CE3000F02BF3D05F1EB7F5EF7FEFD822F8130089 -:10CE400002EB43034FF49657D8534FF4B457D853DB -:10CE50004FF4D257D8534FF4F057D8534FF40757E5 -:10CE6000D8534FF41657D8534FF42557D8534FF48F -:10CE70003457D8534FF44357D8534FF45257D853DD -:10CE80004FF46157D8534FF47057D8534FF47F572E -:10CE9000D8534FF48747D85344F24077D8534FF4D0 -:10CEA0009647D85344F6C067D8534FF4A547D85394 -:10CEB00045F24067D8534FF4B447D85345F6C057AE -:10CEC000D8534FF4C347D85346F24057D8534FF482 -:10CED000D247D85346F6C047D8534FF4E147D8530A -:10CEE00047F24047D8534FF4F047D85347F6C0377E -:10CEF000D8534FF4FF47D85348F24037D8534FF434 -:10CF00000747D85348F6C027D85348F68067D85308 -:10CF100049F24027D8534FF41647A3F8C003A3F8AB -:10CF20008007A3F8400BA3F8000FD85323F80B0099 -:10CF300098535853185323F80C0023F80E0023F885 -:10CF4000080023F8090023F80A0067E747F2F071A8 -:10CF5000C1F2000191F88401002800F0AA8048780D -:10CF60000028DDE9041008BF0146086820F0010030 -:10CF7000022840F09E8047F6C050C1F20000B0F990 -:10CF800000304DF23620C2F20100408E02EB430128 -:10CF900022F813004FF49653C8524FF4B453C852BA -:10CFA0004FF4D253C8524FF4F053C8524FF40753C2 -:10CFB000C8524FF41653C8524FF42553C8524FF479 -:10CFC0003453C8524FF44353C8524FF45253C852CB -:10CFD0004FF46153C8524FF47053C8524FF47F530B -:10CFE000C8524FF48743C85244F24073C8524FF4BA -:10CFF0009643C85244F6C063C8524FF4A543C85282 -:10D0000045F24063C8524FF4B443C85245F6C0538A -:10D01000C8524FF4C343C85246F24053C8524FF46B -:10D02000D243C85246F6C043C8524FF4E143C852F7 -:10D0300047F24043C8524FF4F043C85247F6C0335A -:10D04000C8524FF4FF43C85248F24033C8524FF41D -:10D050000743C85248F6C023C85248F68063C852F6 -:10D0600049F24023C8524FF41643C85249F6C01340 -:10D07000C85249F68053C8524AF24013C8524FF47E -:10D080002543C8524AF6C003C8524AF68043C852E4 -:10D090004BF24003C8524FF43443C8524BF2C073B2 -:10D0A000A1F8C003A1F88007A1F8400BA1F8000F78 -:10D0B000C8524DF23620C2F20100408E4FF4875123 -:10D0C00050524FF4A55150524FF4C35150524FF4A7 -:10D0D000E15150524FF4FF51505242F2A0315052A0 -:10D0E00042F26071505242F62031505242F6E061F5 -:10D0F000505243F2A021505243F26061505243F625 -:10D100002021505243F6E051505244F2A0115052A7 -:10D1100044F26051505244F62011505244F6E0411E -:10D12000505245F2A001505245F26041505245F62E -:10D130002001505245F6E031505245F6A071505250 -:10D1400046F26031505246F22071505246F6E021CC -:10D15000505246F6A061505247F26021505247F2B9 -:10D160002061505247F6E011505247F6A0515052FC -:10D1700048F26011505248F22051505248F6E001F6 -:10D18000505248F6A041505249F26001505249F2C3 -:10D190002041505249F2E071505249F6A0315052AC -:10D1A00049F6607150524AF2203150524AF2E06121 -:10D1B00050524AF6A02150524AF6606150524BF24A -:10D1C000202150524BF2E05150524BF6A011A2F8E0 -:10D1D000E001A2F8A005A2F86009A2F8200D5052C3 -:10D1E0004FF0C0402A2101800021C6F2080100242E -:10D1F0000122DF230C800C800A800B802B2303800C -:10D20000B9230C800B80EB230C800B802C21018038 -:10D2100049F6C860C1F200000270E6F7B3FB4BF6B6 -:10D22000E67040F2104A47F6A6184CF21B094BF67E -:10D23000F47742F6702B4DF2CC16C2F20100C4F224 -:10D24000020AC1F20008C2F20109C2F20107C1F2EA -:10D25000000BC2F201060470FEF7C2B847F6C250D6 -:10D26000C1F2000001884CF23220C2F201000088B5 -:10D270004CF27A26C2F20106FFF70CBC4CF23221C6 -:10D28000C2F201014FF4F07047F6C2520880C1F2B9 -:10D2900000020021FFF7FDBBB0B54AF22850C2F2F0 -:10D2A00001000178012919D10021017041F2040027 -:10D2B0004AF22452CEF20000C2F2010242F670237A -:10D2C00001681268C1F200031D68891A29441960B7 -:10D2D000006842F67421C1F2000108604DF27C0042 -:10D2E000C2F20100EBF7A2FA00240025E4F792FD58 -:10D2F000B4EB552F03D1012805F10105F6D047F60F -:10D300009810C1F200000168B1B149F6EC61C1F2B8 -:10D31000000109680268891A0B2938BFB0BD4CF2B8 -:10D320002921C2F2010100220A7040F61801C4F25C -:10D33000020180230B600260B0BD000080B54AF29C -:10D340002850C2F20100017801291BD1002141F2CD -:10D35000040C4AF224520170CEF2000CC2F2010217 -:10D3600042F67023DCF800101268C1F2000318685E -:10D37000891A08441860DCF8000042F67421C1F2F2 -:10D380000001086049F6AC10C1F20000EBF74EFA5C -:10D39000E8F7D2FA47F2D070C1F200000078002816 -:10D3A00008BF80BDBDE88040F6F742BF2DE9F04FD1 -:10D3B00085B047F2F076C1F20006707806F12407D6 -:10D3C00096F847113A4606F10809002808BF4A4670 -:10D3D000D2F80080F9B106F1200490F00100214656 -:10D3E000707006F1040508BF2946086800F040FD8A -:10D3F00070780028384608BF4846006808BF2C46A9 -:10D40000214600F03DFF4BF69470C2F2010001216D -:10D410004171417001724CF21B00C2F201000078B0 -:10D42000D0B947F6A610C1F200000078A0B947F6BF -:10D430009D10C1F20000007870B97078002808BF14 -:10D440004F4638680A2807D04BF6F870C2F2010040 -:10D450000068002800F00A84B8F10A0F4BD80120B8 -:10D4600000FA08F040F20C41084244D04CF20C049F -:10D47000C2F201042068032800F0B28346F664007B -:10D48000C2F201004FF44061E4F779F947F26440D9 -:10D49000C2F201004FF44061E4F771F945F26260B5 -:10D4A000C2F201004FF49061E3F7CDFF45F6E220B0 -:10D4B000C2F201004FF49061E3F7C5FF45F66270D8 -:10D4C000C2F201004FF41061E3F7BDFF4AF2F440ED -:10D4D000C2F201000021016040F20000C1F2000030 -:10D4E0004FF41061E4F74BF903F010F803F034FB4C -:10D4F0000320206074E34CF20C00C2F201000068CB -:10D50000022800F06D8346F66400C2F201004FF479 -:10D510004061E4F734F947F26440C2F201004FF48D -:10D520004061E4F72CF945F26260C2F201004FF469 -:10D530009061E3F788FF45F6E220C2F201004FF464 -:10D540009061E3F780FF45F66270C2F201004FF48C -:10D550001061E3F778FF4AF2F440C2F201000021C3 -:10D56000016040F20000C1F200004FF41061E4F7E6 -:10D5700006F949F6EC66C1F2000630683168091A0E -:10D580000B290BD23168091A0A2907D83168091A00 -:10D590000A2903D83168091A0B29EFD342F69407F8 -:10D5A0004FF0000AC1F200074FF0110B01256C4645 -:10D5B0004FF002084FF00009B868B9893A688161F4 -:10D5C000BB889361CDE9001B2146CDE9025AE9F7FA -:10D5D000A3FFB9883868CDE9001B2146CDE9025A7E -:10D5E000E9F79AFF1A20B87348F20500A7F80F0070 -:10D5F000A7F8748006F040FC32680146002818BF86 -:10D60000012100BF33689B1A022B0BD233689B1A8F -:10D61000012B07D833689B1A012B03D833689B1A58 -:10D62000022BEFD320B189445FFA89F00328C3D3DA -:10D630004FF0000A4FF0110B01256C464FF0020825 -:10D640004FF00009B868B9893A688161BB88936175 -:10D65000CDE9001B2146CDE9025AE9F75DFFB98803 -:10D660003868CDE9001B2146CDE9025AE9F754FF9D -:10D670001A20B87348F20700A7F80F00A7F87480C3 -:10D6800006F0FAFB32680146002818BF012100BFEE -:10D6900033689B1A022B0BD233689B1A012B07D8D5 -:10D6A00033689B1A012B03D833689B1A022BEFD3E4 -:10D6B00020B189445FFA89F00328C3D34FF0000AF0 -:10D6C0004FF0110B01256C464FF002084FF0000996 -:10D6D000B868B9893A688161BB889361CDE9001B5C -:10D6E0002146CDE9025AE9F717FFB9883868CDE934 -:10D6F000001B2146CDE9025AE9F70EFF1A20B87344 -:10D7000041F60A60A7F80F00A7F8748006F0B4FB92 -:10D7100032680146002818BF012100BF33689B1AF8 -:10D72000022B0BD233689B1A012B07D833689B1A44 -:10D73000012B03D833689B1A022BEFD320B1894405 -:10D740005FFA89F00328C3D347F2F070C1F20000FA -:10D7500090F84301002800F019814FF0000A4FF0C3 -:10D76000110B01256C464FF002084FF0000900BF75 -:10D77000B868B9893A688161BB889361CDE9001BBB -:10D780002146CDE9025AE9F7C7FEB9883868CDE9E4 -:10D79000001B2146CDE9025AE9F7BEFE1A20B873F4 -:10D7A0004FF4B850A7F80F00A7F8748006F064FB98 -:10D7B00032680146002818BF012100BF33689B1A58 -:10D7C000022B0BD233689B1A012B07D833689B1AA4 -:10D7D000012B03D833689B1A022BEFD320B1894465 -:10D7E0005FFA89F00328C3D34FF0000A4FF0110B02 -:10D7F00001256C464FF002084FF00009B868B9895E -:10D800003A688161BB889361CDE9001B2146CDE96F -:10D81000025AE9F781FEB9883868CDE9001B214634 -:10D82000CDE9025AE9F778FE1A20B87341F2027086 -:10D83000A7F80F00A7F8748006F01EFB32680146B7 -:10D84000002818BF012100BF33689B1A022B0BD29E -:10D8500033689B1A012B07D833689B1A012B03D816 -:10D8600033689B1A022BEFD320B189445FFA89F009 -:10D870000328C3D34FF0000A4FF0110B01256C466B -:10D880004FF002084FF00009B868B9893A68816121 -:10D89000BB889361CDE9001B2146CDE9025AE9F727 -:10D8A0003BFEB9883868CDE9001B2146CDE9025A14 -:10D8B000E9F732FE1A20B8734FF40270A7F80F0090 -:10D8C000A7F8748006F0D8FA32680146002818BF1D -:10D8D000012100BF33689B1A022B0BD233689B1ABD -:10D8E000012B07D833689B1A012B03D833689B1A86 -:10D8F000022BEFD320B189445FFA89F00328C3D308 -:10D900004FF0000A4FF0110B01256C464FF0020852 -:10D910004FF00009B868B9893A688161BB889361A2 -:10D92000CDE9001B2146CDE9025AE9F7F5FDB9889A -:10D930003868CDE9001B2146CDE9025AE9F7ECFD34 -:10D940001A20B87346F60C20A7F80F00A7F87480C9 -:10D9500006F092FA32680146002818BF012100BF84 -:10D9600033689B1A022B0BD233689B1A012B07D802 -:10D9700033689B1A012B03D833689B1A022BEFD311 -:10D9800020B189445FFA89F00328C3D347F2F070CD -:10D99000C1F2000090F84201002800F019814FF018 -:10D9A00000094FF0110A01256C464FF002084FF0B4 -:10D9B000000B00BFB868B9893A688161BB88936180 -:10D9C000CDE9001A2146CDE90259E9F7A5FDB9884C -:10D9D0003868CDE9001A2146CDE90259E9F79CFDE6 -:10D9E0001A20B87348F20100A7F80F00A7F8748056 -:10D9F00006F042FA32680146002818BF012100BF34 -:10DA000033689B1A022B0BD233689B1A012B07D861 -:10DA100033689B1A012B03D833689B1A022BEFD370 -:10DA200020B18B445FFA8BF00328C3D34FF0000979 -:10DA30004FF0110A01256C464FF002084FF0000B21 -:10DA4000B868B9893A688161BB889361CDE9001AE9 -:10DA50002146CDE90259E9F75FFDB9883868CDE97B -:10DA6000001A2146CDE90259E9F756FD1A20B8738C -:10DA700048F20300A7F80F00A7F8748006F0FCF93D -:10DA800032680146002818BF012100BF33689B1A85 -:10DA9000022B0BD233689B1A012B07D833689B1AD1 -:10DAA000012B03D833689B1A022BEFD320B18B4490 -:10DAB0005FFA8BF00328C3D34FF000094FF0110A2F -:10DAC00001256C464FF002084FF0000BB868B98989 -:10DAD0003A688161BB889361CDE9001A2146CDE99E -:10DAE0000259E9F719FDB9883868CDE9001A2146CD -:10DAF000CDE90259E9F710FD1A20B8734FF4A1607F -:10DB0000A7F80F00A7F8748006F0B6F9326801464E -:10DB1000002818BF012100BF33689B1A022B0BD2CB -:10DB200033689B1A012B07D833689B1A012B03D843 -:10DB300033689B1A022BEFD320B18B445FFA8BF032 -:10DB40000328C3D34FF000094FF0110A01256C469A -:10DB50004FF002084FF0000BB868B9893A6881614C -:10DB6000BB889361CDE9001A2146CDE90259E9F756 -:10DB7000D3FCB9883868CDE9001A2146CDE90259AD -:10DB8000E9F7CAFC1A20B87346F60C10A7F80F0084 -:10DB9000A7F8748006F070F932680146002818BFB3 -:10DBA000012100BF33689B1A022B0BD233689B1AEA -:10DBB000012B07D833689B1A012B03D833689B1AB3 -:10DBC000022BEFD320B18B445FFA8BF00328C3D331 -:10DBD00002F0C2FF4CF20C010220C2F20101086007 -:10DBE00046F6CC50C1F200000024047044F64460B4 -:10DBF000C1F200004FF40061E3F7C1FD45F244605B -:10DC0000C1F200004FF40061E3F7B9FD4AF2D050D1 -:10DC1000C2F201004FF40061E3F7B1FD45F6446044 -:10DC2000C1F200004FF40061E3F7A9FD43F6446040 -:10DC3000C1F200004FF48051E3F7A1FD42F64460C9 -:10DC4000C1F200004FF48051E3F799FD4AF6D0503D -:10DC5000C2F201004FF4F061E3F791FD46F6C850BF -:10DC6000C1F20000046005B0BDE8F08F4CF20C0476 -:10DC7000C2F2010420680128B2D046F66400C2F264 -:10DC800001004FF44061E3F77AFD47F26440C2F2CD -:10DC900001004FF44061E3F772FD45F26260C2F2A9 -:10DCA00001004FF49061E3F7CEFB45F6E220C2F2AB -:10DCB00001004FF49061E3F7C6FB45F66270C2F2D3 -:10DCC00001004FF41061E3F7BEFB4AF2F440C2F2E8 -:10DCD00001000025056040F20000C1F200004FF491 -:10DCE0001061E3F74CFD47F2D170C1F200000570FE -:10DCF00047F6F910C1F2000005704CF22820C2F27C -:10DD00000100057002F008FA02F026FF01202060F1 -:10DD100044F66020C2F201004FF4C061E3F72FFD2A -:10DD200045F26000C2F201004FF4C061E3F727FD45 -:10DD300056E7000070B547F69D10C1F2000000786C -:10DD4000002840F0918047F2F074C1F2000460783E -:10DD500004F12401002808BF04F10801086820F03C -:10DD60000100022840F0808041F21005C4F2020553 -:10DD700047F6A4102968C1F200000278012626EABD -:10DD800051018A421FD001700078E0B147F2B070B3 -:10DD9000C1F20000006810B194F8800198B9B4F89D -:10DDA0007C014BF6F871C2F2010108604BF69470E9 -:10DDB000C2F20100012101724BF27450C2F2010063 -:10DDC0000170FFF7F3FA4CF218002968C2F2010063 -:10DDD000027826EA01018A421FD001700078E0B182 -:10DDE00047F2B070C1F20000006810B194F88001F1 -:10DDF00098B9B4F87C014BF6F871C2F201010860E1 -:10DE00004BF69470C2F20100012101724BF2745082 -:10DE1000C2F201000170FFF7C9FA47F6A5104CF2F3 -:10DE20001901C1F20000C2F2010102780B789A4296 -:10DE300008BF70BD02780A70007830B1B4F87C0178 -:10DE40004BF6F871C2F2010108604BF69470C2F211 -:10DE50000100012101724BF27450C2F20100017005 -:10DE6000BDE87040FFF7A2BA70BD000000000000DE -:10DE7000002808BF70472DE9F04F81B0024641F2FB -:10DE80008070C2F6B4408A46824238BF10460860AD -:10DE900041F21421C0F2020147F2F0798842C1F246 -:10DEA000000902D24FF0FF379EE041F64921C0F24F -:10DEB00002018842C0F0928049F65061C0F21B0115 -:10DEC0008842EFD348F28041C0F21E01884240F2FE -:10DED000478146F2E071C0F235018842E2D34FF645 -:10DEE000C131C0F239018842C0F03C8145F2446141 -:10DEF000C0F251018842D5D347F26D31C0F25201D0 -:10DF00008842C0F031814CF6C071C0F26A0188428B -:10DF1000C8D34DF60151C0F26D018842C0F0948122 -:10DF200041F62051C0F29A018842BBD34EF27101F2 -:10DF3000C0F29A018842C0F0898149F68071C0F22E -:10DF4000D5018842AED34FF2B161C0F2DA01884206 -:10DF5000C0F07E814BF22021C0F213118842A1D380 -:10DF600043F6C101C0F215118842C0F0738146F634 -:10DF70004071C0F24011884294D344F61151C0F26E -:10DF800047118842C0F068814CF69021C0F27B11A5 -:10DF90008842FFF487AF45F23111C0F27D1188420B -:10DFA000C0F05C8143F60071C0F2AB118842FFF40F -:10DFB00079AF42F6A171C0F2C5118842C0F050811C -:10DFC00040F68071CFF6055140F600120144C0F2D0 -:10DFD0003D0291423FF666AF0B2700E0002707EBBA -:10DFE000870109EB8101086499F84111D9B147F61D -:10DFF0009C11C1F200010978A9B905F099FEDAF87F -:10E00000041081420FD05146054600F039F907EB64 -:10E01000870009EB800080F844504BF69470C2F200 -:10E0200001000121417099F801B009F120004CF282 -:10E030000406BBF1000F08BF09F10400C2F201069B -:10E0400005683068401928D44BF60011C0F2EA1177 -:10E05000884224DD47F20024C0F2D5342346A04292 -:10E06000B8BF03460A18DB431A4446F2AF53C4F262 -:10E07000C623A2FB0323DA0D02FB01F702FB110109 -:10E080003A1AA1F1F570C3F3C053A0F5394083F0FB -:10E090000101002B08BF101902E0002011E000214F -:10E0A00047F69412C1F2000211700DF096FC9FED3C -:10E0B0006E0B53EC102B0DF033FD0DF0BDF80DF091 -:10E0C0005FFC4CF21401C2F2010108603068411992 -:10E0D0004FF0000011D44CF21002C2F20102107095 -:10E0E00008460DF07AFC9FED620B53EC102B0DF0FF -:10E0F00017FD0DF0A1F80DF043FC47F6A018C1F292 -:10E100000008C8F800004CF21B00C2F201000078C1 -:10E11000002850D147F6A610C1F200000078002870 -:10E1200049D147F69D10C1F200000078002842D185 -:10E1300009F12400BBF1000F08BF09F108000068D5 -:10E140000A2838D04BF6F871C2F20101096891BB78 -:10E1500003280BD0022816D1B9F87A01291A08E051 -:10E1600001273CE702273AE7032738E7B9F87A01A5 -:10E1700029184FF000001CD44CF21002C2F2010228 -:10E180001070084609E0002D4FF0000011D44CF249 -:10E190001001C2F20101087028460DF01EFC9FED2F -:10E1A000340B53EC102B0DF0BBFC0DF045F80DF0CB -:10E1B000E7FBC8F80000DAF800104CF68060C0F207 -:10E1C000CA40814202D99FED2C0A2DE042F62C2252 -:10E1D0008142C1F2000202D102F10F001BE048F2BD -:10E1E0008040C0F21E00814202D202F10B0012E018 -:10E1F00044F64030C0F24C00814202D202F10C00E1 -:10E2000009E04CF2803302F10E00C0F2C9139942CA -:10E2100038BF02F10D0000789FED181A00EE100AC9 -:10E22000B8EE400A20EE010A42F62C10C1F20000BE -:10E2300080ED000A4BF27450C2F20100012101701E -:10E2400001B0BDE8F04F70470427C8E60527C6E6D1 -:10E250000627C4E60727C2E60827C0E60927BEE668 -:10E260000A27BCE600BF00BFB133B16B99B1B03F24 -:10E27000B652E845C2B59A3F000000000AD7233CD9 -:10E28000B0B54BF694740A28C2F2010402D04A6871 -:10E290000A2A01D10122E2710A28486044D14CF2D5 -:10E2A0000C05C2F201052868032800F0758046F6C7 -:10E2B0006400C2F201004FF44061E3F760FA47F2F4 -:10E2C0006440C2F201004FF44061E3F758FA45F2AE -:10E2D0006260C2F201004FF49061E3F7B4F845F6D2 -:10E2E000E220C2F201004FF49061E3F7ACF845F68A -:10E2F0006270C2F201004FF41061E3F7A4F84AF231 -:10E30000F440C2F201000021016040F20000C1F2BD -:10E3100000004FF41061E3F732FA02F0F7F802F070 -:10E320001BFC0320286037E0082835D8DFE800F020 -:10E33000050510101D272B05050047F2F070C1F2EE -:10E340000000B0F87021B0F87201C1E9020223E0C8 -:10E3500047F2F070C1F20000B0F86C21B0F86E0125 -:10E36000C1E902020120E07116E047F2F070C1F24B -:10E370000000B0F876010022C1E902200CE0002084 -:10E38000C1E9020008E047F2F070C1F20000B0F805 -:10E3900074010022C1E902204BF6CC70C2F20100E8 -:10E3A000012101704BF6CE70C2F201000170617262 -:10E3B000E171B0BD2DE9F04148F21864C1F20004EA -:10E3C000208848F218584CF27826C1F200086521DE -:10E3D000C2F2010608F800100130318882B28A4288 -:10E3E00020800FD100270025E3F714FDB7EB552F50 -:10E3F00003D1012805F10105F6D0E3F70BFD012853 -:10E4000048D0208881B2B1F5807F24BF00202080D1 -:10E4100081B2722208F801200130318882B28A422A -:10E4200020800FD100270025E3F7F4FCB7EB552F30 -:10E4300003D1012805F10105F6D0E3F7EBFC012833 -:10E4400028D0208881B2B1F5807F24BF00202080B1 -:10E4500081B2722208F801200130318882B28A42EA -:10E46000208011D100250026E3F7D4FCB5EB562F10 -:10E4700003D1012806F10106F6D0E3F7CBFC012811 -:10E4800008BFBDE8F081208880B2B0F5807F24BF4E -:10E4900000202080BDE8F081806B43F600410068D9 -:10E4A000C4F20001884218BF704747F2D170C1F230 -:10E4B0000000017829B147F6F911C1F200010122EB -:10E4C0000A704CF22821C2F2010100220A700121D7 -:10E4D000017047F2F070C1F20000427800F12403AD -:10E4E000002A08BF00F1080318680A2802BF4BF68B -:10E4F000D470C2F20100017047F6FC10C1F20000B6 -:10E50000016801F5C071016070470000806B43F63F -:10E5100000410068C4F20001884218BF704747F20A -:10E52000D171C1F20001087828B147F6F910C1F2A3 -:10E530000000012202704CF22822C2F201020120E6 -:10E540001070087047F2F071C1F200014A7801F1D1 -:10E550002403002A08BF01F1080319680A2902BF31 -:10E560004BF6D471C2F20101087047F6FC10C1F2FB -:10E570000000016801F5C0710160704770B5D0F806 -:10E580001013A1B149F6D466C1F200063288B2F583 -:10E59000906F2AD347F6F113C1F200031D7845B1FD -:10E5A00047F2E073C1F2000301251D701DE0032056 -:10E5B00070BD4CF2222EC2F2010E9EF8005045F6BC -:10E5C000E22245F2626CC2F20102C2F2010C002D9D -:10E5D00008BF62468A619EF8002082F001028EF830 -:10E5E000002001221A7000223280C2F590635D097A -:10E5F000082D4DF2CC4588BF4FF49073C2F201054F -:10E600002B809BB243668969D0F820030A4440F20C -:10E6100003140146C0F8A430036921F8904F002488 -:10E62000C0F89C20012BC0F8A84008BFC0F8A0206B -:10E630000068DAB201F02AFC28884AF6AB21CAF653 -:10E64000AA21A0FB01124BF6E071C2F201010B6896 -:10E6500003EB92020A60318808443080002070BDCC -:10E6600080B5014603A000F047F94CF22000C2F249 -:10E67000010080BD55413352454F205472616E7385 -:10E6800063656976657220436F6E66696700000096 -:10E69000014642F64C101222C1F200000A80704777 -:10E6A00080B5014603A000F027F94CF22000C2F229 -:10E6B000010080BD55413352454F205472616E7345 -:10E6C0006365697665722044656275672F4B65796D -:10E6D00020506F727400000080B5014603A000F066 -:10E6E0000BF94CF22000C2F2010080BD55413352BB -:10E6F000454F205472616E7363656976657220437D -:10E70000415420506F72740080B5014603A000F0A0 -:10E71000F3F84CF22000C2F2010080BD55413352A3 -:10E72000454F205472616E7363656976657220414E -:10E730005544494F00000000014642F66010042293 -:10E74000C1F200000A80704780B5014603A000F0C6 -:10E75000D3F84CF22000C2F2010080BD5541335283 -:10E76000454F000080B5014603A000F0C5F84CF20B -:10E770002000C2F2010080BD55413352454F205464 -:10E7800072616E736365697665720000B0B547F6B5 -:10E790001021C1F6FF710A688B684FF01A0C9B18A4 -:10E7A000A0F800C000F0A3804FF0370C4868190FA4 -:10E7B0000CEB1372B3F1204F38BF01F130024AF273 -:10E7C0006031C2F20101C3F303648A701A014FF091 -:10E7D000000E04F1370581F803E0B2F1204F38BF95 -:10E7E00004F13005C3F303540D711A0204F1370527 -:10E7F00081F805E0B2F1204F38BF04F13005C3F3D2 -:10E8000003448D711A0304F1370581F807E0B2F172 -:10E81000204F38BF04F13005C3F303340D721A04DE -:10E8200004F1370581F809E0B2F1204F38BF04F157 -:10E830003005C3F303248D721A0504F1370581F8FE -:10E840000BE0B2F1204F38BF04F130051A06C3F3D4 -:10E8500003140D7304F13705B2F1204F4FEA037230 -:10E8600003F00F0381F80DE038BF04F1300503F128 -:10E8700037048D7381F80FE0B2F1204F38BF03F1F8 -:10E8800030040CEB10730C7481F811E0020FB0F13E -:10E89000204F38BF02F130038B74C0F303630201D1 -:10E8A00003F1370581F813E0B2F1204F38BF03F1CF -:10E8B00030050202C0F303530D7503F13705B2F1C1 -:10E8C000204F4FEA0032C0F3034081F815E038BF13 -:10E8D00003F1300500F137038D7581F817E0B2F1CF -:10E8E000204F38BF00F130030B7681F819E04AF26F -:10E8F0006030C2F20100B0BD80B5002862D002785D -:10E900008AB1831C4FF0000C13F8012C72B11A78F5 -:10E910007AB15A7882B19A780CF1040C002A03F18A -:10E920000403F1D10AE04FF0000C07E04CF0010CB9 -:10E9300004E04CF0020C01E04CF0030C5FFA8CF2A6 -:10E9400002234CF2200E03EB4202C2F2010E0A80B7 -:10E950008EF8002003228EF80120037893B3023052 -:10E9600002224FF0000C18E0111DC9B20EF8013060 -:10E9700041F001010EF801C04378002B08BF80BDB3 -:10E98000911DC9B20EF8013041F001010EF801C02D -:10E990008378083200F10400A3B1D1B20EF801303F -:10E9A00041F001010EF801C010F8013C53B1911C77 -:10E9B000C9B20EF8013041F001010EF801C0037830 -:10E9C000002BD1D180BD000010B5D0F8202308065F -:10E9D00001F00F0409D4C4EBC40002EB800000F581 -:10E9E000FE71002380F8FD3108E0C4EBC40102EBA6 -:10E9F0008103012003F13C0183F83D0092F8BC0340 -:10EA00000C70012804BF012010BD4978136847F637 -:10EA1000000C0120CEF6334C012982F8BC0303EB35 -:10EA2000441009D1D0F80019B1F1FF3F00F5106191 -:10EA30000EDD4FF0010E20E0D0F800EB00F5306164 -:10EA4000BEF1FF3F0CF1707C0DDD4FF4803E14E011 -:10EA500008684FF0010E40F000600860086840F060 -:10EA60008040086009E008684FF4803E40F0006094 -:10EA70000860086840F080400860D3F83C080EFA4F -:10EA800004F4A043C3F83C08D3F81C08A043C3F81F -:10EA90001C08086800EA0C0008600021002082F8C9 -:10EAA000BC1310BD10B5D0F820C3080601F00F0E3E -:10EAB000CEEBCE000CEB800005D400F5FE710024F7 -:10EAC00080F8FD4104E0012400F13C0180F83D4064 -:10EAD000487881F800E000288B60CA7018BFA1F860 -:10EAE00006E0022A04BF00240C719CF8BC13012923 -:10EAF00004BF012010BDDCF80010012401288CF8AF -:10EB0000BC431BD1D1F81C0804FA0EF42043C1F811 -:10EB10001C0801F510604FEA4E114458240428D413 -:10EB20006FF3DF230C5843EA8E53234343EA8242B8 -:10EB300042F0805242F400420A501AE04FF4803012 -:10EB4000D1F81C4800FA0EF02043C1F81C0801F56A -:10EB500030604FEA4E11445824040AD40C586FF325 -:10EB6000DF23234343EA824242F0805242F40042D0 -:10EB70000A50002100208CF8BC1310BD80B5D0F8DD -:10EB800020E301F00F03DEF80400834201D90020E6 -:10EB90001CE0080609D4C1EBC1000EEB800101F5B1 -:10EBA000FE70002281F8FD2108E0C3EBC3000EEBEC -:10EBB0008001012201F13C0081F83D209EF8BC2338 -:10EBC0000121012A8170037008D1082040F203114D -:10EBD000C0F2030121FA00F0C0B280BD4278DEF835 -:10EBE0000000012A8EF8BC1300EB431105D1D1F8C7 -:10EBF00000C901F510612BB90BE0D1F800CB01F58C -:10EC0000306133B1BCF1000F03D40A6822F08042B6 -:10EC10000A600A6842F400120A6073BBDEF8101042 -:10EC2000026C43F20B03C4F654739A4203D3D0F838 -:10EC3000002B002A21D40022C0F8102BD0F8102B72 -:10EC4000C9B242F40022C0F8102BD0F8102B0129D1 -:10EC500042F01802C0F8102BD0F8102B42F0C0423E -:10EC6000C0F8102B09D10EF57171C0F8141BD0F843 -:10EC7000001B41F08021C0F8001B002100208EF80D -:10EC8000BC1380BD2DE9F04F91B040F20008C4F2F2 -:10EC90000208EEF79DFD00274FF48050CDE909777B -:10ECA000069011200124079006A940460894E8F731 -:10ECB00033FC4CF24066C2F2010630464FF4497113 -:10ECC000E2F75DFD4AF23400C2F201004CF6D43B9B -:10ECD000C6F80003C2F2010B4FF0A0404FF0080944 -:10ECE000CBF80464CBE9000902200BF1180186F887 -:10ECF000EC423770C6F820B3CBE9030791C1CBE9EA -:10ED00000977CBE90B779BF8BD1300294FD143F668 -:10ED100030058BF8BC731097CDE90E77CDE90C77F1 -:10ED20000B97C4F20205296841F0010129602968A6 -:10ED300001F001010B910B994FF4C051CDE90C107A -:10ED40000A2010900CA94046E8F7E6FB68684FF0EF -:10ED5000FF3240F0800068600B97686940F48040A3 -:10ED60006861686900F480400B900B984EF60C5077 -:10ED7000CEF200000068C0F3022080F007010429F1 -:10ED800028BF0421033802FA01F138BF384624EACB -:10ED9000010101FA00F04EF243410001CEF2000100 -:10EDA00008704EF20810CEF20000C0F80090032068 -:10EDB0008BF8BD033C20C5F20000006840F64454C7 -:10EDC000C0055CBF0020CBF81000DBF80000C0F2EB -:10EDD0000304816821F001018160DBF81820DBF871 -:10EDE0001010012A3ED1DBF83420836B4FF6BF7739 -:10EDF00023F480338363C368CFF6BD773B40C360A1 -:10EE0000C368012A23F44013C36003D1C26842F4EB -:10EE10008012C260234600BF043B52D00269B2F1A7 -:10EE2000FF3F09DD0269002A06D40269002A03D4E3 -:10EE30000269B2F1FF3FEFDC026942F001020261B8 -:10EE4000224600BF043A3CD00369DB0708D00369BF -:10EE5000DB0705D00369DB0702D00369DB07F1D1CB -:10EE6000002739E0DBF82830C26842F04002C26077 -:10EE7000224600BF043A26D00769B7F1FF3F09DDFB -:10EE80000769002F06D40769002F03D40769B7F17B -:10EE9000FF3FEFDC026942F001020261224600BF3F -:10EEA000043A10D00769FF071FBF07695FEAC777F9 -:10EEB00007695FEAC77702D00769FF07F0D100272B -:10EEC00002E0032708E00327826B002B14BF22F423 -:10EED000803242F480328263012907D1816841F097 -:10EEE00006018160816841F02001816049F6EC698A -:10EEF000002FC1F2000940F0FA81C16821F0C04141 -:10EF0000C160C16841F08041C160D9F8001000BF04 -:10EF1000D9F80020521A332A0ED2D9F80020521AFA -:10EF2000322A09D8D9F80020521A322A04D8D9F83E -:10EF30000020521A332AEBD3DBF8045075B30021BA -:10EF400001220027002300BFC7EBC7070BEB870692 -:10EF500086F83C30DFB20133A6F84270DFB2BD4222 -:10EF600086F83D2086F83F10C6E911113165EBD8CF -:10EF700000210023002200BFC3EBC3030BEB830778 -:10EF800087F8FC210132D3B29D4287F8FD1187F842 -:10EF9000FF11C7E98111C7F81012EDD8DBF82C700A -:10EFA000DBE9033EDBE9061C0022C0F80421C0F8BF -:10EFB0000821C0F80C21C0F81021C0F81421C0F8B5 -:10EFC0001821C0F81C21C0F82021C0F82421C0F865 -:10EFD0002821C0F82C21C0F83021C0F83421C0F815 -:10EFE0003821C0F83C214FB1826B00F5006A22F451 -:10EFF00000128263826B42F4002212E0D0F80428EF -:10F0000000F5006A42F00202C0F80428826B42F464 -:10F0100000128263826B22F400228263826B22F4EC -:10F02000802282630022C0F8002EDAF80020012935 -:10F03000CAF8002006D1DAF80010002B18BF41F002 -:10F04000010103E0DAF8001041F00301CAF80010F2 -:10F050004FF484610161214604390FD002699206A0 -:10F0600041BF02695FEA826202695FEA826202D599 -:10F0700002699206F0D44FF0000801E04FF0010859 -:10F0800010210161043C0DD00169C90641BF01692D -:10F090005FEAC16101695FEAC16105D50169C9061D -:10F0A000F0D401E04FF001080021002DCAF8101043 -:10F0B000CAF81410CAF81C1000F0E9806A1ECDE9E5 -:10F0C00003C705F003014FF0000C032A4FF00004C2 -:10F0D000CDE9018E059100923BD325F0030E00F59A -:10F0E00016684FF090434FF67F37002458F8601CA5 -:10F0F0004FF09046002C08BF4FF0006606EAE17121 -:10F1000048F8601C48F850CC48F8587C58F8401C27 -:10F11000043403EAE17148F8401C48F830CC48F860 -:10F12000387C58F8201CA64503EAE17148F8201CF9 -:10F1300048F810CC48F8187CD8F8001003EAE171C0 -:10F14000C8F80010C8F810C0C8F8087008F18008A6 -:10F15000CCD1059A5AB300F510616301CF5801EB89 -:10F160004416002C4FF0904408BF4FF0006404EAAE -:10F17000E7774FF0904CCF5000274FF67F34012AAD -:10F180003761B46013D019440B6A022A0CEAE373A6 -:10F190000B620F638C620AD00B6C4FF0904707EA4A -:10F1A000E3730B6400230B654FF67F338B64009988 -:10F1B000DDE9018E002403294FF0000237D325F04A -:10F1C000030C00F536634FF090474FF67F31002275 -:10F1D00053F8606C4FF09045002A08BF4FF000656F -:10F1E00005EAE67643F8606C43F8504C43F8581C47 -:10F1F00053F8406C043207EAE67643F8406C43F873 -:10F20000304C43F8381C53F8206C944507EAE676F6 -:10F2100043F8206C43F8104C43F8181C1E6807EAAA -:10F22000E6761E601C61996003F18003D0D1059CD5 -:10F23000DDE903C75CB300F53061530101EB421611 -:10F24000CD58002A4FF0904208BF4FF0006202EA0A -:10F25000E572CA5000254FF67F32012C3561B2604D -:10F2600015D019440B6A4FF0904606EAE373022C5E -:10F270000B620D638A620AD00A6C4FF0904303EA76 -:10F28000E2720A6400220A654FF67F328A64DAF875 -:10F290001010BEF1000F21F48071CAF810104FF069 -:10F2A000000181616FF08041416103D1816941F0CA -:10F2B00010018161816943F60002C8F23C024CF200 -:10F2C00040661143BCF1000FC2F20106816103D018 -:10F2D000816941F008018161012F05D1816941F007 -:10F2E000804141F004018161B8F1000F7AD0022120 -:10F2F0008BF8BD13C0214162416A41F480118162E3 -:10F30000416A826A01EB124141F48011C0F8041194 -:10F31000416A826AD0F8043101EB124101EB1341DA -:10F3200041F48011C0F80811416A826A01EB124170 -:10F33000D0F80421D0F8083101EB124101EB134160 -:10F3400041F48001C0F80C114CF22020C2F20100FF -:10F35000012202704AF27C30C2F20100C6F80403B6 -:10F3600042F63C10C1F200004AF25031C6F81403D4 -:10F37000D6F82003C2F20101C6F8181390F8BC13A6 -:10F3800042F63413C1F200030129C6F81C331FD022 -:10F39000836A0168012B80F8BC2306D18269012AA7 -:10F3A00003D08A6B42F480328A638A6842F0010299 -:10F3B0008A60D1F8002E22F00302C1F8002ED1F8A5 -:10F3C000042822F00202C1F80428002180F8BC13AE -:10F3D000D9F800004CF22421C2F20101086011B0FA -:10F3E000BDE8F08F00218BF8381001218BF8BD1398 -:10F3F000D0F8001E21F00301C0F8001ED0F8041858 -:10F4000041F00201C0F8041874E7000070B501294A -:10F410001AD0022936D0032945D149F6D466C1F263 -:10F4200000063288D0F81013B2F5906F59D347F622 -:10F43000F113C1F200031D78BDB347F2E073C1F2CE -:10F44000000301251D704CE0D0F8081359B3426B3E -:10F4500032B3D0F814331B6EB2FBF3F606FB132263 -:10F46000F2B900224263D0F8200340F201130146B2 -:10F4700021F8583F03694266C266012B026708BF44 -:10F4800082667DE0D0F80C1369B1C26C42B1D0F84D -:10F490001833DB6FB2FBF3F606FB1322002A5BD0B6 -:10F4A0000020486270BD032070BD4CF2222EC2F2D3 -:10F4B000010E9EF8005045F6E22245F2626CC2F25F -:10F4C0000102C2F2010C002D08BF62468A619EF85B -:10F4D000002082F001028EF8002001221A70002222 -:10F4E0003280C2F590635D09082D4DF2CC4588BF8E -:10F4F0004FF49073C2F201052B809BB24366896979 -:10F50000D0F820030A4440F203140146C0F8A430A6 -:10F51000036921F8904F0024C0F89C20012BC0F80B -:10F52000A84008BFC0F8A0200068DAB200F0AEFC26 -:10F5300028884AF6AB21CAF6AA21A0FB01124BF695 -:10F54000E071C2F201010B6803EB92020A6031889C -:10F5500008443080002070BD0022C264D0F820032F -:10F560004FF48173014621F8743F0369C0F880208D -:10F57000C0F88820012BC0F88C2008BFC0F8842078 -:10F580000068DAB200F082FC002070BD80B502464F -:10F5900003294FF003001CD0022952D0012918BFC3 -:10F5A00080BD49F6EC60C1F2000000684CF22421F5 -:10F5B000C2F201010860D2F80813D2F82003D2F891 -:10F5C0001423D0F83032D2684869CB6190470020CC -:10F5D00080BD49F6EC61C1F2000109684CF22423B8 -:10F5E000C2F201031960D2F81013D2F82023D1E936 -:10F5F00007C34FF0000E03F59073B3F5FC6F88BF9F -:10F6000000230B629C444FF49073C2F86432136978 -:10F61000C2F85CC2C2F868E2012BA2F8500208BF2F -:10F62000C2F860C2106802F51471DAB200F02EFC64 -:10F6300047F6A810C1F200000168303101600020D7 -:10F6400080BD49F6EC60C1F2000000684CF2242154 -:10F65000C2F201010860D2F82003D2F80C13D0F8EE -:10F660004C02D2F8182341F81C0FD26851F8080C4C -:10F670009047002080BD0000B0B581210446FFF70F -:10F68000A3F920468221FFF79FF920468321FFF747 -:10F690009BF90025204601212563A5642566FFF717 -:10F6A00093F920460221FFF78FF920460321FFF747 -:10F6B0008BF920468421C4F89851C4F8B051C4F89D -:10F6C000C851FFF781F920468421FFF77DF9D4F86E -:10F6D0000803A56748B1D4F8140340688047D4F8FC -:10F6E0000803E0F74AFEC4F80853D4F80C0350B1FD -:10F6F000D4F8180340688047D4F80C03E0F73DFEC7 -:10F700000020C4F80C03D4F8100350B1D4F81C0343 -:10F7100040688047D4F81003E0F72FFE0020C4F8BB -:10F7200010030020B0BD000070B5044649F6EC603F -:10F73000C1F2000000684CF22421C2F2010108600D -:10F74000D4F81413D4F80C5351B1D4F80863307CB6 -:10F75000FF2805D08B68314600229847FF2030747F -:10F76000D4F8181339B1287CFF2804D08A682946B8 -:10F770009047FF202874D4F810030179012905D19E -:10F78000817D022902BF002101714175002070BDF9 -:10F790000020704701464FF6B8300A22C0F6030039 -:10F7A0000A80704701464FF67C204FF49D72C0F6E8 -:10F7B00003000A80704700002DE9F041044649F635 -:10F7C000EC60C1F2000000684CF22421C2F2010199 -:10F7D000086020468121022210234FF01008FFF715 -:10F7E00061F92046822102221023FFF75BF92046AF -:10F7F000832101224FF490730127FFF753F920462C -:10F800000121022210232763A7642766FFF74AF924 -:10F810002046022102221023FFF744F9204603214B -:10F8200001224FF49073FFF73DF920468421032213 -:10F830001023C4F89871C4F8B071C4F8C871FFF708 -:10F8400031F92046842103221023FFF72BF92C20C5 -:10F85000A767E0F763FD2C210546C4F80803E1F72C -:10F86000F2FD2C20E0F75AFD2C21C4F80C03E1F73F -:10F87000EAFD2420E0F752FD2421C4F81003E1F74B -:10F88000E2FDD4F814030068804700266E62AE6281 -:10F89000D4F820036A690369C0F82422C0F82C82D6 -:10F8A000C0F83062012BA0F8187208BFC0F82822F7 -:10F8B00000F506710068DAB200F0E8FAD4F80C53EB -:10F8C00055B3D4F818030068804700236B62AB621D -:10F8D000D4F820036A691027C0F84022C0E9927367 -:10F8E00003690227012BA0F8347208BFC0F8442234 -:10F8F00000F50D710068DAB200F0C8FAD4F8100310 -:10F9000050B1D4F81C13002209680260884700280F -:10F9100018BF0320BDE8F0810120BDE8F0810000A0 -:10F92000002070470020704749F6EC60C1F20000EB -:10F9300001684CF22422C2F20102002011607047DB -:10F94000F0B583B049F6EC62C1F200020D461168D1 -:10F950004CF22423C2F2010319602978044601F015 -:10F960000307022F06D0012F3FD1A88848B10428F1 -:10F970006DD03AE0A88800F07F00032867D0012806 -:10F9800033D1106800221860D4F8086311F06000C9 -:10F990008DF80520ADF8022000F09F80202840F06F -:10F9A000BA81EA88002A00F03E81080600F16E81E3 -:10F9B0006878727430740320C4F8E402D4F8200329 -:10F9C000C4F88421C4F88821C0F8102202690023F9 -:10F9D00000F5FE71C0F80862C0F81432012AA0F8E0 -:10F9E000FC3108BFC0F80C626BE1D4F80C63002254 -:10F9F00011F060008DF80B20ADF8082050D02028C1 -:10FA000040F00781E888002800F0B680090600F180 -:10FA1000BB80D4F82023697813693174032100274F -:10FA20007074C4F8E412C4F88401C4F88801C2F800 -:10FA30000862C2F81002C2F81472012BA2F8FC711D -:10FA400008BFC2F80C62106802F5FE71DCE0D4F861 -:10FA50001073002211F06000ADF806205BD0202862 -:10FA600040F08C816878012800F0E680812840F021 -:10FA70008581002347F8053FFB60BB607B60EE8813 -:10FA80000220C4F8E402C4E90766D4F820034FF466 -:10FA90008072014621F83C2F02698764066559E1AE -:10FAA00068780B2800F08E800A2800F091800028EA -:10FAB00040F0AF8094F8EC02032840F0AA800223C3 -:10FAC000C4F8E432C4E90733D4F820034FF4807259 -:10FAD000014621F83C2F02AA8DE068780B2800F03F -:10FAE00014810A2800F0F080002840F0148194F876 -:10FAF000EC02032840F00F810223C4F8E432C4E989 -:10FB00000733D4F820034FF48072014621F83C2FCC -:10FB10000DF10202EBE068780B2800F22F8100263D -:10FB2000DFE810F00C002C012C012C012C012C0121 -:10FB300035012C012C012C010301220194F8EC0267 -:10FB4000032840F01B810223C4F8E432C4E90733E0 -:10FB5000D4F820034FF4807201460365036921F84D -:10FB60003C2F0DF1060200278264012B476508BF78 -:10FB7000C2640068DAB2F3E0D4F818032946826858 -:10FB800068789047002602E1D4F81803314682686D -:10FB900068789047EA880220C4F8E402C4E90722A2 -:10FBA000D4F820034FF4807301460265026921F8FE -:10FBB0003C3F00238664012A436508BFC664006891 -:10FBC000D2B222E094F8EC02032822D10026DEE033 -:10FBD00094F8EC0203281CD102200123C4F8E402AB -:10FBE000C4E90733D4F820034FF48072014621F8AA -:10FBF0003C2F0DF10B028264036503690027012B82 -:10FC0000476508BFC2640068DAB200F0C3F8002696 -:10FC1000BDE020468021FEF7B1FF20460021FEF71F -:10FC2000ADFF0326B3E0D4F814032946836868784F -:10FC3000002200269847AAE0EB880026002B00F05F -:10FC4000A680D4F82023C4F88431C4F88831C2F8DF -:10FC500010321369781D0321C4F8E412C2F80802B7 -:10FC6000C2F81462012BA2F8FC6108BFC2F80C02B2 -:10FC7000106802F5FE71DAB200F08CF801203871DC -:10FC8000A87969797875B975002680E0D4F81403ED -:10FC90003146836868789847EA880220C4F8E4020D -:10FCA000C4E90722D4F820034FF4807301460265AB -:10FCB000026921F83C3F00238664012A436508BF9E -:10FCC000C6640068D2B21CE094F8EC02032822D18A -:10FCD00002200123C4F8E402C4E90733D4F8200366 -:10FCE0004FF48072014621F83C2F0DF10502826429 -:10FCF000036503690027012B476508BFC2640068DC -:10FD0000DAB200F047F8002641E094F8EC0203284C -:10FD100001D100263BE020468021FEF72FFF204640 -:10FD20000021FEF72BFF032631E094F8EC020328B4 -:10FD300024D102200122C4F8E402C4E90722D4F845 -:10FD400020034FF4807301460265026921F83C3FAD -:10FD500087640023012A436508BFC7640068D2B2E4 -:10FD600000F018F8002612E094F8EC02032805D100 -:10FD7000A878082802D83860002608E020468021AC -:10FD8000FEF7FCFE20460021FEF7F8FE0326304673 -:10FD900003B0F0BD70B54B7891F800C0012B26D1AF -:10FDA000D1F814E000EB4C1300254FF6FF74D3F8A4 -:10FDB0001069CFF6F875CEF20704BEF1000F03F517 -:10FDC00010633BD035401D611D6925401D618D6864 -:10FDD00004F10054AE4584BF4D61AE461D6904EA8E -:10FDE0000E0445F400251D611D692C4331E000EB34 -:10FDF0004C13D3F8100B6FF31200C3F8100BD3F8A9 -:10FE0000106B4FF6FF706FF3DC46C3F8106B4E6952 -:10FE10008D68002E03F5306318BF4D611E69CEF268 -:10FE2000070046F400261E6100F100501E692840BC -:10FE30003043012A186113D1C8680EE026401E61C4 -:10FE40001E694FF0000E46F400261E611E6906EA88 -:10FE50000504012A1C6106D10869002818BF5861F1 -:10FE60004FF004410EE01968BEF1000F41F004416B -:10FE7000196008BF70BD0CF00F01012202FA01F1F8 -:10FE800000F6340318680843186070BD70B54B78ED -:10FE900091F800C0012B35D1D1F814E000EB4C13E0 -:10FEA00000244FF6FF75D3F81069CFF6F874CEF240 -:10FEB0000705BEF1000F03F510634DD034401C61FF -:10FEC0001C692C401C618C681E690EEB0405013D09 -:10FED000B5FBF4F40025C1F6F87505EAC4443443D3 -:10FEE0001C6174461D696FF3DF442C431C61CC78A0 -:10FEF000012C3AD11C6924F0C0441C611C6944F0F7 -:10FF0000005431E000EB4C13D3F8106B4FF6FF7C3C -:10FF10006FF31206C3F8106BD3F8106BC0F2070C26 -:10FF20006FF3DC46C3F8106B4E698C68002E03F546 -:10FF3000306378D02644013EB6FBF4F60025C1F6C6 -:10FF4000F87505EAC64E1D69B6B245EA0E05664368 -:10FF50001D6106EA0C056DE035401D611D6945F423 -:10FF600000251D611D692C401C61012A12D10A69FE -:10FF7000002A18BF5A61C978012973D1D0F808083E -:10FF80001968C0054FF0805058BF4FF0005008432B -:10FF9000186067E01C6844F004441C60CC78012CB5 -:10FFA00065D1D0F808481D68E4054FF0805458BF6B -:10FFB0004FF000542C43002A1C6066D1032212FA31 -:10FFC0008EF30022B2EB930F5FD09E08C968751EB6 -:10FFD00000F580504FEA0C32032D06F0030C11D3CC -:10FFE0006FF0030606EA93035B4200BF0E6804331A -:10FFF00016504E6816508E681650CE6801F11001EA -:020000040802F0 -:100000001650F3D1BCF1000F3FD00B68BCF1010FCB -:1000100013503AD04B68BCF1020F135008BF70BDAB -:100020008968115070BD1E6904EA0C052E431E61DB -:100030004FF400251E69012A46EA05061E6103D118 -:10004000CA68002A18BF5A61C97801290AD1D0F8B4 -:1000500008081968C0054FF0805058BF4FF0005095 -:1000600008431860186840F00440186070BDBEF185 -:10007000000F0AD00CF00F010122D0F8343802FA38 -:1000800001F11943C0F8341870BD70BD4AF2285010 -:10009000C2F201000178012919D10021017041F259 -:1000A00004004AF22452CEF20000C2F2010242F6EB -:1000B000702301681268C1F200031F68891A39446D -:1000C0001960006842F67421C1F2000108604EF226 -:1000D000FF0547F6F41640F21847C0F2F5550FF247 -:1000E0002C08C1F20006C4F202074FF4800400E0BD -:1000F0003C6040460021EDF7A5F800BF3068A842FB -:10010000F6D20130306000BFF8E700BF5573616779 -:1001100065204661756C74002DE9F04F85B042F69C -:10012000940549F6EC664FF00008C1F200054FF067 -:10013000110901276C464FF0020AC1F200064FF088 -:10014000000B00BFA868A9892A688161AB88936108 -:10015000CDE900192146CDE90278E7F7DDF9A98854 -:100160002868CDE900192146CDE90278E7F7D4F9EE -:100170001A20A8734FF40040A5F80F00A5F874A04A -:1001800003F07AFE32680146002818BF012100BF43 -:1001900033689B1A022B0BD233689B1A012B07D8AA -:1001A00033689B1A012B03D833689B1A022BEFD3B9 -:1001B00020B18B445FFA8BF00328C3D34FF00008C3 -:1001C0004FF0110901276C464FF0020A4FF0000B67 -:1001D000A868A9892A688161AB889361CDE9001973 -:1001E0002146CDE90278E7F797F9A9882868CDE993 -:1001F00000192146CDE90278E7F78EF91A20A87395 -:1002000048F20200A5F80F00A5F874A003F034FE30 -:1002100032680146002818BF012100BF33689B1ACD -:10022000022B0BD233689B1A012B07D833689B1A19 -:10023000012B03D833689B1A022BEFD320B18B44D8 -:100240005FFA8BF00328C3D34FF000084FF0110979 -:1002500001276C464FF0020A4FF0000BA868A989ED -:100260002A688161AB889361CDE900192146CDE907 -:100270000278E7F751F9A9882868CDE900192146E5 -:10028000CDE90278E7F748F91A20A8734FF6057010 -:10029000A5F80F00A5F874A003F0EEFD3268014642 -:1002A000002818BF012100BF33689B1A022B0BD214 -:1002B00033689B1A012B07D833689B1A012B03D88C -:1002C00033689B1A022BEFD320B18B445FFA8BF07B -:1002D0000328C3D34FF000084FF0110901276C46E3 -:1002E0004FF0020A4FF0000BA868A9892A688161C3 -:1002F000AB889361CDE900192146CDE90278E7F793 -:100300000BF9A9882868CDE900192146CDE90278C2 -:10031000E7F702F91A20A8734FF60770A5F80F0047 -:10032000A5F874A003F0A8FD32680146002818BFA4 -:10033000012100BF33689B1A022B0BD233689B1A32 -:10034000012B07D833689B1A012B03D833689B1AFB -:10035000022BEFD320B18B445FFA8BF00328C3D379 -:100360004FF000084FF0110901276C464FF0020AC8 -:100370004FF0000BA868A9892A688161AB88936156 -:10038000CDE900192146CDE90278E7F7C5F8A9883B -:100390002868CDE900192146CDE90278E7F7BCF8D5 -:1003A0001A20A87341F20860A5F80F00A5F874A000 -:1003B00003F062FD32680146002818BF012100BF2A -:1003C00033689B1A022B0BD233689B1A012B07D878 -:1003D00033689B1A012B03D833689B1A022BEFD387 -:1003E00020B18B445FFA8BF00328C3D34FF0000891 -:1003F0004FF0110901276C464FF0020A4FF0000B35 -:10040000A868A9892A688161AB889361CDE9001940 -:100410002146CDE90278E7F77FF8A9882868CDE979 -:1004200000192146CDE90278E7F776F81A20A8737B -:1004300041F20A00A5F80F00A5F874A003F01CFD16 -:1004400032680146002818BF012100BF33689B1A9B -:10045000022B0BD233689B1A012B07D833689B1AE7 -:10046000012B03D833689B1A022BEFD320B18B44A6 -:100470005FFA8BF00328C3D34FF000084FF0110947 -:1004800001276C464FF0020A4FF0000BA868A989BB -:100490002A688161AB889361CDE900192146CDE9D5 -:1004A0000278E7F739F8A9882868CDE900192146CC -:1004B000CDE90278E7F730F81A20A87346F20C70FD -:1004C000A5F80F00A5F874A003F0D6FC3268014629 -:1004D000002818BF012100BF33689B1A022B0BD2E2 -:1004E00033689B1A012B07D833689B1A012B03D85A -:1004F00033689B1A022BEFD320B18B445FFA8BF049 -:100500000328C3D305B0BDE8F08F00002DE9F04FFC -:1005100085B042F6940549F6EC664FF00008C1F24A -:1005200000054FF0110901276C464FF0020AC1F295 -:1005300000064FF0000B00BFA868A9892A688161F6 -:10054000AB889361CDE900192146CDE90278E6F741 -:10055000E3FFA9882868CDE900192146CDE9027892 -:10056000E6F7DAFF1A20A8734FF60570A5F80F001A -:10057000A5F874A003F080FC32680146002818BF7B -:10058000012100BF33689B1A022B0BD233689B1AE0 -:10059000012B07D833689B1A012B03D833689B1AA9 -:1005A000022BEFD320B18B445FFA8BF00328C3D327 -:1005B0004FF000084FF0110901276C464FF0020A76 -:1005C0004FF0000BA868A9892A688161AB88936104 -:1005D000CDE900192146CDE90278E6F79DFFA9880B -:1005E0002868CDE900192146CDE90278E6F794FFA5 -:1005F0001A20A8734FF60770A5F80F00A5F874A08D -:1006000003F03AFC32680146002818BF012100BF00 -:1006100033689B1A022B0BD233689B1A012B07D825 -:1006200033689B1A012B03D833689B1A022BEFD334 -:1006300020B18B445FFA8BF00328C3D34FF000083E -:100640004FF0110901276C464FF0020A4FF0000BE2 -:10065000A868A9892A688161AB889361CDE90019EE -:100660002146CDE90278E6F757FFA9882868CDE949 -:1006700000192146CDE90278E6F74EFF1A20A8734B -:1006800041F20A00A5F80F00A5F874A003F0F4FBEE -:1006900032680146002818BF012100BF33689B1A49 -:1006A000022B0BD233689B1A012B07D833689B1A95 -:1006B000012B03D833689B1A022BEFD320B18B4454 -:1006C0005FFA8BF00328C3D347F2F070C1F2000049 -:1006D00090F84301002800F019814FF000094FF015 -:1006E000110A01276C464FF0020B4FF0000800BFC3 -:1006F000A868A9892A688161AB889361CDE9001A4D -:100700002146CDE90279E6F707FFA9882868CDE9F7 -:10071000001A2146CDE90279E6F7FEFE1A20A873F9 -:100720004FF4B850A5F80F00A5F874B003F0A4FB7F -:1007300032680146002818BF012100BF33689B1AA8 -:10074000022B0BD233689B1A012B07D833689B1AF4 -:10075000012B03D833689B1A022BEFD320B18844B6 -:100760005FFA88F00328C3D34FF000094FF0110A55 -:1007700001276C464FF0020B4FF00008A868A989CA -:100780002A688161AB889361CDE9001A2146CDE9E1 -:100790000279E6F7C1FEA9882868CDE9001A21464A -:1007A000CDE90279E6F7B8FE1A20A87341F202708B -:1007B000A5F80F00A5F874B003F05EFB326801469F -:1007C000002818BF012100BF33689B1A022B0BD2EF -:1007D00033689B1A012B07D833689B1A012B03D867 -:1007E00033689B1A022BEFD320B188445FFA88F05C -:1007F0000328C3D34FF000094FF0110A01276C46BC -:100800004FF0020B4FF00008A868A9892A6881619F -:10081000AB889361CDE9001A2146CDE90279E6F76C -:100820007BFEA9882868CDE9001A2146CDE9027926 -:10083000E6F772FE1A20A87341F20820A5F80F000F -:10084000A5F874B003F018FB32680146002818BF01 -:10085000012100BF33689B1A022B0BD233689B1A0D -:10086000012B07D833689B1A012B03D833689B1AD6 -:10087000022BEFD320B188445FFA88F00328C3D35A -:100880004FF000094FF0110A01276C464FF0020BA0 -:100890004FF00008A868A9892A688161AB88936134 -:1008A000CDE9001A2146CDE90279E6F735FEA9889F -:1008B0002868CDE9001A2146CDE90279E6F72CFE39 -:1008C0001A20A87346F20C20A5F80F00A5F874B002 -:1008D00003F0D2FA32680146002818BF012100BF98 -:1008E00033689B1A022B0BD233689B1A012B07D853 -:1008F00033689B1A012B03D833689B1A022BEFD362 -:1009000020B188445FFA88F00328C3D347F2F0701F -:10091000C1F2000090F84201002800F019814FF068 -:1009200000084FF0110901276C464FF0020A4FF002 -:10093000000B00BFA868A9892A688161AB88936110 -:10094000CDE900192146CDE90278E6F7E5FDA98851 -:100950002868CDE900192146CDE90278E6F7DCFDEB -:100960001A20A87348F20100A5F80F00A5F874A09A -:1009700003F082FA32680146002818BF012100BF47 -:1009800033689B1A022B0BD233689B1A012B07D8B2 -:1009900033689B1A012B03D833689B1A022BEFD3C1 -:1009A00020B18B445FFA8BF00328C3D34FF00008CB -:1009B0004FF0110901276C464FF0020A4FF0000B6F -:1009C000A868A9892A688161AB889361CDE900197B -:1009D0002146CDE90278E6F79FFDA9882868CDE990 -:1009E00000192146CDE90278E6F796FD1A20A87392 -:1009F00048F20300A5F80F00A5F874A003F03CFA34 -:100A000032680146002818BF012100BF33689B1AD5 -:100A1000022B0BD233689B1A012B07D833689B1A21 -:100A2000012B03D833689B1A022BEFD320B18B44E0 -:100A30005FFA8BF00328C3D34FF000084FF0110981 -:100A400001276C464FF0020A4FF0000BA868A989F5 -:100A50002A688161AB889361CDE900192146CDE90F -:100A60000278E6F759FDA9882868CDE900192146E2 -:100A7000CDE90278E6F750FD1A20A87341F208503C -:100A8000A5F80F00A5F874A003F0F6F93268014646 -:100A9000002818BF012100BF33689B1A022B0BD21C -:100AA00033689B1A012B07D833689B1A012B03D894 -:100AB00033689B1A022BEFD320B18B445FFA8BF083 -:100AC0000328C3D34FF000084FF0110901276C46EB -:100AD0004FF0020A4FF0000BA868A9892A688161CB -:100AE000AB889361CDE900192146CDE90278E6F79C -:100AF00013FDA9882868CDE900192146CDE90278BF -:100B0000E6F70AFD1A20A87346F20C10A5F80F00AC -:100B1000A5F874A003F0B0F932680146002818BFA8 -:100B2000012100BF33689B1A022B0BD233689B1A3A -:100B3000012B07D833689B1A012B03D833689B1A03 -:100B4000022BEFD320B18B445FFA8BF00328C3D381 -:100B500005B0BDE8F08F00002DE9F04F85B046F6F6 -:100B60006404C2F2010420464FF44061E0F707FE3E -:100B700047F26445C2F2010528464FF44061E0F7B0 -:100B8000FEFD45F26260C2F201004FF49061E0F7B1 -:100B90005AFC45F6E220C2F201004FF49061E0F702 -:100BA00052FC45F66270C2F201004FF41061E0F7AA -:100BB0004AFC4AF2F440C2F201000021016040F216 -:100BC0000000C1F200004FF41061E0F7D8FD4CF6D0 -:100BD0008C30C2F2010090F84110012940F0B4813C -:100BE00090F84110012940F0978190F840100129B8 -:100BF00000F09581012180F84010D0F800B04462E7 -:100C0000C562DBF81C2002F00702052A01D0032A86 -:100C100002D14FF4C06201E04FF440720285428578 -:100C20000286428600224264052280F84120D0E9F3 -:100C30000E3248F6653748F6751648F61D31C0F68F -:100C40000007C0F60006C0F60001C2E90F67D164D4 -:100C5000C3E90F67D964DBF81C1044F20C0643F2B9 -:100C6000044EC4F20006C905C4F2000E4BD40EF5C2 -:100C70007F78B0F830A092F83410C345374608BFEB -:100C80000EF10807012900F09180012182F834104B -:100C9000D2F858C092F83510012940F08480022122 -:100CA00082F8351000215165116C16680391D16DE1 -:100CB000D2F80890CDE9011CD6F800C039462CF4D2 -:100CC00080223260C6F804A0B9F1400F04BF294663 -:100CD0003D46B160F560019A3F219140029A9160D2 -:100CE0003168039A41F0160131601AB1316841F060 -:100CF00008013160316841F00101316044F20C06B5 -:100D0000C4F2000652E0DBF81C1001F44071B1F5AA -:100D1000407F08D100210491DBF80C100491DBF82E -:100D20000810049104990EF57F79B0F828A093F883 -:100D30003410CB45374608BF0EF10807012978D09B -:100D4000012183F83410D3F8588093F83510012925 -:100D50006CD1022183F83510002159651968D3F848 -:100D600008C0DB6DBCF1400F03930B6823F48023B4 -:100D70000B602346C1F804A004BF3B4627468B60A6 -:100D8000CF60039F3F23BB40C8F808300B6843F097 -:100D900016030B600B6843F008030B600B6843F00D -:100DA00001030B6045E0002182F834107146C34511 -:100DB00018BF01F540610A6842F001020A60058D22 -:100DC00093F8341001297CD0012183F834109A6DF6 -:100DD00093F83510012971D1022183F835100021D3 -:100DE000596519689F68B146D3F85CC00E680BF16D -:100DF0000C0326F480260E604D602546402F04BF6C -:100E00001D4623468D60CB603F2303FA0CF39360AD -:100E10000A684E4642F016020A600A6842F008026A -:100E20000A600A6842F001020A604AE0002183F881 -:100E300034107146CB4518BF01F540610B6843F093 -:100E400002030B60078E92F8341001294AD0012169 -:100E500082F83410936D92F8351001293FD10221A8 -:100E600082F83510002151651468B2469168D2F8B5 -:100E700040C0D2F85C8026680BF10C0226F4802674 -:100E8000402911462660676004BF29461546A160C7 -:100E90003F2101FA08F1E56099602168BCF1000F7B -:100EA00041F01601216003D0216841F00801216062 -:100EB0002168564641F00101216013E0002183F8CA -:100EC0003410DBF8041041F00201CBF80410DBF819 -:100ED0001C10490520D406F11001C3450FE0002184 -:100EE00082F83410DBF8041041F00101CBF8041053 -:100EF000DBF81C1049050FD406F11001CB4508BFE3 -:100F00000EF118010A6842F480620A60DBF81C10D6 -:100F100041F48061CBF81C10002180F84010D0E92A -:100F20000E104EF20D530022C0F6010302640B6452 -:100F3000C2634EF29943C2644EF2B530C0F601036B -:100F4000C0F60100CB63C86405B0BDE8F08F0000B7 -:100F50002DE9F0419AB00DAF0C468046384632215B -:100F60001D461646E0F70BFC38462146DFF78FFC9E -:100F7000DFF7B1FCB04222D2209850B10DAC00BFD7 -:100F800020462946DFF7C6FCDFF7A5FCB042F7D3C1 -:100F900015E06F460DAC00BF38463221E0F7EFFB9D -:100FA00038462946DFF7B6FC2146DFF7B3FC20467A -:100FB0003946DFF76CFCDFF78EFCB042ECD30DA9AD -:100FC0004046DFF764FC1AB0BDE8F08140F60070DF -:100FD00040F60041C2F20000C2F200014038A1F127 -:100FE00040026FF02F0100BF90ED100A92ED104A01 -:100FF00090ED111A92ED115A90ED122A92ED126AAB -:1010000034EE000A90ED133A92ED137A80ED100A57 -:1010100035EE010A80ED110A36EE020A90ED141A3F -:1010200092ED142A80ED120A37EE030A80ED130ABE -:1010300032EE010A90ED151A92ED152A80ED140A90 -:1010400032EE010A90ED161A92ED162A80ED150A7D -:1010500032EE010A90ED171A92ED172A80ED160A6A -:1010600032EE010A90ED181A92ED182A80ED170A57 -:1010700032EE010A80ED180A90ED190A92ED191A64 -:1010800092ED1A2A31EE000A90ED1A1A92ED1B3AEF -:1010900080ED190A32EE010A90ED1B1A92ED1C4AFE -:1010A00080ED1A0A33EE010A90ED1C1A92ED1D5ADA -:1010B00080ED1B0A34EE010A90ED1D1A92ED1E6AB6 -:1010C00080ED1C0A35EE010A90ED1E1A92ED1F7A92 -:1010D00080ED1D0A36EE010A90ED1F1A80ED1E0A02 -:1010E00037EE010A403280ED1F0A403004317FF4B0 -:1010F0007BAF70472DE9F04F81B0DDE90AC5C0B282 -:1011000005F00F044FEA151EA4F1010A05F0030BC8 -:10111000A51EA4F10309009509E000BF81ED005A66 -:1011200081ED016A08310138634600F04C8292ED8E -:10113000000A92ED011A92ED022A92ED033A92ED25 -:10114000044A91ED005A91ED016A0027754600BFEF -:1011500003EB070898ED007A0CEB070667EE000A30 -:1011600030EE855A67EE010A30EE866A65EE030AB4 -:1011700086ED005A36EE206AD8ED010A27EE027A93 -:1011800025EE045A35EE075A20EE807A36EE076ACD -:1011900086ED016AD8ED021A20EE817A35EE075A03 -:1011A00021EE807A35EE075A26EE037A35EE075A9D -:1011B00020EE827A61EE810A86ED025A30EE877A5D -:1011C000D8ED030A26EE046A37EE066A20EE807A2E -:1011D00036EE076A25EE037A36EE076A21EE827A4A -:1011E00060EE811A86ED036A31EE877AD8ED041A33 -:1011F00025EE045A37EE055A21EE807A35EE075A6D -:1012000026EE037A35EE075A86ED045AD8ED052A04 -:1012100020EE827A61EE810A30EE877A62EE800AF1 -:1012200037EE207A26EE046A37EE066A25EE037A58 -:1012300036EE076A86ED056A21EE827AD8ED061A47 -:1012400062EE810A30EE877A61EE800A37EE207A0C -:1012500025EE045A37EE055A26EE037A35EE075A84 -:1012600086ED065A22EE827AD8ED072A61EE810ACF -:1012700030EE877A62EE800A37EE207A26EE046A34 -:1012800037EE066A25EE037A36EE076A86ED076AC0 -:1012900021EE827AD8ED081A62EE810A30EE877A62 -:1012A00061EE800A37EE207A25EE045A37EE055AB1 -:1012B00026EE037A35EE075A86ED085A22EE827A38 -:1012C000D8ED092A61EE810A30EE877A62EE800A53 -:1012D00037EE207A26EE046A37EE066A25EE037AA8 -:1012E00036EE076A86ED096A21EE827AD8ED0A1A8F -:1012F00062EE810A30EE877A61EE800A37EE207A5C -:1013000025EE045A37EE055A26EE037A35EE075AD3 -:1013100086ED0A5A22EE827AD8ED0B2A61EE810A16 -:1013200030EE877A62EE800A37EE207A26EE046A83 -:1013300037EE066A25EE037A36EE076A86ED0B6A0B -:1013400021EE827AD8ED0C1A62EE810A30EE877AAD -:1013500061EE800A37EE207A25EE045A37EE055A00 -:1013600026EE037A35EE075A86ED0C5A22EE827A83 -:10137000D8ED0D2A61EE810A30EE877A62EE800A9E -:1013800037EE207A26EE046A37EE066A25EE037AF7 -:1013900036EE076A86ED0D6A21EE827AD8ED0E1AD6 -:1013A00062EE810A30EE877A61EE800A37EE207AAB -:1013B00025EE045A37EE055A26EE037A35EE075A23 -:1013C00086ED0E5A22EE827AD8ED0F2A61EE810A5E -:1013D00030EE877A62EE800A37EE207A26EE046AD3 -:1013E00037EE066A25EE037A36EE077A21EE826A38 -:1013F00062EE810A30EE866A25EE045A36EE055A10 -:1014000027EE036A35EE065A22EE826A67EE040A78 -:1014100030EE866A013D07F1400786ED0F7A7FF4D2 -:1014200097AE002C02F114023FF478AEDD19BBF147 -:10143000000F0CEB070621D095ED007ABBF1010FF0 -:1014400067EE000A70EE850A27EE015A35EE065A5D -:1014500020EE836A35EE065A27EE026A20EE847A81 -:1014600037EE066AC6ED000A0ED10435043653463F -:10147000BAF1030FFFF452AE44E000BF2346BAF1C5 -:10148000030FFFF44BAE3DE095ED017ABBF1020F87 -:1014900067EE000A70EE850A27EE015A35EE065A0D -:1014A00020EE836A35EE065A27EE026A20EE847A31 -:1014B00037EE066AC6ED010A07D1009B08350836EB -:1014C000BAF1030FFFF42AAE1CE095ED027A0C3559 -:1014D00067EE000A70EE850A27EE015A35EE065ACD -:1014E00020EE836A35EE065A27EE026A20EE847AF1 -:1014F00037EE066AC6ED020A0C364B46BAF1030F08 -:10150000FFF40CAE103D103E95ED047A043B67EEFF -:10151000000A30EE855A67EE010A30EE866A65EE03 -:10152000030A86ED045A36EE206AD5ED050A27EE49 -:10153000027A25EE045A35EE075A20EE807A37EE0D -:10154000066A20EE817A37EE055A26EE037A86ED9A -:10155000056A35EE075A20EE827AD5ED060A26EEA8 -:10156000046A36EE076A20EE807A37EE055A20EEDE -:10157000817A37EE066A25EE037A86ED065A36EE54 -:10158000076A20EE827AD5ED070A25EE045A35EE79 -:10159000075A20EE807A37EE067A20EE816A36EE20 -:1015A000055A27EE036A35EE065A20EE826A67EE88 -:1015B000040A30EE866A86ED077A05F1100506F119 -:1015C0001006A1D1AAE501B0BDE8F08F2DE9F04FDA -:1015D00093B090F80080D0E901A746884FF40070DE -:1015E000B0FBF8F3701E0025B5EB930F029007EBEC -:1015F0008000CDE9118A0397CDE9006300F02A82CB -:101600004FEA930E4FEA880310934FEA48030F9373 -:1016100008EB48030E93B3080D9306F003030C93F5 -:1016200026F003030AEB83030A9306F004030993ED -:101630000AF1100305934FF0FF3303EB96039FED80 -:10164000230A0493A5EB8803BC460B9312E000BF6A -:10165000109B02F110060CEB830C82ED004A82ED28 -:10166000013A82ED022A82ED031ABEF1010E3246E2 -:1016700000F0F2810B9E03460F4600BF386807F169 -:1016800010011860786804365860B8689860FF6880 -:1016900003F11000DF6003460F46EFD10F9B0D9F53 -:1016A0000CEB830B0E9B0CEB88060CEB83057FB1D8 -:1016B000099BCBB9B0EE401AB0EE402AB0EE403AEA -:1016C000B946B0EE404A5446634675E0000000005B -:1016D00063465746B0EE404AB0EE403AB0EE402A7C -:1016E000B0EE401A5DE100BF9AED001A9CED003AA1 -:1016F00096ED005A9BED006A95ED007A9AED012A6D -:101700009CED014A23EE013A25EE015A26EE016ACC -:1017100027EE011A96ED017A24EE024A33EE043ADE -:101720009BED014A27EE027A35EE075A95ED017AD4 -:1017300024EE024A36EE044A9AED026A27EE022AA5 -:101740009CED027A31EE021A96ED022A27EE067A15 -:1017500033EE073A9BED027A22EE062A35EE022A94 -:1017600095ED025A27EE067A34EE077ADAED030A8F -:1017700025EE064A9CED035A96ED036A31EE041AF3 -:1017800025EE204A33EE044A9BED035A26EE203A1A -:1017900095ED036A32EE033A25EE202A26EE205A12 -:1017A000DDE9049437EE022A31EE051A0CF110033C -:1017B00010360BF1100B1035012F0A9F00F0F1804D -:1017C000CDF820C04FF0000CCDE906E204EB0C0789 -:1017D00003EB0C0E97ED005A9EED006A06EB0C022F -:1017E00092ED007A0BEB0C0A26EE056A36EE044AFF -:1017F00027EE056A9AED007A05EB0C0836EE033AFF -:1018000027EE056A36EE022A98ED006A97ED017A16 -:1018100026EE055A9EED016A35EE011A92ED015A47 -:1018200026EE076A25EE075A34EE064A9AED016A5B -:1018300033EE053A98ED015A26EE076A25EE075A6F -:1018400032EE062A97ED026A9EED027A31EE051A13 -:1018500092ED025A27EE067A25EE065A34EE074A32 -:101860009AED027A33EE053A98ED025A27EE067A9F -:1018700025EE065A32EE072A97ED037A9EED036AAB -:1018800031EE051A92ED035A26EE076A25EE075A45 -:1018900034EE064A9AED036A33EE053A98ED035AA0 -:1018A00026EE076A25EE075A32EE062A31EE051AB1 -:1018B00097ED045A9EED046A92ED047A26EE056ACD -:1018C00027EE057A36EE044A9AED046A37EE033ABB -:1018D00098ED047A26EE056A27EE055A36EE022ABE -:1018E00035EE011A97ED055A9EED056A92ED057ADF -:1018F00026EE056A27EE057A34EE064A9AED056A69 -:1019000033EE073A98ED057A26EE056A27EE055A7A -:1019100032EE062A31EE051A97ED065A9EED066A5A -:1019200092ED067A26EE056A27EE057A34EE064A2F -:101930009AED066A33EE073A98ED067A26EE056AC6 -:1019400027EE055A32EE062A31EE051A97ED075AB0 -:101950009EED076A92ED077A26EE056A27EE057A74 -:1019600034EE064A9AED076A33EE073A98ED077AA5 -:1019700026EE056A27EE055A32EE062A31EE051AE2 -:10198000B9F102090CF1200C7FF420AF6544E34467 -:10199000664463440A9FDDF820C0DDE9118ADDE971 -:1019A00006E20C9C002C3FF453AE97ED005A93EDE9 -:1019B000006A96ED007A26EE056A36EE044A27EEB6 -:1019C000056A9BED007A36EE033A27EE056A95ED3F -:1019D000007A36EE022A27EE055A012C35EE011A5E -:1019E0003FF436AE97ED015A93ED016A96ED017A18 -:1019F00026EE056A36EE044A27EE056A9BED017A6B -:101A000036EE033A27EE056A95ED017A36EE022AA4 -:101A100027EE055A022C35EE011A3FF419AE97ED68 -:101A2000025A93ED026A96ED027A26EE056A36EEC8 -:101A3000044A27EE056A9BED027A36EE033A27EE5A -:101A4000056A95ED027A36EE022A27EE055A35EE42 -:101A5000011AFDE5BC461646019A12F0030700F094 -:101A6000CA82009B0022B2EB930F03F00302109294 -:101A700000F09B8123F003020AEB820E42469D0890 -:101A8000D14602F00304931E033A0C9209F11002AE -:101A90000E9209F120020B92AA1E0A9209F130025D -:101AA0001FEDF60AA8F10108A5F1010A05F0030BE4 -:101AB0000992EA1E0D930F95089208E0119AAC4620 -:101AC00005EB820CA6EC011A013F00F094825CB198 -:101AD0000A4652F8043B0546012C45F8043B07D161 -:101AE0002846434616E000BF119B05460A4611E012 -:101AF0004A68022C426005D108300D9B01F10802B2 -:101B0000054607E001F10C02896800F10C050C9B09 -:101B100081602846B8F1030F11460FD3106802F117 -:101B2000100128605068043B68609068A860D26823 -:101B300005F11000EA6005460A46EFD1DDF83C9059 -:101B4000129ABBF1000FB0EE401A654652D0129ABD -:101B500095ED005A92ED001A92ED012A95ED016A79 -:101B600092ED023A95ED027A92ED034AD5ED030A21 -:101B700025EE011A26EE022A31EE021A27EE032A7A -:101B800031EE021A20EE842ABBF1010F31EE021A67 -:101B900008D10E9A05F1100CD146BAF1030F2DD2DF -:101BA000B7E000BF92ED042A95ED046A92ED053A84 -:101BB00095ED057A92ED064AD5ED060A26EE022A43 -:101BC00092ED075AD5ED071A32EE011A27EE032AD5 -:101BD00031EE021A20EE842A31EE021A21EE852A15 -:101BE000BBF1020F31EE021A40F0B680DDE90A9235 -:101BF00005F1200CBAF1030FC0F08B80403A00BF12 -:101C000092ED102A9CED006A92ED113A9CED017A5A -:101C100092ED124ADCED020A26EE022A92ED135AE8 -:101C2000DCED031A32EE011A27EE032A31EE021A16 -:101C300020EE842A92ED143A9CED044A31EE021A09 -:101C400021EE852A31EE021A24EE032A92ED153A8E -:101C50009CED054A32EE011A24EE032A92ED163A63 -:101C60009CED064A31EE021A24EE032A92ED173A51 -:101C70009CED074A31EE021A24EE032A92ED183A3F -:101C80009CED084A31EE021A24EE032A32EE011AC4 -:101C900092ED192A9CED093A92ED1A4A23EE022A96 -:101CA0009CED0A3A92ED1B5A31EE021A23EE042AF9 -:101CB0009CED0B3A92ED1C6A31EE021A23EE052AD6 -:101CC0009CED0C3A92ED1D7A31EE021A23EE062AB3 -:101CD0009CED0D3AD2ED1E0A32EE011A23EE072AD0 -:101CE0009CED0E3A31EE021A23EE202AD2ED1F1A95 -:101CF00031EE021A9CED0F2A0CF1400322EE212A4C -:101D0000403231EE021AB9F104099C467FF478AFF3 -:101D10009C46109A002A3FF4D1AE9EED002A9CED1D -:101D2000003A012A23EE022A32EE011A3FF4C6AE2F -:101D30009EED012A9CED013A022A23EE022A32EEA0 -:101D4000011A3FF4BBAE9EED022A9CED023A23EE4F -:101D5000022A32EE011AB1E692ED082A95ED086AE0 -:101D600092ED093A95ED097A92ED0A4AD5ED0A0A03 -:101D700026EE022A92ED0B5AD5ED0B1A32EE011A1D -:101D800027EE032A31EE021A20EE842A31EE021ADF -:101D900021EE852ADDE9089205F1300C31EE021AB8 -:101DA000BAF1030FBFF42AAFB3E7E64618F0030C0D -:101DB000A8F101090BD00C4654F8043B0246BCF1D3 -:101DC000010F42F8043B07D110464B4619E0434649 -:101DD000B9F1030F1AD229E04A68BCF1020F426040 -:101DE00006D100F1080201F10804A8F1020307E09E -:101DF00001F10C04896800F10C028160A8F1030371 -:101E000010462146B9F1030F10D300BF0A6801F153 -:101E1000100402604A68043B42608A688260C968B4 -:101E200000F11002C16010462146EFD11099D9B1DE -:101E30009AED000A9EED001A012921EE000A15D044 -:101E40009AED011A9EED012A704622EE011A02292E -:101E500031EE000A0AD09AED021A90ED022A22EE23 -:101E6000011A31EE000A01E09FEDB00A0EEB880E78 -:101E7000012F86ED000A63D0BCF1000F0BD0234682 -:101E800053F8040B1146BCF1010F41F8040B07D1C4 -:101E90000A46484619E04046B9F1030F1AD229E034 -:101EA0006068BCF1020F506006D104F1080302F132 -:101EB0000801A8F1020007E0A06804F10C0302F198 -:101EC0000C019060A8F103000A461C46B9F1030F0B -:101ED00010D300BF216804F1100311606168043859 -:101EE0005160A1689160E56802F11001D5600A4671 -:101EF0001C46EFD1109ADAB19AED000A9EED001A55 -:101F0000012A21EE000A15D09AED011A9EED012A50 -:101F1000704622EE011A022A31EE000A0AD09AED2A -:101F2000021A90ED022A22EE011A31EE000A01E0B7 -:101F30009FED7E0A0EEB880E022F86ED010A01D17D -:101F4000F44658E0BCF1000F0BD01A4652F8047B5F -:101F50000846BCF1010F40F8047B05D10146484614 -:101F600014E040461A4611E05868BCF1020F486080 -:101F700005D103F108020831A8F1020006E09868D3 -:101F800003F10C0288600C31A8F10300F446B9F1AA -:101F9000030F0DD31039103A52F8103F043841F8AE -:101FA000103F53684B6093688B60D368CB60F3D16C -:101FB0001098D0B19AED000A9CED001A012821EE8C -:101FC000000A14D09AED011A9CED012A022822EE93 -:101FD000011A31EE000A0AD09AED021A9CED022A8B -:101FE00022EE011A31EE000A01E09FED500A0CEBDF -:101FF000880C86ED020A029E0020B0EB960F1BD0E3 -:10200000B008039F10F00302A0F1010128D0DCF812 -:102010000030012A3B60DCF804307B60DCF80830DB -:10202000BB60DCF80C30FB6008D10CF1100C1037F1 -:102030000846032916D24FE0039F4DE0DCF810302C -:10204000022A3B61DCF814307B61DCF81830BB619C -:10205000DCF81C30FB6152D10CF1200C2037023827 -:10206000032939D3DCF8001007F140023960DCF8AD -:10207000041004387960DCF80810B960DCF80C1042 -:10208000F960DCF810103961DCF814107961DCF8C3 -:102090001810B961DCF81C10F961DCF82010396205 -:1020A000DCF824107962DCF82810B962DCF82C1016 -:1020B000F962DCF830103963DCF834107963DCF84D -:1020C0003810B963DCF83C300CF14001FB63174673 -:1020D0008C46C7D18C46174616F003000CD0DCF8AE -:1020E00000100128396007D0DCF80410022879605C -:1020F0001CBFDCF80800B86013B0BDE8F08FDCF856 -:1021000020200CF130033A62DCF8242003387A6294 -:10211000DCF82820BA62DCF82C209C46FA6207F131 -:102120003002174603299DD2D6E700BF0000000009 -:102130002DE9F04F81B02DED048B82B04FF0FF37C9 -:102140004FF6F87586B217FA80F700F0070B2840B3 -:10215000109C02EB80009FEDC80A009701EB870EF0 -:102160004FEAD60C0192A2F12009024618270D462B -:1021700016E000BF00F12005C4ED001AC4ED010A0D -:1021800084ED027A84ED036A84ED045A84ED054AF5 -:1021900084ED063A84ED071A2034013F00F09B825B -:1021A0001868BCF1000FCEF80000586805F11C0853 -:1021B000CEF804009868CEF80800D868CEF80C0075 -:1021C0001869CEF810005869CEF814009869CEF856 -:1021D0001800DE692846CEF81C60D5ED007AD5EDF2 -:1021E000016AD5ED025AD5ED034AD5ED043AD5ED95 -:1021F000052A95ED062A00F041814E46B0EE401AC0 -:10220000B0EE403AB0EE404AB0EE405AB0EE406A0E -:10221000B0EE407AF0EE400AE246F0EE401A00BF1F -:1022200096ED088A08F1200528EE029A68EE277AD2 -:1022300039EE033A96ED099A77EEA11A68EE267AFE -:1022400077EEA00A68EE257A69EE266A37EE877A7D -:1022500068EE247A71EEA61A69EE256A37EE866A70 -:1022600068EE237A70EEA60A69EE246A37EE855A84 -:1022700068EE227A37EE267A69EE236A77EE847A60 -:1022800098ED004A36EE266A69EE226A35EE265A45 -:1022900069EE026A24EE088A77EEA66AD8ED017A22 -:1022A00038EE011A29EE048A33EE083A27EE898ABD -:1022B00096ED0A9A31EE081A69EE255A71EEA51AC2 -:1022C00069EE245A70EEA50A69EE235A37EE257A94 -:1022D00069EE225A36EE256A69EE025A35EE255A23 -:1022E00069EE045A76EEA55AD8ED026A29EE278ADD -:1022F00033EE083A26EE898A96ED0B9A31EE081AEB -:1023000069EE244A71EEA41A69EE234A70EEA40A1B -:1023100069EE224A37EE247A69EE024A36EE246AE2 -:1023200069EE044A35EE245A69EE274A75EEA44A4E -:10233000D8ED035A29EE268A33EE083A25EE898A2B -:1023400096ED0C9A31EE081A69EE233A71EEA31A53 -:1023500069EE223A70EEA30A69EE023A37EE237A6A -:1023600069EE043A36EE236A69EE273A35EE235ACF -:1023700069EE263A74EEA33AD8ED044A29EE258A8E -:1023800033EE083A24EE898A96ED0D9A31EE081A5A -:1023900069EE222A71EEA21A69EE022A70EEA20AF2 -:1023A00069EE042A37EE227A69EE272A36EE226A8F -:1023B00069EE262A35EE225A69EE252A73EEA22A04 -:1023C000D8ED053A29EE248A33EE083A23EE898ABD -:1023D00096ED0E9A31EE081A29EE022A31EE822A83 -:1023E00069EE041A70EEA10A69EE271A37EE217A17 -:1023F00069EE261A36EE216A69EE251A35EE215A63 -:1024000069EE241A32EEA18AD8ED062A69EE231A63 -:1024100033EE213A62EE891A96ED0F9A31EE211AC7 -:1024200029EE044A72EE041A29EE272A70EE820A77 -:1024300029EE262A37EE027A29EE252A36EE026A9E -:1024400029EE242A35EE025A29EE232A38EE024AD2 -:1024500098ED072A29EE228A33EE083A22EE098AFD -:1024600031EE081A2036BAF1010AA8467FF4D8AE38 -:10247000A846164613E000BF00000000019EF0EEE3 -:10248000401AF0EE400AB0EE407AB0EE406AB0EE8C -:10249000405AB0EE404AB0EE403AB0EE401A203317 -:1024A000BBF1000F0EF1200E3FF464AE96ED008AF2 -:1024B000BBF1010F68EE277A77EEA11A68EE267A53 -:1024C00077EEA00A68EE257A37EE877A68EE247AEE -:1024D00037EE866A68EE237A37EE855A68EE227AFE -:1024E00037EE844AD8ED007A28EE029A27EE888AE1 -:1024F00039EE033A38EE011A3FF43CAE96ED018A0C -:10250000BBF1020F68EE266A76EEA11A68EE256A24 -:1025100076EEA00A68EE246A36EE877A68EE236AC1 -:1025200036EE866A68EE226A36EE855A68EE026AF0 -:1025300036EE844AD8ED016A28EE279A26EE888A7C -:1025400039EE033A38EE011A3FF414AE96ED028AE2 -:10255000BBF1030F68EE255A75EEA11A68EE245AF6 -:1025600075EEA00A68EE235A35EE877A68EE225A95 -:1025700035EE866A68EE025A35EE855A68EE275ABD -:1025800035EE844AD8ED025A28EE269A25EE888A3E -:1025900039EE033A38EE011A3FF4ECAD96ED038ABA -:1025A000BBF1040F68EE244A74EEA11A68EE234AC8 -:1025B00074EEA00A68EE224A34EE877A68EE024A88 -:1025C00034EE866A68EE274A34EE855A68EE264A6B -:1025D00034EE844AD8ED034A28EE259A24EE888A00 -:1025E00039EE033A38EE011A3FF4C4AD96ED048A91 -:1025F000BBF1050F68EE233A73EEA11A68EE223A9A -:1026000073EEA00A68EE023A33EE877A68EE273A54 -:1026100033EE866A68EE263A33EE855A68EE253A3E -:1026200033EE844AD8ED043A28EE249A23EE888AC1 -:1026300039EE033A38EE011A3FF49CAD96ED058A67 -:10264000BBF1060F68EE222A72EEA11A68EE022A8A -:1026500072EEA00A68EE272A32EE877A68EE262A02 -:1026600032EE866A68EE252A32EE855A68EE242A12 -:1026700032EE844AD8ED052A28EE239A22EE888A83 -:1026800039EE033A38EE011A3FF474AD96ED068A3E -:1026900028EE022A72EE211A28EE272A72EE200A6C -:1026A00028EE262A32EE077A28EE252A32EE066A2E -:1026B00028EE242A32EE055A28EE232A32EE044A66 -:1026C00028EE222AD8ED062A32EE033A22EE882A94 -:1026D00032EE011A4EE5009C0022B2EB940F51D06D -:1026E000A20812F00307A2F101031ED0066A012F0F -:1026F0000E60466A4E60866A8E60C66ACE6006D1FB -:1027000000F1300510311A46032B11D23AE0066B66 -:10271000022F0E61466B4E61866B8E61C66BCE6179 -:1027200043D100F140052031023A032B2AD300BFE8 -:10273000286801F1400308606868043A4860A868A6 -:102740008860E868C8602869086168694861A869A4 -:102750008861E869C861286A0862686A4862A86A8C -:102760008862E86AC862286B0863686B4863A86B74 -:102770008863EF6B05F14000CF6319460546D7D15A -:102780000546194614F0030009D02A6801280A609A -:1027900005D06A6802284A601CBFA868886002B039 -:1027A000BDEC048B01B0BDE8F08F076C00F1500563 -:1027B0000F62476C033A4F62876C8F62C76CCF62BF -:1027C0003031032BB4D2DDE780B52DED108B90EDC9 -:1027D000000AA0F13C0E00234FF0100C9EED101AE1 -:1027E0009EED112A9EED123AB4EE410A9EED134A77 -:1027F000F1EE10FABCBFB0EE410AACF10F03B4EE3B -:10280000420AF1EE10FABCBFB0EE420AACF10E0380 -:10281000B4EE430AF1EE10FABCBFB0EE430AACF1DD -:102820000D03B4EE440AF1EE10FAB8BFB0EE440A5C -:102830009EED141AB8BFACF10C03B4EE410AF1EEF0 -:1028400010FAB8BFB0EE410A9EED151AB8BFACF150 -:102850000B03B4EE410AF1EE10FAB8BFB0EE410A34 -:102860009EED161AB8BFACF10A03B4EE410AF1EEC0 -:1028700010FAB8BFB0EE410A9EED171AB8BFACF11E -:102880000903B4EE410AF1EE10FAB8BFB0EE410A06 -:102890009EED181AB8BFACF10803B4EE410AF1EE90 -:1028A00010FAB8BFB0EE410A9EED191AB8BFACF1EC -:1028B0000703B4EE410AF1EE10FAB8BFB0EE410AD8 -:1028C0009EED1A1AB8BFACF10603B4EE410AF1EE60 -:1028D00010FAB8BFB0EE410A9EED1B1AB8BFACF1BA -:1028E0000503B4EE410AF1EE10FAB8BFB0EE410AAA -:1028F0009EED1C1A9EED1D2A9EED1E3A9EED1F4A6E -:10290000B8BFACF10403B4EE410AF1EE10FABCBF5B -:10291000B0EE410AACF10303B4EE420AF1EE10FA54 -:10292000BCBFB0EE420AACF10203B4EE430AF1EED2 -:1029300010FABCBFB0EE430AACF10103B4EE440A96 -:10294000F1EE10FABCBFB0EE440A63460CF1100C75 -:102950000EF1400EBCF1C00F7FF440AF90EDB17AA4 -:1029600090EDB26A90EDB35AB4EE470AF0EE401A19 -:1029700090EDB44A90EDB53A90EDB62A90EDB71AC5 -:10298000F1EE10FAB8BFF0EE471AF4EE461AF0EE88 -:10299000612AF1EE10FAB8BFF0EE462AF4EE452AAD -:1029A000F0EE623AF1EE10FAB8BFF0EE453AF4EE0E -:1029B000443AF0EE634AF1EE10FAB8BFF0EE444A42 -:1029C000F4EE434AF0EE645AF1EE10FAB8BFF0EEBE -:1029D000435AF4EE425AF0EE656AF1EE10FAB8BFCF -:1029E000F0EE426AD0EDB80AF4EE416AF0EE667A93 -:1029F000F1EE10FAB8BFF0EE417AF4EE607AB0EE84 -:102A0000678A90EDB99AF1EE10FAB8BFB0EE608A1D -:102A100090EDBAAAB4EE498AB0EE48BAF1EE10FAD7 -:102A2000B8BFB0EE49BAB4EE4ABA90EDBBCAB0EE48 -:102A30004BDAF1EE10FAB8BFB0EE4ADA90EDBCEA2C -:102A4000B4EE4CDAB0EE4DFAF1EE10FAB8BFB0EEDB -:102A50004CFAB4EE4EFAD0EDBD8AF0EE4F9AF1EE9C -:102A600010FAB8BFF0EE4E9AD0EDBEAAF4EE689A16 -:102A7000F0EE69BAF1EE10FAB8BFF0EE68BAF4EE13 -:102A80006ABAF1EE10FAB4EE470AB0EE6B0AB8BFBC -:102A9000B0EE6A0AF1EE10FAB8BFB123F4EE461AAE -:102AA000F1EE10FAF4EE452AB8BFB223F1EE10FAB7 -:102AB000F4EE443AB8BFB323F1EE10FAF4EE434A11 -:102AC000B8BFB423F1EE10FAF4EE425AB8BFB52302 -:102AD000F1EE10FAF4EE416AB8BFB623F1EE10FA47 -:102AE000F4EE607AB8BFB723F1EE10FAB4EE498A7B -:102AF000B8BFB823F1EE10FAB4EE4ABAB8BFB923A2 -:102B0000F1EE10FAB4EE4CDAB8BFBA23F1EE10FAD7 -:102B1000B4EE4EFAB8BFBB23F1EE10FAF4EE689AA9 -:102B2000B8BFBC2390EDBF1AF1EE10FAF4EE6ABA0A -:102B3000B8BFBD23F1EE10FAB8BFBE23B4EE410A10 -:102B4000F1EE10FAB8BFB0EE410A81ED000AB8BF4D -:102B5000BF231360BDEC108B80BD00002DE9F04752 -:102B6000A1F1010E0127F14667F301096FF0030798 -:102B700007EA9E0790ED000A00EB07164FEA9E0851 -:102B8000BD00A6F1400AA0F13C067F424FF0000CC8 -:102B9000102400BF96ED101A96ED112A96ED123A08 -:102BA000B4EE410A96ED134AF1EE10FAC4BFB0EE4E -:102BB000410AA4F10F0CB4EE420AF1EE10FAC4BFC0 -:102BC000B0EE420AA4F10E0CB4EE430AF1EE10FA94 -:102BD000C4BFB0EE430AA4F10D0CB4EE440AF1EE0A -:102BE00010FAC8BFB0EE440A96ED141AC8BFA4F19B -:102BF0000C0CB4EE410AF1EE10FAC8BFB0EE410A77 -:102C000096ED151AC8BFA4F10B0CB4EE410AF1EE13 -:102C100010FAC8BFB0EE410A96ED161AC8BFA4F16B -:102C20000A0CB4EE410AF1EE10FAC8BFB0EE410A48 -:102C300096ED171AC8BFA4F1090CB4EE410AF1EEE3 -:102C400010FAC8BFB0EE410A96ED181AC8BFA4F139 -:102C5000080CB4EE410AF1EE10FAC8BFB0EE410A1A -:102C600096ED191AC8BFA4F1070CB4EE410AF1EEB3 -:102C700010FAC8BFB0EE410A96ED1A1AC8BFA4F107 -:102C8000060CB4EE410AF1EE10FAC8BFB0EE410AEC -:102C900096ED1B1AC8BFA4F1050CB4EE410AF1EE83 -:102CA00010FAC8BFB0EE410A96ED1C1A96ED1D2A27 -:102CB00096ED1E3A96ED1F4AC8BFA4F1040CB4EE7F -:102CC000410AF1EE10FAC4BFB0EE410AA4F1030CC0 -:102CD000B4EE420AF1EE10FAC4BFB0EE420AA4F11B -:102CE000020CB4EE430AF1EE10FAC4BFB0EE430A90 -:102CF000A4F1010CB4EE440AF1EE10FA06F140061C -:102D0000C4BFB0EE440AA446043704F110047FF4B3 -:102D100041AF18F0030400F0888096ED101AB4EE6D -:102D2000410AF1EE10FAC4BFB0EE410A45F0010CC1 -:102D30009AED121A9AED132A9AED143AB4EE410A5A -:102D4000F1EE10FAC4BFB0EE410A45F0020CB4EE49 -:102D5000420AF1EE10FAC4BFB0EE420A45F0030C8D -:102D6000B4EE430AF1EE10FAC4BFB0EE430A45F0E8 -:102D7000040C012C59D09AED151A9AED162A9AEDE9 -:102D8000173AB4EE410A9AED184AF1EE10FAC4BFB0 -:102D9000B0EE410A45F0050CB4EE420AF1EE10FA2D -:102DA000C4BFB0EE420A45F0060CB4EE430AF1EEA1 -:102DB00010FAC4BFB0EE430A45F0070CB4EE440A63 -:102DC000F1EE10FAC4BFB0EE440A45F0080C022C34 -:102DD0002BD09AED191A9AED1A2A9AED1B3AB4EEF5 -:102DE000410A9AED1C4AF1EE10FAC4BFB0EE410A56 -:102DF00045F0090CB4EE420AF1EE10FAC4BFB0EE91 -:102E0000420A45F00A0CB4EE430AF1EE10FAC4BFD0 -:102E1000B0EE430A45F00B0CB4EE440AF1EE10FAA2 -:102E2000C4BFB0EE440A45F00C0C1EF0030726D0D8 -:102E300000EB890090ED001AB4EE410AF1EE10FAB1 -:102E4000C4BFB0EE410AA1EB070C7E1E17D090ED77 -:102E5000011AB4EE410AF1EE10FAC4BFB0EE410A15 -:102E6000A1EB060C023F0AD090ED021AB4EE410A23 -:102E7000F1EE10FAC4BFB0EE410AA1EB070C82EDEF -:102E8000000AC3F800C0BDE8F0870000A0F14003CD -:102E9000403A40396FF02F0093ED100A91ED101A6F -:102EA000043021EE000A82ED100A93ED110A91ED33 -:102EB000111A21EE000A82ED110A93ED120A91ED2A -:102EC000121A21EE000A82ED120A93ED130A91ED17 -:102ED000131A21EE000A82ED130A93ED140A91ED04 -:102EE000141A21EE000A82ED140A93ED150A91EDF1 -:102EF000151A21EE000A82ED150A93ED160A91EDDE -:102F0000161A21EE000A82ED160A93ED170A91EDCA -:102F1000171A21EE000A82ED170A93ED180A91EDB7 -:102F2000181A21EE000A82ED180A93ED190A91EDA4 -:102F3000191A21EE000A82ED190A93ED1A0A91ED91 -:102F40001A1A21EE000A82ED1A0A93ED1B0A91ED7E -:102F50001B1A21EE000A82ED1B0A93ED1C0A91ED6B -:102F60001C1A21EE000A82ED1C0A93ED1D0A91ED58 -:102F70001D1A21EE000A82ED1D0A93ED1E0A91ED45 -:102F80001E1A21EE000A82ED1E0A93ED1F0A91ED32 -:102F90001F1A03F1400321EE000A82ED1F0A02F11D -:102FA000400201F140017FF477AF70472DE9F0470F -:102FB000884280F291800C469046002A01F1010976 -:102FC00000F08C8046F2446AC1F2000A07E000BFBC -:102FD00031464246FFF7EAFF701CA0427CDA814589 -:102FE0004E46F5DB0AEB800191ED000A451E4E4688 -:102FF0000AEB850393ED011A691CB4EE401AF1EE59 -:1030000010FAB8BFA14265DA93ED021AA91CB4EE1A -:10301000401AF1EE10FAB8BFA14217DA93ED031A85 -:10302000E91CB4EE401AF1EE10FAB8BFA14211DA71 -:1030300093ED041A0435B4EE401AF1EE10FA03F1E0 -:1030400010020ADAA5421346D4DB06E003F10802B7 -:1030500002E000BF03F10C020D460AEB860300BF3D -:1030600013ED012AB4EE402AF1EE10FA1ADD13ED49 -:10307000022AB4EE402AF1EE10FA19DD13ED032A0C -:10308000B4EE402AF1EE10FA1EDD13ED042AA3F18E -:103090001007B4EE402A043EF1EE10FA3B46DFDCA6 -:1030A00009E000BF013E1F1FB54207DB90E700BFEC -:1030B000023EA3F10807B542BFF68AAF82ED002AAF -:1030C00087ED001A94E700BF033EA3F10C07B54259 -:1030D000F4DB7DE71A1DBFE7BDE8F08746F24468E0 -:1030E000C1F2000809E000BF29460022FFF75EFF99 -:1030F000681CA042A8BFBDE8F08781454D46F3DBC0 -:1031000008EB800191ED000A461E4D4608EB860350 -:1031100093ED011A711CB4EE401AF1EE10FA23DDA2 -:10312000A14221DA93ED021AB11CB4EE401AF1EE7D -:1031300010FA1BDDA14219DA93ED031AF11CB4EE6B -:10314000401AF1EE10FA15DDA14213DA93ED041ADC -:103150000436B4EE401AF1EE10FA03F110020CDD61 -:10316000A6421346D4DB08E01A1D05E003F108026D -:1031700002E000BF03F10C020E4608EB850300BF1E -:1031800013ED012AB4EE402AF1EE10FA1ADA13ED2B -:10319000022AB4EE402AF1EE10FA19DA13ED032AEE -:1031A000B4EE402AF1EE10FA1EDA13ED042AA3F170 -:1031B0001007B4EE402A043DF1EE10FA3B46DFDB87 -:1031C00009E000BF013D1F1FAE4207DB8CE700BFD7 -:1031D000023DA3F10807AE42BFF686AF82ED002A9A -:1031E00087ED001A92E700BF033DA3F10C07AE4242 -:1031F000F4DB79E740F60071C2F200019FED3D0A71 -:10320000A1F140026FF02F0192ED101A92ED112AF8 -:1032100092ED123A21EE011A92ED134A31EE000AB4 -:1032200022EE021A92ED145A30EE010A23EE031A2E -:1032300092ED156A30EE010A24EE041A92ED167A28 -:1032400030EE010A25EE051AD2ED170A31EE000A1A -:1032500026EE061A30EE010A27EE071A30EE010AB2 -:1032600020EEA01A30EE010A92ED181A92ED192AFA -:1032700092ED1A3A21EE011A92ED1B4A31EE000A44 -:1032800022EE021A92ED1C5A30EE010A23EE031AC6 -:1032900092ED1D6A30EE010A24EE041A92ED1E7AB8 -:1032A00030EE010A25EE051AD2ED1F0A31EE000AB2 -:1032B00026EE061A30EE010A27EE071A30EE010A52 -:1032C00020EEA01A403230EE010A04319CD19FED6D -:1032D0000A1A9FED082A20EE010AB1EEC01AB5EED7 -:1032E000400AF1EE10FAB8BFB0EE421A80ED001AB3 -:1032F000704700BF00000000ABAAAA3B40F6007078 -:1033000040F60041C2F20000C2F200014038A1F1D3 -:1033100040026FF02F0100BF90ED100A92ED104AAD -:1033200090ED111A92ED115A90ED122A92ED126A57 -:1033300030EE440A90ED133A92ED137A80ED100AC4 -:1033400031EE450A80ED110A32EE460A90ED141A6C -:1033500092ED142A80ED120A33EE470A80ED130A2B -:1033600031EE420A90ED151A92ED152A80ED140AFD -:1033700031EE420A90ED161A92ED162A80ED150AEA -:1033800031EE420A90ED171A92ED172A80ED160AD7 -:1033900031EE420A90ED181A92ED182A80ED170AC4 -:1033A00031EE420A80ED180A90ED190A92ED191AD1 -:1033B00092ED1A2A30EE410A90ED1A1A92ED1B3A5C -:1033C00080ED190A31EE420A90ED1B1A92ED1C4A6B -:1033D00080ED1A0A31EE430A90ED1C1A92ED1D5A47 -:1033E00080ED1B0A31EE440A90ED1D1A92ED1E6A23 -:1033F00080ED1C0A31EE450A90ED1E1A92ED1F7AFF -:1034000080ED1D0A31EE460A90ED1F1A80ED1E0A6E -:1034100031EE470A403280ED1F0A403004317FF41C -:103420007BAF70472DE9F04786B0994616460C46AB -:103430000C29074608D149F6D760C1F20000007890 -:1034400010B906B0BDE8F0874AF218480020B9F17B -:10345000000FC2F20108ADF81400CDE903001ED13F -:103460004AF2080AC2F2010ADAF80000B8F80010BD -:10347000058801F1110083B200204FF4E572009538 -:10348000E5F7C4FEDAF80000B8F8002043880088A9 -:1034900002250090384605210195E6F755FD601E8E -:1034A0000B284AD8DFE800F00634181A1C3A4022EC -:1034B000A625B42F96F9002041F29C01C0F6040124 -:1034C00003A8DCF781FF3078012840F0A98044F29E -:1034D0005950C0F253001FE0327827E0328825E0CF -:1034E000326841F25161C0F6040188E0B6F900206B -:1034F0001CE0306808F064FE02460B464CA103A8AD -:10350000DCF762FF7EE042F22110C0F2210003E00E -:1035100045F25250C0F24E0003900EE0326841F284 -:103520005161C0F6040105E096F9002041F29C01CA -:10353000C0F6040103A8DCF747FF4FF4C876B9F1E1 -:10354000000F10D04AF20800C2F201000068B8F87B -:103550000010078801F10D0006F1480283B23046E1 -:103560000097E5F753FE0D2C0FD04AF20800C2F287 -:1035700001000068B8F80020438800880227009006 -:1035800003A831460197E6F7DFFC49F6DB60C1F29C -:10359000000001784FF2F100CFF2F0004DF2D44478 -:1035A000A1FB0002C2F201042078120902EB021210 -:1035B00050FA82F28A4212D14AF20800C2F20100A5 -:1035C0000068B8F80010478801F1110081B20020AE -:1035D0004FF4E5720B460097E5F718FE2078B8F82F -:1035E00000100130207001F11200A8F8000006B0B0 -:1035F000BDE8F087326841F29C01C0F6040103A8DF -:10360000DCF7E2FE4FF4AF76B9F1000F9AD1AAE7EA -:1036100000208DF80E0043F62D60ADF80C008CE70D -:1036200000208DF80E0044F64E70ADF80C0084E7D3 -:10363000252E3266000000002DE9F04F83B04DF2D8 -:10364000D741C2F201010978044601290AD149F69D -:10365000DA63C1F200031878B4F1FF3F2ADD0E28C7 -:1036600006D8B6E049F6D960C1F20000007810B182 -:1036700003B0BDE8F08F4DF2D640C2F201000078F1 -:10368000012840F051814AF20800C2F201000068AE -:103690000088E5F78FFC4CF21C00C2F201000178B3 -:1036A000B4F1FF3F40F37A814A1CD1B2033918BF0D -:1036B000114678E1002800F08C804AF20804C2F23A -:1036C00001042168421E08881A70E5F773FC20681F -:1036D00040F63D6A448806880027C0F6040A05259E -:1036E0004FF002084FF01109092F17D007EB470BD5 -:1036F0001AF8072005EB8B0080B2052123460096BF -:10370000CDF80480E6F79EF8013749F6DE6109EB53 -:103710008B00802FC1F200010880E5D14AF2080039 -:10372000C2F20100006847F2F07AB0F80080002190 -:10373000C1F2000A4FF00A0B4FF0020900260027E1 -:1037400023E000BF002A00F0CC80F01B00EB40001B -:103750000BEB800080B225214FF4FC63CDF8008094 -:10376000CDF80490E6F76EF8701CC11B01EB410127 -:1037700049F6DE620BEB8101C1F200021180B2B2A8 -:1037800081B27F2A064680F0AC800AEB010090F8F7 -:1037900049215E2AD6D190F84A016F28D5D1741CF0 -:1037A000FD430137E01B00EB40001AFA84F191F869 -:1037B00049210BEB800080B222214FF4FC63CDF84D -:1037C0000080CDF80490E6F73DF8B01C411926467C -:1037D000CCE74AF20804C2F201042168421C0888BE -:1037E0001A70E5F7E7FB206840F63D6A44880688D2 -:1037F0000027C0F6040A4FF0050802254FF0110912 -:10380000092F16D007EB470B1AF8072008EB8B009F -:1038100080B20521234600960195E6F713F801379B -:1038200049F6DE6109EB8B00802FC1F200010880B0 -:10383000E6D14AF20800C2F20100006847F2F07ACD -:10384000B0F800800021C1F2000A4FF00A0B4FF0DF -:1038500002090026002720E0002A42D0F01B00EBDE -:1038600040000BEB800080B225214FF4FC63CDF8C3 -:103870000080CDF80490E5F7E5FF701CC11B01EB5B -:10388000410149F6DE620BEB8101C1F200021180B9 -:10389000B2B281B27F2A064623D20AEB010090F829 -:1038A00049215E2AD8D190F84A016F28D6D1741CDC -:1038B000FD430137E01B00EB40001AFA84F191F858 -:1038C00049210BEB800080B222214FF4FC63CDF83C -:1038D0000080CDF80490E5F7B5FFB01C41192646ED -:1038E000CDE749F6DA60C1F20000007840F2CB1370 -:1038F00000EB400081000822B1F5E57F98BF83000E -:1039000002EB800214334FF47847934202D21846F8 -:10391000362102E0104636211A4636230097E5F795 -:1039200075FC03B0BDE8F08F49F6DB67C1F2000714 -:1039300038784FF2F108CFF2F008A0FB0812160910 -:1039400006EB0611401A4AF20801C2F201010968A9 -:1039500000EBC0001622098802EB4000009181B202 -:1039600000204FF4E5720B460025E5F74FFC39784F -:10397000B4F1FF3F49F6D7604DF2D544C1F20000E3 -:10398000C2F2010419DD42F66C12C1F200021268A3 -:103990001278013A8A42C8BF4D1C24E00139CAB2EC -:1039A000022A28BF022101704BF69470C2F2010076 -:1039B0000121C17203B0BDE8F08F09B14D1E12E0C4 -:1039C000017809B9217839B142F66C11C1F20001D0 -:1039D000096809784D1E06E042F66C11C1F200013B -:1039E000096809788D1E00784AF21441C2F201017B -:1039F0003D7030B90868EAB200EB021212790C2A65 -:103A000008D00868290608D0E9B200EB011109794D -:103A10000D2903D100253D7000E00025E9B2A1FB8E -:103A200008231A0902EB02128A1AAB1A4DF2D44586 -:103A3000DBB2C2F201052A70CA1A02EBC2020523E8 -:103A400003EB42034AF2184500EB01120901C2F2EE -:103A500001054058117992682B800123FFF7E2FCA1 -:103A600021783878002902BF49F6DC61C1F20001F3 -:103A70000870A0FB0801B6EB111F3FF4F9AD01205F -:103A800003B0BDE8F04FF0F759B900002DE9F04759 -:103A900041F21422C0F20202904279D2002900F0D1 -:103AA00016814CF6FF1442F25C2C4FF0000EC3F668 -:103AB0009A344FF0030AC0F6030C00220CEB0E01FF -:103AC000D1E90257D1F820802E1A48BF7642A6428B -:103AD0002546B8BF35463F1A48BF7F42AF42AAF1DC -:103AE0000303B8BF1A46A642B8BF1A46AF42D1F880 -:103AF0002490B8BF3D46B8EB000648BF7642AE42C0 -:103B00002C46B8BF3446B9EB000748BF7F42AAF144 -:103B10000203A742B8BF1A46AE42B8BF1A468B6B23 -:103B2000A742B8BF3C461E1ACB6B48BF7642A6429E -:103B30002546B8BF35461F1A48BF7F42AAF1010388 -:103B4000AF42B8BF1A46A642B8BF1A460B6DAF4285 -:103B5000B8BF3D461E1A496D48BF7642AE422C465C -:103B6000B8BF3446091A48BF4942A142B8BF5246BD -:103B7000AE42B8BF5246A1420EF1600EB8BF0C462D -:103B8000BEF5907F0AF1040A98D150B2BDE8F087E3 -:103B900041F64922C0F202029042C0F09C8049F6F0 -:103BA0005062C0F21B029042FFF478AF48F28142AB -:103BB000C0F21E029042C0F0928046F2E072C0F263 -:103BC00035029042FFF46AAF4FF6C132C0F23902BB -:103BD0009042C0F0888045F24462C0F251029042A7 -:103BE000FFF45CAF47F26D32C0F2520290427ED3D6 -:103BF0004CF6C072C0F26A029042FFF44FAF4DF62D -:103C00000152C0F26D02904275D341F62052C0F2CB -:103C10009A029042FFF442AF4EF27102C0F29A0251 -:103C200090426CD349F68072C0F2D5029042FFF404 -:103C300035AF4FF2B162C0F2DA02904263D34BF279 -:103C40002022C0F213129042FFF428AF43F6C102C3 -:103C5000C0F2151290425AD346F64072C0F240129A -:103C60009042FFF41BAF44F61152C0F2471290424B -:103C700051D34CF69022C0F27B129042FFF40EAF6B -:103C800045F23112C0F27D12904248D343F60072E1 -:103C9000C0F2AB129042FFF401AF42F6A172C0F243 -:103CA000C51290423FD340F68072CFF6055240F6DF -:103CB00001178318C0F23D074FF0FF32BB4238BFF7 -:103CC0000B22FFF462AF00297FF4EBAE5DE7FF2229 -:103CD00050B2BDE8F087002250B2BDE8F087012263 -:103CE00050B2BDE8F087022250B2BDE8F08703224F -:103CF00050B2BDE8F087042250B2BDE8F08705223B -:103D000050B2BDE8F087062250B2BDE8F087072226 -:103D100050B2BDE8F087082250B2BDE8F087092212 -:103D200050B2BDE8F0870A2250B2BDE8F08700002B -:103D300070B5014647F2F070C1F20000427800F120 -:103D40002403002A08BF00F10803186842F25C2C23 -:103D50000022C0F6030C03E004320C2A08BF70BD39 -:103D600002EB42030CEBC3039D688D4202D8DD6871 -:103D70008D4239D242F0010303EB43030CEBC30342 -:103D80009E688E4202D8DE688E4243D242F0020321 -:103D900003EB43030CEBC3039E688E4202D8DE683C -:103DA0008E424DD242F0030303EB43030CEBC303FB -:103DB0009E688E42D0D8DE688E42CDD3D3F810E014 -:103DC00003F114040EF10405002305E02668013315 -:103DD000B34205F10C05BFD255F8046C8E42F5D8FC -:103DE0002E688E42F2D940E0D3F810E003F11404BB -:103DF0000EF10405002305E026680133B34205F106 -:103E00000C05B7D255F8046C8E42F5D82E688E4258 -:103E1000F2D92AE0D3F810E003F114040EF10405FE -:103E2000002305E026680133B34205F10C05ADD24D -:103E300055F8046C8E42F5D82E688E42F2D914E003 -:103E4000D3F810E003F114040EF10405002305E09B -:103E500026680133B34205F10C05A3D255F8046C72 -:103E60008E42F5D82E688E42F2D903EB43000EEB5A -:103E70008000806870BD0000B0B586B042F6940442 -:103E8000C1F2000494F8750010B1012006B0B0BD75 -:103E9000A0882168A2688861A08990614FF496701B -:103EA000013800BFFCD1A0882168000488614FF46C -:103EB000967000BF013800BFFCD1A089A168000442 -:103EC0008861A07B4000C0B200F0E0F800F05CF830 -:103ED000002853D094F8740068B100256019C07BA5 -:103EE00000F0D4F800F050F800284AD094F874009C -:103EF00001358542F2D34FF4967000BF013800BF00 -:103F0000FCD14FF4967000BF013800BFFCD1A188EE -:103F10002068019111210291012103910021049156 -:103F200001A9E3F7F9FAA08821680004A268886172 -:103F3000A08990614FF49670013800BFFCD14FF416 -:103F4000967000BF013800BFFCD14FF4967000BFDF -:103F5000013800BFFCD14FF4967000BF013800BF9C -:103F6000FCD12068A18881614FF49670013800BFB0 -:103F7000FCD10020A4F8740006B0B0BD022006B049 -:103F8000B0BD032006B0B0BD10B586B042F69404B3 -:103F9000C1F20004A1882068019100210122029150 -:103FA000CDE9032101A9E3F7B7FA4FF4967000BFFA -:103FB000013800BFFCD14FF4967000BF013800BF3C -:103FC000FCD1A068A18981614FF49670013800BFCF -:103FD000FCD14FF4967000BF013800BFFCD12068BF -:103FE000A2880169114215D0002100BF322918D0E2 -:103FF00001314FF4967000BF013800BFFCD14FF47F -:10400000967000BF013800BFFCD12068A288036908 -:104010001342EBD1A089A16800048861012006B099 -:1040200010BD91B201911121029101210391002152 -:10403000049101A9E3F770FAA08821680004A2683E -:104040008861A08990614FF4967000BF013800BF6D -:10405000FCD14FF4967000BF013800BFFCD14FF483 -:10406000967000BF013800BFFCD14FF4967000BFBE -:10407000013800BFFCD12068A18881614FF496709F -:10408000013800BFFCD1002006B010BD2DE9F0477B -:1040900086B042F69406C1F20006B1880446306844 -:1040A00001AD01914FF011084FF001094FF0000AE6 -:1040B0002946CDF80880CDF80C90CDF810A0E3F794 -:1040C0002BFA00274FF49670013800BFFCD1C7F1DE -:1040D0000702B18824FA02F2D20730680A4608BF04 -:1040E0000A04826101AA82E802072946E3F714FA6A -:1040F0004FF49670013800BFFCD1B068B18981617E -:104100004FF49670013800BFFCD14FF4967000BF99 -:10411000013800BFFCD1B089B16801370004082F15 -:104120008861CFD106B0BDE8F087000096B043F6B5 -:104130003005C4F20205D5F8D0034EF60C5240F417 -:104140000070C5F8D003D5F8D003CEF2000240F4D9 -:104150008060C5F8D003D5F8D0034FF6FF0140F4D6 -:104160008070C5F8D00310684AF24838084040F221 -:104170000031C0F2FA5108431060C2F20108D8F8C9 -:10418000000044F6D357C1F26207A0FB07014FF0CD -:10419000FF3000EB911100204EF20019B0EB116FCF -:1041A000CEF2000914D14EF60C5249F8EC1CF02165 -:1041B000CEF20002D175072149F8E80C49F8F01C4D -:1041C000116849F6F061D075C1F2000101220A7050 -:1041D0000A90696947F2040441F4804169616969A0 -:1041E000C4F2000401F480410A910A990A902969F5 -:1041F00049F6EC6641F0805129612969C1F2000657 -:1042000001F080510A910A990A90296941F0805180 -:104210002961296901F080510A910A990A9054F89C -:10422000040C40F4804044F8040C54F8040C00F4EE -:1042300080400A900A9855F8280C00F00C000428D9 -:1042400033D055F8280C00F00C00082803D155F89D -:104250002C0C400229D455F8300C40F4803045F83D -:10426000300C306855F8301C890320D43168091AA5 -:10427000642900F2898055F8301C890317D431680D -:10428000091A642900F2808055F8301C89030ED485 -:104290003168091A642977D855F8301C890306D487 -:1042A0003168091A6429DDD96EE055F8300C2869A7 -:1042B00010F080500AD100210A91296941F0805103 -:1042C0002961296901F080510A910A9954F8041C66 -:1042D000C90527D454F8041C41F4807144F8041C27 -:1042E000316854F8042CD2051CD43268521A022AC0 -:1042F0004AD854F8042CD20514D43268521A022A2F -:1043000042D854F8042CD2050CD43268521A022A2E -:104310003AD854F8042CD20504D43268521A022A2E -:10432000DFD931E0296C41F2883241F00101296482 -:1043300031682B6C9B0719D433685B1A934223D8DE -:104340002B6C9B0712D433685B1A93421CD82B6CDE -:104350009B070BD433685B1A934215D82B6C9B07D1 -:1043600004D433685B1A9342E3D90DE018B9286985 -:1043700020F08050286155F8280C00F00C00082827 -:1043800040F0FA8655F82C0CD5F8D00300F00F0059 -:10439000042808D8052085F8D003D5F8D00300F00C -:1043A0000F00052816D155F8280C40F4E05045F8C8 -:1043B000280C55F8280C40F4604045F8280C55F8B6 -:1043C000280C20F0F00045F8280C55F8300C80013E -:1043D00000F1208400200A90286940F08050286174 -:1043E000286900F080500A900A9854F8040C40F4B0 -:1043F000807044F8040C306854F8041CC9051CD4BF -:104400003168091A02293BD854F8041CC90514D490 -:104410003168091A022933D854F8041CC9050CD490 -:104420003168091A02292BD854F8041CC90504D490 -:104430003168091A0229DFD922E0286C40F4807023 -:1044400000F44070B0F5807F10D0286C6021C4F279 -:104450004721012220F44070C1F8E02D0022C1F86C -:10446000E02D2864286CC00741F0768455F8280CAC -:1044700020F4F81045F8280C286C40F4807028646B -:104480000027CDE90D771297286840F6180240F012 -:10449000100028602868C4F2020200F01000129098 -:1044A00012981297286840F6000A40F0040028602D -:1044B00028684FF6180100F0040012901298129725 -:1044C0002868C4F2020A40F0800028602868CFF60D -:1044D000FF7100F0800012901298129728684FF038 -:1044E000040940F001002860286802F5FD6600F02C -:1044F0000100129012981297286840F0020028607C -:10450000286800F00200129012981297286840F074 -:104510000800286028680AAD00F008001290129880 -:104520004FF0C67010604FF47F004AF801004FF65C -:104530001840CFF6FF704FF080514AF800104FF44A -:104540009001C2F8001440214AF800100320CDF871 -:104550002890CDE90B07304629460696E2F7DCFFA6 -:1045600000210820C1F211010A9001F500108B46CC -:104570000B900124304629460C94E2F7CDFF7020C1 -:10458000CDE90A07304629460C94E2F7C5FF4FF4FF -:104590000050CDE90A07504629460C94E2F7BCFFCB -:1045A0004FF48670CDE90A0450462946CDE90C4700 -:1045B000E2F7B2FFFF20AAF50068CDE90A04404601 -:1045C00029460C9401240D97E2F7A6FF40F284409F -:1045D000CDE90A0BAAF5806B584629460C94E2F700 -:1045E0009BFF4FF433600A900320CDE90B07584638 -:1045F0002946E2F791FF4FF482500A900120CDE95D -:104600000B00584629460D97CDF820B0E2F784FFFD -:1046100041F604000A9040F61800C4F2020000F5CA -:104620007A76032430462946CDE90B47E2F774FF3A -:104630004020CDE90A04504629464FF0030B0C9761 -:10464000E2F76AFF80200A9001200B900224504676 -:104650002946CDE90C47E2F75FFF4FF400700AA945 -:1046600091C105200E90504629460D97E2F754FF60 -:104670004FF4E060CDE90A0B404629460C97CDF88F -:104680001C80E2F749FF48200A900120CDE90B0089 -:104690003046294601240D97E2F73EFF0020C1F283 -:1046A0001100CDF828B0CDE90B0406982946E2F7B1 -:1046B00033FF4EF60C51CEF2000108680B46C0F3F2 -:1046C000022080F00701042928BF4946C21E4FF08E -:1046D000FF3000FA01F14FF0060E38BF3A462EEADD -:1046E000010191404EF206420901CEF20002117022 -:1046F0004EF200111646CEF2000140220A600C462E -:1047000019684FF0FF35C1F3022181F00702042A36 -:1047100028BF4A46033905FA02F238BF39462EEA65 -:10472000020202FA01F1090180207170206019680B -:104730004FF4807CC1F3022181F00702042A28BFD4 -:104740004A46033905FA02F238BF39462EEA020218 -:1047500002FA01F10901B170C4F800C01A683146CB -:10476000C2F302221E4682F00703042B28BF4B46E9 -:10477000033A05FA03F34FF0020038BF3A4620EA45 -:10478000030303FA02F21201CA704FF400722260AE -:1047900032684FF00308C2F3022282F00703042BB1 -:1047A00028BF4B4605FA03F3033A2EEA030038BF4D -:1047B0003A469040000148744FF400002060306891 -:1047C00046F2104BC0F3022080F00702042A28BFF3 -:1047D0004A46033805FA02F238BF384628EA020290 -:1047E00002FA00F0000181F8220043F63001C4F81B -:1047F00004C00A97C4F202010868C4F2020B40F434 -:104800000010086008684FF4806200F400100A90FD -:104810000A980A9708684FF4805340F480000860B3 -:1048200008680BF1A80100F480000A900A9849F288 -:10483000C470C1F20000C0E9001780254FF4007673 -:1048400000F114014FF4000E4FF480448560C0E97C -:10485000036298C14FF40011C0E90879C0F82880BC -:104860000324C0E90BE1E1F7C9FE4CF62C300BF153 -:104870009001C2F201004FF40062C0E900178560A8 -:10488000C660C0E904724FF400554FF080714FF4D8 -:1048900080024FF080084FF4007AC0E90657C0E963 -:1048A000087984620324C0E90B12E1F7A7FE49F2FC -:1048B00064700BF16001C1F2000080E882014FF4E6 -:1048C00080684FF4006100F11402C0F80CA0C0F839 -:1048D0001080A2C24FF08071C0E90879C1624FF424 -:1048E0008001802684620163E1F788FE4CF60C208B -:1048F0000BF11801C2F20100C0E90017C0E9026A19 -:10490000C0F810804FF480554FF480444FF40038C5 -:1049100003214FF4000A4FF400164561C0E9064731 -:10492000C0E908898162C0F82CA00663E1F766FE41 -:1049300049F2A460C1F200008021C0E900B78160A3 -:104940004FF40071C1604FF48061C0E9041500F1BB -:104950001C01846181E8800303214FF480554FF4EA -:104960008044C0E90A1A4FF4000A0663E1F746FEE4 -:104970004CF66C200BF13001C2F20100C0E90017C7 -:10498000802181604FF40071C1604FF48061C0E903 -:10499000041500F1180181E89003032181624FF4AE -:1049A000001180264FF00308C0F82CA00163E1F746 -:1049B00025FE49F204700BF14801C1F200004FF4EA -:1049C00000654FF40054C0E90017C0E902670761B1 -:1049D0004561C0E90647C0E90879C0E90A8707636D -:1049E000E1F70CFE4CF6CC200BF17801C2F201008D -:1049F000C0E900174FF40071C1604FF0807186600C -:104A0000C0E90475C0E90647C0E90879C1624FF4FE -:104A100080014FF4007A4FF40066C0F828800163EB -:104A2000E1F7ECFD4EF60C534EF206454EF2001443 -:104A3000CEF20003CEF20005CEF2000418686F71CA -:104A4000266018684FF0FF32C0F3022080F00701A3 -:104A5000042928BF4946033802FA01F14FF0010644 -:104A600038BF384626EA010101FA00F00001A872B9 -:104A70004FF48030206018684FF0FF36C0F30220FA -:104A800080F00701042928BF4946033802FA01F1E2 -:104A900038BF384629EA010101FA00F0000185F823 -:104AA00033004FF00070606018680722C0F30220E6 -:104AB00080F00701042928BF4946033806FA01F1AE -:104AC00038BF384629EA010101FA00F0000185F8F3 -:104AD00034004FF08060606018684BF68038C0F397 -:104AE000022080F00701042928BF4946033806FA4E -:104AF00001F138BF384622EA010101FA00F0000155 -:104B000085F83E001020A0601868C0F3022080F0F5 -:104B10000701042938BF8946033806FA09F138BF6E -:104B2000384622EA010101FA00F0000185F83F0051 -:104B30002020A0604CF68C34C2F2010443F6004001 -:104B4000C4F20000052104F10C02C4E9000AA760C8 -:104B500082E882010121A761C4E9071194F841109C -:104B600061B948F6D530C0F6000084F84070606343 -:104B70002046E2F7A5FF2068D4F81480022784F8C5 -:104B80004170C16947F2040A43F6300343F6000955 -:104B900040F6BF72C4F2000AC4F20203C4F2000974 -:104BA0009143B8F1020FC161076205D1D4E902CE89 -:104BB000276902230026C0E0D4E902CE2027BEF1F7 -:104BC000000F08BF102753F8285C0026BCF1210F06 -:104BD00038BF01262D0207FA06F552D453F82C7C73 -:104BE00053F82C6C7F0241F20027C0F27A0706F0DE -:104BF0003F065CBF42F20047C0F2F407596DB7FBB5 -:104C0000F6F75E6DC1F388117943C6F30277B1FB05 -:104C1000F7F63AE055F8280C022161F3010045F857 -:104C2000280C306841F2883155F8282C02F00C022B -:104C3000082A4CD03268121A8A423FF6CBAB55F89C -:104C4000282C02F00C02082A41D03268121A8A423B -:104C50003FF6C0AB55F8282C02F00C02082A36D0DB -:104C60003268121A8A423FF6B5AB55F8282C02F08A -:104C70000C02082A2BD03268121A8A42D4D9FFF7C4 -:104C8000A9BB48F20006C0F2BB062769B7F5007F52 -:104C900004D1BEF1000F0CBFED00AD00B6FBF5F185 -:104CA0004CF6CD4301EB81014900B1FBF8F1CCF6A4 -:104CB000CC430531A1FB03166FF0010101EB161186 -:104CC000FD2935D9606C40F0100060647DE0D5F8B6 -:104CD000D00300F00E00062809D3052085F8D00384 -:104CE000D5F8D00300F00F0005287FF473AB55F81A -:104CF000280C052161F38C2045F8280C55F8280C68 -:104D000061F34F3045F8280C55F8280C00F00C01E1 -:104D100042F200400829C0F2F40002F06582042942 -:104D200042F0888241F20020C0F27A0002F082BA9A -:104D30004FF48071330901EA461643EA060139430C -:104D40000162C169666840F6BF75A9434CEA060274 -:104D5000A3691143276A41EA0E01194341F4006136 -:104D6000012FC1612CD147F2C96144F21C07C0F682 -:104D70000001C4F200076163484507F1040108BF60 -:104D8000A9F579773A68484522EA05023A6008BFF2 -:104D9000A9F578710220086046F400713868B1F511 -:104DA000007F4CEA0E0141EA030140EA010080B2B3 -:104DB00014BF00264FF48076304340F40060386022 -:104DC00000206064012084F8410040F20040C4F2F9 -:104DD00000004CF6DC7100F51050C2F20101002712 -:104DE0007F22C1E9000701F10800FF238CC0C1E95F -:104DF0000577E2F78FFF4AF6FC704CF66414012247 -:104E00005044C2F201040125C0F600724FF4803113 -:104E1000257684F82070C4E90A27E56184F83070AB -:104E200084E8830020461597CDE90E77CDE90C770D -:104E3000CDE90A77C4E903756761E1F7F1F90A265C -:104E400012A92046CDE912651497E1F753F90B201A -:104E5000CDE90A054FF480100AAD4FF004094FF078 -:104E6000020811904FF4102B20462946CDF83890B7 -:104E7000CDF83080CDF840B0ADF83C700D97E1F73B -:104E800027F820462946CDE90A68E1F721F80820ED -:104E90000A9003200B9020462946E1F719F80920D3 -:104EA000CDE90A0920462946E1F712F84BF2FC0049 -:104EB00049F25C64012150444FF00109C1F2000441 -:104EC000C0F6007184F8189084F82070C4E90A17BD -:104ED00020604FF48030C4F81C9084F83070C4E92E -:104EE000010720461597CDE90E77CDE90C77CDE97E -:104EF0000A77C4E903796761E1F792F90E2512A9EF -:104F00002046CDE912591497E1F7F4F8CDE90A5992 -:104F10004FF480100AADCDF83880CDF83080CDE95F -:104F200010B020462946ADF83C700D97E0F7D0FF51 -:104F30000F2620462946CDE90A68E0F7C9FF49F661 -:104F4000DC00C1F200004FF0204140F20412CAF22E -:104F50000002102380E886004FF48051C0E90377F7 -:104F6000C0E90537C7614764C0E90877C0E90A1797 -:104F7000C0E90C77876305250320CDE90A560C9517 -:104F8000CDE90D0311200AACCDE90F072046E3F768 -:104F9000E5F80A200A900C9020460A260D951097F5 -:104FA000E3F7DCF849F68404C1F2000443F6000B91 -:104FB0004FF482714FF40070C4F2000B04F1180337 -:104FC0001822C4E900B1C4E90277C4E9047785C3B3 -:104FD000C4E90977E66294F85130C3BB43F6300167 -:104FE00084F850700E97CDE90C77CDE90A771297C7 -:104FF000C4F20201086940F480400861086900F4C5 -:105000008040129012981297086840F002000860E1 -:1050100008680AA900F00200129012984FF460404C -:1050200081E8010308980AA9CDE90D75E2F774FA41 -:10503000206AD4F828800590606AD4E900B106900F -:10504000D4E902A6D4E9045CD4E906020BE000230B -:105050000693002305934FF0000C002500264FF027 -:10506000000A4FF00008022384F85130DBF800906A -:1050700041EA0A01314329F040032943CBF80030CB -:1050800041EA0C0100F4007319431143059A4FF0F3 -:10509000010E114341EA0801CBF80010042101EA96 -:1050A0001040069940F200480843CBF80400DBF8B2 -:1050B0001C00C72620F40060CBF81C00676584F84C -:1050C00051E04CF6FC74C2F2010440F2A31060609F -:1050D000C4F2000820460D97CDE90B77A760276141 -:1050E000A761C4F80080E660E3F7EAF84FF4805067 -:1050F0000A900AA92046E3F7D1FA94F83C0040F65A -:10510000000947F2040B43F6300A0128C4F20209F1 -:10511000C4F2000BC4F2020A00F0AB8001200222AC -:1051200084F83C0084F83D2020684368816862F37D -:10513000061341F2FF72C4F20002904243600DDC9C -:1051400040F2FF72C4F20002904272DCB0F1804F74 -:1051500000F08680404500F0838084E040F2FF321A -:10516000C4F2010290426FDC41F60002C4F2000278 -:10517000904275D000226FE06020C4F247200021E9 -:105180000160316855F8302C920120D53268521AEE -:10519000022A3FF6F9A855F8302C920117D532684B -:1051A000521A022A3FF6F0A855F8302C92010ED57B -:1051B0003268521A022A3FF6E7A855F8302C9201BD -:1051C00005D53268521A022ADCD9FFF7DDB845F25C -:1051D0000841C0F2407145F82C1C01210160306883 -:1051E00055F8301C89013FF5CFA83168091A02290A -:1051F0003FF6CAA855F8301C89013FF5C5A83168AB -:10520000091A02293FF6C0A855F8301C89013FF55C -:10521000BBA83168091A02293FF6B6A855F8301C18 -:1052200089013FF5B1A83168091A0229D8D9FFF7D9 -:10523000ABB840F60002C4F20002904210D040F633 -:105240000042C4F2000209E044F20002C4F201028A -:10525000904205D040F20042C4F20102904202D1D5 -:1052600021F080018160012084F83D00002084F855 -:105270003C0049F62C1708F58060C1F200070024B5 -:1052800041F2670187E8530038460D94CDE90B449D -:105290003C61BC61E3F714F84FF480550AA9384625 -:1052A0000A95E3F7FBF997F83C0001284BD0012061 -:1052B000022287F83C0087F83D20386843688168FF -:1052C00062F3061341F2FF72C4F20002904243609F -:1052D0000BDC40F2FF72C4F20002904213DCB0F12A -:1052E000804F27D0404525D027E040F2FF32C4F25E -:1052F0000102904212DC41F60002C4F20002904228 -:1053000018D0002212E040F60002C4F200029042DF -:1053100010D040F60042C4F2000209E044F200025C -:10532000C4F20102904205D040F20042C4F20102F0 -:10533000904202D121F080018160012087F83D0078 -:10534000002087F83C004DF23C0608F50060C2F2F0 -:1053500001062921632286E8130030460D94CDE929 -:105360000B44C6E90324B461E2F7AAFF0AA9304658 -:105370000A95E3F793F996F83C0001284BD00120F9 -:10538000022286F83C0086F83D2030684368816838 -:1053900062F3061341F2FF72C4F2000290424360CE -:1053A0000BDC40F2FF72C4F20002904213DCB0F159 -:1053B000804F27D0404525D027E040F2FF32C4F28D -:1053C0000102904212DC41F60002C4F20002904257 -:1053D00018D0002212E040F60002C4F2000290420F -:1053E00010D040F60042C4F2000209E044F200028C -:1053F000C4F20102904205D040F20042C4F2010220 -:10540000904202D121F080018160012086F83D00A8 -:10541000002086F83C0049F66C1408F54060C1F2A3 -:10542000000441F267010025C72684E86300204696 -:10543000A561E2F745FF94F83C0001284BD001201C -:10544000022284F83C0084F83D202068436881688B -:1054500062F3061341F2FF72C4F20002904243600D -:105460000BDC40F2FF72C4F20002904213DCB0F198 -:10547000804F27D0404525D027E040F2FF32C4F2CC -:105480000102904212DC41F60002C4F20002904296 -:1054900018D0002212E040F60002C4F2000290424E -:1054A00010D040F60042C4F2000209E044F20002CB -:1054B000C4F20102904205D040F20042C4F201025F -:1054C000904202D121F080018160012084F83D00EA -:1054D000002084F83C004DF27C0408F58050C2F2B4 -:1054E000010440F2A31184E863002046A561E2F7BD -:1054F000E7FE94F83C0001284BD00120022284F8FA -:105500003C0084F83D2020684368816862F30613FC -:1055100041F2FF72C4F20002904243600BDC40F2A1 -:10552000FF72C4F20002904213DCB0F1804F27D02A -:10553000404525D027E040F2FF32C4F201029042FC -:1055400012DC41F60002C4F20002904218D00022A0 -:1055500012E040F60002C4F20002904210D040F681 -:105560000042C4F2000209E044F20002C4F2010267 -:10557000904205D040F20042C4F20102904202D1B2 -:1055800021F080018160012084F83D00002084F832 -:105590003C0049F2FC3049F6AC165844C1F2000612 -:1055A0000025D12144F61F6286E8230030460D9580 -:1055B000CDE90B55C6E90325C6E90555E2F780FE9E -:1055C0004FF480500A900AA93046E3F767F896F83E -:1055D0003C0001284BD00120022286F83C0086F8CE -:1055E0003D2030684368816862F3061341F2FF7220 -:1055F000C4F20002904243600BDC40F2FF72C4F23E -:105600000002904213DCB0F1804F27D0404525D0F6 -:1056100027E040F2FF32C4F20102904212DC41F670 -:105620000002C4F20002904218D0002212E040F6BC -:105630000002C4F20002904210D040F60042C4F2D0 -:10564000000209E044F20002C4F20102904205D0D7 -:1056500040F20042C4F20102904202D121F08001E6 -:105660008160012086F83D00002086F83C000A9504 -:10567000DAF81400012140F48040CAF81400DAF886 -:10568000140000F480400A900A9840F20040C4F2EE -:1056900027200160F9F7F6FA49F6EC62C1F2000240 -:1056A00010681168091AB1F57A7F0ED81168091AC5 -:1056B000B1F57A7F09D81168091AB1F57A7F04D853 -:1056C0001168091AB1F57A7FEBD948F21863C1F273 -:1056D000000318884FF000080FF6C8460027F15D58 -:1056E00048F2185582B2C1F20005A9544CF2782153 -:1056F000C2F201010130098882B28A42188012D1B7 -:105700000025DCF787FBB8EB552F03D1012805F105 -:105710000105F6D0DCF77EFB48F218630128C1F2E0 -:1057200000030AD0188881B2B1F5807F07F1010724 -:1057300024BF00201880262FD1D10FF6904002F010 -:1057400051FB47F2A870C1F200000178D9BB4AF2C0 -:105750001A450121C2F2010501702846DBF7BBF8AA -:105760002D212952411CCAB23221A954821CD2B225 -:105770003123AB54C21CD2B23027AF54021DD2B277 -:10578000AB54421DD2B23323AB54821DD2B2AF54BC -:10579000C21DD2B22E23AB5400F10802D2B2A954DA -:1057A00000F10902D2B2A95400F10A02D2B2A954FE -:1057B00000F10B02D2B20C30A954C0B2002129541E -:1057C000284602F00FFB0FF62C4002F00BFB20687E -:1057D000C16841F00101C160816801F0070106293B -:1057E00003D0016841F00101016041F2E150C0F6CF -:1057F000040002F0F7FAE2F78DFA41F2FB50C0F62E -:10580000040002F0EFFA18208DF828004FF48070A1 -:10581000002700900AA812A903224B46ADF8297070 -:105820008DF84A70ADF848700197EAF71BFD90B902 -:105830004BF28450C2F201000121017040F66B501E -:10584000C0F6040002F0CEFA40F64450C0F6040060 -:105850000121E7F7F7FC40F22008C4F20E28E0F738 -:105860006BF841F22020C0F6040002F0BBFA4AF2C5 -:10587000B020C2F20100006849F6EC6290F8029094 -:10588000DAF81000C1F2000240F08050CAF81000AF -:10589000DAF8000040F48020CAF800000120C8F8BF -:1058A00084001068DBF8001009071FD41168091A7A -:1058B000B1F57A7F1AD8DBF80010090716D4116801 -:1058C000091AB1F57A7F11D8DBF8001009070DD459 -:1058D0001168091AB1F57A7F08D8DBF800100907BA -:1058E00004D41168091AB1F57A7FDBD90120C8F810 -:1058F0000000C8F88400DBF8000000075CBFDBF89C -:1059000000005FEA007007D4DBF80000000703D452 -:10591000DBF800000007EED547F2F07AC1F2000A8A -:1059200044F20001C4F2020150464FF4CA72DBF7A0 -:1059300058FD9AF89101642859D19AF8000064281A -:1059400055D19AF890010021642740F2931C8AF8FF -:1059500090110AEB01035E789C78DD7837441A7960 -:1059600027442F443A445F799E793A44DF793244A0 -:105970001E7A3A445F7A32449E7A3A44DF7A32445D -:105980001E7B3A445F7B32449E7B3A44DF7B324449 -:105990001E7C3A445F7C32449E7C3A44DF7C324435 -:1059A0001E7D3A445F7D32449E7D3A44DF7D324421 -:1059B0001E7E3A445F7E32449E7E3A44DF7E32440D -:1059C0001E7F3A445F7F32449E7F3A44DB7F3244FD -:1059D0001F31614503EB0207BBD1F9B288428AF857 -:1059E000900104D140F6C150C0F60400FBE144F63A -:1059F0000001C4F2020150464FF4CA72DBF7F1FC19 -:105A00009AF89101642859D19AF80000642855D178 -:105A10009AF890010021642740F2931C8AF89011B3 -:105A20000AEB01035E789D78DC7837441A792F44BD -:105A300027443A445F799E793A44DF7932441E7AAA -:105A40003A445F7A32449E7A3A44DF7A32441E7B8B -:105A50003A445F7B32449E7B3A44DF7B32441E7C77 -:105A60003A445F7C32449E7C3A44DF7C32441E7D63 -:105A70003A445F7D32449E7D3A44DF7D32441E7E4F -:105A80003A445F7E32449E7E3A44DF7E32441E7F3B -:105A90003A445F7F32449E7F3A44DB7F32441F3179 -:105AA000614503EB0207BBD1F9B288428AF8900145 -:105AB00004D140F6FF50C0F6040094E141F27D406D -:105AC000C0F6040002F08EF94AF26D56C2F20106E9 -:105AD000307878B94FF48050009042F62610089B39 -:105AE0000027C1F20000002101220197EAF7BAFB6A -:105AF000DCF70CFE47F2F07AC1F2000A00245046AF -:105B00004FF4CA71042201230094DCF733FDB8BBC3 -:105B100047F2F070C1F200004FF4CA710422012371 -:105B20000094DCF727FD58BB47F2F0700024C1F267 -:105B300000004FF4CA71042201230094DCF71AFD1F -:105B4000F0B947F2F070C1F200004FF4CA710422BC -:105B500001230094DCF70EFD90B947F2F0700027A6 -:105B6000C1F200004FF4CA71042201230097DCF750 -:105B700001FD28B941F20840C0F6040002F032F9F4 -:105B8000307868B94FF4805000904AF24530089B55 -:105B90000027C2F20100002101220197EAF762FB0F -:105BA0009AF89101642841F29E40C0F6040040F04A -:105BB0001A819AF80010642940F015819AF8900132 -:105BC0000021642740F2931C8AF890110AEB01032C -:105BD0005E789D78DC7837441A792F4427443A441C -:105BE0005F799E793A44DF7932441E7A3A445F7A8B -:105BF00032449E7A3A44DF7A32441E7B3A445F7BD9 -:105C000032449E7B3A44DF7B32441E7C3A445F7CC4 -:105C100032449E7C3A44DF7C32441E7D3A445F7DB0 -:105C200032449E7D3A44DF7D32441E7E3A445F7E9C -:105C300032449E7E3A44DF7E32441E7F3A445F7F88 -:105C400032449E7F3A44DB7F32441F31614503EB8F -:105C50000207BBD1F9B288428AF8900177D143F6A6 -:105C60003001C4F20201086949F6EC6240F080504C -:105C700008610868C1F2000240F480200860012039 -:105C8000C8F884001068DBF8001009071FD41168F9 -:105C9000091AB1F57A7F1AD8DBF80010090716D473 -:105CA0001168091AB1F57A7F11D8DBF800100907DD -:105CB0000DD41168091AB1F57A7F08D8DBF8001005 -:105CC000090704D41168091AB1F57A7FDBD90120DC -:105CD000C8F80000C8F88400DBF8000000075CBFCB -:105CE000DBF800005FEA007007D4DBF80000000773 -:105CF00003D4DBF800000007EED50020AAF1030171 -:105D00004FF4CA728AF8900111F8043F043A11F86E -:105D1000017C4E7838448D78184430442844F3D1BF -:105D200049F6D664C1F20004267847F2F071C1F258 -:105D300000018AF89001BEB344F60000C4F20200EC -:105D40004FF4CA72DBF74DFB44F2000036E041F23B -:105D50009E40C0F6040046E0306841F288312A6C6B -:105D600092073EF583AB3268121A8A423EF688AB40 -:105D70002A6C92073EF57AAB3268121A8A423EF6D6 -:105D80007FAB2A6C92073EF571AB3268121A8A42D9 -:105D90003EF676AB2A6C92073EF568AB3268121A73 -:105DA0008A42DCD9FEF76CBB44F20000C4F2020068 -:105DB0004FF4CA72DBF715FB44F60000C4F2020090 -:105DC0004FF4CA71DBF7DBFC4BF6CE710020C2F258 -:105DD0000101C8F80000087086F00100207040F64C -:105DE000A470C0F6040001F0FDFF002047F2F0743B -:105DF000C8F80000C1F2000494F89101642806D1AB -:105E00002078642803D1B9F1000F00F06E81B9F158 -:105E1000000F03D00FF2F85001F0E4FF20464FF4DA -:105E2000CA71DBF7ACFC6420208045F26060C0F2F0 -:105E30006C004FF0000B4EF6701740F68C214FF4BB -:105E40009672C4E9010B4FF47A700123C0F2D707B0 -:105E5000C4E9032184F814B0A0612377C4E908736E -:105E6000C4E90A2160630620A4F83401042084F800 -:105E7000780142F25C2040F2000AC0F6030040F2D2 -:105E80000149C4F2401AA0F11806C0F201690027C6 -:105E900084F830B084F8383084F88E31C4F830A1FA -:105EA00084F83C30D6E90801E519091A00EB5100E5 -:105EB0002864FDF73DFF1437F02F06F1180685F82A -:105EC000440085F84CB0C5F848A0C5F84D90E9D11C -:105ED00040F20140A4F8870100204FF42F7140F6F2 -:105EE0008C2240F6A07304F5B67C84F84501C0F21C -:105EF0002C12C3F698238CE80E00142184F8361176 -:105F00004FF48571A4F86911032184F86B1140F2F4 -:105F10000111C4F8411140F2F411C0F2F411C4F8B7 -:105F20007A113C2184F85D111E21A4F882114FF0F2 -:105F30000131C4F88A1141F28831A4F83811632183 -:105F400084F868110A21C0F26401C4F83C1140F2DF -:105F5000DD31A4F85E1100210125C0F2322184F860 -:105F60008651A4F87E5184F88F0184F88951A4F8F1 -:105F7000460184F83A0184F8805184F8400184F89D -:105F80003701C4E9580184F85901782046F24811D4 -:105F9000A4F85A013220C5F26D2184F85C01642016 -:105FA000C4F8491146F2521184F8910141F2EB30E4 -:105FB000C0F26401C0F6040084F8795184F84851B5 -:105FC000C4F84C11A4F8845101F00CFF43F63001E1 -:105FD000C4F20201086949F6EC6240F080500861A1 -:105FE0000868C1F2000240F480200860C8F88450BC -:105FF000106847F20403C4F20003196809071CD4AF -:106000001168091AB1F57A7F17D81968090714D4ED -:106010001168091AB1F57A7F0FD8196809070CD4ED -:106020001168091AB1F57A7F07D81968090704D4ED -:106030001168091AB1F57A7FDFD90120C8F800008C -:10604000C8F88400186800075CBF18685FEA007031 -:1060500005D41868000702D418680007F2D500209C -:10606000E11E4FF4CA7284F8900111F8043F043A1B -:1060700011F8017C4E7838448D7818443044284417 -:10608000F3D149F6D666C1F20006357847F2F071D1 -:10609000C1F2000184F8900155B144F60000C4F249 -:1060A00002004FF4CA72DBF79CF944F2000009E0E9 -:1060B00044F20000C4F202004FF4CA72DBF791F917 -:1060C00044F60000C4F202004FF4CA71DBF757FB3C -:1060D0004BF6CE710020C2F20101C8F80000087032 -:1060E00085F001003070EEF783FA41F29D500027F1 -:1060F000C0F60400C6F2080701F074FE47F2B4715E -:10610000C1F200010120087094F8850142F27C314F -:10611000C0F6030166224AF2080410FB0210C2F224 -:1061200001042060E3F71EFA4FF0C04036210180E1 -:10613000282049F6EC623880C1F20002106811682C -:10614000091A79290BD21168091A782907D8116818 -:10615000091A782903D81168091A7929EFD3206818 -:106160000088E2F727FF4BF6B470C2F20100012469 -:1061700004704FF29E70E2F71DFF4FF0C0402A21DD -:1061800001804FF00009DF21A7F80090A7F80090E8 -:106190003C8039802B2101803F21A7F80090A7F88F -:1061A00000903C8039802C214CF600454FF0010EC8 -:1061B0000180C0F602054FF0000C35F91C00B0F16B -:1061C000FF3F65DC404281B2012998BF70466044C0 -:1061D000089005EB4C0000230421CB46069100EB10 -:1061E000430609EB03047188621CB2F5163F39803F -:1061F00080F096800CEB030A0AF101018AB208993B -:10620000804689B2384691420AF1020272D0069F56 -:1062100004F1020E38F80750BEF5163F05804CF623 -:106220000045C0F602054FF0010E79D292B29142BC -:106230000AF1030764D0069A4FF0010E42445588D4 -:10624000E21CD20A05804CF600454A2AC0F6020537 -:1062500066D8BAB291420AF104025BD0043436899E -:10626000E40A4A2C06805BD892B2914206990BF15F -:10627000040B03F1040301F10801074640460691AF -:10628000ADD10CEB030000F1010C994495E700BF80 -:10629000E8B305EB4C0149884844A0F1010809F135 -:1062A0000100B0F5163F39803AD21FFA89F21FFA81 -:1062B00088F63B46964221D009F10204B4F5163F18 -:1062C00019802DD280B286421FD009F10302D00A74 -:1062D0004A28198024D8A0B286421FD009F10409A7 -:1062E0004FEAD9204A2819801AD890B286421F4610 -:1062F000D5D10CE00BF10109944604E0814605E09C -:106300000BF10209BC46074657E7A1461F460CF1B0 -:10631000020C52E70BF103099446F4E79146F5E7C6 -:1063200049F6DE6847F2F07A00200A264FF00109AC -:10633000C1F2000800244FF0000BC1F2000A4AF23B -:106340001A41C2F201010A5C002A00F08C805E2A28 -:1063500068D14AF21A41C2F20101084440786F281C -:1063600060D1651C6FEA0B070BF1010BA5EB0B016C -:106370004AF21A42A8B201EB4101C2F20102125CD8 -:1063800006EB41004FF29E71009180B240F22B115A -:106390004FF47843CDF80490E3F754FAA11CC819E0 -:1063A0002C4654E00D0A2D2D2D2D2D2D2D2D2D2D6E -:1063B0002D2D2D2D2D2D2D2D2D2D2D2D2D2D2D2D0D -:1063C0002D2D2D2D2D2D2D2D0D0A0000576F6C66B6 -:1063D0002D4C697465205472616E736365697665CE -:1063E0007220496E697469616C697A6174696F6E53 -:1063F0002E2E2E005B4F4B5D204649464F20746980 -:106400006D65722054494D3720696E697400000033 -:106410005B4F4B5D20536F6674207265736574200B -:1064200054525800A4EB0B0000EB400006EB400078 -:106430004FF29E71009180B24FF497714FF4784300 -:10644000CDF80490E3F7FEF9611CA1EB0B0000EB23 -:10645000400006EB4000A2B2A8F8000088B27F2AF4 -:106460000C46FFF46CAF49F23A67C1F200073846B8 -:10647000DAF731FA46F24852C6F66C4239183A5009 -:1064800042F66F40C0F2200048600AF249113846D7 -:10649000DAF740FADAF71FFA391842F2201200242C -:1064A00047F6EC653A528C70C0F6030509A80DF169 -:1064B000260112AACDE90021CDE902050AAB384632 -:1064C000EB211922EB26E3F75DFC4AF20800C2F249 -:1064D00001000068BDF82610B0F84630A6EB510068 -:1064E0004FF29E71009181B2384619220195E3F76F -:1064F0008BFD41F21060C0F6040001F073FC4DF218 -:10650000A820C2F20100C0F88840C0E900448460BD -:106510000473C0E90444C0E9064480F82040C0E99F -:106520000944C0E90B4480F83440C0E90E44C0E996 -:10653000104480F8484080F85C40C0E91344C0E94A -:106540001544C0E91844C0E91A4480F87040C0E915 -:106550001D44C0E91F4480F8844041F20720C0F682 -:10656000040001F03FFC4AF2B020C2F201000068D2 -:10657000817811B190F8260008B9002000E00120D0 -:1065800049F62C14C1F20004E6F734FF41F215502D -:10659000C0F6040001F026FCDCF7CAFDDCF732FB94 -:1065A0002068C16841F00101C160816801F0070104 -:1065B000062903D0016841F00101016041F2B950A0 -:1065C000C0F6040001F00EFC42F6940749F6EC64B4 -:1065D0004FF00008C1F200074FF0110B01250AAE81 -:1065E0004FF002094FF0000AC1F20004B868B989FF -:1065F0003A688161BB889361CDE90A1B3146CDE9D8 -:106600000C58E0F789FFB9883868CDE90A1B314694 -:10661000CDE90C58E0F780FF1A20B8731E20A7F8C8 -:106620000F00A7F87490FDF727FC226801460028A8 -:1066300018BF012123689B1A022B0BD223689B1AD7 -:10664000012B07D823689B1A012B03D823689B1AB8 -:10665000022BEFD320B18A445FFA8AF10329C5D314 -:1066600060B141F22410C0F6040001F0BBFB41F21E -:106670007330C0F604000121E6F7E4FD49F6EC644E -:106680004FF000084FF0110B01250AAE4FF0020940 -:106690004FF0000AC1F20004B868B9893A68816114 -:1066A000BB889361CDE90A1B3146CDE90C58E0F770 -:1066B00033FFB9883868CDE90A1B3146CDE90C585B -:1066C000E0F72AFF1A20B87348F20500A7F80F0078 -:1066D000A7F87490FDF7D0FB22680146002818BF88 -:1066E000012123689B1A022B0CD223689B1A012BD1 -:1066F00008D823689B1A012B04D823689B1A022B05 -:10670000EFD300BF20B18A445FFA8AF00328C3D3D5 -:1067100049F6EC644FF000084FF0110B01250AAE6A -:106720004FF002094FF0000AC1F20004B868B989BD -:106730003A688161BB889361CDE90A1B3146CDE996 -:106740000C58E0F7E9FEB9883868CDE90A1B3146F4 -:10675000CDE90C58E0F7E0FE1A20B87348F20700C4 -:10676000A7F80F00A7F87490FDF786FB2268014692 -:10677000002818BF012123689B1A022B0CD2236822 -:106780009B1A012B08D823689B1A012B04D8236875 -:106790009B1A022BEFD300BF20B18A445FFA8AF024 -:1067A0000328C3D349F6EC644FF000084FF0110BF7 -:1067B00001250AAE4FF002094FF0000AC1F20004B1 -:1067C000B868B9893A688161BB889361CDE90A1BD1 -:1067D0003146CDE90C58E0F79FFEB9883868CDE91D -:1067E0000A1B3146CDE90C58E0F796FE1A20B87323 -:1067F00040F60E60A7F80F00A7F87490FDF73CFB79 -:1068000022680146002818BF012123689B1A022B29 -:106810000CD223689B1A012B08D823689B1A012BE2 -:1068200004D823689B1A022BEFD300BF20B18A44FF -:106830005FFA8AF00328C3D349F6EC644FF00008EE -:106840004FF0110B01250AAE4FF002094FF0000A7C -:10685000C1F20004B868B9893A688161BB88936164 -:10686000CDE90A1B3146CDE90C58E0F755FEB98851 -:106870003868CDE90A1B3146CDE90C58E0F74CFEEB -:106880001A20B8731020A7F80F00A7F87490FDF72E -:10689000F3FA22680146002818BF012123689B1AD9 -:1068A000022B0BD223689B1A012B07D823689B1A53 -:1068B000012B03D823689B1A022BEFD320B18A4403 -:1068C0005FFA8AF00328C5D349F6EC644FF000085C -:1068D0004FF0110B01250AAE4FF002094FF0000AEC -:1068E000C1F20004B868B9893A688161BB889361D4 -:1068F000CDE90A1B3146CDE90C58E0F70DFEB98809 -:106900003868CDE90A1B3146CDE90C58E0F704FEA2 -:106910001A20B8734FF48970A7F80F00A7F8749085 -:10692000FDF7AAFA22680146002818BF0121236852 -:106930009B1A022B0CD223689B1A012B08D82368C0 -:106940009B1A012B04D823689B1A022BEFD300BF9C -:1069500020B18A445FFA8AF00328C3D349F6EC6475 -:106960004FF000084FF0110B01250AAE4FF002095D -:106970004FF0000AC1F20004B868B9893A68816131 -:10698000BB889361CDE90A1B3146CDE90C58E0F78D -:10699000C3FDB9883868CDE90A1B3146CDE90C58EA -:1069A000E0F7BAFD1A20B8734FF40040A7F80F00C3 -:1069B000A7F87490FDF760FA22680146002818BF16 -:1069C000012123689B1A022B0CD223689B1A012BEE -:1069D00008D823689B1A012B04D823689B1A022B22 -:1069E000EFD300BF20B18A445FFA8AF00328C3D3F3 -:1069F00049F6EC644FF000084FF0110B01250AAE88 -:106A00004FF002094FF0000AC1F20004B868B989DA -:106A10003A688161BB889361CDE90A1B3146CDE9B3 -:106A20000C58E0F779FDB9883868CDE90A1B314682 -:106A3000CDE90C58E0F770FD1A20B87348F2020057 -:106A4000A7F80F00A7F87490FDF716FA2268014620 -:106A5000002818BF012123689B1A022B0CD223683F -:106A60009B1A012B08D823689B1A012B04D8236892 -:106A70009B1A022BEFD300BF20B18A445FFA8AF041 -:106A80000328C3D349F6EC644FF000084FF0110B14 -:106A900001250AAE4FF002094FF0000AC1F20004CE -:106AA000B868B9893A688161BB889361CDE90A1BEE -:106AB0003146CDE90C58E0F72FFDB9883868CDE9AB -:106AC0000A1B3146CDE90C58E0F726FD1A20B873B1 -:106AD00041F20860A7F80F00A7F87490FDF7CCF911 -:106AE00022680146002818BF012123689B1A022B47 -:106AF0000CD223689B1A012B08D823689B1A012B00 -:106B000004D823689B1A022BEFD300BF20B18A441C -:106B10005FFA8AF00328C3D349F6EC644FF000080B -:106B20004FF0110B01250AAE4FF002094FF0000A99 -:106B3000C1F20004B868B9893A688161BB88936181 -:106B4000CDE90A1B3146CDE90C58E0F7E5FCB988E0 -:106B50003868CDE90A1B3146CDE90C58E0F7DCFC7A -:106B60001A20B87341F20A00A7F80F00A7F8749032 -:106B7000FDF782F922680146002818BF0121236829 -:106B80009B1A022B0CD223689B1A012B08D823686E -:106B90009B1A012B04D823689B1A022BEFD300BF4A -:106BA00020B18A445FFA8AF00328C3D349F6EC6423 -:106BB0004FF000084FF0110B01250AAE4FF002090B -:106BC0004FF0000AC1F20004B868B9893A688161DF -:106BD000BB889361CDE90A1B3146CDE90C58E0F73B -:106BE0009BFCB9883868CDE90A1B3146CDE90C58C1 -:106BF000E0F792FC1A20B87346F20C70A7F80F0069 -:106C0000A7F87490FDF738F922680146002818BFEC -:106C1000012123689B1A022B0CD223689B1A012B9B -:106C200008D823689B1A012B04D823689B1A022BCF -:106C3000EFD300BF20B18A445FFA8AF00328C3D3A0 -:106C40004CF22920C2F20100002440F61809047019 -:106C50008020C4F20209C9F8000041F2AB50C0F62E -:106C6000040001F0BFF84CF20C06C2F201063068D5 -:106C7000012859D046F66400C2F201004FF4406189 -:106C8000DAF77DFD47F26440C2F201004FF4406143 -:106C9000DAF775FD45F26260C2F201004FF49061CF -:106CA000DAF7D1FB45F6E220C2F201004FF4906121 -:106CB000DAF7C9FB45F66270C2F201004FF41061C9 -:106CC000DAF7C1FB4AF2F440C2F20100046040F27C -:106CD0000000C1F200004FF41061DAF750FD47F2F6 -:106CE000D170C1F20000047047F6F910C1F2000043 -:106CF00004704CF22820C2F201000470F9F70CFA7B -:106D0000F9F72AFF0120306044F66020C2F201004A -:106D10004FF4C061DAF733FD45F26000C2F20100C2 -:106D20004FF4C061DAF72BFD47F2F075C1F20005B0 -:106D3000687805F1240105F12006002808BF05F157 -:106D400008010F68314605F1040408BF21460868B0 -:106D500040F20508C0F2FF08F7F78AF868780028C3 -:106D600008BF264638463146F7F78AFA4CF66410D3 -:106D7000C2F20100DFF756F949F25C60C1F200008F -:106D8000DFF750F941F28E50C0F6040001F02AF806 -:106D900046F6F054C1F20004FF200126C4E90006C3 -:106DA000079803272146C4E90267E0F7B5FB0C20EA -:106DB000C4E90006C4E9026740F60007C4F202070E -:106DC00038462146E0F7A8FB46F60560C1F2000010 -:106DD0004FF6000145F255520670CFF6FF71CAF624 -:106DE000A9224FF618067A50CFF6FF76082100BF89 -:106DF00000BF00BF47F80680C9F80010042149F61B -:106E0000EC63C9F800104FF44021C1F20003C9F847 -:106E1000001000BF00BF00BF19681A68521A652A27 -:106E20000BD21A68521A642A07D81A68521A642AAE -:106E300003D81A68521A652AEFD308F10101B95133 -:106E40000821C9F800100421C9F800104FF44021AE -:106E5000C9F800104AF23001C2F20101002500BF5A -:106E600000BF00BF0D70057041F23450C0F6040041 -:106E700000F0B8FF4BF28C5446F6D05742F214584B -:106E8000C2F20104C1F200074126C0F60308204601 -:106E90004FF480613E80C7F80880DAF770FC7C60B0 -:106EA00046F60C644BF2545742F21869C1F20004E2 -:106EB000C2F20107C0F6030920464FF480613E800C -:106EC000C7F80890DAF75BFC7C604BF68C1446F64A -:106ED000DC57C2F20104C1F2000720464FF4806182 -:106EE0003E80C7F80880DAF74AFC7C6047F20C2441 -:106EF0004BF26057C1F20004C2F2010720464FF482 -:106F000080613E80C7F80890DAF739FC7C6049F66A -:106F1000F814C1F2000420468021DAF730FC49F66B -:106F20007820C1F200004FF40071DAF728FC4DF22E -:106F3000C840C2F20100056049F6D060C1F200000D -:106F400005604DF2BC00C2F20100012606704DF250 -:106F5000C000C2F20100046049F67844C1F20004A6 -:106F600020464FF4BE71DAF70AFC49F6EC10C1F284 -:106F70000000046049F6F01041F26F21C1F20000F8 -:106F8000C3F6032101604DF2C400C2F201000560A6 -:106F900049F6F410C1F200000560E8F715FD4DF266 -:106FA0003C00C2F201000068C16841F00101C1600B -:106FB000816801F00701062903D0016841F0010151 -:106FC000016047F2B470C1F2000005704BF6947096 -:106FD000C2F20100067086718672067286700671B2 -:106FE000C67242F61C10C1F2000005604BF6B87084 -:106FF000C2F20100056042F62410C1F20000FF2138 -:1070000001704AF26A00C2F20100017042F62510D6 -:10701000C1F20000017042F62210C1F2000042F2FB -:107020000F7101804AF26800C2F20100018042F64D -:107030002010C1F200000180E5F7C6FF41F2545074 -:10704000C0F6040000F0CEFE49F66C10C1F200005C -:107050000068C16841F00101C160816801F0070169 -:10706000062903D0016841F00101016041F2CE50D0 -:1070700041F2040AC0F60400CEF2000A00F0B2FEAB -:107080004EF60C51CEF20001D1F8F0004AF2285829 -:1070900040F08070C1F8F0005AF8040CC2F2010808 -:1070A00040F001004AF8040C0020CAF8000088F8FB -:1070B00000004BF6F470C2F201000121017041F2B0 -:1070C000FB40C0F6040000F08DFE4CF6FC70C2F2EE -:1070D0000100006849F6AC14C168C1F2000441F037 -:1070E0000101C160816801F00701062903D0016830 -:1070F00041F00101016041F26E50C0F6040000F061 -:1071000071FE2068C16841F00101C160816801F031 -:107110000701062903D0016841F00101016048F22E -:107120001863C1F20003188840F68C474FF000093D -:10713000C0F604070025795D48F2185682B2C1F204 -:107140000006B1544CF27821C2F2010101300988E5 -:1071500082B28A42188012D10026DAF75BFEB9EBC0 -:10716000562F03D1012806F10106F6D0DAF752FEB8 -:1071700048F218630128C1F200030AD0188881B2CE -:10718000B1F5807F05F1010524BF002018801F2D77 -:10719000D1D142F6742C4AF2205142F66C224AF2C6 -:1071A00024534BF6FD76C1F2000CC2F20101C1F28C -:1071B0000002C2F201030127C2F20106DAF8005010 -:1071C000DCF8004008682D1B284415680860681C1E -:1071D0001060DAF8000088F80070186030BF30786E -:1071E0000028EBD0E1F768FD55F82C1C01F03F02B8 -:1071F00055F82C1C55F82C3C4902C3F3881109D4CE -:10720000A1FB00010023DAF771FB49F6EC66C1F23D -:10721000000606E041F20020C0F27A004843B0FBCD -:10722000F2F055F82C1C0222C1F3014102EB41019E -:10723000B0FBF1F055F8281C18A2C1F30311515C02 -:10724000C840A0FB07124FF0FF3101EB9212002162 -:10725000B1EB126FC8F800007DF4BCA849F6F060ED -:10726000C1F2000003784EF60C5749F8EC2CF022DE -:10727000CEF20007FA7549F8E81C0721012B49F8FE -:10728000F01C7DF4A7A84EF60C52CEF20002116855 -:107290000021D17501210170FDF79CB800000000AC -:1072A0000000000001020304060708092DE9F04F61 -:1072B00087B04DF2A022C2F20102116821B1481E2E -:1072C000106007B0BDE8F08F054649F6D060C1F206 -:1072D0000000006849F67824C1F2000404EB800045 -:1072E00029464FF48072DAF77CF84DF2C840C2F2BA -:1072F000010000684DF2BC0204EB800A49F6EC1074 -:10730000C2F20102C1F2000049F6F01112780768DA -:107310004DF2C000C1F2000149F6F413C2F20100BF -:1073200091ED000A1146C1F200030068002A18BF5F -:10733000202193ED004A4DF2C403C2F201034E1E18 -:1073400093ED003A89089FED0B1A9FED0B2A4DF241 -:10735000C808019607EB86030491A0F1400EA7F13F -:107360004006C2F20108402428463946A946039542 -:1073700002970EE000000000000000349BED004A80 -:107380000BF10401013C06F104064846E04600F01A -:107390005B8159F8047B24EE044A43F8047B90EDAA -:1073A000005A8B4633EE443A25EE054A002AB0EEE9 -:1073B000415A00F08A80049937467546B0EE415A2A -:1073C00097ED106AD5ED102A97ED117AD5ED113AA7 -:1073D000D7ED120AD5ED124A22EE866AD7ED131ABE -:1073E000D5ED135A36EE055A23EE876A35EE065A66 -:1073F00024EEA06A97ED147AD5ED140A35EE065AFC -:1074000025EEA16A35EE065A20EE876A97ED157AC9 -:10741000D5ED150A36EE055A20EE876A97ED167AF5 -:10742000D5ED160A35EE065A20EE876A97ED177AE3 -:10743000D5ED170A35EE065A20EE876A97ED187AD1 -:10744000D5ED180A35EE065A20EE876A36EE055A53 -:1074500097ED196A95ED197AD5ED1A0A27EE066AA5 -:1074600097ED1A7AD5ED1B1A35EE065A20EE876A8B -:1074700097ED1B7A35EE065A21EE876AD5ED1C2A68 -:1074800035EE065A97ED1C6AD5ED1D3A97ED1D7A3B -:10749000D5ED1E4AD7ED1E0A22EE866AD5ED1F5A9B -:1074A000D7ED1F1A36EE055A23EE876A35EE065AD7 -:1074B00024EEA06A35EE065A25EEA16A4035403723 -:1074C00035EE065A04397FF47BAF34EE033ABAEC5A -:1074D000014A08F1040C34EE454A002A88ED005AAE -:1074E00080ED004A3FF44AAF24EE004A33EE025AE0 -:1074F00084EE054A04983146754600BF91ED105A56 -:1075000095ED106A25EE045A36EE055A85ED105AAF -:1075100091ED115A95ED116A25EE045A36EE055A91 -:1075200085ED115A91ED125A95ED126A25EE045A25 -:1075300036EE055A85ED125A91ED135A95ED136A00 -:1075400025EE045A36EE055A85ED135A91ED145A7C -:1075500095ED146A25EE045A36EE055A85ED145A57 -:1075600091ED155A95ED156A25EE045A36EE055A39 -:1075700085ED155A91ED165A95ED166A25EE045AC9 -:1075800036EE055A85ED165A91ED175A95ED176AA4 -:1075900025EE045A36EE055A85ED175A91ED185A24 -:1075A00095ED186A25EE045A36EE055A85ED185AFF -:1075B00091ED195A95ED196A25EE045A36EE055AE1 -:1075C00085ED195A91ED1A5A95ED1A6A25EE045A6D -:1075D00036EE055A85ED1A5A91ED1B5A95ED1B6A48 -:1075E00025EE045A36EE055A85ED1B5A91ED1C5ACC -:1075F00095ED1C6A25EE045A36EE055A85ED1C5AA7 -:1076000091ED1D5A95ED1D6A25EE045A36EE055A88 -:1076100085ED1D5A91ED1E5A95ED1E6A25EE045A10 -:1076200036EE055A85ED1E5A91ED1F5A95ED1F6AEB -:1076300025EE045A36EE055A85ED1F5A4035403185 -:1076400004387FF45BAF99E64DF2C400C2F201004A -:1076500080ED003A49F6F410C1F2000080ED004AD6 -:107660000BF1040749F678458DCFC1F2000585E896 -:107670008D00DBE90502029CC5E90402DBE9070293 -:10768000C5E90602DBE90902C5E90802DBE90B02EC -:10769000C5E90A02019A6FF0020000EB9202002095 -:1076A0000B181E6B27183E635E6B043A7E639E6B5D -:1076B00000F14000BE63DE6BFE631E6C3E645E6CD8 -:1076C0007E649E6CBE64DE6CFE641E6D3E655E6D07 -:1076D0007E659E6DBE65DE6DFE651E6E3E665E6EEF -:1076E0007E669E6EBE66DB6EFB66D9D101440A6B78 -:1076F000204402634A6B039F4263896B06AA81633D -:107700000020CDE9050005AB38464021FBF726FAFD -:107710009FED880A49F6D06A4DF2A0284DF2C84480 -:107720006FF00F00C1F2000AC2F20108C2F20104B8 -:10773000391891ED041A91ED052A91ED063AB4EE4F -:10774000410A91ED074AF1EE10FAB8BFB0EE410AD6 -:10775000B4EE420AF1EE10FAB8BFB0EE420AB4EE4F -:10776000430AF1EE10FAB8BFB0EE430AB4EE440A91 -:10777000F1EE10FA00F11000B8BFB0EE440AF028A4 -:10778000D6D1069849F6F81600F0FF4049F6EC1BF2 -:10779000B0F1FF4FC1F20006C1F2000B06D010EEAF -:1077A000100A00F0FF40B0F1FF4F40D13046802179 -:1077B000D9F7E5FF49F67820C1F200004FF40071D7 -:1077C000D9F7DDFF4DF2BC010120C2F201010870C2 -:1077D0004DF2C000C2F20100B946002706602846FB -:1077E0004FF4BE712760CAF80070D9F7C8FF49F698 -:1077F000F01041F26F21C1F20000C3F603210160D5 -:107800004DF2C400C2F20100076049F6F410C1F263 -:107810000000076048464FF48071CBF80050D9F75C -:10782000AEFF4FF4FA70C8F80000304604E04DF2A5 -:10783000C000C2F2010000689FED3E0A6FF00F0128 -:10784000421892ED041A92ED052A92ED063AB4EE32 -:10785000410A92ED074AF1EE10FAB8BFB0EE410AC4 -:10786000B4EE420AF1EE10FAB8BFB0EE420AB4EE3E -:10787000430AF1EE10FAB8BFB0EE430AB4EE440A80 -:10788000F1EE10FA01F11001B8BFB0EE440A702910 -:10789000D6D1B7EE001AB4EE410AF1EE10FA3ADD95 -:1078A00030468021D9F76BFF49F67820C1F20000FD -:1078B0004FF40071D9F763FF4DF2BC010120C2F211 -:1078C000010108704DF2C000C2F2010000270660FD -:1078D00028464FF4BE712760CAF80070D9F74FFFF1 -:1078E00049F6F01041F26F21C1F20000C3F6032106 -:1078F00001604DF2C400C2F20100076049F6F410C5 -:10790000C1F2000007604FF4FA70CBF80050C8F8DD -:107910000000402004E0206840307F2888BF00201D -:10792000206040307F2888BF0020CAF8000007B0E0 -:10793000BDE8F08FFFFF7FFFF0B581B01646069AD5 -:107940000C46964205462BD2971B60D049F2A460A4 -:10795000C1F2000090F83410012952D0012180F8C2 -:10796000341090F83510012948D1022280F83520D2 -:10797000002242650268D91B8068136805EB81010B -:1079800023F4802340280846136057600CBF20462C -:1079900021469060D160106840F00100106030E036 -:1079A00049F2A460C1F2000090F8341001295DD0C2 -:1079B000012180F8341090F8351001291AD10223E2 -:1079C00080F83530002343650368B11A80681F686A -:1079D00005EB810127F48027402808461F605A6084 -:1079E00004BF20460C469860DC60186840F0010037 -:1079F00018603BE0002180F8341037E0002180F867 -:107A0000341049F2A460C1F20000DEF7B3FE46B3C1 -:107A100049F2A460C1F2000090F83410012925D089 -:107A2000012180F8341090F8351001291BD1022172 -:107A300080F8351000214165016880680A6804EB10 -:107A4000870322F48022402828460A604E6004BF43 -:107A500018462B468860CB60086840F0010008603B -:107A600004E001B0F0BD002180F8341049F2A460B8 -:107A7000C1F2000001B0BDE8F040DEF77BBE0000BF -:107A80002DE9F04F81B02DED028B8EB00DF1040881 -:107A9000054640463221B0EE408AD9F770FE18EE16 -:107AA000100A04F08DFB02460B462BA02BA1002DE3 -:107AB00018BF01464046D8F787FC4046D8F70BFF71 -:107AC0004FF0FF3111FA80F1FF293FD848F2186BCF -:107AD00085B2C1F2000B012D98BF0125BBF8000053 -:107AE00048F218594CF2782A0027C1F20009C2F274 -:107AF000010A00240BE000BF81B2B1F5807F04F1E0 -:107B0000010424BF0020ABF80000AC421ED018F8DE -:107B1000041082B209F802100130BAF8001082B2E3 -:107B20008A42ABF80000E7D1002600BFDAF772F90D -:107B3000B7EB562F03D1012806F10106F6D0DAF78C -:107B400069F9012802D0BBF80000D5E70EB0BDEC02 -:107B5000028B01B0BDE8F08F2566000025660A00A3 -:107B60002DE9F04F8DB0E8460D46064640463221DD -:107B7000D9F705FE41F29C0040F6AC41C0F6040086 -:107B8000C0F60401002D18BF014640463246D8F722 -:107B90001BFC4046D8F79FFE4FF0FF3111FA80F1F1 -:107BA000FF293FD848F2186B85B2C1F2000B012DB6 -:107BB00098BF0125BBF8000048F218594CF2782A0A -:107BC0000027C1F20009C2F2010A00240BE000BF45 -:107BD00081B2B1F5807F04F1010424BF0020ABF82D -:107BE0000000AC421ED018F8041082B209F802104E -:107BF0000130BAF8001082B28A42ABF80000E7D137 -:107C0000002600BFDAF706F9B7EB562F03D101289B -:107C100006F10106F6D0DAF7FDF8012802D0BBF82C -:107C20000000D5E70DB0BDE8F08F00002DE9F04F62 -:107C300081B08B460546D8F74EFE4FF0FF3111FA62 -:107C400080F148F2186A4CF27826FF29C1F2000A46 -:107C5000C2F2010636D887B2012F98BF0127BAF8C1 -:107C6000000000244FF0000911E000BFDAF7D2F85D -:107C7000012827D0BAF8000081B2B1F5807F09F160 -:107C8000010924BF0020AAF80000B9451AD015F850 -:107C9000091048F2185382B2C1F20003995401301E -:107CA000318882B28A42AAF80000E5D14FF000087C -:107CB000DAF7B0F8B4EB582FD8D1012808F1010851 -:107CC000F6D0D3E75846D8F706FE4FF0FF3111FA49 -:107CD00080F148F21859FF29C1F2000936D81FFA7D -:107CE00080F8B8F1010F98BF4FF00108BAF8000012 -:107CF0000024002712E000BFDAF78CF801282E4696 -:107D000024D0BAF8000081B2B1F5807F07F10107F5 -:107D100024BF0020AAF80000474517D01BF8071021 -:107D200082B209F802100130318882B28A42AAF880 -:107D30000000E8D135460026DAF76CF8B4EB562F90 -:107D4000DAD1012806F10106F6D0D5E7BAF800002D -:107D50000D2109F800100130318882B28A42AAF858 -:107D6000000010D100240025DAF754F8B4EB552FA9 -:107D700003D1012805F10105F6D0DAF74BF8012807 -:107D80002CD0BAF8000081B2B1F5807F24BF00206A -:107D9000AAF8000081B20A2209F8012001303188D6 -:107DA00082B28A42AAF8000011D10024002500BF47 -:107DB000DAF730F8B4EB552F03D1012805F10105AE -:107DC000F6D0DAF727F8012808D0BAF8000080B218 -:107DD000B0F5807F24BF0020AAF8000001B0BDE804 -:107DE000F08F00002DE9F04F81B08346D8F773FD86 -:107DF0004FF0FF3111FA80F148F2186A48F2185931 -:107E00004CF27828FF29C1F2000AC1F20009C2F23F -:107E1000010833D886B2012E98BF0126BAF80000B7 -:107E20000027002511E000BFD9F7F4FF012825D075 -:107E3000BAF8000081B2B1F5807F05F1010524BFD9 -:107E40000020AAF80000B54218D01BF8051082B235 -:107E500009F802100130B8F8001082B28A42AAF87C -:107E60000000E7D1002400BFD9F7D4FFB7EB542FAF -:107E7000DAD1012804F10104F6D0D5E7BAF8000000 -:107E80000A2109F800100130B8F8001082B28A42C5 -:107E9000AAF8000011D10024002500BFD9F7BAFFCD -:107EA000B4EB552F03D1012805F10105F6D0D9F720 -:107EB000B1FF012808D0BAF8000080B2B0F5807F89 -:107EC00024BF0020AAF8000001B0BDE8F08F000038 -:107ED0002DE9F04F8DB0E846054640463221D9F7EE -:107EE0004EFC40F6AC41C0F6040140462A46D8F7A5 -:107EF0006BFA4046D8F7EFFC4FF0FF3111FA80F1F2 -:107F0000FF293FD848F2186B85B2C1F2000B012D52 -:107F100098BF0125BBF8000048F218594CF2782AA6 -:107F20000027C1F20009C2F2010A00240BE000BFE1 -:107F300081B2B1F5807F04F1010424BF0020ABF8C9 -:107F40000000AC421ED018F8041082B209F80210EA -:107F50000130BAF8001082B28A42ABF80000E7D1D3 -:107F6000002600BFD9F756FFB7EB562F03D10128E3 -:107F700006F10106F6D0D9F74DFF012802D0BBF873 -:107F80000000D5E70DB0BDE8F08F00002DE9F04FFF -:107F90008DB0E8460D46064640463221D9F7EFFB44 -:107FA00041F25160C0F6040029A1002D18BF01461E -:107FB00040463246D8F708FA4046D8F78CFC4FF0D6 -:107FC000FF3111FA80F1FF293ED848F2186B85B2D3 -:107FD000C1F2000B012D98BF0125BBF8000048F24B -:107FE00018594CF2782A0027C1F20009C2F2010A9E -:107FF00000240AE081B2B1F5807F04F1010424BFBE -:108000000020ABF80000AC421ED018F8041082B279 -:1080100009F802100130BAF8001082B28A42ABF8B7 -:108020000000E7D1002600BFD9F7F4FEB7EB562FCA -:1080300003D1012806F10106F6D0D9F7EBFE01289D -:1080400002D0BBF80000D5E70DB0BDE8F08F00BF4F -:1080500025750A002DE9F04F8DB0E8460D4606461D -:1080600040463221D9F78BFB41F29C0040F6AC41EF -:10807000C0F60400C0F60401002D18BF01464046BA -:108080003246D8F7A1F94046D8F725FC4FF0FF312A -:1080900011FA80F1FF293FD848F2186B85B2C1F27E -:1080A000000B012D98BF0125BBF8000048F21859BC -:1080B0004CF2782A0027C1F20009C2F2010A00241A -:1080C0000BE000BF81B2B1F5807F04F1010424BF51 -:1080D0000020ABF80000AC421ED018F8041082B2A9 -:1080E00009F802100130BAF8001082B28A42ABF8E7 -:1080F0000000E7D1002600BFD9F78CFEB7EB562F62 -:1081000003D1012806F10106F6D0D9F783FE012834 -:1081100002D0BBF80000D5E70DB0BDE8F08F00003D -:1081200010B521A10446D8F72FFC68B31FA1204643 -:10813000D8F72AFC50B31EA12046D8F725FC38B347 -:108140001CA12046D8F720FC20B31BA12046D8F75D -:108150001BFC18B319A12046D8F716FCE0B118A1F2 -:108160002046D8F711FCB8B116A12046D8F70CFC70 -:10817000B0B115A12046D8F707FC98B113A021464D -:10818000FFF754FD012010BD002010BD012010BDDF -:10819000092010BD022010BD082010BD072010BD11 -:1081A000042010BD062010BD303100003032000028 -:1081B0003038000030330000303600003039000025 -:1081C000304300003034000030350000556E6B6ED7 -:1081D0006F776E206D6F6465200000005FEA400CD1 -:1081E00008BF91F0000F4FEA8C234FEAC12243EA07 -:1081F00051514FEA1C5018BF00F5F0404FEA3000D3 -:1082000018BF41F000415FEA6C5C00F02980BCF1CE -:10821000FF3F08BF40F080407047130C06BF1204B8 -:108220004FF0100C4FF0000C130E04BF12020CF1B3 -:10823000080C130F04BF12010CF1040C930F04BFC0 -:1082400092000CF1020CD30F04BF52000CF1010C90 -:1082500011464FF00002A0F11F00A0EB0C00704788 -:1082600011F0004F08BF704731F000413FF4D5AF27 -:108270000B0C06BF09044FF0100C4FF0000C0B0E56 -:1082800004BF09020CF1080C0B0F04BF09010CF12B -:10829000040C8B0F04BF89000CF1020CCB0F04BF40 -:1082A00049000CF1010CCCF1200322FA03F341EA5E -:1082B000030102FA0CF2A0EB0C0000F10100704780 -:1082C00023F07F4720F07F4C80EA030000F000405D -:1082D000ACEB070303F57C5303F1FF032DE9804961 -:1082E00009B44FEA144324EA03484FEA154B25EA40 -:1082F0000B4E0FF2042606EB13273E7803FB0667AE -:10830000C7F5000707FB06F64FEAD64606F1020658 -:108310004FEA543707FB066CCCF1005C4FEA1C4770 -:108320002CEA074C0CFB06F507FB06F404EB15469C -:108330004FEA961649085FEA320234BF00204FF038 -:1083400000404FEAD13706FB07FC4FEA1C4C0CFB00 -:108350000BF7D21B03FB0CF761EB07010CFB0EF7CD -:10836000B0EB074072EB17420CFB08F734BFA2EBEF -:108370000742B2EB074261EB17414FEA0C444FEA68 -:10838000910706FB07FC4FEA1C4C0CFB0BF7B0EB0C -:10839000C74072EB573203FB0CF734BFA2EBC74266 -:1083A000B2EBC74261EB57310CFB0EF7B0EBC700E5 -:1083B00072EB57720CFB08F734BFA2EBC702B2EBAB -:1083C000C70261EB57714FEA816141EA92114FEAAE -:1083D000826242EA90124FEA806004EBCC04039F71 -:1083E0004FEAD13706FB07FC4FEA1C4C0CFB0BF79E -:1083F000D21B03FB0CF761EB07010CFB0EF7B0EB94 -:10840000074072EB17420CFB08F734BFA2EB0742A0 -:10841000B2EB074261EB17414FEA8C5504EB9C2409 -:108420004FEA910706FB07FC4FEA1C4C0CFB0BF7CD -:10843000B0EBC74072EB573203FB0CF734BFA2EB33 -:10844000C742B2EBC74261EB57310CFB0EF7B0EB02 -:10845000C70072EB57720CFB08F734BFA2EBC702E0 -:10846000B2EBC70261EB57714FEA816141EA9211A9 -:108470004FEA826242EA90124FEA806015EB4C2587 -:1084800044F100044FEAD13706FB07FC4FEA1C4CCD -:108490000CFB0BF7D21B03FB0CF761EB07010CFB8A -:1084A0000EF7B0EB074072EB17420CFB08F734BF36 -:1084B000A2EB0742B2EB074261EB17414FEA813171 -:1084C00041EA92414FEA823242EA90424FEA8030DA -:1084D0004FEA0C7615EB1C1544F1000448EA0343FF -:1084E0004EEA0B484FF0000EB2EB080C71EB03079D -:1084F00024BF624639464EEB0E0E4FF0000B0018BB -:10850000524149414BEB0B0BB2EB080C71EB0307EB -:108510007BF1000B24BF624639464EEB0E0E4FF046 -:10852000000B0018524149414BEB0B0BB2EB080C0E -:1085300071EB03077BF1000B24BF624639464EEB1B -:108540000E0E51EA020718BF46F0010616EB0E7632 -:1085500055F1000254F1000103D5BDE88901BDE8E1 -:108560000088BDE88901BDE80048B619524141EBD9 -:108570000101A3F10103704780807F7E7D7C7B7ABF -:108580007978777676757473727171706F6E6E6DBF -:108590006C6C6B6A6A696868676666656464636365 -:1085A00062616160605F5F5E5E5D5D5C5C5B5B5AEB -:1085B0005A59595858575756565555555454535358 -:1085C00052525251515050504F4F4F4E4E4D4D4DB3 -:1085D0004C4C4C4B4B4B4A4A4A49494948484847FE -:1085E000474747464646454545444444444343433C -:1085F00043424242424141419C46002B30D47700E5 -:108600002BD04FEA37071CB503B44FF010004FF0E2 -:108610001001BAF1000F06D0BCF1000FDCBF40F032 -:10862000080040F008014FF000000FBCBDE810400A -:108630000BF1010BBBF1010F08BF5FEA170722BF67 -:1086400012F1010211F101014FF0004143F1000369 -:1086500000F0004043EA00007047F9D35708D0E724 -:1086600046EA06464FEA164613F1400F1FDD13F1A6 -:10867000200FDFBF16430A46002120335B42BED0E5 -:10868000C3F1200746EA06464FEA164602FA07F704 -:1086900046EA070622FA03F2C3F1200701FA07F7B8 -:1086A00042EA070221FA03F14FF00003A7E746EA86 -:1086B000020646EA06464FEA164646EA0106BCBFEF -:1086C00046EA0646360C4FF000034FF000024FF02A -:1086D000000194E72DE9804C70B49A46934691E8E6 -:1086E000380007C831EA400C48BF34EA430C03D5D0 -:1086F000FFF7E6FDFFF780FFF0BCBDE8008C2DE939 -:10870000804C70B49A46934691E8380007C810F040 -:10871000804F08BF13F0804F03D100F005F8FFF73A -:108720006BFFF0BCBDE8008C20F07F4723F07F4C4E -:1087300080EA030000F0004007EB0C03A3F57C5334 -:10874000A3F1FE0392F0000F00F0B38095F0000F4C -:1087500000F077802DE901494FEA114021EA0048F5 -:108760004FEA144624EA064700FB06FC08FB06F61F -:1087700007FB08F818EB06484CEB164C00FB07F714 -:1087800018EB07484CEB17404FEA124B22EA0B4E0E -:108790004FEA154625EA06470BFB06FC0EFB06F6DC -:1087A00007FB0EFE1EEB064E4CEB164C0BFB07F7C1 -:1087B0001EEB074E4CEB174B18EB0B0840F100007B -:1087C00018EB0E0B58EB000840F100008F1A4FF029 -:1087D00000014FF000063CBFC943661B14BFB5EB58 -:1087E000040C00213CBFC943F61B4FEA174427EA9B -:1087F00004454FEA1C472CEA074C04FB076205FBC3 -:1088000007F70CFB05F616EB074642EB174204FB95 -:108810000CFC16EB0C4642EB1C421BEB060658EB1D -:10882000020241414EEA8E0E46EA9E0601D5BDE89F -:108830000189B619524141EB0101A3F10103BDE8E1 -:1088400001894FEA144524EA05464FEA114721EA17 -:10885000074C05FB07F406FB07F70CFB06F111EBD1 -:10886000074144EB174405FB0CFC11EB0C4144EBB6 -:108870001C444FEA124722EA074C05FB07F206FBAD -:1088800007F70CFB06F616EB074642EB174205FB13 -:108890000CFC16EB0C4642EB1C456A1854F1000127 -:1088A00048BF7047B619524141EB0101A3F10103E2 -:1088B000704795F0000F37D04FEA114221EA024687 -:1088C0004FEA144724EA074C02FB07F106FB07F7BF -:1088D0000CFB06F414EB074441EB174102FB0CFCC4 -:1088E00014EB0C4441EB1C414FEA154725EA074CB9 -:1088F00002FB07F506FB07F70CFB06F616EB07462F -:1089000045EB174502FB0CFC16EB0C4645EB1C42F5 -:10891000121951F1000148BF7047B619524141EB9D -:108920000101A3F1010370474FEA144524EA05460B -:108930004FEA114721EA074C05FB07F106FB07F751 -:108940000CFB06F212EB074241EB174105FB0CFC56 -:1089500012EB0C4251EB1C414FF0000648BF704730 -:10896000921841EB0101A3F10103704703B4019890 -:108970004100009850EAC12018BF04204A0D18BFDA -:1089800040F0010040F2FF72B2EB515F08BF40F0CF -:108990000200012808BF052002B07047410008020C -:1089A00018BF04200A0E18BF40F001004FF07F42AC -:1089B00032EA010108BF40F00200012808BF05208B -:1089C000704700000000000010B5B94A2DED020B01 -:1089D0002DED0C8B82B00F9921F000409FEDB6CBAE -:1089E00090422CDBA0F140530E9AA3F17F631A430F -:1089F0000FD0B349884202DC16D10E98A0B19DED8C -:108A00000E0B02B0BDEC0C8B02B0BDE8104001F0C3 -:108A10005BBE0029C1BF9FEDAC0B02B0BDEC0C8B5F -:108A200002B0C8BF10BD16E00120D8F74AF802B066 -:108A3000BDEC0C8B02B0BDE8104001F051BEA44A61 -:108A40009FEDA59B9FEDA6AB90425EDAA6499FEDF8 -:108A5000A8CB814208DBB0EE4C0AF0EE6C0A02B003 -:108A6000BDEC0C8B02B010BD9DED0E0B53EC102B2A -:108A700051EC100B03F054F841EC180B9E48062102 -:108A8000B0EE480AF0EE680A784401F0F1FC53ECCD -:108A9000182B51EC100B03F043F841EC1B0B9748DB -:108AA000B0EE480AF0EE680A0421784401F0E0FCD8 -:108AB00053EC182B51EC100B03F032F853EC192B3C -:108AC00002F0E6FC41EC100B51EC1B0B53EC102BAD -:108AD00002F092FD41EC100B53EC102B9DED0E0BB0 -:108AE00051EC100B03F01CF853EC1A2B03F0A6F911 -:108AF0009DED0E1B53EC112B03F0A0F953EC1C2B36 -:108B000003F09CF941EC100BA9E79FED7D8B9FEDE5 -:108B10007EBB9DED0E0B002953EC192B51EC100B75 -:108B200052DA02F0B5FC53EC182B02F0F9FF41ECDD -:108B3000180B77480621B0EE480AF0EE680A784430 -:108B400001F096FC53EC182B51EC100B02F0E8FFEF -:108B500041EC1D0B6F48B0EE480AF0EE680A0421A4 -:108B6000784401F085FC53EC182B51EC100B02F00B -:108B7000D7FF53EC192B02F08BFC41EC190B51EC95 -:108B8000180B02F0C5FB41EC180B53EC192B51EC00 -:108B90001D0B02F031FD53EC182B02F0C1FF53EC1A -:108BA0001A2B03F023FA41EC100B51EC180B53EC89 -:108BB000102B02F06DFC53EC1B2B02F0B1FF53ECB9 -:108BC0001C2B03F03BF96DE003F038F953EC182B44 -:108BD00002F0A6FF41EC180B02F09AFB41EC1A0BD5 -:108BE00000208DED00AB00909DED000B51EC1A0BB9 -:108BF00053EC102B02F04CFC9DED000B41EC1C0BD8 -:108C000053EC102B51EC100B02F08AFF53EC182B95 -:108C100003F014F953EC1C2B02F0EEFC41EC1C0B9E -:108C20003D48B0EE480AF0EE680A0621784401F0AB -:108C30001FFC53EC182B51EC100B02F071FF41ECB0 -:108C40001D0B3648B0EE480AF0EE680A042178445D -:108C500001F00EFC53EC182B51EC100B02F060FFEE -:108C600053EC192B02F014FC41EC100B51EC1D0BD2 -:108C700053EC102B02F0C0FC53EC1A2B02F050FF07 -:108C800053EC1C2B02F004FC41EC100B53EC102BAA -:108C90009DED000B51EC100B02F0FAFB53EC1B2B7B -:108CA00002F03EFF41EC100BD9E60000000000008E -:108CB0000000F03F00000000182D4454FB21094043 -:108CC0000000F07F00000000000000000000000035 -:108CD0000000E03F00000000000000000000F03F46 -:108CE000075C143326A6913C0000603C00000000A5 -:108CF000182D4454FB21F93F5C3A00006A3A000009 -:108D0000000000000000E03F000000000000004004 -:108D1000A6390000B4390000B8380000C638000099 -:108D200010B553492DED020B2DED068B07988842A7 -:108D300011DA0699002918BF0121084310F5801F98 -:108D40000FD80120D7F7BDFEBDEC068B02B0BDE801 -:108D5000104001F0C5BC4749814218DC46498842B1 -:108D600008DB9DED060BBDEC068B02B0BDE81040A4 -:108D700001F0AABC9DED060B51EC100B02F054FA69 -:108D80009FED3F1B53EC112B02F082FB3FE0A0F163 -:108D900040520699A2F17F62114301BF9FED3A0B49 -:108DA000BDEC068B02B010BD9FED398B9FED3AAB49 -:108DB000B0F1804F31DD9DED060B53EC102B51ECE3 -:108DC000100B02F0ADFE53EC182B03F00FF902F07C -:108DD0009FFA9DED061B53EC112B02F059FB41EC61 -:108DE000100B51EC180B53EC102B02F005FC9DED11 -:108DF000060B41EC180B53EC1A2B51EC100B02F044 -:108E00008FFE53EC182B03F0F1F802F00DFABDECD5 -:108E1000068B02B041EC100B10BD9DED060B53EC20 -:108E2000182B51EC100B03F0E1F841EC180B53EC4C -:108E3000182B02F075FE41EC190B53EC1A2B51EC78 -:108E4000180B02F06DFE53EC192B02F021FB02F01F -:108E50005FFA53EC182B02F01BFBBDEC068B02B043 -:108E600041EC100BBDE8104001F00EBF0000000007 -:108E70000000F03F0000B0410000F07F0000000063 -:108E8000EF39FAFE422EE63F00000000000000002D -:108E9000000000000000F03F000000000000004063 -:108EA00010B54FF00E402DED028BB0EE408A18EE5B -:108EB000104A00EB4400B0F1506F4AD84FF0FC402C -:108EC000B0EB440F22D2B0EEC80AF7EE000A30EE43 -:108ED000C00AF6EE000A60EE208A18EE900A02F050 -:108EE0004EFA01EE100A14F0004F1DBFDFED2D0AFF -:108EF0009FED2D0AB1EE411ADFED2C0A08BF9FED60 -:108F00002C0AF8EE001A21EE218A05E0DFED290A8D -:108F100068EE088AB0EE600A9FED271ADFED271A87 -:108F200030EE080A48EE811A9FED251A08EEA11AC4 -:108F3000DFED241A48EE811A9FED231A08EEA11ADC -:108F400068EE281ABDEC028B01EE810A30EE200A91 -:108F500010BD4FF0E440B0EB440F0CD918EE100AEE -:108F6000FFF71CFD042808BF01F086FEB0EE480A9A -:108F7000BDEC028B10BD4FF07F40B0EB440F07D229 -:108F8000B0EE480ABDEC028BBDE8104001F05EBEB9 -:108F90000120D7F796FDBDEC028BBDE8104001F033 -:108FA0005BBE00000000C9BF22AAFDB90000C93F96 -:108FB00022AAFD390000000024FE1C3DC78AD83CCF -:108FC0001E67383D1B93993DAFAA2A3E70B54FF0FE -:108FD00068422DED048BF0EE408A18EE900AB0EE58 -:108FE000608A18EE101A02EB4003B3F1654F3CBFE4 -:108FF00002EB4102B2F1654F7DD200BFC0F3C7530F -:10900000C1F3C7529A1A1B2A09DD10F0004F14BF92 -:109010009FED830A9FED830ABDEC048B70BD12F1B6 -:109020001A0F36DA11F0004F09D010F0004F0CBFC4 -:109030009FED7D0A9FED7D0ABDEC048B70BD88EE2F -:10904000889A19EE100AFFF7A9FC042808BF01F05E -:1090500013FE18EE900AFFF7A1FC054618EE100A61 -:10906000FFF79CFC044619EE100AFFF797FC042D4D -:1090700018BF052D08D1042C18BF052C04D10028D9 -:1090800004BF0220D7F71DFDB0EE490ABDEC048BEA -:1090900070BD4200B2EB410F3AD910F0004F19BF3A -:1090A000DFED630A9FED630ADFED630A9FED630A5C -:1090B000B0EE481A0A46B1EE688A80F00041F0EE40 -:1090C000418A10460A1A5200B2F1807F34D24840D9 -:1090D00010F0004FDFED5A1A9FED5A2A1DBFBEEE69 -:1090E000001A70EEE10A30EE420AB6EE001A08BF2E -:1090F00070EEA10A00E040E008BF30EE020AB0EED8 -:10910000682A01EE482A08EE818AC2EE081A15E0A4 -:1091100011F0004F04BF9FED4C0AF0EE400AD1D091 -:1091200010F0004F19BFDFED490A9FED490ADFED4E -:10913000490A9FED490AC5E7C8EE881A21EEA11A2F -:109140009FED462ADFED462A41EE022A9FED452A91 -:1091500001EE222ADFED442A41EE022A9FED432A46 -:10916000BDEC048B01EE222A21EE811A01EE020AE7 -:1091700030EE210A30EE200A70BD4FF07F42B2EB94 -:10918000400F28BFB2EB410F09D2F0EE480AB0EE13 -:10919000680ABDEC048BBDE8704001F05ABD40EA9E -:1091A00001035B0008BF41F0FF410BD0B2EB400F61 -:1091B00008BFB2EB410F08D120F0804008EE900AC2 -:1091C00021F0804108EE101A18E7B2EB400F12BFF1 -:1091D0005FEA410340F0FF4001F000413FF40EAF71 -:1091E000B2EB410F12BF5FEA400200F0004041F0D5 -:1091F000FF413FF403AF4A0092EA40033FF5FEAE61 -:10920000002AACBF9FED1A0A9FED1A0A68EE808A09 -:1092100028EE008A18EE900A18EE101AEEE600000A -:10922000DB0FC9BFDB0FC93FDB0F4940DB0F49C074 -:109230000000C9BF22AAFDB90000C93F22AAFD391A -:109240000060ED3EC30ACE3700000000000049C0B8 -:1092500022AA7DBA0000494022AA7D3A2DAD65BD03 -:109260008FB8D53D0FB511BE61C84C3EA8AAAABEA5 -:109270000000804F0000802F10B52F499FED2C1B60 -:109280002DED020B84B0059820F000408842D8BF35 -:109290009DED040B2DDD2949884209D1049840B980 -:1092A0004FF00100D7F70DFC01F01AFA06B010BD1F -:1092B00005DB9DED040B01F007FA06B010BD684612 -:1092C0009DED040B00F000FE10F0030004BF9DEDC7 -:1092D000021B9DED000B0CD001280ED09DED021B52 -:1092E0009DED000B022817D0012001F03DF906B0DA -:1092F00010BD01F005F806B010BD9DED021B9DEDFF -:10930000000B012001F030F951EC100B02F082F853 -:1093100006B041EC100B10BD00F0F2FF51EC100B49 -:1093200002F078F806B041EC100B10BD0000000010 -:109330000000000000000000FB21E93F0000F07F7A -:1093400010B52DED020B2DED068B079820F0004493 -:109350005548844208DB9DED060BBDEC068B02B040 -:10936000BDE8104001F0DCB95048844235DA9DED8B -:10937000060B51EC100B01F009FF41EC100B01F052 -:10938000EBF9B0EE408AF0EE608A9FED49AB51EC0C -:10939000180B53EC1A2B02F07BF841EC100BB4F1D4 -:1093A000725FBEBFBDEC068B02B010BD53EC102B3C -:1093B00051EC100B02F06CF841EC190B53EC182B2C -:1093C00051EC180B02F0ACFB53EC192B02F014F922 -:1093D00053EC1A2B02F05CF830E037489FED388BE5 -:1093E00084421CDA9DED060B51EC100B01F0CEFE11 -:1093F00001F0BCFE41EC1A0B51EC180B53EC1A2B8C -:1094000002F0FAF841EC190B53EC182B51EC1A0B43 -:1094100002F086FB53EC192B02F03AF80EE02A48D2 -:10942000844211DA9DED060B51EC100B01F0AEFEFB -:1094300001F09CFE53EC182B02F072FBBDEC068B86 -:1094400002B041EC100B10BD2048784406A900681A -:10945000400F51F820001E498C4203DB19D11D49F1 -:10946000884216D89DED060B51EC100B01F08EFED4 -:1094700053EC182B02F054FB01F078FE41EC190B71 -:1094800053EC182B02F04CFB53EC192B02F048FB69 -:10949000D4E70220D7F715FBBDEC068B02B0BDE880 -:1094A000104001F02DB900000000F07F432ED63FA0 -:1094B000000000000000F03F000036400000000007 -:1094C000000000000000E03F422E8640EA3000002D -:1094D000CE3386407DF8B98F70B52DED020B2DEDA2 -:1094E0000A8B82B00D98C40F20F00045AE4885422B -:1094F00048D3AE48854219D30C99C5F313000843ED -:1095000009D09DED0C0B02B0BDEC0A8B02B0BDE89A -:10951000704001F0D9B8002C14BF9FEDA50B9DED54 -:109520000C0B02B0BDEC0A8B02B070BD9FEDA20B1C -:1095300053EC102B9DED0C0B51EC100B02F0EEFBDD -:109540000AD20220D7F7BDFA02B0BDEC0A8B02B0F6 -:10955000BDE8704001F0D4B89FED990B53EC102B8F -:109560009DED0C0B51EC100B02F09EFA0AD202207A -:10957000D7F7A7FA02B0BDEC0A8B02B0BDE8704085 -:1095800001F0DAB890489FED92BBA8425CD29248B5 -:10959000854219D29148784400EBC40090ED000B4D -:1095A00053EC102B9DED0C0B51EC100B02F01EFD3B -:1095B00041EC1A0B8A48784400EBC40090ED009B04 -:1095C000C4F10100041B36E09FED870B53EC102B18 -:1095D0009DED0C0B51EC100B02F0A2FA41EC100BBC -:1095E0008348784400EBC40090ED001B51EC100B55 -:1095F00053EC112B01F04CFF02F092F9044602F0FB -:10960000ECF941EC180B9FED7C0B53EC102B02F0A6 -:1096100087FA9DED0C1B53EC112B02F00FFC41EC73 -:109620001A0B9FED770B51EC180B53EC102B02F03B -:1096300077FA41EC190B51EC1A0B53EC192B02F091 -:10964000D5FCCDE90C011BE06F48854217D29FED98 -:10965000700B53EC102B9DED0C0B51EC100B01F02B -:1096600017FF53EC1B2B02F059FB08D29DED0C0B9E -:1096700053EC1B2B51EC100B01F00AFF6EE00024A1 -:109680009DED0C0B53EC102B51EC100B02F048FA33 -:1096900041EC180B60480521B0EE480AF0EE680A6C -:1096A000784400F0E5FE53EC182B51EC100B02F05F -:1096B00037FA9DED0C1B53EC112B02F0BFFB41EC74 -:1096C000180B9FED570B5CB353EC182B51EC100BA0 -:1096D00002F08CFC9DED0C0B41EC1C0B53EC182B99 -:1096E00051EC100B02F01CFA53EC1C2B01F084FF20 -:1096F00053EC192B02F0A2FB53EC1A2B02F076FC70 -:1097000053EC1B2B02F09AFBCDE900016FF47F7044 -:10971000844226DB01EB045001909DED000B00E735 -:1097200053EC102B51EC180B02F060FC9DED0C0B70 -:1097300041EC190B53EC182B51EC100B02F0F0F923 -:1097400053EC192B01F058FF9DED0C1B53EC112B22 -:1097500002F04CFC53EC1B2B02F070FB41EC100BA5 -:10976000DFE608464FF07A5101EB04519FED2E0BD6 -:10977000084453EC102B01909DED000B51EC100BA5 -:1097800002F0CEF90D4645EC100B044651EC100BDF -:10979000FFF7ECF8042808BF00F0CEFF45EC104BB3 -:1097A000BFE6000000000000422E86400000F07F6F -:1097B0000000000000000000EF39FAFE422E864053 -:1097C00051302DD5104987C0422ED63F00000000F1 -:1097D000000000000000F03FB2A2F03FDE2F0000CA -:1097E000CE2F000000000000FE822B654715F73FDA -:1097F000822F0000000000000000E0FE422EE63F45 -:10980000763C7935EF39EA3D0000303E000000003B -:109810009C7500883CE4377EF42E000000000000B8 -:109820000000000000000040000000000000700187 -:1098300010B510EE104A4FF019406349002200EBBA -:1098400044002DED028B884254D800BFF1EE000A8F -:1098500014F0004F60EE200A14BFBEEE001AB6EE00 -:10986000001A71EE200AB5EE001AFDEEE00A10EEC5 -:10987000900A00EE900AF8EEE00A00EEC10A9FEDB1 -:10988000531ADFED530A40EE010A9FED521A00EE23 -:10989000201ADFED510A514B00F0030140EE010A9E -:1098A00020EE800A7B4403EB8103D3ED000A4C4B8E -:1098B0007B4403EB810393ED001A4A4B41EE000A0F -:1098C0007B4403EB8101801091ED000A70EE801A59 -:1098D0009FED451A9FED450AEABB00EE900ABDECEC -:1098E000028BF8EEE00A00EE810ABCEEC00A20EE20 -:1098F000210A10BD4FF0FF40A0431BD04FF0CE40D7 -:10990000B0EB440F82BFB7EE000ABDEC028B10BD76 -:109910003748B0EB440F28BF012297D20220D7F777 -:10992000D0F814F0004F13D0BDEC028BBDE810400E -:1099300001F0A2B914F5000F02BF9FED2E0ABDEC95 -:10994000028B10BDBDEC028BBDE8104001F07EB96A -:10995000BDEC028B00E003E0BDE8104001F084B9EB -:1099600000EBD0714A1000EE902AA0EB6100B8EE37 -:10997000E02AF0EE400A42EE010AFCEEE00A60EE58 -:10998000A10A01EE900AF8EEE11A01EE810ABCEE9E -:10999000C00A20EE208A18EE100A002819BF18EE1F -:1099A000100AB0F1FF4F0220D7F78BF818EE100A1B -:1099B000FEF7F4FF042808BF01F05EF9B0EE480A94 -:1099C000BDEC028B10BD00000000F01E81A41D3C08 -:1099D000206E633DEFFD753E1772313F602E000033 -:1099E000642E0000342E00000000004B00007E4E6C -:1099F00000002C860000000010B52DED028BF0EE6B -:109A0000408A18EE900AB0EE608A20F00040C0F163 -:109A1000FF40C00F07D118EE100A20F00040C0F13F -:109A2000FF40C00F2BD018EE101A18EE900A02F06B -:109A30006FFC00EE100A10EE100A6FEAD05010F022 -:109A4000FF0F04BFBDEC028B10BD18EE900A00F0B2 -:109A5000004110EE100A00F00040814204BFBDEC4E -:109A6000028B10BD18EE101A10EE100ABDEC028B1E -:109A700061F31E0000EE900A30EE600A10BD18EE91 -:109A8000900A4FF07F41B1EB400F1CBF18EE100A57 -:109A90005FEA4000C7D10120D7F713F8BDEC028B75 -:109AA000BDE8104001F0D8B810EE101A0023036092 -:109AB00021F00042B2F1FF4FA8BF7047002A08BF53 -:109AC0007047B2F5000F0ADA6FF01603DFED0A0AED -:109AD000036020EE200A10EE101A21F000426FF011 -:109AE0007D0C0CEBE2521A44026021F0FF4040F082 -:109AF0007C5000EE100A70470000004B0000000090 -:109B000070B5D94B00242DED020B2DED108BDDE946 -:109B10001010984205DA20F000429A4201D809D18B -:109B200041B19DED100BBDEC108B02B0BDE8704053 -:109B300000F0CABDB0F5801F26DA11430BD0002813 -:109B400013DA0120D6F7BDFFBDEC108B02B0BDE8E3 -:109B5000704000F0C5BD0220D6F7B3FF00F09CFDB9 -:109B600051EC100B01F056FC9EE06FF035049FEDB8 -:109B7000C00B53EC102B9DED100B51EC100B01F0B2 -:109B8000CFFFCDE91001084604EB2051C0F31304C8 -:109B9000B948A1F2FF31204400F4801080F07F62C8 -:109BA00082F04052224301EB20559FEDB50B1192FC -:109BB00053EC102B9DED100B51EC100B02F016FA2C -:109BC00041EC180BA01CC0F313009FEDAFDB9FED21 -:109BD000B0EBB14E7E4403286CD296ED000B51ECF5 -:109BE000180B53EC102B01F05FFE1DD1002D01BFAF -:109BF00096ED000BBDEC108B02B070BD284601F055 -:109C0000ECFE41EC180B53EC1D2B01F089FF41ECED -:109C1000190B53EC1E2B51EC180B01F081FF53EC88 -:109C2000192B01F035FC3FE09FED9D0B51EC180B1B -:109C300053EC102B01F074FF9FED9B1B53EC112B89 -:109C400002F0FCF841EC190B53EC182B51EC180BFB -:109C500001F066FF53EC192B01F062FF41EC190B88 -:109C6000002D04BF53EC192B51EC180B1AD02846C9 -:109C700001F0B3FE41EC1A0B53EC1D2B01F050FF29 -:109C800053EC192B02F0DAF853EC182B02F0AEF972 -:109C900041EC180B53EC1E2B51EC1A0B01F040FF5A -:109CA00053EC182B02F0A2F9BDEC108B02B041EC82 -:109CB000100B70BD9FED7E0B51EC180B53EC102B6D -:109CC00001F0E6FB41EC100B51EC180B53EC102BA0 -:109CD00001F092FC41EC190B284601F07EFE41ECAC -:109CE0001B0B53EC192B51EC190B01F019FF41EC34 -:109CF0001A0B714853EC1A2B261851EC1A0B01F071 -:109D00000FFF41EC1F0B6D48C4F1510404F5D7243B -:109D1000B0EE4F0AF0EE6F0A0321784400F0A8FB82 -:109D200053EC1F2B51EC100B01F0FAFE41EC1C0B15 -:109D30006348B0EE4F0AF0EE6F0A0421784400F059 -:109D400097FB53EC1A2B51EC100B01F0E9FE344356 -:109D500053EC1C2B01F09CFB41EC1C0B002C4CDD4C -:109D60009FED510B51EC180B53EC102B01F0D8FE6A -:109D700053EC182B01F0D4FE41EC1A0B55B353EC05 -:109D80001D2B51EC1B0B01F0CBFE41EC1D0B53ECDA -:109D90001C2B51EC1A0B01F07BFB53EC192B01F03F -:109DA000BFFE53EC1D2B01F073FB53EC1A2B02F09A -:109DB00045F853EC182B02F019F941EC180B53EC51 -:109DC0001E2B51EC1B0B01F0ABFE53EC182B02F0D9 -:109DD0000DF969E753EC1C2B51EC1A0B01F058FB01 -:109DE00053EC192B01F09CFE53EC1A2B02F026F8D1 -:109DF00053EC182B02F022F856E735B353EC1D2B29 -:109E000051EC1B0B01F08CFE41EC1A0B53EC1C2B9C -:109E100051EC180B02F0EAF853EC192B01F080FE1C -:109E200053EC1A2B02F0E2F853EC182B02F0DEF898 -:109E300041EC180B53EC1E2B51EC1B0B01F070FE88 -:109E400053EC182B02F0D2F82EE753EC1C2B51ECFC -:109E5000180B02F0CBF853EC192B01F061FE53EC18 -:109E6000182B01F0EBFF1FE70000F07F000000005F -:109E70000000000000005043645F09000000000083 -:109E8000000000000000F03F763C7935EF39EA3DF4 -:109E90000000E0FE422EE63F182B0000000000000C -:109EA000555555555555D53F000000000000E03F81 -:109EB000000000000000004086EBF9FFCA28000007 -:109EC000C0280000000000002DE9F14F04462DEDF0 -:109ED000020B2DED088B8AB09FEDE70B80ED020B96 -:109EE000139EE74826F0004585420ADC9DED120BE3 -:109EF000002084ED000B0AB0BDEC088B03B0BDE878 -:109F0000F08FE0489FEDE0AB9FEDE1BBA84247DD5D -:109F1000E14F9FEDE39B9FEDE48B9DED120B53EC26 -:109F20001A2B51EC100B002E1CDD02F05FF841ECF7 -:109F3000100BBD4208D053EC1B2B51EC100B02F060 -:109F400055F8C4E900010BE053EC192B51EC100B50 -:109F500002F04CF853EC182B02F048F8C4E9000169 -:109F60000120C8E701F094FA41EC100BBD4208D083 -:109F700053EC1B2B51EC100B01F08AFAC4E90001E1 -:109F80000BE053EC192B51EC100B01F081FA53EC60 -:109F9000182B01F07DFAC4E900014FF0FF30AAE769 -:109FA000C348A84279DB9DED120B51EC100B01F078 -:109FB000EDF841EC180B9FEDC00B53EC102B01F0AA -:109FC000AFFD9FEDBF1B53EC112B01F061FA01F0C7 -:109FD000A7FC074601F001FD41EC190B53EC1A2BCD -:109FE00001F09EFD53EC182B01F028FF41EC180BFB -:109FF00053EC1B2B51EC190B01F092FDDFF8C8A2BA -:10A0000041EC100B4FEA15580125FA4453EC102B84 -:10A0100051EC180B01F0EAFF032DC4E900013DD01B -:10A02000C1F30A5005EB4511A8EB0000113981423C -:10A0300034DA0AEB051BB0EE48AA9BED000BF0EEFC -:10A0400068AA53EC102B51EC190B01F069FD41EC9F -:10A050001B0B51EC1A0B53EC1B2B01F0C7FF41EC0F -:10A06000180B51EC1A0B53EC182B01F0BFFF53ECFB -:10A070001B2B01F0BBFF9BED020B41EC1A0B53ECC9 -:10A08000102B51EC190B01F04BFD53EC1A2B01F086 -:10A09000ADFF41EC100B6D1CB8E70EE0002EA8BF21 -:10A0A0003846BFF628AF94ED000B51EC100B01F0D1 -:10A0B000B1F9C4E9000178421DE7C6F3130040F48A -:10A0C000801EC6F30A50A0F2F530471100F01F05BC -:10A0D000C5F12000DDF848B00890002006900790F8 -:10A0E0000520EC467949002D07EB0002794408BFB2 -:10A0F00051F8221009D051F8223001EB8201AB4017 -:10A100004A68089922FA01F11943A1FB0E26A1FB26 -:10A110000B131A449A4234BF0123002303EB0608B1 -:10A120000CEB8003D3E901A60E448E4234BF01211B -:10A13000002192448A4411B1924502D903E092452C -:10A1400001D2012100E00021C3E901A641444CF8FD -:10A150002010011EA0F10100C4DC029800F10050A3 -:10A16000850F0298DDE903678000DDF8148001F0B7 -:10A1700034FC41EC1A0B304601F046FC9FED541BB9 -:10A1800053EC112B01F0CCFC41EC1B0B384601F0D9 -:10A190003BFC9FED511B53EC112B01F0C1FC41EC3A -:10A1A000180B404601F030FC9FED4D1B53EC112B7A -:10A1B00001F0B6FC41EC190B51EC180B53EC192BC8 -:10A1C00001F066F953EC1B2B01F062F953EC1A2BEA -:10A1D00001F05EF9CDE90801002008909DED080B23 -:10A1E00053EC1A2B51EC100B01F000FF53EC1B2B1E -:10A1F00001F0FCFE53EC182B01F0F8FE53EC192B88 -:10A2000001F01CFE9FED381B53EC112B01F088FC74 -:10A210009FED370B41EC180B53EC102B9DED080B09 -:10A2200051EC100B01F07CFC53EC182B01F030F9D1 -:10A230009FED310B41EC180B53EC102B9DED080BEF -:10A2400051EC100B01F06CFC53EC182B01F020F9D1 -:10A2500041EC100B139810F0004F06D06D4251ECFA -:10A26000100B01F0D7F841EC100B284684ED000BE1 -:10A2700041E60000000000000000000000000000B7 -:10A28000FB21E93F7CD9024000004054FB21F93F0B -:10A290003163621A61B4D03DFB21F93F0000000038 -:10A2A0000000601A61B4D03D7370032E8A19A33B7D -:10A2B000FB2139410000000083C8C96D305FE43FD5 -:10A2C000000000000000E03F4A2700009826000040 -:10A2D000000000000000103E000000000000103CE4 -:10A2E000000000000000103A182D4454FB21F93DF5 -:10A2F0003326A611460B113C00000054FB21F93D0A -:10A3000010B52DED040B2DED088B82B0B0EE419A07 -:10A31000F0EE619A0B9820F000449FED4BABB4F146 -:10A32000795F11DA9DED0A0B51EC100B01F0F8FA90 -:10A33000002801BFB0EE4A0AF0EE6A0A02B0BDEC96 -:10A34000088B04BF04B010BD9DED0A0B53EC102B1D -:10A3500051EC100B01F0E4FB41EC180B3C480621DA -:10A36000B0EE480AF0EE680A784400F081F853EC49 -:10A37000182B51EC100B01F0D3FB9DED0A0B41ECB7 -:10A380001B0B53EC192B51EC100B01F0C9FB41ECEA -:10A39000190B53EC1B2B51EC180B01F0C1FB53ECC8 -:10A3A000192B01F023FE9FED2C0B41EC190B53EC04 -:10A3B000102B51EC180B01F0B3FB41EC100B2848AB -:10A3C000844210DA53EC192B51EC100B01F00EFE05 -:10A3D00053EC1A2B01F032FD41EC100B02B0BDEC36 -:10A3E000088B04B010BD1F48A042BCBF9FED1E1BD0 -:10A3F0008DED001B06DBA4F50010019000200090FD -:10A400009DED001B51EC100B53EC112B01F0EEFDF8 -:10A410009DED000B41EC180B53EC102B51EC1A0B7B -:10A4200001F0E4FD41EC1A0B53EC192B51EC180B25 -:10A4300001F0DCFD53EC1A2B01F000FD41EC100B98 -:10A44000CCE7000000000000000000000000F03F2A -:10A45000BC23000000000000000000000000E03FFE -:10A460003333D33F0000E93F000000000000D23F3B -:10A4700070B504462DED028B4D1E00EBC500B0EE0D -:10A48000408AF0EE608A90ED000B35F0060017D0A0 -:10A4900053EC182B51EC100B01F042FB41EC100B6C -:10A4A0006D1E04EBC50090ED001B51EC100B53EC3E -:10A4B000112B00F0EDFF41EC100B35F00600E7D159 -:10A4C000022D36D0042D1CD0062D1CBFBDEC028BF6 -:10A4D00070BD53EC182B51EC100B01F021FB94EDE7 -:10A4E0000A1B53EC112B00F0D3FF53EC182B01F097 -:10A4F00017FB94ED081B53EC112B00F0C9FF41EC46 -:10A50000100B53EC182B51EC100B01F009FB94EDE0 -:10A51000061B53EC112B00F0BBFF53EC182B01F082 -:10A52000FFFA94ED040B53EC102B00F0B1FF41EC5B -:10A53000100B53EC182B51EC100B01F0F1FA94EDC9 -:10A54000021B53EC112B00F0A3FF53EC182B01F06E -:10A55000E7FA94ED001B53EC112B00F099FFBDECD2 -:10A56000028B41EC100B70BD31B504462DED040B90 -:10A570002DED0A8BB0EE41BAF0EE61BA0B989DED6D -:10A580000A0B20F00040B0F1795F0DDA51EC100BAE -:10A59000FEF7ECF9042808BF00F0CEF89DED0A0B99 -:10A5A000BDEC0A8B05B030BD53EC102B51EC100BF9 -:10A5B00001F0B6FA41EC180B9DED0A0B53EC182B89 -:10A5C00051EC100B01F0ACFA41EC190B2C48B0EE39 -:10A5D000480AF0EE680A05217844FFF749FF9FED2D -:10A5E0002ACBB0EE40AAF0EE60AA9CB353EC1C2B31 -:10A5F00051EC190B01F094FA41EC1C0B53EC1A2BA3 -:10A6000051EC190B01F08CFA9FED210B41EC190B69 -:10A6100053EC102B51EC1B0B01F082FA53EC192B6D -:10A6200001F0E4FC53EC182B01F07AFA53EC1B2BED -:10A6300001F0DCFC53EC1C2B01F0D8FC9DED0A1B57 -:10A6400053EC112B01F0FAFBBDEC0A8B05B041EC89 -:10A65000100B30BDFFE753EC1A2B51EC180B01F037 -:10A660005FFA53EC1C2B00F013FF53EC192B01F095 -:10A6700057FA9DED0A1B53EC112B00F009FFE3E79D -:10A680004C22000000000000495555555555C5BFE6 -:10A69000000000000000E03F9FED070B10B553ECF9 -:10A6A000102B9FED070B51EC100B00F0A5FF41ECB8 -:10A6B000100B10BD000000000000000000000000B2 -:10A6C000000000000000F03F10B553EC102B51ECDF -:10A6D000100B00F0DDFE41EC100B10BD000000007F -:10A6E0009FED050B10B553EC102B51EC100B00F047 -:10A6F00083FF41EC100B10BD0000000000000000C3 -:10A700009FED050B10B553EC102B51EC100B01F025 -:10A7100007FA41EC100B10BD0000000000000070B3 -:10A7200010B553EC102B51EC100B01F0F9F941EC82 -:10A73000100B10BD000000009FED050B10B553EC91 -:10A74000102B51EC100B01F0EBF941EC100B10BD8C -:10A75000000000000000001070B52DED020B2DED83 -:10A760000C8B82B00F9C9DED0E0B14F0004508BFC2 -:10A770008DED000B05D051EC100B00F04BFECDE938 -:10A780000001FF4824F0004484424BD3FD489FED74 -:10A79000FE8BA04234D8FE4884421BD30E99C4F3EA -:10A7A0001300084309D09DED0E0B02B0BDEC0C8BDD -:10A7B00002B0BDE87040FFF787BF35B99DED0E0BC5 -:10A7C00002B0BDEC0C8B02B070BDB0EE480AF0EEEA -:10A7D000680AF5E79FEDF00B53EC102B9DED0E0B87 -:10A7E00051EC100B01F09AFA0AD20220D6F769F95F -:10A7F00002B0BDEC0C8B02B0BDE87040FFF780BF2B -:10A8000085B19FEDE70B53EC102B9DED0E0B51EC3A -:10A81000100B00F03DFE9FEDE40B53EC102B01F00C -:10A8200043F9D2D3E2489FEDE4AB844240F2058184 -:10A83000E3489FEDE59B9FEDE68BA04215D99DED8A -:10A840000E0B53EC192B51EC100B45B100F020FE10 -:10A8500041EC190B9FEDE08B4FF0FF3440E001F02D -:10A86000C5FB41EC190B01243AE09FEDDD0B53ECE5 -:10A87000102B9DED0E0B51EC100B01F051F941EC3A -:10A88000100B002D12BF9FEDD81BB0EE4A1AF0EE50 -:10A890006A1A53EC112B51EC100B00F0F9FD01F08A -:10A8A0003FF8044601F099F8CDE900019DED000B59 -:10A8B00053EC192B51EC100B01F032F99DED0E1BEE -:10A8C00053EC112B01F0BAFA9DED000B41EC190B82 -:10A8D00053EC182B51EC100B01F022F941EC180B42 -:10A8E00051EC190B53EC182B01F080FBCDE90E0154 -:10A8F0009DED0E0B53EC192B51EC100B01F09EFA51 -:10A9000053EC182B01F072FB41EC1B0B9DED0E0B71 -:10A9100053EC1A2B51EC100B01F002F941EC1D0B1A -:10A920009DED0E0B53EC1D2B51EC100B01F0F8F8C4 -:10A9300041EC180BAE480521B0EE480AF0EE680A6B -:10A940007844FFF795FD53EC182B51EC100B01F0F8 -:10A95000E7F89FEDA99B53EC192B00F099FD41EC12 -:10A960001C0B53EC1D2B01F0DBF89FEDA51B53ECEA -:10A97000112B01F063FACDE900019DED000B53ECC2 -:10A98000102B9DED0E0B51EC100B01F0C9F89FED53 -:10A990009E1B53EC112B01F051FA9DED000B41EC85 -:10A9A0001D0B53EC102B51EC1C0B01F01FFB53EC57 -:10A9B0001D2B00F021FE53EC182B01F0B1F841ECF7 -:10A9C000100BCCB353EC1B2B51EC100B01F00EFB16 -:10A9D0009DED0E1B53EC112B01F0A2F853EC1B2B39 -:10A9E00001F004FB53EC182B01F000FB41EC180BB9 -:10A9F000B4F1FF3F47D0012C56D014F1020F01DD16 -:10AA0000382C71DD9DED0E0B53EC182B51EC100B17 -:10AA100001F014FA53EC192B01F010FACDE9000102 -:10AA200001EB045001909DED000B53EC192B51EC00 -:10AA3000100B01F0DBFA54E010E07548A04298BF1B -:10AA400000247FF663AF9DED0E0B51EC100BFDF76C -:10AA50008DFF042808BFFFF76FFEAFE653EC102B05 -:10AA60009DED0E0B51EC100B01F05AF853EC182B26 -:10AA700001F0BCFA9DED0E1B53EC112B01F0DEF939 -:10AA800041EC100B9CE69DED0E0B53EC182B51EC9A -:10AA9000100B01F0ABFA53EC1A2B01F041F853EC18 -:10AAA0001A2B01F0A3FA1CE09FED5B0B53EC102B6B -:10AAB0009DED0E0B51EC100B00F0F6FF15D29DED45 -:10AAC0000E0B53EC1A2B51EC100B00F0E1FC53EC85 -:10AAD000182B01F0B3F99FED521B53EC112B01F031 -:10AAE0001FF841EC100B6BE612E09DED0E0B53ECE2 -:10AAF000182B51EC100B01F079FA9FED4B1B53EC26 -:10AB0000112B01F00DF853EC192B00F0C1FCE8E714 -:10AB10008DED009B142C1BDA4FF40010E040C0F1C7 -:10AB20007F6000F1405001909DED0E0B53EC182B0F -:10AB300051EC100B01F082F99DED001B53EC112B31 -:10AB400001F07CF9CDE9000101EB045001907AE0BD -:10AB500040F2FF30001B000501909DED000B51EC11 -:10AB6000180B53EC102B00F093FC9DED0E1B53ECD7 -:10AB7000112B01F063F953EC192BCDE9000157E0DB -:10AB80007A684340422E8640000000000000F0BF7B -:10AB90000000F07F00000000EF39FAFE422E8640F0 -:10ABA00059F3F8C21F6EA50100000000000000006C -:10ABB000422ED63F00000000000000000000E03FF1 -:10ABC000B2A2F03F000000000000E0FE422EE63F8F -:10ABD000763C7935EF39EA3D763C7935EF39EABD97 -:10ABE000FE822B654715F73F000000000000E0BF24 -:10ABF0007C1C000000000000000000000000F03F8E -:10AC000000000000000008400000000000001840A4 -:10AC10000000903C00000000000000000000D0BFD9 -:10AC200000000000000000C0000000000000004024 -:10AC30009DED000B51EC100B00F02AFCCDE900015A -:10AC400001EB045001909DED000BB9E530EE000AD8 -:10AC5000704730EE200A7047DFED020A80EEA00A4E -:10AC600070470000000000009FED020A20EE000A7D -:10AC700070470000000000709FED020A20EE000AFD -:10AC8000704700000000001070B5F54BF54A2DED3F -:10AC9000020B2DED0E8B82B0119820F000419FED3C -:10ACA000F29B9FEDF3BB984260DAF34B99422CDBA9 -:10ACB0001098002818BF01200843904258DC9FEDEF -:10ACC000F00B53EC102B9DED100B51EC100B00F022 -:10ACD000EBFD0FD10220D5F7F4FEFFF7DDFC51ECC0 -:10ACE000100B00F097FB41EC100B02B0BDEC0E8B8B -:10ACF00002B070BD0120D5F7E4FE02B0BDEC0E8BB2 -:10AD000002B0BDE87040FFF7EBBCDF4B8B4221DDAA -:10AD1000DE489DED100B81420ADA51EC100BFDF775 -:10AD200025FE042808BFFFF707FD9DED100BDCE7AB -:10AD300053EC102B51EC100B00F0F2FE53EC192BDE -:10AD400000F0EEFE9DED101B53EC112B01F076F898 -:10AD500000F06FB90028DCBFCD49814212DB00242E -:10AD60009DED108B0125824202DD7AE0824209DCF2 -:10AD70009DED100B02B0BDEC0E8B02B0BDE8704033 -:10AD8000FFF7A2BCC349C44C814242DD9DED100BCC -:10AD900053EC1B2B51EC100B00F07AFB0D4604EB2F -:10ADA0002554CDE90001002C14DD9DED000B53EC82 -:10ADB000102B9DED100B51EC100B01F03FF8B0EE95 -:10ADC0004B1AF0EE6B1A53EC112B01F037F841ECF3 -:10ADD000100B13E0B0EE4B0AF0EE6B0A53EC102BA5 -:10ADE0009DED000B51EC100B01F000F99DED101BD7 -:10ADF00053EC112B01F022F841EC100B9DED001BE0 -:10AE000051EC100B53EC112B00F0F6FB41EC1A0B3C -:10AE100009E09DED100B10EE905A8DED000B04EB48 -:10AE200025549FED9FABA048C5F313058542BEBFD7 -:10AE300045F07F6040F04050019008DB45F0FF5046 -:10AE400040F000500190C5F580108510641C9DED08 -:10AE5000000B53EC1B2B51EC100B01F0C7F841EC2D -:10AE6000180B53EC192B51EC180B00F059FE53EC56 -:10AE7000182B00F055FE41EC190B9FED8DCB9FED8B -:10AE80008EDB8F4E7E44002D62D09FED8F0B51ECF8 -:10AE9000180B53EC102B00F0FBFA41EC100B51ECAB -:10AEA000180B53EC102B00F0A7FB41EC1B0B53ECE1 -:10AEB0001B2B00F035FE41EC1E0B85480721B0EE40 -:10AEC0004E0AF0EE6E0A7844FFF7D2FA53EC1E2BCE -:10AED00051EC100B00F024FE41EC1E0B002C7AD03C -:10AEE000204600F07AFD0D4645EC100B044653EC6D -:10AEF0001C2B51EC100B00F013FE53EC1A2B00F03E -:10AF0000C7FA41EC1A0B53EC1E2B51EC190B00F055 -:10AF1000BFFA53EC1B2B00F003FE53EC1A2B00F08E -:10AF2000B7FA53EC192B00F089FF53EC182B01F002 -:10AF30005DF845EC104B41EC180B53EC1D2B51EC1C -:10AF4000100B00F0EDFD53EC182B01F04FF870E002 -:10AF500096ED000B51EC180B53EC102B00F0A4FCF9 -:10AF600025D1002C08BF96ED000B3FF4BEAE204665 -:10AF700000F033FD0D4645EC100B044653EC1C2B42 -:10AF800051EC100B00F0CCFD53EC1A2B00F080FAC2 -:10AF900045EC104B41EC180B53EC1D2B51EC100BF6 -:10AFA00000F0BEFD53EC182B00F072FA41E09FED6B -:10AFB0004A0B51EC180B53EC102B00F0B1FD53EC85 -:10AFC0001B2B00F03BFF53EC192B00F0A9FD41ECCB -:10AFD000190B002C00E02FE004BF53EC192B51ECAF -:10AFE000180B24D0204600F0F8FC0D4645EC100B61 -:10AFF000044653EC1C2B51EC100B00F091FD53EC6C -:10B000001A2B00F045FA53EC192B00F017FF53EC04 -:10B01000182B00F0EBFF45EC104B41EC180B53ECF8 -:10B020001D2B51EC100B00F07BFD53EC182B00F0A6 -:10B03000DDFF41EC100B58E653EC1E2B51EC190BC5 -:10B0400000F026FA53EC1B2B00F06AFD53EC192B91 -:10B0500000F0F4FE53EC182B00F0F0FEE9E70000DE -:10B060007A82DA3F0000F07F000000000000E03F3D -:10B07000000000000000F03F0000F03F0000000072 -:10B08000000000000000F0BF0000203E0000903CE7 -:10B09000C3BED2BF0000404301FCFFFF0000000020 -:10B0A00000000000000000009EA00600000000005C -:10B0B000763C7935EF39EA3D0000E0FE422EE63F6E -:10B0C00068180000000000000000000000000040C0 -:10B0D0005617000000000000555555555555E53FE1 -:10B0E00030380A2801D20120704700207047000044 -:10B0F00000EE100A10EE100AC0F3C7517F3917296D -:10B10000A8BF7047DFED130A002930EE200A0DDAE0 -:10B11000B5EEC00AF1EE10FAD8BF70470028C8BFDC -:10B120004FF07E50B8BF4FF0004070470A4A22FAF5 -:10B1300001F210421CBFB5EEC00AF1EE10FAD8BF02 -:10B140007047002804DD4FF4000323FA01F108449E -:10B1500090437047CAF24971FFFF7F0010B541EC80 -:10B16000100BFEF789F851EC100B10BD10B541EC37 -:10B17000100BFEF7B1F951EC100B10BD10B500EE3D -:10B18000100AFEF755FB10EE100A10BD82B0CDE993 -:10B19000000121F0004001909DED000B02B051EC48 -:10B1A000100B704700EE100A10EE100AC0F3C751E2 -:10B1B0007F391729A8BF7047DFED130A002930EE49 -:10B1C000200A0EDAB5EEC00AF1EE10FAD8BF7047C9 -:10B1D0000028A4BF00207047B0F1004F18BF0B48F3 -:10B1E00070470B4ACA4010421CBFB5EEC00AF1EED0 -:10B1F00010FAD8BF7047002804DA4FF4000323FA8E -:10B2000001F1084490437047CAF24971000080BFC1 -:10B21000FFFF7F0010B500EE100A00EE901AFEF757 -:10B22000EBFB10EE100A10BD10B541EC100BFEF751 -:10B2300067FC51EC100B10BD00B52DED088B85B0EF -:10B24000CDE900019DED000B51EC100B00F09CFDD1 -:10B2500041EC180B9DED000B53EC182B51EC100B2F -:10B2600000F0C4FE41EC190B01989FED270B10F084 -:10B27000004F53EC102B51EC190B9FED1FAB9FEDC2 -:10B2800020BB0ED000F010FC0ED953EC1B2B51EC60 -:10B29000190B00F043FD1CD214E000BF41EC180B69 -:10B2A00017E000F001FC06D253EC1A2B51EC180BFE -:10B2B00000F09CFEF2E753EC1B2B51EC190B00F055 -:10B2C0002DFD06D853EC1A2B51EC180B00F0E0F8CA -:10B2D000E4E78DED028B9DED000B18EE901A10EE59 -:10B2E000900A61F31E0003909DED020B05B051EC36 -:10B2F000100BBDEC088B00BD000000000000F03F0B -:10B30000000000000000E03F000000000000E0BF7F -:10B3100000B52DED048B83B041EC190B00F09AFDC4 -:10B3200041EC180B18EE100A18EE901A8DED008BF8 -:10B33000002818BF0120084320F00040C0F17F60C2 -:10B3400000F1E040C00F14D019EE101A19EE900A67 -:10B350008DED009B002918BF0121084320F000401B -:10B36000C0F17F6000F1E040C00F04BF0120D5F7BD -:10B37000A8FB51EC180B03B0BDEC048B00BD10B55D -:10B3800000EE100A2DED028BB1EEC08A18EE100A05 -:10B3900020F00040C0F1FF40C00F0AD010EE100AAC -:10B3A00020F00040C0F1FF40C00F04BF0120D5F7DE -:10B3B00088FB18EE100ABDEC028B10BD10B50029F9 -:10B3C0000AD00878002807D005487844D5F7DCFA79 -:10B3D000002801D0002010BD0248784410BD0000B4 -:10B3E000827801007A78010010B500290AD0087827 -:10B3F000002807D005487844D5F7C6FA002801D0C0 -:10B40000002010BD0248784410BD00007278010091 -:10B410006A78010081F00041704780F00040704779 -:10B4200021F00041704720F00040704721F00042B9 -:10B4300001F00043A2F16052B2F5801F28BFD2F1A3 -:10B440007F6C0BD95FEA001C43EAC20242EB5070EA -:10B4500018BF704728BF20F001007047B2F5801F69 -:10B46000BCBF184670474FEA410C1CF5001F02D2C2 -:10B47000084600F0C7BF70B500F0FCFB0000008973 -:10B48000FFF7F6BF4FF00040A0F5800070470000C6 -:10B4900010B591EA030F48BF83F0004300F1AE8579 -:10B4A000841A71EB030C05D2121943EB0C03001B39 -:10B4B00061EB0C014FEA1154A4EB135CDFF81CE1C3 -:10B4C0001EEA430F18BF9EEA445F73D021EA04517D -:10B4D00023EA0E0343F48013DCF1200E32D322FA68 -:10B4E0000CFE10EB0E0023FA0CFE41EB0E01CCF12A -:10B4F000200E03FA0EFE10EB0E00CCF1200E51F1DF -:10B500000001B1F5801F32D201EB045112FA0EF2A4 -:10B51000BDE8104058BF7047401C38BF5FEA420288 -:10B5200018BF7047002814BF20F0010041F100014E -:10B530004FEA410313F5001F38BF7047A1F1C04126 -:10B5400000F0E6BB012A43EB0302ACF1200CDCF176 -:10B550001F0E32BF4FF0000E23FA0CF310EB030066 -:10B5600041EB0451B4EB115FD0D0A1EB045101F5D4 -:10B570008011490801EB04515FEA30000ED350F10D -:10B58000000038BF12FA0EFE08D1BDE81040C9E72E -:10B59000401C38BF5FEA4202CAD1C3E7BDE8104091 -:10B5A0004FEA410313F5001F38BF7047A1F1C041B6 -:10B5B00000F0AEBB9EEA445F08D011EA5E0FBDE822 -:10B5C000104004BF01F0004100207047BDE810406A -:10B5D00070B500F04FFB00BF64FBBE3E0000E0FF13 -:10B5E000491058BFC1F140415B1058BFC3F14043FF -:10B5F0008B42EFF3008070472DE9C04130B4DFF893 -:10B60000A0C23CEA111418BF3CEA131400F0EC800D -:10B610001CEA111481EA030544EAD57418BF1CEA38 -:10B62000131500F0C780A4EB050404F17F7404F542 -:10B6300000344FF0004545EAC12145EAC32341EA01 -:10B64000505143EA52534FEAC0204FEAC222994276 -:10B6500008BF904200F09C804FEA13650FF240163D -:10B66000765D4FEA134506FB05F7C7F1807706FBC9 -:10B6700007F74FEAD737A7FB03687642A7FB06CE4A -:10B68000C8F5803838BFA8F1010807FB08E6A6FB1B -:10B69000028C4FF0000EE6FB03CEDCF1000CCEF185 -:10B6A000004E38BFAEF1010EA6FB0C584FF000075C -:10B6B000E6FB0E87A1FB08C6A0FB07CE16EB0E0625 -:10B6C0004FF0000545F10005E1FB076515F1E04E7F -:10B6D0007EBFA4F580345FEA46066D4116F180070F -:10B6E00045F100054FEA172747EA05674FEA152598 -:10B6F0004FEA0666A6F1DE46B6F1805F1FD93846EE -:10B7000005EBC47124F0010C01EB0C11BCF1FE6FD0 -:10B7100002D8F0BCBDE8008124425CBF01F5801E68 -:10B720009EEAC47F02D4F0BCBDE80081244238D434 -:10B73000A1F1C041F0BCBDE8004100F0E9BA4FEA18 -:10B74000D02040EA41504FEAD1214FEAD22242EACA -:10B7500043524FEAD323A7FB028607FB036605FB90 -:10B7600002661EEA0E0F58BFA6EB0056B6EB005657 -:10B770004FEA520E4EEAC37E18EB0E0E56EB530EF6 -:10B78000BDD518EB02085E417F1C55F10005B6E7F8 -:10B790004FF480154FF000074FF000064FF00008FF -:10B7A000ADE701F1C04101F000414FF00000F0BCF5 -:10B7B000BDE800810CEA131511EA0C1F00D00CE063 -:10B7C00013EA0C1F00F025804FF0000081EA03010E -:10B7D00001F00041F0BCBDE80081F0BCBDE80041D3 -:10B7E00081EA030100F094BAF0BCBDE8004170B5F5 -:10B7F00000F040FA097EFC3E00F007B84FF0000070 -:10B8000081EA030101F00041704781EA030100F081 -:10B810007FBAF0BCBDE8004122494FF000007047FC -:10B82000FFFDFBF9F7F5F4F2F0EEEDEBE9E8E6E405 -:10B83000E3E1E0DEDDDBDAD8D7D5D4D3D1D0CFCD8C -:10B84000CCCBCAC8C7C6C5C4C2C1C0BFBEBDBCBBC5 -:10B85000BAB9B8B7B6B5B4B3B2B1B0AFAEADACABC0 -:10B86000AAA9A8A8A7A6A5A4A3A3A2A1A09F9F9E9A -:10B870009D9C9C9B9A99999897979695959493934C -:10B88000929191908F8F8E8E8D8C8C8B8B8A8989E3 -:10B890008888878786858584848383828281818066 -:10B8A0000000FF070000F87F51EA030C17D41CF5D5 -:10B8B000801F58BFBCF5801F00F10680994218BF59 -:10B8C000704708BF9042704707D711F5801F58BFD7 -:10B8D00013F5801F19D499427047894270471CF5AF -:10B8E000801F08D5BCF5801FF7D58B4218BF704765 -:10B8F00008BF824270474FF4001C1CEB410F38BF59 -:10B900001CEB430F01D28B42704770B500F0B2F9C7 -:10B91000922449004FF000514FF08053FFF760BE72 -:10B920004FEA41034FEA5353A3F58063D3F11E035B -:10B93000C8BFD3F1210C0CDD4FF0004242EAC12216 -:10B9400042EA505232FA03FC8CEAE17CACEBE17043 -:10B950007047102BC4BF0020704703F5787CBCF102 -:10B96000FF3F04D06FF0004282EA2100704770B5BB -:10B9700000F080F94992248000207047F2E700002F -:10B980004FEA1153A3F58063D3F11E03A8BFD3F18F -:10B99000200C08DB4FF0004242EAC12252EA50522A -:10B9A00032FA03F0704709420BD4102BC4BF0020B9 -:10B9B000704703F5787CBCF1FF3F05D04FF0FF30B6 -:10B9C00070474FF00000704770B500F053F900BFAA -:10B9D0004992248000207047F0E710F0004248BFF1 -:10B9E0004042B0FA80F310FA03F10AD0C3F11D030C -:10B9F00003F5806302EB03524FEA415002EBD12181 -:10BA000070474FF000007047B0FA80F310FA03F16E -:10BA10000AD0C3F11D0303F580634FEA03524FEAD6 -:10BA2000415002EBD12170474FF0000070470000F9 -:10BA300051EA030C17D41CF5801F58BFBCF5801FBA -:10BA400000F10680994218BF704708BF90427047C6 -:10BA500007D711F5801F58BF13F5801F19D49942DD -:10BA60007047894270471CF5801F08D5BCF5801FC0 -:10BA7000F7D58B4218BF704708BF824270474FF41A -:10BA8000001C1CEB410F38BF1CEB430F01D28B4253 -:10BA9000704770B500F0EEF8922449004FF08051E5 -:10BAA0004FF00053FFF79CBD51EA030C17D41CF56F -:10BAB000801F58BFBCF5801F00F10680994218BF57 -:10BAC000704708BF9042704707D711F5801F58BFD5 -:10BAD00013F5801F19D499427047894270471CF5AD -:10BAE000801F08D5BCF5801FF7D58B4218BF704763 -:10BAF00008BF824270474FF4001C1CEB410F38BF57 -:10BB00001CEB430F01D28B42704770B500F0B2F8C6 -:10BB1000922449004FF000514FF08053FFF760BD71 -:10BB200070B5DFF848C11CEA111E1EBF1CEA1315D0 -:10BB30009EEA0C0F95EA0C0F00F06F8081EA030477 -:10BB40004EEAD47EAE4421EA4C1123EA4C1341F470 -:10BB5000801143F48013AEF17F7EA1FB024CA0FB69 -:10BB60000365361955EB0C05A1FB034C4CF10003A2 -:10BB7000A0FB021C16EB0C06654153F100030942C1 -:10BB800018BF46F0010613F4007F0BD14FEA0331D2 -:10BB90004FEA053041EA155140EA165034036FF080 -:10BBA00003020AE04FEAC3214FEAC52041EA55519A -:10BBB00040EA5650F4026FF0020202EB2E4201EB13 -:10BBC000025585EACE710AD05FEA440C18BF24F012 -:10BBD000004450F1000041F1000120EAD47040F22D -:10BBE000FE7C624500D270BDA84214BF4FF0804475 -:10BBF0004FF04044002E08BF0024BEF1806FA8BF64 -:10BC0000A1F1C041BDE8704080F2828001F1C041E5 -:10BC100001F000414FF0000070470CEA13159EEA56 -:10BC20000C0F18BF95EA0C0F06D081EA030E4FF0F7 -:10BC300000000EF0004170BD00F01CF88900013ECC -:10BC400000F007B800F009B84FEA430C5FEA5C5C0B -:10BC500008D081EA030100F05BB84FEA410C5FEACB -:10BC60005C5CF6D102494FF0000070470000FF070E -:10BC70000000F87F0EF1020E2EF0030E5EF8046B4A -:10BC8000364205D4012A43EB030515F5001F12D8EF -:10BC9000012841EB010C1CF5001F0CD80ED14FEA16 -:10BCA000D17C0CEB4C0C0CF1020C15F5001F08BFFD -:10BCB0004CEBD37C04E04FF0080C01E04FEAD37C5E -:10BCC0000CEB4C0526FA05F606F00706B6F1040C57 -:10BCD00006D20EEB860CBDE870404CF0010C6047BC -:10BCE000DFE80CF0090B02024FF00041A1F5002142 -:10BCF0004FF0000070BD19461046012851EB0104B9 -:10BD000018BFD4F5001484BF002001F0004170BDBD -:10BD10000808A0F500114FEA3101704753EA010C01 -:10BD200017D41CF5801F58BFBCF5801F00F106809A -:10BD30008B4218BF704708BF8242704707D713F580 -:10BD4000801F58BF11F5801F19D48B4270479B424A -:10BD500070471CF5801F08D5BCF5801FF7D59942A8 -:10BD600018BF704708BF904270474FF4001C1CEB8F -:10BD7000430F38BF1CEB410F01D2994270470FB4FB -:10BD80000CBC03BCFFF7C1BE5FEA410C5FEA5C5C20 -:10BD9000ACF580635B1C39D4D3F1340240F34580A9 -:10BDA000B2F1200314DA4FF0010C0CFA02FCACF1F2 -:10BDB0000103034020EA0300B3EB5C0208BF10EA72 -:10BDC0000C0F98BF704710EB0C0041F10001704759 -:10BDD0000AD0C3F1200201FA02FC01284CF1000054 -:10BDE00021FA03F101FA03F14FF0010C0CFA03FC04 -:10BDF000ACF1010321EA0301B0F1004F08BF11EAE1 -:10BE00000C0F4FF0000088BF61447047B3F1FF3F53 -:10BE100028BF50EAC12C01F000414FF0000084BF60 -:10BE200041F07C5141F470017047B3F5806FB8BFA9 -:10BE3000704770B5FFF71EFF40DBB6BE10B591EA44 -:10BE4000030F81F000413FF52BAB83F0004300F07E -:10BE5000D5B800002DE9F04101F5801CBCF5001FAC -:10BE6000C0F2A9804FEA115C21EA0C5141F4801123 -:10BE70000CF1FD0C5FEA5C0C0CF5C07C01D34000BA -:10BE80004941890241EA905187022EA48E0EA45D99 -:10BE90000A0C04FB04F602FB06F6C6F14046A4FBBE -:10BEA0000662F60D46EA422606FB06F4A4FB0123D1 -:10BEB0005242C3F1404338BF5B1EA6FB024200243E -:10BEC000E6FB0324D20B42EA4446A6FB0623A1FB71 -:10BED00002E2A3FB07E4E3FB61426442C2F1404E8D -:10BEE00038BFAEF1010EA6FB04234FF00002E6FBC3 -:10BEF0000E32A2FB03463400E2FB6264A1FB06E6BD -:10BF0000A4FB07E8E4FB61687642C8F1405838BFFB -:10BF1000A8F10108A2FB06E4A3FB08E5E2FB6854D4 -:10BF2000A1FB05E6A7FB04E8E1FB648618F1200508 -:10BF300056F10006A80940EA8660B109A8F11B087D -:10BF400008F03F08B8F10A0F1CD901EB0C51BDE80D -:10BF5000F08100BFFCF5EEE8E2DDD8D3CFCBC7C35C -:10BF6000C0BDB9B6B4B1AEACA9A7A5A3A19F9D9B16 -:10BF70009A9896959392908F8D8C8B8988878685D9 -:10BF800084838281A0FB006800FB01F208EB420879 -:10BF9000B8EB872807D5341858EB0104D5D5401CD9 -:10BFA00051F10001D1E7341A78EB0104CDD4401EE1 -:10BFB00071F10001C9E75FEA4C0C5FEA9C5C0DD1AE -:10BFC00005D301F000414FF00000BDE8F081BDE86D -:10BFD000F04170B5FFF74EFE6DDBFFBEBDE8F041EE -:10BFE00001494FF0000070470000F87F10B591EA5A -:10BFF000030F48BF83F000433FF552AA841A71EB48 -:10C00000030C07D28CF0004C121943EB0C03001BFD -:10C0100061EB0C014FEA1154A4EB135CDFF89CE1D7 -:10C020001EEA430F18BF9EEA445F00F0B08021EA89 -:10C03000045123EA6E035242C3EB6E0338BF5B1E0A -:10C04000DCF1200E25D322FA0CFE10EB0E0043FA91 -:10C050000CFE41EB0E01CCF1200E03FA0EFE10EBAC -:10C060000E00CCF1200E51F1000129D401EB045156 -:10C0700012FA0EF2BDE8104058BF7047401C1CBFBA -:10C08000B2F1004F704700280CBF491C20F001009E -:10C09000704712EB020E43EB030218BF4FF0010E84 -:10C0A0004EEA4202ACF1200CDCF11E0E54D943FAE8 -:10C0B0000CFE10EB0E00CCF11E0E51F1FF31D5D568 -:10C0C0000EF1010E12FA0EFE404141EB010101EBAF -:10C0D000445C5FEA5C5C10D901EB045110EBDE704C -:10C0E00038BFBEF1004FBDE8104018BF70470028B0 -:10C0F0000CBF491C20F00100704730D24FEAD42C0D -:10C1000024F4006411F500111BD0B1FA81F20B3A4E -:10C11000A41AA41ED2F12003914030FA03F319436C -:10C12000904001EBCC7101EB0451002CBDE81040B4 -:10C13000A8BF704701F1C04101F000414FF000007D -:10C140007047B0FA80F310FA03F100D110BDE41A81 -:10C15000173C4805C90AE4E701EB045110BD49103A -:10C160004FEA300001EB0451BDE8104002E04FF00F -:10C17000000170474A0008BF0042F8D0B2F5001F26 -:10C1800028BF704701F000414FF0000070479EEA61 -:10C19000445F07D011EA5E0FBDE8104004BF0021E4 -:10C1A00000207047BDE8104070B5FFF763FD00BF89 -:10C1B00040DFF63E104683F0004170470000E0FF8C -:10C1C00043004FEAD30C4FEA3C0C43401FBF4007EB -:10C1D0000CF1605113F0FE4F70471CF0006F03D15B -:10C1E0000CF00041002070470CF0004343EA50700F -:10C1F00040EACC0010B500F038F800BF0000009213 -:10C200004FEA0001FFF784BD4FF00041A1F5002186 -:10C210004FF00000704710B5FFF746FB0CBF012040 -:10C22000002010BD10B5FFF73FFB14BF0120002018 -:10C2300010BD10B5FFF7FCFB8CBF0120002010BD26 -:10C2400010B5FFF7F5FB2CBF0120002010BD10B585 -:10C25000FFF72AFC94BF0120002010BD10B5FFF7A6 -:10C2600023FC34BF0120002010BD0EF1020E2EF081 -:10C27000030E5EF8044B244204D44FEA4102B2F1AB -:10C280007F4F11D84FEA4003B3F17F4F0CD80ED146 -:10C290004FEAD07C0CEB4C0C0CF1020CB2F17F4F4E -:10C2A00008BF4CEBD17C04E04FF0080C01E04FEAF2 -:10C2B000D17C0CEB4C0324FA03F404F00704B4F132 -:10C2C000040C06D20EEB840CBDE810404CF0010CBF -:10C2D0006047DFE80CF0070802024FF00040A0F5CD -:10C2E000800010BD0846420018BFD2F1807288BF9E -:10C2F00000F0004010BDF1EE100A4FF6FF71C0F2E1 -:10C30000C03120EA010040F04070E1EE100A7047B1 -:10C310004FF07F4C3CEA410318BF3CEA400200F07A -:10C3200062804FF47F0C0CEAD11310F0004F18BF5D -:10C3300043F005031CEAD01218BF13EA0C0F00F0FB -:10C3400057804FF0004C4CEA00204CEA0121A3F545 -:10C3500080339A4210DD23F00203B0F1004F06D380 -:10C3600043F00203401ABCBF404283F001034FEA8E -:10C370004000A2F58032ECE74FEA224CBCEB234FA1 -:10C3800008DB884206D31CD0A0EB4100C0F10000BE -:10C3900083F0010340001DD217D40DD0B0FA80FC09 -:10C3A0000CF1010C00FA0CF0A2EB0C42D2F1000CE3 -:10C3B00012DB4FEAC37070474FEA437000F0004051 -:10C3C000704713F0020FE5D0DEE74FEA4000B2F508 -:10C3D0008032EBDD002AE9DD4FEAC21242EA50204A -:10C3E00040EAC370704710B5FFF73FFFEDFFFF3E17 -:10C3F0001CEAD11F02D000F000407047004870478F -:10C400000000C07FFF2141EAD050C0057047000006 -:10C41000C2154FEA002318BF43F0004300F110801B -:10C42000D2F1BE0240F2288023FA02F1B2F1200CD0 -:10C430002EBF23FA0CF0C2F1200C03FA0CF0704767 -:10C44000B0F15F4F00F2188012F0FF0208BF23F036 -:10C450000043C2F1BE0223FA02F1B2F1200C2EBF5A -:10C4600023FA0CF0C2F1200C03FA0CF04042C1F1A7 -:10C47000000138BF491E704710B5FFF7F6FE00BF38 -:10C48000922449804FF00001002070476FEAE0706D -:10C4900080F000417047D4F74BBCD4F77FBD00005B -:10C4A00000000000000000003031323334353637F0 -:10C4B00038394142434445464030580030313233E8 -:10C4C00034353637383961626364656640307800E8 -:10C4D000040000080000000000000002000100004D -:10C4E0001000000000000000555555555555C53F3A -:10C4F0007D6FEB0312D6D4BF5544880E55C1C93F9A -:10C500003B8F68B52882A4BF88B20175E0EF493F30 -:10C5100009F7FD0DE13D023F4B2D8A1C273A03C070 -:10C52000C88A599CE52A004059018D1B6C06E6BF5C -:10C5300082922EB1C5B8B33F000000000000F03F6A -:10C540003031323334353637383961626364656689 -:10C550007870003031323334353637383941424320 -:10C560004445465850000000000000000000E03F35 -:10C57000000000000000E0BF0000E0FE422EE63FA9 -:10C580000000E0FE422EE6BF763C7935EF39EA3D09 -:10C59000763C7935EF39EABD3E5555555555C53F81 -:10C5A00093BDBE166CC166BF2CDE25AF6A56113F27 -:10C5B000F16BD2C541BDBBBED0A4BE726937663E29 -:10C5C000F41011111111A1BF8555FE19A0015A3F98 -:10C5D000B7DBAA9E19CE14BF3952E686CACFD03E29 -:10C5E0002DC3096EB7FD8ABE04FA97999999D93F70 -:10C5F000AF788E1DC571CC3F9FC678D0099AC33FD6 -:10C60000935555555555E53F599322942449D23FAA -:10C61000DE03CB966446C73F44523EDF12F1C23F71 -:10C62000935555555555E53F04FA97999999D93F32 -:10C63000599322942449D23FAF788E1DC571CC3FC7 -:10C64000DE03CB966446C73F9FC678D0099AC33FA6 -:10C6500044523EDF12F1C23F02400000000000A041 -:10C660000000000005400000000000C800000000BD -:10C670000C4000000000409C000000001940000039 -:10C680000020BCBE0000000034400000BFC91B8E6B -:10C6900000000004B5400000504BCFD06607E2CF49 -:10C6A000010000006C4100003E8251AADFEEA73479 -:10C6B00001000000D9420000DCB5A0E23A301F972B -:10C6C000FFFFFFFFB4450000FD25A0C8E9A3C14F4F -:10C6D000FFFFFFFFFF3F00000000008000000000A0 -:10C6E000FF3F00000000008000000000000000008C -:10C6F00000000000000000000000803F0030983F74 -:10C700000000B53F0040D73F00000000320A7E39EC -:10C710007F661E395B991F390000803FF037983FD4 -:10C72000F304B53FFD44D73F4C5555555555A53FEE -:10C730007751C1166CC156BF9015CB19A001FA3EB6 -:10C74000AD529C804F7E92BEC4B1B4BD9EEE213EE0 -:10C75000D43888BEE9FAA8BD00004054FB21F93F57 -:10C760003163621A61B4D03D0000601A61B4D03DFB -:10C770007370032E8A19A33B0000002E8A19A33B75 -:10C78000C14920259A837B39000000000000000089 -:10C790006E83F9A22915444ED15727FCC0DD34F52C -:10C7A000999562DB4190433CAB6351FE61C5BBDEB2 -:10C7B0003A6E24B7E0D24D42EA2E49061C92D109C6 -:10C7C0001CEB1DFE3EA729B1F53582E88444BB2E43 -:10C7D00026709CE9417E5FB439D69139F439538390 -:10C7E0008B5F849C3B28F9BDFF97F81F0F9805DEEF -:10C7F0008B112FEF1F6D0A5ACF7E366DB709CB27ED -:10C80000663F464F2DEA5F9EC7BA27757BF1E5EB81 -:10C81000F739073DEA92528AB15FFB6B085D8D1FC5 -:10C820004630035600000000A6F810111111813F98 -:10C83000D561C119A0012ABF7DFEB157E31DC73ED6 -:10C84000EB9C2B8AE6E55ABE7CD5CF5A3AD9E53D1A -:10C850000A00190032006400F401E80301000A0034 -:10C860001900320064000000020000000300000014 -:10C8700003000000040000000400000005000000A8 -:10C880000600000009000000080000000800000089 -:10C8900008000000CFA6363F0000000000000000A6 -:10C8A00000000000000000000000803FAF6ABC3FB5 -:10C8B0000000803FC93DB53F3B5F12BF2433603C61 -:10C8C0000000000000000000000000000000000068 -:10C8D0000000803F2FA03B3E0000803FC882A63F63 -:10C8E000FF7255BF0000803F000000000000000004 -:10C8F0000000000000000000201D9A0050929A00E5 -:10C900000300000050929A0070E09A0008000000B6 -:10C91000D59D4C3E7BA98B3E7BA98B3ED59D4C3E45 -:10C92000D5FD1E40000000000000000000000000D7 -:10C93000000000000000803F1CFBF23F0000803F31 -:10C94000B389323F37F59CBEF170E73C0000000030 -:10C950000000000000000000000000000000803F18 -:10C960005208C03F0000803F6CE8043CE9A845BF86 -:10C970000000803F000000000000000000000000F8 -:10C9800000000000D59D4C3E7BA98B3E7BA98B3ED1 -:10C99000D59D4C3E809FD500F0B0D600030000002E -:10C9A000F0B0D6000055D700080000000055D700B1 -:10C9B000B0F6DA000100000020B21301981B140148 -:10C9C00003000000981B1401407D140108000000C2 -:10C9D000407D1401C038150101000000406F400186 -:10C9E000B080410103000000B080410148B5420120 -:10C9F0000800000048B54201104D47010100000049 -:10CA000090CA7B01382C7C0103000000382C7C018B -:10CA1000E08D7C0108000000E08D7C0130517D013B -:10CA200001000000894D8D3E000000000000000064 -:10CA300000000000000000000000803F2527D23EDB -:10CA40000000803FBEFDDB3FC4B142BFDB3A183C73 -:10CA500000000000000000000000000000000000D6 -:10CA60000000803F9FE690BF0000803F89A0E13F2B -:10CA7000D31468BF0000803F0000000000000000E9 -:10CA80000000000000000000509E1B00B00B1C00C6 -:10CA900002000000B00B1C00381F1C000800000042 -:10CAA000381F1C0080841E0000000000003FAB0106 -:10CAB0007050AC01030000007050AC013025AE0195 -:10CAC000080000003025AE01E0D2AF0103000000F5 -:10CAD000E0D2AF010021B001080000000021B00148 -:10CAE000E007BC0101000000E007BC01808EBD0131 -:10CAF00004000000808EBD012015BF010800000069 -:10CB00002015BF018070C201010000008070C201C9 -:10CB1000A02FC5010400000080F0FA022077FC027B -:10CB2000030000002077FC026084FF020100000087 -:10CB30006084FF02B047000308000000B047000314 -:10CB4000401804030100000040180403C0320A0327 -:10CB500008000000C0320A0360B90B0301000000A6 -:10CB600060B90B0380F9370304000000D65B253E53 -:10CB700000000000000000000000000000000000B5 -:10CB80000000803F1F786ABF0000803FA34CEE3F4B -:10CB9000ED6A5FBF6119063C000000000000000064 -:10CBA00000000000000000000000803F1B6DDFBFA0 -:10CBB0000000803F8F01F53F158673BF0000803F66 -:10CBC0000000000000000000000000000000000065 -:10CBD000E0673500507936000200000050793600D9 -:10CBE00080EE36000800000080EE3600C0FB390001 -:10CBF00000000000445651006C7352000100000018 -:10CC0000CC2F9EF7FFFFBEF725009EF7FFFFBEF774 -:10CC100073149EF7F7FFFBDE38CE75B5B2940F8420 -:10CC2000CE7B0C63EB5AAA5202008952F8FFCA5A13 -:10CC30000C634D6BEF83718C14A5F7C5BADEC70189 -:10CC40009EF7F8FF1BE7B6BD0F84CA5AA639032129 -:10CC5000610820080300400807002008020040087F -:10CC6000FFFF200802004008F8FF20084008A21833 -:10CC70006531284ACE7B14A59AD6BD019EF7FBFFED -:10CC8000FBE634AD0B636531811004004008FFFF03 -:10CC900020080300400802002008060040080300A6 -:10CCA00020080200400802002008FFFF40080200A0 -:10CCB0002008FEFF4008200802004008FCFFE3189F -:10CCC000694A508C58CEB6019EF7FBFF79D6EF83A8 -:10CCD000A639611020080300400802002008070060 -:10CCE00040080600200804004008FFFF2008040058 -:10CCF00040080200200806004008FBFF20086110E1 -:10CD0000E3200B6375B5B0019EF7FDFF99D6EF8365 -:10CD1000442902002008FFFF400802002008030009 -:10CD20004008FFFF20080500400803002008050018 -:10CD300040080200200802004008FFFF200802000F -:10CD400040080200200804004008FDFF6010400871 -:10CD50002008020040080200200802004008FCFFF2 -:10CD60002000A218CB5AB6BDAB019EF7FCFF13A55D -:10CD7000284A4008200807004008FFFF2008030059 -:10CD800040080300200805004008FEFF2008400876 -:10CD900005008110FDFF61104008200802004008D6 -:10CDA000040020080C004008FBFF20084008242154 -:10CDB000CE7BBADEA5019EF7FDFFFBE6CE7B03210D -:10CDC00002004008020020080A004008F4FF200882 -:10CDD0004008A218853189528D73919454ADF7C5DE -:10CDE00079CEDADEFBDE04001BE7F2FFFBDEBADE03 -:10CDF00038C695B5D29CEF7BEB5A07420421400818 -:10CE0000200860084008200802004008FFFF2008B2 -:10CE100004004008FFFF200802004008FCFF200833 -:10CE20008110A952D6BDA1019EF7FAFFDADE4D733B -:10CE3000A21820084008200803004008FFFF20082F -:10CE4000020040080200200802004008FBFF811099 -:10CE5000A6396C6B33A579CE17009EF7F9FF1BE757 -:10CE600095B50F842842C2184008200804004008E5 -:10CE7000FFFF40100200200803004008FCFF2008CC -:10CE80004008E741D6BD9D019EF7F9FFFBE62C6BFC -:10CE900081104008200840102008030040080200CC -:10CEA000200803004008FCFF44292C6395B53CE7AB -:10CEB00020009EF7FAFF38CE308407424108400830 -:10CEC000200806004008FFFF200803004008FEFF7E -:10CED000E739B6BD9A019EF7F9FFEF7BA21820084B -:10CEE00040082008400820080200400802002008EE -:10CEF000FBFF400841084429AE7B58CE28009EF72E -:10CF0000FBFFD39C284A81104008200802004008FB -:10CF1000FFFF200802004008FEFF00084008020052 -:10CF20002008FEFF695259CE96019EF7FDFF13A51A -:10CF30002429200802004008FFFF200803004008C1 -:10CF400003002008FDFFC218EB6218C62D009EF7F3 -:10CF5000FBFFDBDE508CA6314008200802004008B1 -:10CF6000FFFF20080200400803002008FEFF811098 -:10CF70004D6B93019EF7FEFF99D66952040040085D -:10CF8000FFFF20080200400802002008FCFF4008C4 -:10CF9000452971943CE732009EF7FBFF95B5895215 -:10CFA00041082008401002002008FEFF60104008E1 -:10CFB00002002008FDFF4008032134AD66019EF702 -:10CFC000FFFFBEF729009EF7FEFF9194C2200200EA -:10CFD000200802004008FFFF200802004008FCFF74 -:10CFE00000004008E73934A537009EF7FBFF79CEF3 -:10CFF000CA5A811020084008020020080200400898 -:10D00000FBFF401020084008AA525CEF64019EF725 -:10D01000FFFFBEF727009EF7FEFFFBE6494A02002E -:10D020002008FEFF4008200805004008FEFF65318B -:10D0300054AD3B009EF7FEFF79D60B63020040081B -:10D0400002002008F9FF4008200840082008400896 -:10D05000E32075AD8A019EF7FEFF75B5C218020088 -:10D060004008FEFF2008400804002008FEFF0321BE -:10D07000B29C3F009EF7FEFF58CE074202004008D8 -:10D08000FCFF200840100008401002002008FEFFAE -:10D0900040088D7364019EF7FFFFBEF723009EF7E3 -:10D0A000FCFFCF7B6110410820080200400802000D -:10D0B0002008FDFF400881106D6B43009EF7FAFFCA -:10D0C00034AD242940082008400820080300400807 -:10D0D000FDFF0000E741BAD660019EF7FFFFBEF7F3 -:10D0E00024009EF7FBFF694A200840080000400822 -:10D0F00002002008FCFF40082008C63938CE450051 -:10D100009EF7FDFF7DEF6D73611002004008F9FF8F -:10D11000200840082008601020080321D7BD8201A4 -:10D120009EF7FEFFDADE652902004008F9FF0008DD -:10D1300040104008200840088110108449009EF7E4 -:10D14000FDFFF7C56531200802004008FFFF2008F9 -:10D1500003004008FEFF6110D29C80019EF7FBFF98 -:10D1600038CEC21840084010200802004008FCFFDA -:10D1700020084008653118C64C009EF7FCFF0B6381 -:10D180004008200860100200200802004008FEFF4E -:10D190006110CE7B7E019EF7FBFF95B5C21860083B -:10D1A000200840100200200802004008FFFFCA5A71 -:10D1B0004F009EF7FDFF34A5A21820080500400887 -:10D1C000FEFF60084C6B7C019EF7FEFF96B5821057 -:10D1D0000300200803004008FEFF6010508C51003F -:10D1E0009EF7FBFF79D66529200840082008040037 -:10D1F0004008FFFFCB5A7A019EF7FEFF96B56110FB -:10D2000004004008FCFF20084008E220B5BD5300A0 -:10D210009EF7FEFF7DEF694A02004008FDFF2008EF -:10D220004008200802004008FFFFEB5A78019EF7F3 -:10D23000FCFF95B581182008401002004008FCFF53 -:10D2400020084008442979CE56009EF7FDFF0C6364 -:10D25000200840100200200802004008FEFF2008BD -:10D26000EB6276019EF7FCFFF7BD821020084008B4 -:10D2700002002008FCFF4008200886311CE7580007 -:10D280009EF7FEFFCE7B400802002008FEFF40080C -:10D29000200802004008FFFF6D6B74019EF7FDFF40 -:10D2A00079CEC318200802004008FFFF401002009A -:10D2B0004008FFFFE7415B009EF7FEFF308C6110E6 -:10D2C00006004008FFFF0F8472019EF7FEFF1BE778 -:10D2D0000321020040080200200802004008FFFF6E -:10D2E000E7414C009EF7FCFF718CEB620F849DF7C9 -:10D2F0000D009EF7FDFF30848110200802004008D9 -:10D30000FCFF200020086110F39C71019EF7F7FFDD -:10D31000E741400820080000400820084008E74195 -:10D320005CEF4A009EF7F9FF38C6452940082008FF -:10D330004008C6397DEF0D009EF7FEFF308460087F -:10D340000200400802004010FDFF20088110F7C5D0 -:10D350006F019EF7F7FF2C6B00002008400860105B -:10D360002008400885311BE74A009EF7F8FF75AD9D -:10D37000A2184008200840082008400889520E00E2 -:10D380009EF7FFFFAE730200400802002008FCFF7A -:10D3900040082008E320FBE66D019EF7FFFFB29CEA -:10D3A00003004008FBFF200840084108E320BAD6EC -:10D3B0004A009EF7FCFF75AD8110200800000300B5 -:10D3C0004008FDFF2008400834A50E009EF7FEFF30 -:10D3D0000B6340080200200802004008FEFF2008FE -:10D3E000E7416C019EF7F7FF59CEA21820084008CC -:10D3F000200840102008611059CE4A009EF7F8FF1F -:10D40000D6BD8110400820084008200840082008A8 -:10D4100002004008FFFF24290F009EF7FEFF07428D -:10D4200040080300200802004008FFFF6D736B01F5 -:10D430009EF7FDFF86314008200802004008FDFFEE -:10D440000008611014A54A009EF7FEFF38C6C218F6 -:10D4500005004008FEFF4010200803004008FFFFC1 -:10D4600054AD0E009EF7FEFF1BE744290200200882 -:10D4700002004008FDFF2008400895B569019EF7AD -:10D48000FFFF6D7302002008FBFF60080008200802 -:10D490004008AE7B4A009EF7FEFFFBDE44290200F7 -:10D4A0004008FFFF000002004008FDFF4010200878 -:10D4B000401003002008FFFFAA520F009EF7FEFF56 -:10D4C00038CE611002004008FBFF000861104008E0 -:10D4D00044297DEF67019EF7FDFFD6BD611020084E -:10D4E00002004008FDFF20084008284A4B009EF734 -:10D4F000FEFFC639000002002008FEFF6010200871 -:10D5000002004008FEFF2008401003004008FFFF13 -:10D51000A21810009EF7FEFFB29C400803002008EE -:10D52000FDFF400820080B6367019EF7FFFF652998 -:10D530000300400802002008FEFFE218DADE4A007D -:10D540009EF7FFFF695209004008FFFF2008030013 -:10D550004008FFFF200811009EF7FDFFCB5A41084D -:10D5600040080200200802004008FFFF95B5650151 -:10D570009EF7FEFFCE7B200804004008FEFF6110EE -:10D58000F3A44A009EF7FFFF8D7302004008FEFFE0 -:10D590002008400802002008FFFF40080200200881 -:10D5A000FEFF6010200802004008FEFF20008110EE -:10D5B00011009EF7FEFF3CEF242902002008FCFF2B -:10D5C000401020084008442964019EF7FEFF99D6C8 -:10D5D000A11002004008FFFF200802004008FFFFE2 -:10D5E000CA5A4A009EF7FFFF508C02004008F8FF1D -:10D5F0002008400820084008401020084008200863 -:10D6000005004008FFFFA63112009EF7FBFF95B50D -:10D61000611020084008200802004008FFFFEF7B4F -:10D6200063019EF7FEFF8952200804004008FEFFB8 -:10D63000C2181BE749009EF7FFFFD29C0300400879 -:10D64000FFFF200802004008F5FF200840102008D6 -:10D6500040104008200840082008200040082C63A3 -:10D6600013009EF7FFFF2C63030040080200200810 -:10D67000FEFF81101BE761019EF7FFFFD6BD05008D -:10D680004008FEFF2008F3A449009EF7FEFFD29C4D -:10D69000811002004008FFFF200804004008FDFF41 -:10D6A00020084008200802004008FFFF4010030047 -:10D6B0004008FFFFB29413009EF7FDFF5CEFC21815 -:10D6C000200804004008FFFFCA5A61019EF7F9FFD5 -:10D6D000E741200840082008400820080742490088 -:10D6E0009EF7FEFF308461080C004008FFFF200811 -:10D6F00002004008FDFF2008400879CE14009EF784 -:10D70000FDFFB29C2008400803002008FEFF6110C6 -:10D7100058CE5F019EF7FBFF75B5611020084008E9 -:10D72000200802004008FFFF38C640009EF7F7FFC0 -:10D73000FBE638CED6BDF7BD38C699D6FBE613A5B5 -:10D74000C63902004008020020080B004008FFFF15 -:10D75000200802004008FFFFC21816009EF7F9FFDC -:10D76000A6392008400820084010200869525F01AF -:10D770009EF7FFFFC6390300200802004008FFFFA4 -:10D78000EB5A3E009EF7FDFF34A5CA5AC2180300AB -:10D790004008FFFF200802004008FFFF20080E009D -:10D7A0004008F9FF200840102008400820084008E1 -:10D7B000484A06009EF7FDFFFBDE54ADDADE0D00A1 -:10D7C0009EF7F8FFD6BD4008200840082008400812 -:10D7D000611038CE5D019EF7FFFFB6B5030040082B -:10D7E00002002008FEFF811099D63C009EF7F8FF4A -:10D7F00034AD65292008400860084008200840082A -:10D8000003002008FBFF4008000020084008200813 -:10D810000A0040080200200804004008FFFFCE7BF9 -:10D8200003009EF7FDFF7DF7F3A4284A020040089D -:10D83000FEFF8110F39C0D009EF7FFFF484A030096 -:10D840004008FDFF20084008AA5A5D019EF7FEFF30 -:10D85000074240080200200802004008FFFF2C6336 -:10D860003B009EF7FAFFDBDE89524008200060088B -:10D87000200806004008FBFF200840084010400830 -:10D88000200802004008FFFF200808004008F3FFBE -:10D89000601040082008400800004008B6BD9EF710 -:10D8A000B9D6AE7B03214008200003004008FEFFEC -:10D8B000C218FBDE0C009EF7FEFFD7BD6108030017 -:10D8C0004008FDFF200861109AD65B019EF7F8FF23 -:10D8D00058CE600820086010200840088110BAD691 -:10D8E0003A009EF7FDFF54ADC21800000200400848 -:10D8F000020020080200400802002008030040083F -:10D900000300200802004008FFFF2008090040082B -:10D91000FFFF200804004008F9FF611034ADAA5A47 -:10D920008110200840082008030040080200200859 -:10D93000FFFF34A50D009EF7FFFF484A02002008B4 -:10D94000FCFF6010200840088D735B019EF7FFFF0D -:10D950002C6302004008FCFF200840082008CA5A37 -:10D960003A009EF7FEFF8E7361100200400802002D -:10D970002008FEFF4008200805004008020020089B -:10D98000FDFF401040082008020040080200200867 -:10D9900008004008FFFF200802004008020020089D -:10D9A000FFFF60100300200802004008FFFF401046 -:10D9B0000200200803004008FFFF718C0D009EF755 -:10D9C000FCFFB6BD60084008200802004008FFFFC9 -:10D9D000C2185B019EF7FFFFA11003004008FDFF86 -:10D9E0002008400838CE38009EF7FCFF7DEF8952B2 -:10D9F0004008200802004008FEFF200840080200FE -:10DA0000200802004008FFFF200804004008FDFF36 -:10DA100020084008200802004008FFFF20080A00F4 -:10DA20004008FEFF200840080200200803004008CC -:10DA3000FEFF2008400802002008FAFF40102008DE -:10DA400040082008400896B50E009EF7FEFFC63934 -:10DA5000200802004008FDFF20084008F29C590100 -:10DA60009EF7FFFFD29C03004008FDFF20084008FE -:10DA7000C63938009EF7F8FFFBDEA63940102008B3 -:10DA8000400820084008200821004008FFFF200827 -:10DA900005004008FDFF2008400803210F009EF705 -:10DAA000FFFFB29C04004008FEFF2008C639590160 -:10DAB0009EF7FFFF284204004008FEFF2008D29C8A -:10DAC00037009EF7FCFF79D60321400800000200D2 -:10DAD0004008FCFF20086010400820082000400893 -:10DAE000FFFF20080200400802002008FCFF400859 -:10DAF00020086110F3A410009EF7FEFFC218200852 -:10DB000002004008FDFF20086008BAD657019EF7C2 -:10DB1000FDFFDADE6108400802002008FEFF400831 -:10DB2000C21837009EF7FCFF38CEC3184108200802 -:10DB30000200400802002008FDFF400820084010B5 -:10DB400020004008FFFF401002002008FBFF4008B3 -:10DB5000200861104008719411009EF7FCFF0C63CF -:10DB600060084008200802004008FFFFEF835701CB -:10DB70009EF7FFFF718C0300400802002008FFFFA2 -:10DB8000EB6236009EF7FEFFF7C5A21802002008E0 -:10DB900002004008FDFF2008400840100200200855 -:10DBA00021004008FFFF200802004008FCFF200879 -:10DBB0004008811095B512009EF7FEFFD7BD2008E2 -:10DBC00003004008FEFF2008A63957019EF7FDFF1D -:10DBD00007424008200802004008FEFF2008F6BD6A -:10DBE00035009EF7FDFFF7C5A21840080300200886 -:10DBF00006004008FFFF200821004008020020081E -:10DC0000FDFF6110242979D614009EF7FDFF042141 -:10DC10004008200803004008FFFF9AD655019EF7F0 -:10DC2000FEFF1BE7A2100200200802004008FFFFD1 -:10DC3000E32035009EF7F9FFD7BDA21800082008A1 -:10DC400040104008401003004008FCFF2008400836 -:10DC50004010200822004008FDFF200803219AD62A -:10DC600015009EF7FEFF8E73200802004008FDFF9E -:10DC700020084008518C55019EF7FFFF14A50200B3 -:10DC8000400802002008FEFF40080B6334009EF7A6 -:10DC9000FCFFD7BDA2182008401003002008FFFF9A -:10DCA000400802002008030040080200200820006D -:10DCB0004008FCFF20084008C31879CE16009EF7E4 -:10DCC000FFFFF7C502004008FCFF20088110200874 -:10DCD000484A55019EF7F9FF4C6B20084008200880 -:10DCE0004008200834AD33009EF7FEFF17C6A21887 -:10DCF00002002008FFFF400802002008FFFF40103C -:10DD00000300400802002008FDFF400820084010E2 -:10DD100021004008FEFF8110F7C518009EF7FAFFAA -:10DD2000E3202008400800004008C21855019EF773 -:10DD3000FFFF452903004008FDFF200881103CEF4C -:10DD400032009EF7FDFF58CEC21860100200200876 -:10DD500002004008FFFF200821004008FFFF2008C4 -:10DD600002004008FDFF200840082008030040088A -:10DD7000FFFFB29419009EF7FEFFAA5A611002003D -:10DD80002008FDFF40084010D7BD53019EF7F9FF62 -:10DD9000FBDE61102008400820084008C639320028 -:10DDA0009EF7FDFF99D603214010020020082600AF -:10DDB000400802002008FAFF400820084008000040 -:10DDC0004008484A1A009EF7FEFFB294200802005D -:10DDD000400802002008FFFF308C53019EF7FFFF30 -:10DDE00054AD02002008FCFF4008200840088D7355 -:10DDF00031009EF7FEFFDBDE44290300200802000D -:10DE00004008FFFF200829004008FDFF6008610866 -:10DE1000BADE1A009EF7FCFF9AD640082008601070 -:10DE200002002008FFFF484A53019EF7F9FFAE7336 -:10DE30006110200840082008400854AD31009EF7CA -:10DE4000FEFFA639200805004008FFFF2008020059 -:10DE50004008FFFF200821004008020020080200BF -:10DE60004008FDFF200040088D731C009EF7FAFF5C -:10DE700003212008400820084008032953019EF789 -:10DE8000F9FF484A40082008400820088110BADEFF -:10DE900030009EF7FBFF0842200861102008400870 -:10DEA000030020082500400802002008FBFF40086E -:10DEB000200860088110FBE61C009EF7FFFF484A1F -:10DEC00004002008FEFF6110DADE52019EF7FFFF1A -:10DED000242102002008FDFF400820080321300013 -:10DEE0009EF7FFFFEB620300200802004008FFFFDF -:10DEF000200827004008FDFF2008601040080200AD -:10DF00002008FFFF6D731D009EF7FFFFAE73020038 -:10DF10002008FFFF400802002008FFFFB6BD5201A5 -:10DF20009EF7FDFF61084008200802004008FFFF3F -:10DF300007422F009EF7FCFFAE73200840084010F8 -:10DF40000200200829004008FFFF000802004008E6 -:10DF5000FDFF2008A110DADE1D009EF7FFFF13A5CC -:10DF60000200200802004008FEFF200871945101C1 -:10DF70009EF7F9FF58CE40082008400820084008C6 -:10DF80006D6B2A009EF7FBFF1BE718C613A50F84D5 -:10DF9000AA5A0200400802002008030040080200BC -:10DFA000200826004008FFFF601003004008FFFF24 -:10DFB000AA521E009EF7FDFF58CE4008200802001E -:10DFC0004008FEFF20086D7351019EF7FDFF54AD20 -:10DFD0004008200803004008FFFF719425009EF7C9 -:10DFE000F8FF58CE13A56D6B074224296110200855 -:10DFF0004008040020082D004008FBFF20084008CE -:10E000002008400895B51E009EF7FEFFFBE6A2180B -:10E0100002002008FDFF40082008484A51019EF7F1 -:10E02000FEFF919440080200200802004008FFFF14 -:10E0300075AD21009EF7FBFF17C6CE7B0742E318A4 -:10E0400040080200200803004008FFFF20083200BB -:10E050004008FCFF401020086008242120009EF7A3 -:10E06000FDFFE3202008400802002008FFFFA6393A -:10E0700051019EF7FFFF8D7302004008FFFF20084B -:10E0800002004008FFFF58CE1E009EF7FBFFD6BDE2 -:10E090000B63E320400860080600400802002008E7 -:10E0A0003200400802002008FDFF400820006D6B90 -:10E0B00020009EF7FDFF853120084008020020085F -:10E0C000FFFFE32051019EF7FFFFCA5A02004008FC -:10E0D000FCFF200840086110FBDE1C009EF7FCFFDF -:10E0E000B6B585316008200803004008FEFF20080F -:10E0F000600807004008FFFF200832004008FDFFCD -:10E1000020084008D6BD20009EF7FEFF484A2008A0 -:10E1100002004008FEFF2008611051019EF7FDFF3C -:10E12000484A4008200802004008FFFF81101C00F8 -:10E130009EF7FFFF518C05004008020040100200CE -:10E140002008020040080200200836004008FEFFB8 -:10E150002008C21821009EF7FFFFEB62050040086F -:10E16000FFFF1BE750019EF7FDFFE7414008200835 -:10E1700002004008FFFF81101C009EF7FFFFE3181C -:10E180000500400802002008FFFF4010030020089F -:10E19000030040080300200831004008FDFF20086C -:10E1A0004008652921009EF7F9FF6D73400820089B -:10E1B0004008200840089AD650019EF7FFFFA6317C -:10E1C00004004008FFFFC2181C009EF7FBFF6529F2 -:10E1D00040082008400820080200400802002008EB -:10E1E0000400400803002008FEFF400840103200F1 -:10E1F0004008FEFF2008694A21009EF7FDFFCE7B04 -:10E200002008400803002008FFFF58CE50019EF769 -:10E21000FFFF652904004008FFFF24291C009EF72A -:10E22000FFFF10840200400802002008050040089B -:10E23000FFFF200806004008FFFF200831004008CB -:10E24000FDFF20086008895221009EF7FDFF308CF9 -:10E250002008400803002008FFFF38CE50019EF739 -:10E26000FFFFE31804004008FFFF03211D009EF795 -:10E27000FBFF042140082008400820083F00400818 -:10E28000FFFFA95221009EF7FFFF30840200200803 -:10E2900002004008FEFF2008D6BD50019EF7FFFF98 -:10E2A000032104004008FFFF23211D009EF7FCFF0F -:10E2B00075AD20084008200804004008FFFF200832 -:10E2C0003B004008FFFF695221009EF7FDFF308CA4 -:10E2D0002008400802002008FEFF4008D6BD50017B -:10E2E0009EF7FFFF242904004008FFFF23211E00A2 -:10E2F0009EF7FFFF0F8403002008FCFF4008200862 -:10E30000400860103B004008FFFF074221009EF7D5 -:10E31000FCFF308C20084008200802004008FFFF66 -:10E3200017C650019EF7FFFF853104004008FFFF2C -:10E3300003211F009EF7FFFFAE7302004008030099 -:10E3400020083C004008FFFF442921009EF7F9FF08 -:10E350000F842008400820084008200858CE5001AB -:10E360009EF7FFFFC63903004008FEFF2008A218F1 -:10E3700020009EF7FDFF508C81102008020040080D -:10E38000FFFF20083A004008FEFF2008C2182100C5 -:10E390009EF7FFFFAE7B02002008FFFF400802004F -:10E3A0002008FFFF79D650019EF7FFFF28420400A6 -:10E3B0004008FFFF811021009EF7FDFFD7BD4529D2 -:10E3C0004008030020083A004008FEFF81107DEF5E -:10E3D00020009EF7FEFF0C63200802004008FDFFAE -:10E3E00020084008DBDE50019EF7FFFFCA5A0400F8 -:10E3F0004008FEFF60081BE722009EF7FDFF929495 -:10E40000653161100200200839004008FEFF6008F5 -:10E4100058CE20009EF7FFFF695204004008FFFF1E -:10E42000611051019EF7FFFF4C6B05004008FFFF94 -:10E4300099D624009EF7FFFF92940200200837002F -:10E440004008FFFF200802004008FFFF95B52000AC -:10E450009EF7FEFF0742200802004008FEFF20084A -:10E46000A21851019EF7FFFF0F8403004008FDFF33 -:10E4700020086008B6BD24009EF7FFFFA6393C00C7 -:10E480004008FFFF919420009EF7FEFF24294008DA -:10E4900002002008FEFF4008242951019EF7FFFFDB -:10E4A000D29C02004008FCFF200840082008F39C92 -:10E4B00023009EF7FFFF484A3A004008FCFF20086F -:10E4C00040082008CE7B20009EF7FEFFA2182008FF -:10E4D00002004008FEFF2008E74151019EF7FEFFC1 -:10E4E000D6BD200803004008FEFF2008CE7B210097 -:10E4F0009EF7FCFF58CE65314108200839004008DE -:10E50000FCFF2008400820088A521F009EF7FDFFEC -:10E51000BAD64108200802004008FEFF2008CB5A66 -:10E5200051019EF7FEFFDBDE2008020040080200DA -:10E530002008FFFFAA5220009EF7FEFFEF83811004 -:10E54000020020083A004008FCFF2008400820088C -:10E5500086311F009EF7FFFF96B5020040080200BB -:10E560002008FEFF4008CF7B52019EF7FFFFC21834 -:10E570000200200802004008FFFF65311E009EF7E0 -:10E58000FDFF54AD44294008020020083A0040082D -:10E59000FFFF200802004008FEFF2008E3201F00C4 -:10E5A0009EF7FEFF508C200803004008FEFF200865 -:10E5B00034AD52019EF7FAFFC63920084008200802 -:10E5C000400882101C009EF7FEFF75ADC63903009F -:10E5D0004008FCFF20084010400820083800400890 -:10E5E000FFFF200802004008FDFF2008A2187DF769 -:10E5F0001E009EF7FFFFEB5A02002008FFFF4008B5 -:10E6000002002008FFFF99D652019EF7FCFF4C6BD9 -:10E6100020084008200802004008FFFFD7BD19006D -:10E620009EF7FCFFF39CA631610840080300200818 -:10E63000FFFF6010020020083D004008FEFF61104F -:10E6400099D61E009EF7FFFF853102002008FDFFCE -:10E6500040082008C21853019EF7FCFFB2944008FE -:10E660002008400802002008FFFF518C17009EF789 -:10E67000FEFF38CE032103004008FDFF20084008BC -:10E68000200802004008FFFF20083B004008FFFF71 -:10E69000200802004008FEFF200817C61D009EF754 -:10E6A000F9FF3CE761102008400820084008C639FF -:10E6B00053019EF7FFFF79CE0200200802004008B8 -:10E6C000FEFF2008895218009EF7FEFF75ADE3207B -:10E6D0000400400802002008FFFF40080200200854 -:10E6E0003D004008FEFF200875AD1D009EF7FFFFAE -:10E6F00054AD03002008FDFF400820086D7354014D -:10E700009EF7FFFFC31804004008FFFF0321190014 -:10E710009EF7FDFFFBE628424008030020080300A7 -:10E720004008020020083D004008FFFF13A51D001F -:10E730009EF7F9FF8D734008200860100000400824 -:10E74000F3A454019EF7F9FF284A40082008400826 -:10E750002008400817C61A009EF7FEFF308C811073 -:10E7600003004008FFFF200802004008FFFF2008C8 -:10E770003D004008FFFF91941D009EF7FFFFA6316A -:10E7800002004008FCFF000060106110DADE540156 -:10E790009EF7FFFF508C02002008FCFF4008200875 -:10E7A0004008EF7B19009EF7FAFFDADEF3A4A639E2 -:10E7B000400800086010020020083F004008FFFFEA -:10E7C0000F841C009EF7FEFFBADE611002004008B5 -:10E7D000FDFF20084008653155019EF7FFFF79CE07 -:10E7E00002004008FFFF200802004008FFFFC63972 -:10E7F00016009EF7FDFFD6BD6D7364290200400828 -:10E80000FFFF200802004008FCFF200840086010BD -:10E8100000003E004008FFFFEF831C009EF7F9FF59 -:10E82000B294200840082008401020086D6B560163 -:10E830009EF7FEFF2429200802004008FDFF200863 -:10E840006110BAD612009EF7FDFFF7BD2C63A21827 -:10E8500004004008FDFF2008601020080400400864 -:10E86000FFFF20083C004008FDFF20084008308CD6 -:10E870001C009EF7FFFF284203004008FDFF200810 -:10E880004008D6BD56019EF7FEFF8D732008030099 -:10E890004008FEFF200030840F009EF7FDFFDBDE06 -:10E8A000308C452906004008FEFF20084008020081 -:10E8B000200802004008020020083D004008FDFF3B -:10E8C00020084008B29C1B009EF7F9FF1BE7610877 -:10E8D0002008400840104008E32057019EF7F9FF48 -:10E8E000D7BD4008200840082008400885310D00A9 -:10E8F0009EF7FDFF38CE0B63C2180300200802000C -:10E900004008FFFF200806004008FFFF2008020023 -:10E910004008FFFF20083D004008FDFF2008400898 -:10E9200034A51B009EF7FFFF718C02004008FCFF1E -:10E93000200840082008EB5A58019EF7FFFF2429C1 -:10E9400004004008FEFF600838C60A009EF7F9FF81 -:10E9500017C6494A611040082008400820084900AD -:10E960004008FBFF200840086008200817C61B006D -:10E970009EF7F9FF8531400820084008200840082C -:10E9800075B558019EF7FFFF8D7302004008FCFF2C -:10E99000200840082008EB6208009EF7F7FF99D690 -:10E9A000CA5A611020084008200860082008600842 -:10E9B00049004008FFFF200802004008FEFF8110C8 -:10E9C0001BE71A009EF7FFFFF7BD02004008020098 -:10E9D0002008FEFF4008A21859019EF7F8FF79D6DB -:10E9E0006110200860102008400881101BE7060015 -:10E9F0009EF7FDFFEE7BA2104008020020080300F6 -:10EA00004008FFFF20084C004008FEFF2008853129 -:10EA10001B009EF7FFFFEB5A05004008FFFF6D73D8 -:10EA20005A019EF7FFFFA63903004008FDFF2008AA -:10EA300040080F8404009EF7FCFF18C685312000B3 -:10EA4000200802004008FBFF20086008400820085A -:10EA5000401049004008FFFF200802004008FEFF68 -:10EA60002008AE7B1A009EF7FCFFFBDE81104008F9 -:10EA7000200803004008FFFF99D65A019EF7FFFFC8 -:10EA8000F3A40300200802004008FFFF242903002C -:10EA90009EF7FDFF308C8110200802004008FEFF29 -:10EAA0002008400802002008020060100200200830 -:10EAB00048004008FFFF200802004008FEFF6108F0 -:10EAC00058CE1A009EF7FDFFAE73400820080300E1 -:10EAD0004008FFFF07425C019EF7FFFFE3200200B2 -:10EAE0004008F8FF600840082008B29C9EF7CB5A07 -:10EAF0004108200802004008FCFF20084008600888 -:10EB0000200802004008FFFF20084C004008FEFFDC -:10EB1000200885311A009EF7F8FF5DEFE318200802 -:10EB2000400820084008200875B55C019EF7FCFFEE -:10EB3000EF8320086010200802004008FEFF032138 -:10EB4000E7410300200804004008FEFF20084008B9 -:10EB500002002008FDFF6010400820084A0040081D -:10EB6000FEFF2008308C1A009EF7FFFF3084020061 -:10EB7000200802004008FEFF200885315D019EF755 -:10EB8000F8FF7DF7A2182008400820084008200858 -:10EB9000400802002008FEFF40082008030040084B -:10EBA000FDFF200840084010020020084A004008ED -:10EBB000FCFF200840086008FBE619009EF7F8FFFC -:10EBC0003CE7821040082008401040082008B29C12 -:10EBD0005E019EF7FEFFCE7B400802002008540035 -:10EBE0004008FFFF200806004008FFFF484A1A00BF -:10EBF0009EF7FFFF6D6B0300400802002008FFFF37 -:10EC000024295F019EF7FDFF3CE7C218200852004F -:10EC10004008FFFF200808004008FEFF200034AD38 -:10EC200019009EF7FEFF79CE601002004008020036 -:10EC30002008FEFF4008B29C60019EF7FFFF0F8492 -:10EC400059004008FFFF200802004008FFFFE318BA -:10EC50001A009EF7FEFF484A400802002008020002 -:10EC60004008FFFF653162019EF7FFFF032154005A -:10EC700040080200200802004008FCFF200840086D -:10EC800020086D7319009EF7F8FF14A540082008AE -:10EC9000401020084008200854AD62019EF7FDFF97 -:10ECA00013A56110200850004008FFFF2008020053 -:10ECB0004008FFFF200804004008FEFF610879CEED -:10ECC00018009EF7FEFF1BE7042102002008020047 -:10ECD0004008FEFF2008074264019EF7FFFFE73966 -:10ECE00051004008FFFF200802004008FFFF2008F5 -:10ECF0000200400802002008FFFFE74119009EF7CC -:10ED0000FCFFEB5A00004008401002002008FEFF04 -:10ED1000611059CE64019EF7FDFF79CE6110400865 -:10ED2000030020085100400802002008FEFF600890 -:10ED3000D39C18009EF7FEFF13A520080200400890 -:10ED400002002008FEFF4008AE7B66019EF7FEFF32 -:10ED5000CE7B4008030020084D0040080200200838 -:10ED600002004008FCFF20086010C2187DEF170069 -:10ED70009EF7FEFFBAD6C21803002008FDFF400828 -:10ED80002008653168019EF7FDFF853120084008A5 -:10ED9000020020084B004008FCFF200840084010FB -:10EDA000200802004008FEFF20004C6B18009EF770 -:10EDB000FEFFC639200802004008FFFF20080200BD -:10EDC0004008FFFFD6BD68019EF7FBFF18C6821002 -:10EDD0002008400820084D004008FEFF00002008E1 -:10EDE00002004008FEFF611058CE17009EF7FBFF9F -:10EDF0000B63400860082000200802004008FFFF65 -:10EE00006D736A019EF7FAFF518C2008400861106B -:10EE1000000820084A004008FFFF200804004008BE -:10EE2000FFFFE74117009EF7FEFF919440080200A4 -:10EE3000200803004008FFFFC6396C019EF7FFFF62 -:10EE4000695202002008FFFF6010020020084800FD -:10EE50004008FFFF20080300400802002008FFFFD1 -:10EE6000F3A416009EF7FEFF95B56110030040085D -:10EE7000FCFF20084008A21099D66C019EF7FEFF07 -:10EE80005CEF442902002008FEFF400840104B00C0 -:10EE90004008FDFF20086110C21816009EF7FEFF13 -:10EEA00017C6C21802002008FBFF400820084008CF -:10EEB000600813A56E019EF7FDFF38C6A11040083B -:10EEC000020020084A004008FCFF600840080000DB -:10EED0006D7315009EF7FCFF99D6E31820086010AB -:10EEE00002002008FDFF401020088D7370019EF77E -:10EEF000FDFF13A5400860104B004008FCFF2008F0 -:10EF000060084008BADE14009EF7FCFF99D6242161 -:10EF10004008200804004008FFFF484A72019EF79D -:10EF2000FDFF0F84400820084B004008FEFF20082A -:10EF3000284A14009EF7FDFF99D603212000030004 -:10EF4000400802002008FEFFA6397DEF73019EF7FE -:10EF5000FFFF6D6B04004008FFFF2008020040081F -:10EF60000200200843004008FEFF200854AD1300B3 -:10EF70009EF7FDFF38CE0329200804004008FDFF5E -:10EF800020084429DBDE75019EF7F9FFEB5A2008C3 -:10EF90006008200840082008400802004010400097 -:10EFA000400802002008FEFF4008652913009EF774 -:10EFB000FCFFD6BDE3202008400802002008FCFF2B -:10EFC0004008200803219AD677019EF7F8FF89525E -:10EFD000200040082008400820084008200842007F -:10EFE0004008FEFF2008108412009EF7FCFF34A5A5 -:10EFF00081104008200803004008FDFF2008E318A6 -:10F0000058CE79019EF7FEFF6952400802002008A1 -:10F01000FFFF401043004008FDFF2008A210FBDE68 -:10F0200011009EF7FFFFEF7B02004008FEFF200863 -:10F03000400802002008FDFF4008E32058CE7B0175 -:10F040009EF7FFFFEB6202004008FDFF200840082A -:10F05000200842004008FFFFCA5A10009EF7FDFF3B -:10F060001BE78952400802002008FAFF40082008E8 -:10F0700060102008242158CE7D019EF7FFFF4D6BC4 -:10F0800002004008FFFF200843004008FFFFF7BDD3 -:10F090000F009EF7FCFFF7BD44294008200802003E -:10F0A0004008FFFF200802004008FEFF8531BAD665 -:10F0B0007F019EF7FEFF308C410842004008FEFFB2 -:10F0C0002008A6310F009EF7FDFFEF838110400856 -:10F0D00002002008FAFF4008200840082008E74105 -:10F0E0001BE781019EF7FEFF34ADA21042004008ED -:10F0F000FFFFB29C0D009EF7FEFF79CEC6390200DD -:10F100004008F9FF20084008601020084008200847 -:10F11000EB5A84019EF7FEFF38CE442902004008D6 -:10F12000FFFF200806004008FFFF200805004008F8 -:10F13000FFFF200828004008FFFF200804004008C7 -:10F14000FCFF200840082008A2180D009EF7FEFFD3 -:10F15000CE7B811003004008FDFF200840082008F6 -:10F1600002004010FFFF308C86019EF7FAFF7DEF12 -:10F170006952400860082008601003004008FFFF43 -:10F18000200802004008030020082B00400802006D -:10F19000200803004008FDFF20084008AE7B0B005C -:10F1A0009EF7FEFF34A5242103004008F9FF200844 -:10F1B0004008200840082008E320D6BD89019EF7BA -:10F1C000FDFF508C8110400802002008FFFF40081E -:10F1D0000200200806004008FFFF20082800400821 -:10F1E000FDFF20084010400803002008FDFF4008F4 -:10F1F0006110BADE09009EF7FEFFD6BD074205008A -:10F200004008FAFF6110400820084008494AFBE620 -:10F210008B019EF7FCFF38CEA53160082008020064 -:10F2200040080400200802004008FFFF20082B00CF -:10F230004008FFFF200803004008FEFF2008694A3D -:10F2400008009EF7FCFF17C6694A61082008020003 -:10F250004008F9FF200840082008400820088110D5 -:10F2600071948F019EF7FEFFCE7B81100200200873 -:10F2700003004008FFFF200803004008FFFF2008AC -:10F2800029004008F9FF20084008601000004008ED -:10F290002008B6BD06009EF7F8FF75B50742400886 -:10F2A0002008610820084008200802004008FCFFF0 -:10F2B00041082008284299D691019EF7FEFF59CEB9 -:10F2C000284A0300200802004008020020082A0003 -:10F2D0004008FCFF200840082008400802002008E1 -:10F2E000FFFFA63904009EF7FDFF5CEF718C4429F7 -:10F2F00004004008FFFF200803004008FCFF20082E -:10F300004008A218D39C95019EF7FCFF14A5242960 -:10F310006010000802004010020020082900400888 -:10F32000FFFF200805004008FFFF919402009EF7B0 -:10F33000FCFF75AD89528110200802004008FBFFD8 -:10F340002008400820084008401002002008FEFF66 -:10F3500081102C6B99019EF7FDFFEF83E3202008BD -:10F360002D004008FFFF200802004008F8FF200899 -:10F3700081107194CA5AC218200840080000070082 -:10F380004008FCFF20086110A95279D69B019EF726 -:10F39000FDFF1CE7AE73C31802002008FEFF400803 -:10F3A000200803004008FFFF200802004008020078 -:10F3B000200802004008FFFF200804004008FFFF6B -:10F3C000200803004008020020080B00400802004B -:10F3D000200805004008FFFF401002002008FFFF42 -:10F3E000400804002008FEFF4008200806004008EE -:10F3F000FBFF200840084108494A38C69F019EF794 -:10F40000FDFF7DEF10840321020020080300400867 -:10F41000FBFF401040082008400820080400400876 -:10F420000200200807004008020020080F004008E2 -:10F43000030020080200401005004008FFFF2008DC -:10F440000300400802002008FCFF40086108EB5A56 -:10F4500038C6A4019EF7FEFFF3A4C63902002008B7 -:10F46000FEFF4008200802004008FFFF20080200BD -:10F470004008030020080A004008FFFF40100B006E -:10F480004008020020080500400803002008020090 -:10F49000400802002008FDFF400820084008020044 -:10F4A0002008FDFFC318AE7BDADEA8019EF7FBFF44 -:10F4B00079CE6D7303214008200803004008020044 -:10F4C000200805004008FFFF200805004008020052 -:10F4D000200808004008FFFF200806004008FFFF42 -:10F4E0002008030040080400200804004008FDFF35 -:10F4F0008110694A34ADAE019EF7FDFF95B52C63CE -:10F500000321020040080400200802004008FFFF19 -:10F51000200802004008020020080C004008FFFFFD -:10F52000200802004008FEFF2008400802002008D2 -:10F53000FEFF4008200802004008FFFF20080200EC -:10F54000400802002008FCFFA2100742D29CDADE2D -:10F55000B3019EF7FBFF38C6CE7BE7416110200860 -:10F5600002004008FEFF20084008020020080200B8 -:10F570004008030020080B00400802002008020099 -:10F580004008FFFF200802004008F8FF2008200084 -:10F590004008611024292C6354AD1BE7B9019EF784 -:10F5A000FBFF5CEFD6BDEF83494A242902004008E7 -:10F5B00002002008030040080B002008FFFF40085D -:10F5C00002002008FFFF400802002008FAFF400860 -:10F5D000C218E7418D7334A5BADEC2019EF7F8FF69 -:10F5E0005DEFF7C513A5CE7BEB5A28426531C218F3 -:10F5F00009004008F8FFA2182429C63989526D7302 -:10F600007194B6B5DADED4019EF702007DF7FA10E8 -:10F610009EF7FFFFBEF77E019EF7FFFFBEF71900C2 -:10F620009EF7FFFFBEF717029EF7FFFFBEF70F0022 -:10F630009EF7FFFFBEF72D239EF7FFFFBEF7250DB8 -:10F640009EF7FEFFB7F6C8790300C831FFFFDE7DE5 -:10F6500007009EF7FEFFD0D5C8510200C831FEFF5B -:10F66000CC31DE7D07009EF7FEFF97F7C89A0200B7 -:10F67000C831FEFFCC31BE9E07009EF7FDFFB7F6F6 -:10F68000F09CCC520400C831FCFFCC32D052DB9D40 -:10F690009ED708009EF7FFFFD0D50300C831FEFFBC -:10F6A000D3329EBF09009EF7FEFFD0F5C8510A0075 -:10F6B000C831FEFFD3329ED70D009EF7FFFFD0D595 -:10F6C0000300C831FEFFD3329EBF09009EF7FFFF43 -:10F6D000ECBC0300C831FCFFF7539EF79BF7C89AB8 -:10F6E0000F00C831FBFFD3329ED79EF7D0F5C8512B -:10F6F0000B00C831FFFFDE7D45019EF7FEFFB3F62C -:10F70000C8510300C831FFFFF73307009EF7FFFF22 -:10F71000E8BB0400C831FFFFF73307009EF7FEFF88 -:10F72000B3F6C8510200C831FEFFCC31BE9E0500C1 -:10F730009EF7FEFFB7F6C87A0A00C831FDFFCC314C -:10F74000F77C9ED706009EF7FFFFECD40300C8317C -:10F75000FEFFD0319EBF09009EF7FFFFECD40B00E7 -:10F76000C831FEFFD3329ED70D009EF7FFFFECD4C9 -:10F770000300C831FEFFD0319EBF09009EF7FFFF96 -:10F78000E8BB0300C831FCFFD3329ED797F7C87996 -:10F790000F00C831FCFFD0319EBF9EF7ECD40C00A7 -:10F7A000C831FFFFFB5445019EF7FEFF9BF7C89A47 -:10F7B0000300C831FEFFD3329ED705009EF7FEFF3F -:10F7C00097F7C8790400C831FEFFD0319EBF06000C -:10F7D0009EF7FFFFECD40300C831FEFFD3329ED763 -:10F7E00004009EF7FEFFD0F5C8510D00C831FEFFA2 -:10F7F000D3329ED705009EF7FFFFECD40300C8313B -:10F80000FEFFD0319EBF09009EF7FFFFECD40B0036 -:10F81000C831FEFFD3329ED70D009EF7FFFFECD418 -:10F820000300C831FEFFD0319EBF09009EF7FFFFE5 -:10F83000E8BB0300C831FCFFD3329ED79BF7C89AC0 -:10F840000F00C831FCFFD3329ED79EF7ECD40C00DA -:10F85000C831FFFFDE7D46019EF7FFFFE8BB0300D6 -:10F86000C831FEFFCC31BE9E05009EF7FEFFB3F609 -:10F87000C8510400C831FEFFCC31BE9E06009EF781 -:10F88000FFFFE8BB0300C831FFFFFB5404009EF7F5 -:10F89000FFFFD0F50400C831FDFFCC31F77CBED6A8 -:10F8A00002009EF7FDFF9BF7D0D5C8510400C83178 -:10F8B000FFFFF73305009EF7FFFFECD40300C831CC -:10F8C000FEFFD0319EBF09009EF7FFFFECD403007E -:10F8D000C831FEFFD0319EBF15009EF7FFFFECD46C -:10F8E0000300C831FEFFD0319EBF09009EF7FFFF25 -:10F8F000E8BB0300C831FEFFD3329ED707009EF756 -:10F90000FFFFE8BB0300C831FEFFD3329ED70700DC -:10F910009EF7FFFFECD40300C831FEFFD0319EBF3D -:10F920004E019EF7FFFFD0F50400C831FFFFDE7DDA -:10F9300005009EF7FFFFECD40600C831FFFFFB5423 -:10F9400005009EF7FEFF97F7C8790300C831FFFF57 -:10F95000DE7D03009EF7FEFF97F7C8790300C831EC -:10F96000FEFFD031BEBE06009EF7FEFF97F7C879B6 -:10F970000400C831FFFFDE7D04009EF7FFFFECD4DA -:10F980000300C831FEFFD0319EBF09009EF7FFFF84 -:10F99000ECD40300C831FEFFD0319EBF15009EF7A6 -:10F9A000FFFFECD40300C831FEFFD0319EBF090039 -:10F9B0009EF7FFFFE8BB0300C831FEFFD3329ED79E -:10F9C00007009EF7FFFFE8BB0300C831FEFFD332FC -:10F9D0009ED707009EF7FFFFECD40300C831FEFF5F -:10F9E000D0319EBF4E019EF7FEFF97F7C879030006 -:10F9F000C831FFFFFB5405009EF7FFFFE8BB060080 -:10FA0000C831FFFFF73305009EF7FEFFB3F6C8517C -:10FA10000200C831FEFFD0319EBF03009EF7FFFFFA -:10FA2000ECD40400C831FFFFDE7D08009EF7FEFF26 -:10FA3000B3F6C8510300C831FEFFD3329ED703008E -:10FA40009EF7FFFFECD40300C831FEFFD0319EBF0C -:10FA500009009EF7FFFFECD40300C831FEFFD03150 -:10FA60009EBF15009EF7FFFFECD40300C831FEFFD8 -:10FA7000D0319EBF09009EF7FFFFE8BB0300C831ED -:10FA8000FEFFD3329ED707009EF7FFFFE8BB0300BF -:10FA9000C831FEFFD3329ED707009EF7FFFFECD49C -:10FAA0000300C831FEFFD0319EBF4E019EF7FEFF1E -:10FAB0009BF7C89A0300C831FEFFD3329ED70300DC -:10FAC0009EF7FEFF97F7C8790600C831FEFFD031D8 -:10FAD0009EBF04009EF7FFFFECD40300C831FFFF78 -:10FAE000F73303009EF7FEFF9BF7C89A0300C83167 -:10FAF000FEFFD3329ED708009EF7FEFF9BF7C89A01 -:10FB00000300C831FEFFCC31BE9E03009EF7FFFF0D -:10FB1000ECD40300C831FEFFD0319EBF09009EF730 -:10FB2000FFFFECD40300C831FEFFD0319EBF1500AB -:10FB30009EF7FFFFECD40300C831FEFFD0319EBF1B -:10FB400009009EF7FFFFE8BB0300C831FEFFD33278 -:10FB50009ED707009EF7FFFFE8BB0300C831FEFFFA -:10FB6000D3329ED707009EF7FFFFECD40300C831C5 -:10FB7000FEFFD0319EBF4F019EF7FFFFECD4030084 -:10FB8000C831FEFFD0319EBF03009EF7FEFFB3F6E3 -:10FB9000C8510200C831FEFFF033C8510200C8311D -:10FBA000FEFFCC31BE9E04009EF7FFFFE8BB0300C2 -:10FBB000C831FFFFFB5403009EF7FEFFB3F6C851A8 -:10FBC0000300C831FFFFFB540A009EF7FFFFECD48F -:10FBD0000400C831FFFFDE7D03009EF7FFFFECD479 -:10FBE0000300C831FEFFD0319EBF09009EF7FFFF22 -:10FBF000ECD40300C831FEFFD0319EBF15009EF744 -:10FC0000FFFFECD40300C831FEFFD0319EBF0900D6 -:10FC10009EF7FFFFE8BB0300C831FEFFD3329ED73B -:10FC200007009EF7FFFFE8BB0300C831FEFFD33299 -:10FC30009ED707009EF7FFFFECD40300C831FEFFFC -:10FC4000D0319EBF4F019EF7FFFFD0F50300C831B2 -:10FC5000FEFFCC31BE9E03009EF7FFFFECD40300F5 -:10FC6000C831FEFFDB7DC89A0300C831FFFFFB549B -:10FC700003009EF7FEFF97F7C8790200C831FEFF28 -:10FC8000CC31BE9E03009EF7FFFFD0F50400C831C3 -:10FC9000FFFFDE7D0A009EF7FFFFD0F50400C831AC -:10FCA000FFFFFB5403009EF7FFFFECD40300C831B5 -:10FCB000FEFFD0319EBF09009EF7FFFFECD403008A -:10FCC000C831FEFFD0319EBF15009EF7FFFFECD478 -:10FCD0000300C831FEFFD0319EBF09009EF7FFFF31 -:10FCE000E8BB0300C831FEFFD3329ED707009EF762 -:10FCF000FFFFE8BB0300C831FEFFD3329ED70700E9 -:10FD00009EF7FFFFECD40300C831FEFFD0319EBF49 -:10FD10004F019EF7FEFF97F7C8790300C831FFFF38 -:10FD2000FB5403009EF7FFFFE8BB0200C831FDFF54 -:10FD3000CC31BE9EE8BB0300C831FFFFF7330300A0 -:10FD40009EF7FEFFB3F6C8510200C831FEFFD03166 -:10FD50009EBF03009EF7FFFFECD40300C831FEFFF7 -:10FD6000CC31BE9E0A009EF7FEFFB3F6C8510300D9 -:10FD7000C831FFFFFB5403009EF7FFFFECD40300E4 -:10FD8000C831FEFFD0319EBF09009EF7FFFFECD4C3 -:10FD90000300C831FEFFD0319EBF15009EF7FFFF64 -:10FDA000ECD40300C831FEFFD0319EBF09009EF79E -:10FDB000FFFFE8BB0300C831FEFFD3329ED7070028 -:10FDC0009EF7FFFFE8BB0300C831FEFFD3329ED78A -:10FDD00007009EF7FFFFECD40A00C831FEFFCC31CC -:10FDE000BE9E48019EF7FEFF9BF7C89A0300C831EC -:10FDF000FFFFF73302009EF7FEFF9BF7C89A020051 -:10FE0000C831FDFFD3329ED7D0F50300C831FEFFC5 -:10FE1000D0319EBF02009EF7FFFFD0F50300C8312E -:10FE2000FFFFF73304009EF7FFFFECD40300C83157 -:10FE3000FEFFCC31BE9E0A009EF7FEFFB3F6C8510E -:10FE40000300C831FFFFF73303009EF7FFFFECD438 -:10FE50000300C831FEFFD0319EBF09009EF7FFFFAF -:10FE6000ECD40B00C831FFFFDE7D0E009EF7FFFFD4 -:10FE7000ECD40300C831FEFFD0319EBF09009EF7CD -:10FE8000FFFFE8BB0300C831FEFFD3329ED7070057 -:10FE90009EF7FFFFE8BB0300C831FEFFD3329ED7B9 -:10FEA00007009EF7FFFFECD40A00C831FEFFCC31FB -:10FEB000BE9E49019EF7FFFFECD40300C831FBFF53 -:10FEC000D0319EBF9EF7B3F6C8510200C831FCFF87 -:10FED000F7339EF797F7C8790300C831FFFFDE7D3F -:10FEE00002009EF7FFFFE8BB0300C831FFFFDE7D85 -:10FEF00004009EF7FFFFECD40300C831FEFFCC31B5 -:10FF0000BE9E0A009EF7FEFFB3F6C8510300C8313B -:10FF1000FFFFFB5403009EF7FFFFECD40300C83142 -:10FF2000FEFFD0319EBF09009EF7FFFFECD40B000F -:10FF3000C831FFFFFB540E009EF7FFFFECD4030017 -:10FF4000C831FEFFD0319EBF09009EF7FFFFE8BB1E -:10FF50000300C831FEFFD3329ED707009EF7FFFF94 -:10FF6000E8BB0300C831FEFFD3329ED707009EF7DF -:10FF7000FFFFECD40A00C831FEFFCC31BE9E490120 -:10FF80009EF7FEFFB3F6C8510200C831FCFFCC312A -:10FF9000BE9E9EF7D0F50300C831FCFFDE7D9EF7C4 -:10FFA0009BF7C89A0300C831FCFFFB549EF79BF7F0 -:10FFB000C89A0200C831FEFFCC31BE9E04009EF7F5 -:10FFC000FFFFECD40300C831FEFFCC31BE9E0A0017 -:10FFD0009EF7FEFFB3F6C8510300C831FFFFFB5484 -:10FFE00003009EF7FFFFECD40300C831FEFFD031C1 -:10FFF0009EBF09009EF7FFFFECD40B00C831FCFF49 -:020000040803EF -:10000000DE7D9EF79BF7C89A0700C831FFFFFB54BF -:1000100003009EF7FFFFECD40300C831FEFFD03190 -:100020009EBF09009EF7FFFFE8BB0300C831FEFF3B -:10003000D3329ED707009EF7FFFFE8BB0300C8310D -:10004000FEFFD3329ED707009EF7FFFFECD40300DC -:10005000C831FEFFD0319EBF50019EF7FEFF97F7DB -:10006000C8790300C831FDFFDE7D9EF7E8BB0200C2 -:10007000C831FEFFCC31BE9E02009EF7FFFFECD4DC -:100080000300C831FCFFD3329ED7B3F6C85102003B -:10009000C831FEFFD3329ED704009EF7FFFFECD499 -:1000A0000400C831FFFFDE7D0A009EF7FFFFD0F598 -:1000B0000400C831FFFFDE7D03009EF7FFFFECD494 -:1000C0000300C831FEFFD0319EBF09009EF7FFFF3D -:1000D000ECD40300C831FEFFD0319EBF08009EF76C -:1000E000FEFF97F7C8790700C831FFFFF733030019 -:1000F0009EF7FFFFECD40300C831FEFFD0319EBF56 -:1001000009009EF7FFFFE8BB0300C831FEFFD332B2 -:100110009ED707009EF7FFFFE8BB0300C831FEFF34 -:10012000D3329ED707009EF7FFFFECD40300C831FF -:10013000FEFFD0319EBF51019EF7FFFFE8BB0300D9 -:10014000C831FDFFF7339BF7C89A0200C831FEFFA4 -:10015000D3329ED702009EF7FFFFD0F50300C831CF -:10016000FDFFD0319EBFD0F50300C831FFFFFB5427 -:1001700005009EF7FFFFD0F50400C831FFFFFB54D8 -:100180000A009EF7FFFFECD40300C831FEFFCC311C -:10019000BE9E03009EF7FFFFECD40300C831FEFFB4 -:1001A000D0319EBF09009EF7FFFFECD40300C83199 -:1001B000FEFFD0319EBF08009EF7FEFF9BF7C89A56 -:1001C0000700C831FFFFFB5403009EF7FFFFECD48C -:1001D0000300C831FEFFD0319EBF09009EF7FFFF2C -:1001E000E8BB0300C831FEFFD3329ED707009EF75D -:1001F000FFFFE8BB0300C831FEFFD3329ED70700E4 -:100200009EF7FFFFECD40300C831FEFFD0319EBF44 -:1002100051019EF7FFFFECD40300C831FDFFD3323C -:10022000B3D6C8510200C831FFFFF73303009EF771 -:10023000FEFF97F7C8790300C831FEFFDE7DE8BBFB -:100240000300C831FFFFDE7D05009EF7FEFF97F734 -:10025000C8790300C831FFFFF73309009EF7FEFF9E -:100260009BF7C89A0300C831FEFFD3329ED7030024 -:100270009EF7FFFFECD40300C831FEFFD0319EBFD4 -:1002800009009EF7FFFFECD40300C831FEFFD03118 -:100290009EBF15009EF7FFFFECD40300C831FEFFA0 -:1002A000D0319EBF09009EF7FFFFE8BB0300C831B5 -:1002B000FEFFD3329ED707009EF7FFFFE8BB030087 -:1002C000C831FEFFD3329ED707009EF7FFFFECD464 -:1002D0000300C831FEFFD0319EBF51019EF7FEFFE3 -:1002E000B3F6C8510200C831FEFFCC31F09C0300C8 -:1002F000C831FFFFDE7D03009EF7FEFF9BF7C89A23 -:100300000300C831FEFFF354C89A0200C831FEFF53 -:10031000D0319EBF05009EF7FEFF9BF7C89A0300F1 -:10032000C831FEFFCC31BE9E08009EF7FFFFD0F51E -:100330000400C831FFFFFB5404009EF7FFFFECD41C -:100340000300C831FEFFD0319EBF09009EF7FFFFBA -:10035000ECD40300C831FEFFD0319EBF15009EF7DC -:10036000FFFFECD40300C831FEFFD0319EBF09006F -:100370009EF7FFFFE8BB0300C831FEFFD3329ED7D4 -:1003800007009EF7FFFFE8BB0300C831FEFFD33232 -:100390009ED707009EF7FFFFECD40300C831FEFF95 -:1003A000D0319EBF51019EF7FEFF9BF7C89A060011 -:1003B000C831FEFFCC31BE9E04009EF7FFFFECD497 -:1003C0000300C831FEFFCC32C8510200C831FFFF24 -:1003D000F73307009EF7FFFFD0F50400C831FEFF9A -:1003E000D3329ED706009EF7FEFFB3F6C851030036 -:1003F000C831FEFFD0319EBF04009EF7FFFFECD452 -:100400000300C831FEFFD0319EBF09009EF7FFFFF9 -:10041000ECD40300C831FEFFD0319EBF15009EF71B -:10042000FFFFECD40300C831FEFFD0319EBF0900AE -:100430009EF7FFFFE8BB0300C831FEFFD3329ED713 -:1004400007009EF7FFFFE8BB0300C831FEFFD33271 -:100450009ED707009EF7FFFFECD40300C831FEFFD4 -:10046000D0319EBF52019EF7FFFFE8BB0600C831A6 -:10047000FEFFD0319EBF04009EF7FEFFB3F6C851C9 -:100480000600C831FFFFFB5408009EF7FFFFE8BBE2 -:100490000400C831FDFFD032DB9D9ED702009EF7DD -:1004A000FDFFBBF6F0BCC8510400C831FFFFDE7D84 -:1004B00005009EF7FFFFECD40300C831FEFFD031EA -:1004C0009EBF09009EF7FFFFECD40300C831FEFF7A -:1004D000D0319EBF15009EF7FFFFECD40300C8315A -:1004E000FEFFD0319EBF09009EF7FFFFE8BB03006F -:1004F000C831FEFFD3329ED707009EF7FFFFE8BB4F -:100500000300C831FEFFD3329ED707009EF7FFFFDE -:10051000ECD40300C831FEFFD0319EBF52019EF7DC -:10052000FFFFD0F50600C831FFFFF73305009EF747 -:10053000FEFF97F7C8790500C831FEFFCC31BE9E9B -:1005400008009EF7FEFF9BF7C89A0D00C831FEFF1A -:10055000CC31DB7D06009EF7FFFFECD40B00C831E9 -:10056000FFFFFB5402009EF7FFFFECD40300C831ED -:10057000FEFFD0319EBF15009EF7FFFFECD40B00AD -:10058000C831FFFFFB5402009EF7FFFFE8BB0300EA -:10059000C831FEFFD3329ED707009EF7FFFFE8BBAE -:1005A0000300C831FEFFD3329ED707009EF7FFFF3E -:1005B000ECD40C00C831FFFFDE7D4A019EF7FEFF40 -:1005C000B3F6C8510500C831FFFFFB5406009EF783 -:1005D000FFFFE8BB0500C831FEFFD0319EBF090018 -:1005E0009EF7FDFF9BF7F0BCC8510A00C831FEFF23 -:1005F000D032BEBE07009EF7FFFFECD40B00C8311F -:10060000FFFFF73302009EF7FFFFECD40300C83171 -:10061000FEFFD0319EBF15009EF7FFFFECD40B000C -:10062000C831FFFFF73302009EF7FFFFE8BB03006E -:10063000C831FEFFD3329ED707009EF7FFFFE8BB0D -:100640000300C831FEFFD3329ED707009EF7FFFF9D -:10065000ECD40C00C831FFFFFB544B019EF7FFFFA9 -:10066000ECBB0400C831FEFFD0329EBF06009EF7EF -:10067000FEFFD0F5C8510300C831FEFFCC31FB7C32 -:100680000C009EF7FCFF9BF7D3D5CC7AC852040030 -:10069000C831FDFFCC52F37CBEBE09009EF7FEFFC1 -:1006A000B3F6C8510A00C831FFFFFB5402009EF7A1 -:1006B000FFFFD0D50300C831FEFFD3329ED715000F -:1006C0009EF7FEFFB3F6C8510A00C831FFFFFB5486 -:1006D00002009EF7FFFFECBC0300C831FFFFF75399 -:1006E00008009EF7FFFFECBC0300C831FFFFF75383 -:1006F00008009EF7FEFFD0F5C8510B00C831FFFF80 -:10070000DE7D96189EF7FCFF9BF7C89AC831FB5414 -:10071000DC019EF7FCFF9BF7C89AC831FB5413001D -:100720009EF7FCFF9BF7C89AC831F73304009EF789 -:10073000FCFFE8BBC831D3329ED703009EF7FEFF13 -:100740009BF7C89A0200C831FFFFFB5405009EF7D3 -:10075000FDFFBBF6ECBBC8510200C831FEFFD03232 -:10076000BE9E03009EF7FFFFE8BB0500C831FEFFF9 -:10077000D032DB9D03009EF7FFFFE8BB0600C831C7 -:10078000FFFFDE7D03009EF7FEFFD3D5CC7A03008A -:10079000C831FDFFCC32F77C9ED788019EF7FCFF65 -:1007A0009BF7C89AC831FB5413009EF7FCFF9BF7D8 -:1007B000C89AC831F73304009EF7FCFFE8BBC83184 -:1007C000D3329ED703009EF7FEFFB3F6C851020056 -:1007D000C831FEFFD3329ED703009EF7FEFF9BF782 -:1007E000C89A0500C831FEFFCC31BE9E02009EF7BC -:1007F000FFFFE8BB0700C831FCFFDE7D9EF79BF7DB -:10080000C89A0600C831FFFFDE7D02009EF7FFFF99 -:10081000ECBB0700C831FEFFD3329ED787019EF79D -:10082000FCFF9BF7C89AC831FB5413009EF7FCFFEE -:100830009BF7C89AC831F73304009EF7FCFFE8BB6A -:10084000C831D3329ED703009EF7FAFFE8BBC83108 -:10085000F354C851CC31BE9E03009EF7FAFF97F7C0 -:10086000CC7ADB7D9ED79EF7ECD40200C831FFFF27 -:10087000FB5402009EF7F2FFE8BBC831D3329ED78B -:100880009EF7B7F6C879C831D3329ED79BF7C89A7E -:10089000C831F73306009EF7FFFFD0F50200C831DC -:1008A000FBFFF7339ED79EF79BF7ECBB0200C831E6 -:1008B000FFFFFB5487019EF7FBFF9BF7C89AC831E7 -:1008C000F754EC9B0200C831FAFFF3539ED79BF715 -:1008D000E8BBC831F73303009EF7FCFFECD4C83106 -:1008E000D3329ED703009EF7FCFF9BF7C89AC8310E -:1008F000F73304009EF7FCFFE8BBC831D3329ED724 -:1009000002009EF7F9FF97F7C879CC31BB9EC89AD1 -:10091000C831FB5408009EF7FCFFB3F6C851C8313C -:10092000FB5402009EF7FCFFE8BBC831D3329ED7D0 -:1009300002009EF7F8FFE8BBC831D3329ED79BF781 -:10094000C89AC831F73305009EF7FBFF9BF7C89A9A -:10095000C831D3329ED704009EF7FCFFE8BBC831F4 -:10096000D0319EBF86019EF7FEFF9BF7C89A060016 -:10097000C831F5FFF7339EF7ECD4C831D0319EBFB4 -:100980009EF79BF7C89AC831FB5404009EF7FCFF02 -:100990009BF7C89AC831F73304009EF7FCFFE8BB09 -:1009A000C831D3329ED702009EF7F8FFD0F5C83188 -:1009B000D3329ED7ECD4C831D3329ED706009EF7EF -:1009C000FBFF9BF7E8BBC831CC31BE9E02009EF70F -:1009D000F2FFE8BBC831D3329ED79EF7B7F6C8798D -:1009E000C831F7339EF79BF7C89AC831F733050033 -:1009F0009EF7FCFFB3F6C851C831FB5405009EF7C3 -:100A0000FCFFECD4C831CC31BE9E17019EF7FFFF2E -:100A1000D7B50200EC52FEFF928C7DEF6A009EF784 -:100A2000EDFF9BF7C89AC831CC31BE9E9BF7E8BB5F -:100A3000C831CC31BE9E97F7C879C831DE7D9EF7AC -:100A4000B3F6C851CC31BE9E04009EF7FCFF9BF765 -:100A5000C89AC831F73304009EF7FCFFE8BBC831E1 -:100A6000D3329ED702009EF7F8FFE8BBC831FB5493 -:100A70009EF7B3F6C851CC31BE9E03009EF7FEFF31 -:100A800097F7C8790300C831FEFFD032BE9E03003D -:100A90009EF7FFFFE8BB0600C831FBFFD3329ED7AD -:100AA0009EF79BF7C89A0500C831FAFFF7339EF707 -:100AB000B3F6C851C831DE7D05009EF7FCFFD0F5C6 -:100AC000C831CC31BE9E17019EF7FFFFD7B502009B -:100AD000EC52FEFF928C7DEF6A009EF7FCFF9BF7C5 -:100AE000C89AC831FB5402009EF7F3FFB3F6C85111 -:100AF000C831DE7D9EF7E8BBC831F7339EF7ECD4F2 -:100B0000C831D3329ED704009EF7FCFF9BF7C89AEA -:100B1000C831F73304009EF7F2FFE8BBC831D33287 -:100B20009ED79EF797F7C879CC31BE9E9EF79BF76C -:100B3000C89AC831FB5403009EF7FEFF97F7C879A7 -:100B40000400C831FEFFD032BE9E02009EF7FFFFB8 -:100B5000E8BB0500C831FEFFD3329EBF02009EF7FE -:100B6000FEFF9BF7C89A0500C831FAFFF7339EF7DE -:100B7000B3F6C851C831DE7D05009EF7FCFFD0F505 -:100B8000C831CC31BE9EC7009EF7FBFF3CE776AD77 -:100B900035A596AD5DEF4B009EF7FFFF1CDF020011 -:100BA00079CEFFFFBAD66B009EF7FCFF9BF7C89A81 -:100BB000C831FB5402009EF7F4FFB3F6C851C831A8 -:100BC000DE7D9EF7D0F5C831CC31BB9EC89AC831C6 -:100BD000FB5405009EF7FCFF9BF7C89AC831F7331A -:100BE00004009EF7FAFFE8BBC831D3329ED79EF7C8 -:100BF000D0F50700C831FEFFD3329ED706009EF71E -:100C0000F2FFD3D5C851C831D0319EBF9EF7E8BBA3 -:100C1000C831D3329ED7B3F6C851C831F73302007A -:100C20009EF7FCFF9BF7C89AC831F73305009EF783 -:100C3000FCFFB3F6C851C831FB5405009EF7FCFF1A -:100C4000ECD4C831CC31BE9EC7009EF7FBFFDBDE83 -:100C50006E6BEC52F07B3DE7BA009EF7FCFF9BF712 -:100C6000C89AC831FB5402009EF7F4FFB3F6C8518E -:100C7000C831DE7D9EF797F7C879C831F354C8793B -:100C8000CC31BE9E06009EF7FCFFE8BBC831D332D4 -:100C90009ED702009EF7FCFF9BF7C89AC831F73336 -:100CA00002009EF7FFFFE8BB0800C831FFFFDE7DB2 -:100CB00007009EF7EDFFE8BBC831CC31BE9E9EF722 -:100CC000E8BBC831D3329ED79EF7ECD4C831CC31C3 -:100CD000BE9E9EF79BF7C89AC831F73305009EF772 -:100CE000FBFF97F7C879C831D3329ED703009EF730 -:100CF000FBFF9BF7C89AC831D3329ED7C7009EF737 -:100D0000FBFFDBDE6E6BEC52F07B3DE7BA009EF73B -:100D1000F6FF9BF7C89AC831CC31BE9E9BF7E8BB63 -:100D2000C831D0319EBF02009EF7FFFFECD4030014 -:100D3000C831FEFFD3329ED706009EF7FFFFECD4EA -:100D40000200C831FCFFF7549ED79EF7ECD4020096 -:100D5000C831FAFFFB549EF797F7C879C831DE7D9A -:100D600003009EF7F8FF97F7C879C831F7339EF76D -:100D7000B3F6D052DB9D02009EF7ECFFB3F6C879C4 -:100D8000C831D0319EBF9EF7E8BBC831D3329ED761 -:100D90009EF797F7C879C831F7339EF79BF7C89A43 -:100DA000C831F73306009EF7FFFFECD40200C831CC -:100DB000FBFFF7539ED79EF79BF7ECBB0200C831B1 -:100DC000FFFFDE7DC7009EF7FFFFD7B50500EC52A1 -:100DD000F5FF928C7DEF9EF73DE7F07BEC526E6B5A -:100DE00039C6728C8F6BDBDE02009EF7FAFF1CE7C0 -:100DF000728C0C5BEC52CF7355A50200EC52FEFFD7 -:100E0000928C7DEF02009EF7FFFF7ACE0200EC523B -:100E1000FAFF728C149D0D5BEC526E6BF7BD0500F2 -:100E20009EF7FEFFF7BD6E6B0300EC52FEFF6E6394 -:100E300096AD05009EF7FEFF96AD6E630300EC5283 -:100E4000FDFF0C5B3184BAD604009EF7FEFF59C645 -:100E5000CF730400EC52FEFF6E6BF7BD04009EF7EB -:100E6000FFFFD7B50200EC52FFFF14A502009EF76A -:100E7000FFFFD7B50200EC52FFFF14A502009EF75A -:100E8000FBFF5DEFF07BEC526E6BDBDE02009EF74A -:100E9000FDFF5DEFF49C0D5B0300EC52FDFF0C5B6E -:100EA000728C1CE703009EF7F8FF3DE7F07BEC52E5 -:100EB0006E6B39C6728C8F6BDBDE48009EF7FEFFCF -:100EC0009BF7C89A0600C831FFFFF73303009EF76F -:100ED000FEFFB3F6C8510200C831FFFFFB54070004 -:100EE0009EF7FEFF9BF7C89A0600C831F9FFD33280 -:100EF0009ED79EF7ECD4C831D0319EBF04009EF738 -:100F0000FAFFE8BBC831D0319EBFB3F6C851060026 -:100F1000C831FFFFFB5402009EF7FCFFE8BBC8315D -:100F2000D3329ED702009EF7FAFFE8BBC831CC311E -:100F3000BE9E9BF7C89A0600C831FCFFDE7D9EF777 -:100F40009BF7C89A0700C831FFFFF753C8009EF708 -:100F5000FFFFD7B50500EC52F2FF928C7DEF9EF7B4 -:100F60003DE7F07BEC522D5B6E63EC526E6BDBDE8B -:100F70009EF77DEFF49C0700EC52FEFF928C7DEF14 -:100F800002009EF7FFFF7ACE0700EC52FEFF2D5BBA -:100F90009AD603009EF7FEFF59C60C5B0500EC5283 -:100FA000FEFF0C5B18C603009EF7FEFF18C60C5B25 -:100FB0000600EC52FEFF31845DEF02009EF7FEFF5B -:100FC000DBD66E630600EC52FEFF0C5B59C60300D5 -:100FD0009EF7FFFFD7B50200EC52FFFF14A50200F9 -:100FE0009EF7F5FF9AD62D5BEC52928C7DEF9EF723 -:100FF000FBDE8F6BEC52F07B5DEF02009EF7FFFF94 -:10100000149D0700EC52FEFF728C5DEF02009EF70C -:10101000F8FF3DE7F07BEC522D5B6E63EC526E6B9C -:10102000DBDE48009EF7FBFF9BF7C89ACC31B79EEA -:10103000CC9A0200C831FEFFF7539ED703009EF7FB -:10104000FBFF9BF7C89AC831CC31BE9E08009EF7C3 -:10105000FDFF9BF7ECBBC8510200C831FDFFCC324D -:10106000F77C9ED702009EF7FDFFE8BBC831F7333F -:1010700005009EF7FFFFD0F50200C831FCFFDE7DC2 -:101080009EF7B7F6CC7A0300C831FEFFD052BBBE44 -:1010900003009EF7FCFFE8BBC831D3329ED70200A5 -:1010A0009EF7FAFFB3F6C851C831FB549EF7E8BB70 -:1010B0000600C831FFFFDE7D02009EF7FDFF9BF7B3 -:1010C000F0BCC8520300C831FEFFD052DB9DC900FE -:1010D0009EF7F9FF1CDFD7B54E63EC528F6B18C635 -:1010E000BAD602009EF7FEFF3DE7F07B0200EC520D -:1010F000F4FFCF73F8BD79CE5DEF9EF73DE7F07B4F -:10110000EC520C5B75AD59C6D3940200EC52FEFF55 -:10111000928C7DEF02009EF7FFFF7ACE0200EC5228 -:10112000FCFF6E63D7BD59C672840200EC52FFFF0C -:10113000D7B503009EF7FFFF35A50200EC52FDFF77 -:10114000D39479CEF49C0200EC52FFFF14A5030067 -:101150009EF7FFFF14A50200EC52F9FFB39459C6A5 -:10116000F8BD6E6BEC526E6BDBDE02009EF7FFFF8C -:1011700018C60200EC52FCFF728459C679CED39493 -:101180000200EC52FFFF75AD03009EF7FFFFD7B5DD -:101190000200EC52FFFF14A502009EF7E9FF1CDFDE -:1011A000AF73EC52F07B3DE79EF77ACE0C5BEC52CE -:1011B000D3947DEF9EF75DEF3184EC522D5BB7B594 -:1011C00079CEF8BD6E6BEC52AF731CDF02009EF758 -:1011D000FEFF3DE7F07B0200EC52FCFFCF73F8BD51 -:1011E00079CE5DEF55009EF7FCFFE8BBC831D332E6 -:1011F0009ED710019EF7FBFFDBDE6E6BEC52F07B9F -:101200003DE703009EF7FEFF3DE7F07B0200EC5256 -:10121000FFFF39C604009EF7F9FF3DE7F07BEC5273 -:101220006E6BDBDE9EF77ACE0200EC52FEFF928CF4 -:101230007DEF02009EF7FFFF7ACE0200EC52FCFF2A -:10124000928C7DEF9EF714A50200EC52FFFFD7B5FC -:1012500003009EF7FFFF14A50200EC52FDFFD7B577 -:101260009EF77ACE0200EC52FFFF14A503009EF712 -:10127000FFFF14A50200EC52F9FFD7B59EF73DE73A -:10128000F07BEC526E6BDBDE02009EF7FFFFD7B502 -:101290000200EC52FFFF14A502009EF7FFFFD7B536 -:1012A0000200EC52FFFF14A503009EF7FFFFD7B525 -:1012B0000200EC52FFFF14A502009EF7F9FF5DEF5C -:1012C0007284EC528F6BFBDE9EF718C60200EC5264 -:1012D000FFFF96AD02009EF7F5FF3DE7F07BEC5275 -:1012E0006E6BDBDE9EF73DE7F07BEC526E6BDBDE78 -:1012F00002009EF7FEFF3DE7F07B0200EC52FFFF8D -:1013000039C657009EF7FCFFB3F6C851C831FB54ED -:1013100011019EF7FBFFDBDE6E6BEC52F07B3DE7CD -:1013200003009EF7FBFF3DE7F07BEC526E6BDBDECC -:1013300004009EF7F9FF3DE7F07BEC526E6BDBDEBD -:101340009EF77ACE0200EC52FEFF928C7DEF0200F7 -:101350009EF7FFFF7ACE0200EC52FCFF928C7DEFED -:101360009EF714A50200EC52FFFFD7B503009EF7CD -:10137000FFFF14A50200EC52FDFFD7B59EF77DEFED -:1013800002003DE7FFFF5DEF03009EF7FFFF14A59E -:101390000200EC52F9FFD7B59EF75DEF96AD35A58B -:1013A00076AD3CE702009EF7FFFFD7B50200EC5296 -:1013B000FFFF14A502009EF7FFFFD7B50200EC5215 -:1013C000FFFF14A503009EF7FFFFD7B50200EC5204 -:1013D000FFFF14A503009EF7FAFF149DEC520C5B6F -:1013E0007ACE9EF775AD0200EC52FFFF79CE020077 -:1013F0009EF7F5FF3DE7F07BEC526E6BDBDE9EF770 -:101400003DE7F07BEC526E6BDBDE02009EF7FBFFEC -:101410003DE7F07BEC526E6BDBDE57009EF7FCFF86 -:10142000ECD4C831D0319EBF11019EF7FBFFDBDE4B -:101430006E6BEC52F07B3DE703009EF7FBFF3DE750 -:10144000F07BEC526E6BDBDE04009EF7F9FF3DE7AC -:10145000F07BEC526E6BDBDE9EF77ACE0200EC5234 -:10146000FEFF928C7DEF02009EF7FFFF7ACE020016 -:10147000EC52FCFF928C7DEF9EF714A50200EC521B -:10148000FFFFD7B503009EF7FFFF14A50200EC5243 -:10149000FFFFD7B508009EF7FFFF14A50200EC522E -:1014A000FFFFD7B508009EF7FFFFD7B50200EC524B -:1014B000FFFF928C020079CEFFFF149D0200EC52D8 -:1014C000FFFF14A503009EF7FFFFD7B50200EC5203 -:1014D000FFFF14A503009EF7FFFFF7BD0200EC52CB -:1014E000FAFFF7BD7DEFD394EC528F6BFBDE020069 -:1014F0009EF7F5FF3DE7F07BEC524E63D7B579CE12 -:1015000018C68F6BEC526E6BDBDE02009EF7FBFFA2 -:101510003DE7F07BEC526E6BDBDE6C019EF7FBFF70 -:10152000DBDE6E6BEC52F07B3DE703009EF7FBFFCA -:101530003DE7F07BEC526E6BDBDE04009EF7F9FFBB -:101540003DE7F07BEC526E6BDBDE9EF77ACE02005D -:10155000EC52FEFF928C7DEF02009EF7FFFF7ACEE9 -:101560000200EC52FCFF928C7DEF9EF714A5020066 -:10157000EC52FFFFD7B503009EF7FFFFD7B505007C -:10158000EC52FDFF4D63149D5DEF03009EF7FFFFDE -:1015900014A50200EC52FFFFD7B508009EF7FFFF2D -:1015A000D7B50800EC52FFFF14A503009EF7FFFF1C -:1015B000D7B50200EC52FFFF14A503009EF7F7FF1A -:1015C0009AD62D5BEC5235A55DEF3184EC52F07B61 -:1015D0005DEF02009EF7FEFF3DE7F07B0700EC5257 -:1015E000FEFF6E6BDBDE02009EF7FBFF3DE7F07B4C -:1015F000EC526E6BDBDE6C019EF7FBFFDBDE6E6B8D -:10160000EC52F07B3DE703009EF7FBFF3DE7F07BEC -:10161000EC526E6BDBDE04009EF7F9FF3DE7F07BDA -:10162000EC526E6BDBDE9EF77ACE0200EC52FEFFD0 -:10163000928C7DEF02009EF7FFFF7ACE0200EC5203 -:10164000FCFF928C7DEF9EF714A50200EC52FFFF89 -:10165000D7B503009EF7FEFF3CE7107C0600EC5276 -:10166000FFFF76AD03009EF7FFFF14A50200EC52CA -:10167000FFFFD7B508009EF7FFFFD7B50800EC5273 -:10168000FFFF14A503009EF7FFFFD7B50200EC5241 -:10169000FFFF14A503009EF7F7FF1CE7CF73EC5282 -:1016A000B394DBDEAF73EC52D3947DEF02009EF770 -:1016B000FEFF3DE7F07B0700EC52FEFF6E6BDBDECA -:1016C00002009EF7FBFF3DE7F07BEC526E6BDBDE2A -:1016D0006C019EF7FBFFDBDE6E6BEC52F07B3DE7AF -:1016E00003009EF7FBFF3DE7F07BEC526E6BDBDE09 -:1016F00004009EF7F9FF3DE7F07BEC526E6BDBDEFA -:101700009EF77ACE0200EC52FEFF928C7DEF020033 -:101710009EF7FFFF7ACE0200EC52FCFF928C7DEF29 -:101720009EF714A50200EC52FFFFD7B504009EF708 -:10173000FEFF7DEFBAD6020079CEFFFF55A502006D -:10174000EC52FEFFB3947DEF02009EF7FFFF14A55D -:101750000200EC52FFFFD7B508009EF7FFFFD7B598 -:101760000200EC52FFFF14A509009EF7FFFFD7B55A -:101770000200EC52FFFF14A503009EF7F8FF7DEF77 -:10178000928CEC52F07B39C62D5BEC5296AD030087 -:101790009EF7FBFF3DE7F07BEC526E6BDBDE080053 -:1017A0009EF7FBFF3DE7F07BEC526E6BDBDE6C01DE -:1017B0009EF7FBFFDBDE6E6BEC52F07B3DE7030038 -:1017C0009EF7FBFF3DE7F07BEC526E6BDBDE040027 -:1017D0009EF7F9FF3DE7F07BEC526E6BDBDE9EF788 -:1017E0007ACE0200EC52FEFF928C7DEF02009EF753 -:1017F000FFFF7ACE0200EC52FCFF928C7DEF9EF749 -:1018000014A50200EC52FFFFD7B508009EF7FFFFBA -:101810007ACE0200EC52FEFF928C7DEF02009EF722 -:10182000FFFF14A50200EC52F9FFD7B59EF75DEF5C -:10183000928CF07B3184FBDE02009EF7FFFFD7B570 -:101840000200EC52FFFF14A502009EF7FFFF7DEFA0 -:1018500002003DE7FFFF7DEF03009EF7FFFFD7B5D6 -:101860000200EC52FFFF14A504009EF7FCFF149D3C -:10187000EC52AF7396AD0200EC52FFFF79CE03003D -:101880009EF7FBFF3DE7F07BEC526E6BDBDE020068 -:101890009EF7FDFF5DEF3DE75DEF03009EF7FBFF69 -:1018A0003DE7F07BEC526E6BDBDE6C019EF7FBFFDD -:1018B000DBDE6E6BEC52F07B3DE703009EF7FBFF37 -:1018C0003DE7F07BEC526E6BDBDE04009EF7F9FF28 -:1018D0003DE7F07BEC526E6BDBDE9EF77ACE0200CA -:1018E000EC52FEFF928C7DEF02009EF7FFFF7ACE56 -:1018F0000200EC52FCFF928C7DEF9EF714A50200D3 -:10190000EC52FFFFD7B503009EF7FFFFD7B50200EB -:10191000EC52FDFFD7B59EF77ACE0200EC52FEFFE7 -:10192000928C7DEF02009EF7FFFF14A50200EC529F -:10193000F9FFD7B59EF73DE7F07BEC526E6BDBDE2F -:1019400002009EF7FFFFD7B50200EC52FFFF14A57F -:1019500002009EF7FFFFD7B50200EC52FFFFD7B59C -:1019600003009EF7FFFFD7B50200EC52FFFF14A55E -:1019700004009EF7F9FFF7BDEC522D5B3184EC5269 -:101980008F6BFBDE03009EF7F5FF3DE7F07BEC522B -:101990006E6BDBDE9EF73DE7F07BEC52F07B3DE7C4 -:1019A00002009EF7FBFF3DE7F07BEC526E6BDBDE47 -:1019B0006C019EF7F3FFDBDE6E6BEC524D63F49C23 -:1019C000D7BD7DEF9EF73DE7F07BEC526E6BDBDE23 -:1019D00004009EF7F9FF3DE7F07BEC520C5B75AD20 -:1019E00059C6D3940200EC52FEFF928C7DEF0200A8 -:1019F0009EF7FFFF7ACE0200EC52FCFF928C7DEF47 -:101A00009EF714A50200EC52FFFFD7B503009EF726 -:101A1000FFFFD7B50200EC52FDFFF49CFBDE76AD74 -:101A20000200EC52FEFFB3947DEF02009EF7FFFF31 -:101A300014A50200EC52F9FF317C35A5149D6E63AC -:101A4000EC526E6BDBDE02009EF7FFFF18C6020051 -:101A5000EC52FFFF7284020059C6FFFFB3940200EC -:101A6000EC52FFFFD7B503009EF7FFFFD7B502008A -:101A7000EC52FFFF14A504009EF7FEFF9AD62D5BE3 -:101A80000300EC52FEFF31845DEF03009EF7F5FF8B -:101A90005DEF3184EC522D5BB7B579CED7BD6E6367 -:101AA000EC52F07B3DE702009EF7FBFF3DE7F07B49 -:101AB000EC526E6BDBDE6C019EF7FEFF5DEF518436 -:101AC0000300EC52F8FF928C7DEF9EF73DE7F07B30 -:101AD000EC526E6BDBDE04009EF7FEFF7DEFF49CA4 -:101AE0000700EC52FEFF928C7DEF02009EF7FFFF95 -:101AF0007ACE0200EC52FCFF928C7DEF9EF714A58B -:101B00000200EC52FFFFD7B503009EF7FEFF59C657 -:101B10000C5B0600EC52FFFFB7B503009EF7FEFF1B -:101B200018C60C5B0600EC52FEFF31845DEF02002C -:101B30009EF7FEFFDBD66E630600EC52FEFF0C5BE9 -:101B400059C603009EF7FFFFD7B50200EC52FFFF16 -:101B500014A504009EF7FEFF1CE7CF730300EC52B0 -:101B6000FFFFF49C05009EF7FFFF149D0700EC5259 -:101B7000FEFF728C5DEF02009EF7FBFF3DE7F07BFE -:101B8000EC526E6BDBDE51009EF7FDFF9BF7ECBB6A -:101B9000C8510200C831FEFFCC32DB7D15019EF733 -:101BA000F4FFFBDE31840C5BEC52928C7DEF9EF7F0 -:101BB0003DE7F07BEC526E6BDBDE05009EF7FAFF33 -:101BC0001CE7728C0C5BEC52CF7355A50200EC52F3 -:101BD000FEFF928C7DEF02009EF7FFFF7ACE02009F -:101BE000EC52FCFF928C7DEF9EF714A50200EC52A4 -:101BF000FFFFD7B504009EF7FEFFF7BD6E6B030035 -:101C0000EC52FDFF4D63149D5DEF04009EF7FEFF57 -:101C100096AD6E630300EC52FDFF0C5B3184BAD6C7 -:101C200004009EF7FEFF59C6CF730400EC52FEFF7E -:101C30008F6BF7BD04009EF7FFFFD7B50200EC5293 -:101C4000FFFF14A504009EF7FEFF7DEF928C0300BA -:101C5000EC52FFFF96AD05009EF7FDFF5DEFF49C93 -:101C60000D5B0300EC52FDFF0D5B928C1CE7030043 -:101C70009EF7FBFF3DE7F07BEC526E6BDBDE510025 -:101C80009EF7FFFFE8BB0600C831FFFFDE7D0C00BA -:101C90009EF7FFFFE8BB0500C831FEFFD032DB9D99 -:101CA00002009EF7FEFFB3F6C8510600C831FAFFE6 -:101CB000CC31BE9E9BF7C89AC831F73302009EF71D -:101CC000FAFFB3F6C851C831FB549EF7ECD40500B7 -:101CD000C831FEFFD052BEBE03009EF7FDFFE8BB39 -:101CE000C831F7339E019EF7F7FFB3F6C851C831EC -:101CF000FB549EF7B3F6C879C831FB540C009EF72D -:101D0000FFFFE8BB0700C831FCFFDE7D9EF7B3F69E -:101D1000C8510600C831F2FFCC31BE9E9BF7C89A6D -:101D2000C831F7339EF79BF7C89AC831D0319EBFB0 -:101D30009EF7E8BB0600C831FEFFCC31BE9E020014 -:101D40009EF7FDFFE8BBC831F7339E019EF7F7FF12 -:101D5000B3F6C851C831DE7D9EF797F7C879C83110 -:101D6000FB540C009EF7F6FFE8BBC831D3329ED778 -:101D70009EF7B7F6C879C831D3329ED706009EF7D2 -:101D8000F3FFE8BBC831D3329ED79BF7C89AC8315E -:101D9000F7339EF7ECD4C831CC31BE9E02009EF7DB -:101DA000FAFFE8BBC831D3329ED79EF7D0D50200E8 -:101DB000C831FFFFFB5402009EF7FDFFE8BBC831AE -:101DC000F7339E019EF7F7FF9BF7C89AC831D332CD -:101DD000B7D6C87AC831D0329EBF0C009EF7FCFF40 -:101DE000E8BBC831D3329ED702009EF7FCFFE8BBA8 -:101DF000C831D3329ED705009EF7F3FFB3F6C85122 -:101E0000C831DE7D9EF79BF7C89AC831F73397F744 -:101E1000C879C831FB5403009EF7F7FFE8BBC8310F -:101E2000D3329ED79EF797F7C879C831FB5402008A -:101E30009EF7FDFFE8BBC831F7339F019EF7FEFF19 -:101E4000B3F6C8510300C831FEFFF3539ED70D000F -:101E50009EF7F7FFE8BBC831D3329ED79EF7B7F69F -:101E6000C879C831F73306009EF7F4FFE8BBC831E4 -:101E7000D0319EBF9EF79BF7C89AC831F733E8BBB5 -:101E8000C831F73304009EF7F7FFE8BBC831D332FF -:101E90009ED79EF7D0D5C831CC31BE9E02009EF7AA -:101EA000FDFFE8BBC831F7339E019EF7FEFF9BF7AD -:101EB000ECBB0300C831F9FFF7339ED79EF7ECD493 -:101EC000C831F7339ED709009EF7FFFFE8BB060035 -:101ED000C831FEFFD3329ED705009EF7FCFFB3F654 -:101EE000C851C831FB5402009EF7FEFF9BF7C89A09 -:101EF0000300C831FEFFCC31BE9E04009EF7FFFFF9 -:101F0000E8BB0500C831FEFFCC31DB7D03009EF746 -:101F1000FDFFE8BBC831F7339E019EF7FCFFE8BB2D -:101F2000C831CC31EC7B0200C831FBFFF7539EF780 -:101F3000ECD4C831F7330A009EF7FFFFE8BB050079 -:101F4000C831FEFFD3329EBF06009EF7FCFFE8BB00 -:101F5000C831D0319EBF02009EF7F9FF9BF7C89AA7 -:101F6000C831F033C879C831F73304009EF7FFFF5A -:101F7000E8BB0700C831FFFFFB5402009EF7FDFFDE -:101F8000E8BBC831F7339D019EF7FAFFB3F6C8519D -:101F9000C831DE7D9EF7ECBB0200C831FCFFF35375 -:101FA000C89AC831FB540A009EF7F8FFE8BBC83155 -:101FB000D3329ED7B3F6C851C831F73305009EF728 -:101FC000FCFFB3F6C851C831F73303009EF7F8FFA2 -:101FD0009BF7C89AC831F733D0F5C831CC31BE9ED3 -:101FE00003009EF7F2FFE8BBC831D3329ED79EF7BD -:101FF0009BF7E8BBC831D0319EBF9EF7E8BBC83124 -:10200000F7339D019EF7FCFFD0F5C831CC31BE9E61 -:1020100002009EF7FFFFECBB0300C831FEFFCC318E -:10202000BE9E0A009EF7F7FFE8BBC831D3329ED7A9 -:102030009EF7ECD4C831CC31BE9E04009EF7FCFF65 -:10204000ECD4C831CC31BE9E03009EF7F7FF9BF75E -:10205000C89AC831F7339BF7C89AC831D3329ED794 -:1020600002009EF7FCFFE8BBC831D3329ED70200C6 -:102070009EF7F8FFB3F6C851CC31BE9E9EF7E8BB81 -:10208000C831F7339D019EF7F8FFB3F6C851C83148 -:10209000F7339ED79EF7BBF6C89A0200C831FEFF01 -:1020A000CC31DB9D0A009EF7F7FFE8BBC831D33285 -:1020B0009ED79EF797F7C879C831F73303009EF78C -:1020C000FCFF97F7C879C831F73304009EF7F7FF94 -:1020D0009BF7C89AC831F7339EF7B3F6C851C83199 -:1020E000FB5402009EF7F2FFE8BBC831D3329ED703 -:1020F0009EF79BF7E8BBC831D0319EBF9EF7E8BB87 -:10210000C831F7339E019EF7FFFFE8BB0A00C831D4 -:10211000FFFFF73309009EF7FCFFE8BBC831D3325D -:102120009ED702009EF7FCFFE8BBC831CC31BE9EB3 -:1021300002009EF7FFFFECD40200C831FFFFDE7DF6 -:1021400004009EF7FCFF9BF7C89AC831F7330200E2 -:102150009EF7FAFFE8BBC831CC31BE9E9EF7E8BBC4 -:102160000700C831FFFFFB5402009EF7FDFFE8BBEC -:10217000C831F7339F019EF7FEFFD0D5C852020049 -:10218000C831F9FFCC31F353BEBE9BF7ECBBC8316D -:10219000F73309009EF7FCFFE8BBC831D3329ED766 -:1021A00002009EF7F6FFB3F6C851C831FB549EF704 -:1021B00097F7C879C831D3329ED704009EF7FCFF49 -:1021C0009BF7C89AC831F73302009EF7FAFF97F7DA -:1021D000C879C831F7339EF7ECD40500C831FEFF4B -:1021E000D052DB9D03009EF7FDFFE8BBC831F733FB -:1021F000C7419EF714120200481A020002000000B4 -:10220000C0CF6A00006C6B0002000000006C6B0025 -:1022100020BA6B000800000020BA6B00D0F06B0001 -:1022200000000000D0F06B0040086C0008000000C7 -:1022300040086C0000DD6D00000000004019F93915 -:10224000EEFF003FEEFF003F4019F93925084B3EF5 -:102250009E9E983E9E9E983E25084B3EA8120408DE -:102260000000000014120200481A0200F4210308C2 -:1022700001000000BE12040801000000509E1B0077 -:1022800080841E0088CA020803000000C3120408EC -:1022900001000000E0673500C0FB3900D0CB020828 -:1022A00003000000BF120408000000004456510063 -:1022B0006C735200F4CB020801000000BA1204084B -:1022C00001000000C0CF6A0000DD6D00002203089D -:1022D00005000000B612040801000000201D9A004D -:1022E00070E09A00F8C8020802000000B212040868 -:1022F00001000000809FD500B0F6DA0094C9020802 -:1023000003000000D21204080100000020B21301F3 -:10231000C0381501B8C9020803000000CB12040838 -:1023200001000000406F4001104D4701DCC9020868 -:1023300004000000C71204080100000090CA7B01DD -:1023400030517D0100CA020804000000AE120408EA -:1023500001000000003FAB01A02FC501ACCA02087C -:1023600009000000CF1204080100000080F0FA020A -:1023700080F9370318CB0208070000000000FFFFB8 -:10238000E22510848631FFFFFFFFB2940000FFFFBB -:102390003F632CFB4225FFFF00F8FFFF422565FE4F -:1023A000E2255F06FFFF65FE00F865FE5F0665FE3D -:1023B00000F8A5FC65FE00F89F317F3786F9E6DF5F -:1023C0009AF9EA02FFFF0000FFFFFFFF00000B0089 -:1023D00028002E004D009E0065FE0000CF7B5F06AA -:1023E000718CFFFF00000694FBDE9EF700000000EA -:1023F0001084FFFFB2943F632CFB4225000000F8DD -:10240000000042250694E2253F03000065FE00001F -:1024100065FE3F0375FF00F8A5FC069400F83F63D6 -:102420001E3F86F9A5BE12C0EA020000FFFF0000B1 -:102430000000DC00DC00FA00FF00FF00FF0065FE8A -:102440000100CF7B5F06718C0000FFFFE225108446 -:102450008631FFFFFFFFB2940000FFFF3F632CFBBC -:102460004225FFFF00F8FFFF422565FEE2255F06DB -:10247000FFFF65FE00F865FE5F0665FE00F8A5FC3F -:1024800065FE00F89F317F3786F9E6DF9AF9EA02A8 -:10249000FFFF0000FFFFFFFF00000B0028002E00E1 -:1024A0004D009E0065FE0000CF7B5F06718CFFFF34 -:1024B00000000694FBDE9EF7000000001084FFFF82 -:1024C000B2943F632CFB4225000000F80000422537 -:1024D0000694E2253F03000065FE000065FE3F0311 -:1024E00075FF00F8A5FC069400F83F631E3F86F9CF -:1024F000A5BE12C0EA020000FFFF00000000DC00E1 -:10250000DC00FA00FF00FF00FF0065FE0100CF7B4A -:102510005F06718C6D099F354E63B836D5EF0D3867 -:102520003F280A3944B9B539EAE2333A1DA38D3A56 -:10253000FD8EB43A9EC6B63A4B2E863A6A1AB9391F -:10254000B2578AB9503784B9B67D823AB79C7A3B84 -:102550009969033CFC9A4F3C2E76853C25928D3C34 -:10256000573F6F3CD6A50A3C9ED63B3AC06FACBBEA -:1025700021EEAEBB5DAF873BBB6FCC3CFD2E653D16 -:10258000B3F0BD3DC577033E8CAD1E3EB099293EEC -:10259000E455203E4052033E3ACDAE3D8AA8123D5E -:1025A000909B39BC7BF644BDDC4E8EBD658498BDE6 -:1025B000FB9686BD627A46BDAB90EBBC8DC241BC3A -:1025C000C4D65EBA04905F3B40C7123B7D8CFCBA18 -:1025D0006B89D5BB3CE51DBC3A7C2BBC50BD16BC01 -:1025E000EEEEDABBAC7480BB61E7DEBA1EA1C8B9FF -:1025F000C0F7AD388A8422B6E04894B9F76A02BAC7 -:1026000021A80DBA1496E4B9B6098EB96B1404B9B1 -:10261000128024B81054BFB61054BFB6128024B82C -:102620006B1404B9B6098EB91496E4B921A80DBA91 -:10263000F76A02BAE04894B98A8422B6C0F7AD3886 -:102640001EA1C8B961E7DEBAAC7480BBEEEEDABB9E -:1026500050BD16BC3A7C2BBC3CE51DBC6B89D5BB80 -:102660007D8CFCBA40C7123B04905F3BC4D65EBA77 -:102670008DC241BCAB90EBBC627A46BDFB9686BD79 -:10268000658498BDDC4E8EBD7BF644BD909B39BC05 -:102690008AA8123D3ACDAE3D4052033EE455203E5D -:1026A000B099293E8CAD1E3EC577033EB3F0BD3DCB -:1026B000FD2E653DBB6FCC3C5DAF873B21EEAEBBD5 -:1026C000C06FACBB9ED63B3AD6A50A3C573F6F3C89 -:1026D00025928D3C2E76853CFC9A4F3C9969033CB3 -:1026E000B79C7A3BB67D823A503784B9B2578AB9E3 -:1026F0006A1AB9394B2E863A9EC6B63AFD8EB43A5E -:102700001DA38D3AEAE2333A44B9B5393F280A3974 -:10271000D5EF0D384E63B8366D099F3500000000C7 -:1027200000000000000000000000000000000000A9 -:1027300000000000000004003C220308000000002C -:102740000000000000000000000000000000040085 -:102750004C22030800000000000000000000000000 -:102760000000000000000000000000000000000069 -:102770000000000000000000000000000000040055 -:1027800010C9020800000000000000000000000066 -:102790000000000000000000000000000000000039 -:1027A0000000000000000000000000000000000029 -:1027B0000000000000000000000000000000000019 -:1027C0000000000000000000000000000000000009 -:1027D00000000000000000000000000000000400F5 -:1027E00084C9020800000000F4270308A62F03088C -:1027F000207E1D00FFFFFFF0F0CF3CF38A20063063 -:1028000031031818C7FFBFFC31031818C7FFBFFCFE -:1028100031011818C0C606300403E1FF726C478806 -:10282000F107207E03F017023C4788F11B267FC387 -:10283000E0100200000603C0407E0C0E7080C3183A -:102840000C3100E73007E6003C40000C7C008FE0D4 -:1028500019C70118303183021C7040FE0407C00FF5 -:10286000007E039C0C3030C0E701F803803E01CCB1 -:102870006E19B07CC0F303CE1F9FE61E1CFFA0089C -:102880008C663198C6318C63086308610C2082180D -:10289000C318C318C6318C623188C4620010235B30 -:1028A000E38D91000C0300C030FFFFF0C0300C033B -:1028B00000C0F560FFF0F0020C1020C1020C1020E7 -:1028C000C1020C1020C1001F07F1C7306E0F80F04D -:1028D0001E03C0780F01E03C0EC19C71FC1F000874 -:1028E000CFFF8C6318C6318C63181F0FF987607C8B -:1028F0000600C0180701C0F0781C0600803007FFF2 -:10290000FFE03F0FF387606C0C0180707C0F801834 -:1029100001803C0780D873FC1F000180700E03C04B -:10292000D81B06618C218C33067FFFFE0300600CF0 -:1029300001803FCFF980300600DE1FE70E00E00C7B -:102940000180300781F873FC1F000F07F9C3307452 -:10295000018033C7FEF0DC1F01E03C06C1DC71FCE6 -:102960001F00FFFFFC010060180200C0300601805C -:10297000300401803006018030001F07F1C7306647 -:102980000CC18C61FC3F8E3B01E03C0780D831FCE0 -:102990001F001F07F1C7706C0780F01E0761EFFC76 -:1029A00079803005819873FC1E00F00003C0F000B0 -:1029B0000F5600000701E0F83C0F00E007C00F00D1 -:1029C0003C00F001FFFFFF000000FFFFFF000E00D2 -:1029D0007801F007C00F00701E0F03C0F008001F41 -:1029E0001FEE1B03C0C0300C060381C0E0300C0397 -:1029F0000000000C030000FE000FFE00F03E070088 -:102A00003C380030C1E0660FD9D861C3C3070F1C42 -:102A10001C3C6060F18183C6061B1838EE71E71814 -:102A2000FDF871E7C0E00001E00001FFC001FC001B -:102A300003C003C003C007E0066006600E700C30E0 -:102A40000C301C3818181FF83FFC301C300C700E6E -:102A500060066006FFC7FF3019806C03601B00D85A -:102A60000CFFC7FF300D803C01E00F007806FFF738 -:102A7000FE0007E03FF0E0738066006C003000600D -:102A800000C001800300060006006C00DC031E0E7F -:102A90001FF80FC0FF83FF8C07300EC01B007C00A7 -:102AA000F003C00F003C00F003C01F006C03B01C1B -:102AB000FFE3FF00FFFFFFC00C00C00C00C00C00D4 -:102AC000FFEFFEC00C00C00C00C00C00FFFFFFFFBA -:102AD000FFFF00600C0180300600FFDFFB00600C90 -:102AE0000180300600C0180007F01FFC3C1E700675 -:102AF0006003E000C000C000C07FC07FC003C0030F -:102B000060036007300F3C1F1FFB07E1C01E00F091 -:102B100007803C01E00F007803FFFFFFF007803CD7 -:102B200001E00F007803C01E00C0FFFFFFFFF001AF -:102B300080C06030180C06030180C0603C1E0F0787 -:102B4000C77F1F00C03B01CC0E3070C3831C0CE05C -:102B50003380DE03DC0E383060C1C3038C06301CCA -:102B6000C03B0060C0300C0300C0300C0300C0301C -:102B70000C0300C0300C0300FFFFF0E007E007F09B -:102B80000FF00FD00FD81BD81BD81BCC33CC33CCB5 -:102B900033C663C663C663C3C3C3C3C3C3C183E0D1 -:102BA0001F00FC07E03D81EE0F3079C3C61E18F010 -:102BB000E7833C1DE06F01F80FC03E01C003E00F4A -:102BC000FC0F078600C60033001B00078003C0010E -:102BD000E000F00078003600330018C018783C1F81 -:102BE000FC03F800FF8FFEC06C03C03C03C03C0731 -:102BF000FFEFFCC00C00C00C00C00C00C00C0003B8 -:102C0000E00FFC0F078600C60033001B000780039F -:102C1000C001E000F00078003600330198C0FC7875 -:102C20003C1FFF03F9800040FFC3FFCC03B006C088 -:102C30001B006C01B00CFFE3FFCC03B006C01B000F -:102C40006C01B006C01B00700FE07FC3839C07605F -:102C50000D8006001E003F803FC00F8007C00F00A0 -:102C60003E00DE0E3FF03F80FFFFFF0600600600E3 -:102C700060060060060060060060060060060060F6 -:102C8000060060C01E00F007803C01E00F007803E2 -:102C9000C01E00F007803C01E00F80EE0E3FE07C9C -:102CA000006006C01DC031806301C70306060C1C0E -:102CB0001C30186031C073006600DC01F001E003D5 -:102CC000C00700E0301D80E0760781D81E06707CCA -:102CD00018C1B0E30CC38C330C38C6306718C198E8 -:102CE000670661D81D83603C0D80F03E03C0700F05 -:102CF00001C0180700700E6038E060E1C0C301CC6D -:102D000001F801E0038007801F003300E703860617 -:102D10000E1C0E700CC01C6006700E301C38181C87 -:102D2000380C300E70066003C003C00180018001C2 -:102D30008001800180018001800180FFFFFFC00EC3 -:102D400000E00E0060070070070030038038038049 -:102D50001801C01C00FFFFFFC0FFCCCCCCCCCCCCFA -:102D6000CCCCCCCFF0818102060408181020604042 -:102D70008181020604FF3333333333333333333F3C -:102D8000F00C0E0586C321198C83C180FFFEE38CF5 -:102D9000303F07F8E1CC0C00C01C3FCF8CC0CC0CFE -:102DA000E3C7EF3C70C00C00C00C00C00CF8DFCFD4 -:102DB0000EE07C03C03C03C03C03E06F0EDFCCF8A8 -:102DC0001F0FE71B83C0300C0300C038371CFE1FE9 -:102DD0000000600C018030063CCFFB8FE0F80F0153 -:102DE000E03C0780F83B8F3F63CC1F07F1C7703C86 -:102DF00007FFFFFE00C01C0DC31FE1F03BD8C67FDC -:102E0000EC6318C6318C63001E67FDC7F07C078039 -:102E1000F01E03C07C1DC79FB1E600C03E0E7FC7F9 -:102E2000E0C0300C0300C033CDFBC7E0F03C0F0323 -:102E3000C0F03C0F03C0F030F03FFFFFF033000361 -:102E4000333333333333333FE0C0180300600C01B6 -:102E50008330C630CC1B83F0770C618E30E60CC11A -:102E6000D818FFFFFFFFF0CF1F6FDFFC78FC183C86 -:102E70000C1E060F03078183C0C1E060F030781894 -:102E80003C0C18CF37EF1F83C0F03C0F03C0F03C61 -:102E90000F03C0C01F07F1C7707C0780F01E03C07E -:102EA0007C1DC71FC1F0CF8DFCF0EE06C03C03C0F7 -:102EB0003C03C03E07F0EFFCCF8C00C00C00C0000C -:102EC0001E67FDC7F07C0780F01E03C07C1DC79FF6 -:102ED000F1E600C018030060CF7F38C30C30C30C8C -:102EE00030C03E1FEE1B00C03C07F03E01F03E1D0F -:102EF000FE3E006319FFB18C6318C631E7C0F03C99 -:102F00000F03C0F03C0F03C0F07E3DFB3CC0E06609 -:102F10000660670C30C30C3981981981F00F00E00E -:102F20000E00C1C1B0E1D870CC2C6636319B18CDF3 -:102F300098646C16360F1A078F038380C1C060EE49 -:102F400018C60CC1F01C0180781B0330C730660620 -:102F5000E06C0D8338630C630E60CC1B03603C0790 -:102F600000E0180300E0780E00FFFFF0180C0703E4 -:102F700081C06030180E03FFFFC019CC6318C63142 -:102F8000998618C6318C631C60FFFFFFFFFFFCC7EA -:102F900018C6318C630C33318C6318C67300703ED5 -:102FA00009E41F038000000000000600010000008B -:102FB00002120803EF00050006060801F0000A00EF -:102FC0000D100D00F10024000B140D01EF00400066 -:102FD00014111501F0006B000E111001F0008900B2 -:102FE00002060501F0008B0005170802EF009A00A9 -:102FF00005170801EF00A90007070901EF00B0005D -:103000000A0B0E02F600BE0002060702FF00C00017 -:1030100006020801F900C20002020602FF00C30016 -:1030200007120700EF00D3000B110D01F000EB00B9 -:1030300005110D03F000F6000B110D01F0000E015B -:103040000B110D01F00026010B110D01F0003E01E6 -:103050000B110D01F00056010B110D01F0006E0176 -:103060000B110D01F00086010B110D01F0009E0106 -:103070000B110D01F000B601020D0602F400BA01B9 -:1030800002100602F500BE010C0C0E01F500D00185 -:103090000C060E01F800D9010C0C0E01F500EB0135 -:1030A0000A120D02EF00020216151801EF003C0291 -:1030B00010121000EF0060020D121002EF007E02ED -:1030C0000F121101EF00A0020E121102EF00C00258 -:1030D0000C120F02EF00DB020B120E02EF00F402E3 -:1030E00010121201EF0018030D121102EF00360347 -:1030F00002120702EF003B0309120D01EF0050031B -:103100000E121002EF0070030A120E02EF00870386 -:1031100010121402EF00AB030D121202EF00C903EC -:1031200011121301EF00F0030C121002EF000B0458 -:1031300011131301EF0034040E121102EF005404B6 -:103140000E121001EF0074040C120F01EF008F0437 -:103150000D121102EF00AD040F120F00EF00CF04AB -:1031600016121600EF0001050F121000EF002305E4 -:1031700010121000EF0047050D120F01EF0065055A -:1031800004170702EF00710507120700EF00810521 -:1031900004170701EF008D0509090B01F0009805E0 -:1031A0000F010DFF04009A0505040601EF009D05BF -:1031B0000C0D0D01F400B1050C120D01EF00CC0552 -:1031C0000A0D0C01F400DD050B120D01EF00F605F0 -:1031D0000B0D0D01F400080605120701EF0014069F -:1031E0000B120D01F4002D060A120D01EF0044062A -:1031F00002120502EF00490604170600EF0055060B -:103200000B120C01EF006E0602120501EF007306AF -:10321000110D1301F4008F060A0D0D01F400A00634 -:103220000B0D0D01F400B2060C110D01F400CC06DB -:103230000B110D01F400E406060D0801F400EE0682 -:103240000A0D0C01F400FF0605100701F100090743 -:103250000A0D0D01F4001A070C0D0C00F4002E07E6 -:10326000110D1100F4004A070B0D0B00F4005C0770 -:103270000B120B00F40075070A0D0C01F400860711 -:1032800005170801EF00950702170602EF009B07DC -:1032900005170802EF00AA070A050C01F600000056 -:1032A000AC32030832760308207E6300FFFFFFFF85 -:1032B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1E -:1032C000FEFBEF9E79E79E79C71C00000003FFFF1D -:1032D000FFFFFFFC1FFF07FFC1FFF07FFC1FFF0781 -:1032E000FFC1FFF07FFC1FBF07EFC0FBF03E780F70 -:1032F0009E03C780F1E01C7807000003E00F800008 -:103300003F007C0001F807E0000F803F00007C01D7 -:10331000F80003E00F80003F007C0001F803E000AC -:103320000FC03F00007C01F80003E00F80001F0089 -:103330007C0001F803E00FFFFFFFFC7FFFFFFFE3CE -:10334000FFFFFFFF1FFFFFFFF8FFFFFFFFC00FC0E2 -:103350003F00007E01F80003E00FC0001F007C006A -:1033600000F803E0000FC01F00007E01F80003E03A -:103370000FC0001F007C0000F803E0000FC01F001A -:10338000007E00F80003F00FC01FFFFFFFFCFFFFEF -:10339000FFFFE7FFFFFFFF3FFFFFFFF803F00FC056 -:1033A000001F007E0000F803F00007C01F00007E31 -:1033B00000F80003F007C0001F807E0000F803F053 -:1033C0000007C01F00003E00F80003F007C0001F08 -:1033D000807E0000F803F00007C01F80003E00F868 -:1033E00000000001E00000003C0000000780000039 -:1033F00000F00000007FC000007FFF00003FFFF8EA -:10340000001FFFFFC007FFFFFC01FFBC7F807F871D -:1034100083F80FE0F03F83F81E03F07E03C07F0FB8 -:10342000C07807E3F00F00FC7E01E01F8FC03C0175 -:10343000F1F80780003F00F00007E01E00007E0367 -:10344000C0000FE0780001FE0F00001FE1E0000364 -:10345000FF3C00003FFF800003FFFE00003FFFF83D -:103460000001FFFFC0000FFFFE00007FFFF0000122 -:10347000FFFF00003CFFE0000787FE0000F03FE098 -:10348000001E01FC0003C01F80007803F8000F003D -:103490003FFC01E007FF803C00FFF007801FFE00BB -:1034A000F003F7C01E007EFC03C01F9F807803F36B -:1034B000F80F00FE3F01E01F87F03C07F07F878395 -:1034C000FC0FFEF3FF00FFFFFFC007FFFFF0007FD0 -:1034D000FFFC0003FFFC000003F80000003C0000BC -:1034E000000780000000F00000001E00000003C084 -:1034F000000000780000000F0000000000000078CD -:103500000000038000001F000007FE000003C00051 -:1035100003FFF00000F80000FFFF00001E00003F66 -:10352000FFF00007C0000FF8FF0000F00001F807EF -:10353000F0003E00007E007E000F80000F8007E05C -:1035400001F00003F0007C007C00007C000F800F85 -:1035500080000F8001F003E00001F0003E007800E1 -:10356000003E0007C01F000007C000F803C00000B5 -:10357000FC001F00F800000F8007E01E000001F8AB -:1035800001F807C000001F807F01F0000003FE3F2C -:10359000C03E0000003FFFF00F80000003FFFC0171 -:1035A000F00000003FFF007C00000001FF800F00E2 -:1035B000000000038003E00000000000007800002D -:1035C000000000001F0007E000000003C007FF80AC -:1035D000000000F801FFF80000001E007FFF8000DF -:1035E0000007C01FFFF8000001F007F87F8000000F -:1035F0003E01FC03F800000F803F003F000001F097 -:103600000FC003E000007C01F0003E00000F003E10 -:103610000007C00003E007C000F800007800F800D1 -:103620001F00001F001F0003E00003C003E0007C38 -:103630000000F8007C001F80003E0007C003E0008F -:1036400007C000FC00FC0001F0000FC07F00003E3E -:103650000001FFFFE0000F80001FFFF80001E00005 -:1036600001FFFE00007C00001FFF00000F000000B3 -:10367000FF80000007FC0000000FFF8000001FFF1C -:10368000F000001FFFFC00001FFFFE00000FE03FE6 -:1036900080000FE00FC00007E003F00003F001F826 -:1036A0000003F000FC0001F8003E0000FC003F00B9 -:1036B000003E001F80001F800FC0000FC00FE00001 -:1036C00003F00FE00001FC0FF000007F0FF000009E -:1036D0003F8FF000000FFFF0000003FFF00000013B -:1036E000FFE00000007FE0000000FFE0000000FFBE -:1036F000F0000001FFFC000001FF7F007E01FE3FA3 -:10370000C03F01FE0FF01F81FE03FC0F81FE00FE93 -:103710000FC0FE003F87E0FE001FE3F07E0007FBC6 -:10372000F03F0001FFF81F80007FFC0FC0001FFC6E -:1037300007E0000FFE03F00003FE01F80000FF00A9 -:10374000FC00007FC07F00007FE03FC0007FF80FDB -:10375000E0007FFE07FC00FFFF81FF81FF9FE07F0D -:10376000FFFF87F03FFFFF81FC07FFFF00FF01FF26 -:10377000FF003FC03FFE00000001F0000000FFFF1F -:10378000FFFFFFFFFF7EFDFBE7C78F1E38000F0026 -:103790001E003C007C007800F800F001F001E0031E -:1037A000E003C007C007C00F800F800F801F001FFD -:1037B000001F003F003E003E007E007E007E007C39 -:1037C000007C007C00FC00FC00FC00FC00FC00FC19 -:1037D00000FC00FC00FC00FC00FC007C007C007C89 -:1037E000007E007E007E003E003E003E003F001F47 -:1037F000001F001F800F800F8007C007C007C00395 -:10380000E003E001F001F000F80078007C003C00EB -:103810001E001EF001F001E001E003E003C007C05C -:1038200007800F801F001F003E003E007C00F80054 -:10383000F801F003E007E007C00F801F803F003E63 -:10384000007C00F801F803F007E00FC01F803F0084 -:103850007E00FC01F803F007E00FC01F803F007EF0 -:1038600000FC01F003E00FC01F803E007C00F80365 -:10387000F007C00F803F007C00F803E007C00F0096 -:103880003E007801F003C00F801E007800F003C0F6 -:103890000000F80007C0003E0000F0000780003C78 -:1038A00001C1E1CFCF7FFFFFFFFFFFC7FFF007FCA4 -:1038B000001FC001FF001F7C00F9F00F8F80F83E51 -:1038C00007C0F01C07000000000003E0000000F843 -:1038D0000000003E0000000F80000003E000000038 -:1038E000F80000003E0000000F80000003E0000030 -:1038F00000F80000003E0000000F80000003E00020 -:103900000000F80000003E0003FFFFFFFFFFFFFF85 -:10391000FFFFFFFFFFFFFFFFFFFFFFFFFFFF0003B2 -:10392000E0000000F80000003E0000000F800000F2 -:1039300003E0000000F80000003E0000000F8000DF -:103940000003E0000000F80000003E0000000F80CF -:10395000000003E0000000F80000003E0000FFFF50 -:10396000FFFFFFFFFF0F0F0F0E0E0E1E7CF8F0FF84 -:10397000FFFFFFFFFFFFFFFFFFF8FFFFFFFFFFFF5E -:10398000FF0000780003C0001C0001E0000F0000F1 -:1039900078000780003C0001E0001E0000F00007F6 -:1039A0008000780003C0001E0001E0000F000078D6 -:1039B000000780003C0001E0000E0000F0000780DE -:1039C000003C0003C0001E0000F0000F0000780063 -:1039D00003C0003C0001E0000F0000F00007800081 -:1039E0003C0003C0001E0000F00007000078000348 -:1039F000C0001E0001E0000F000078000780003CBE -:103A00000001E0001E0000F00007800000001FFC25 -:103A100000003FFF80003FFFE0003FFFFC003FFF52 -:103A2000FF003FE0FF803FC01FE03FC007F81FC01E -:103A300001FC0FC0007E0FE0003F87E0000FC3F0E5 -:103A40000007E3F80003F9FC0000FCFC00007E7EA8 -:103A500000003F3F00001FBF80000FFFC00007FFB6 -:103A6000E00003FFF00001FFF000007FF800003FDE -:103A7000FC00001FFE00000FFF000007FF80000396 -:103A8000FFC00001FFF00001FFF80000FFFC000094 -:103A90007FFE00003FBF00001FDF80000FCFC0008F -:103AA00007E7E00003F3F80003F9FC0001FC7E00E7 -:103AB00000FC3F8000FE1FC0007F07F0007F03F87E -:103AC000003F80FE003F803FC07F801FFFFFC00798 -:103AD000FFFFC001FFFFC0003FFF80000FFF80001D -:103AE000003800000007C001F0007C003F000FC05C -:103AF00007F003FC01FF03FFDFFFFFFFFFFFFFFFF6 -:103B0000FFFFFFF001FC007F001FC007F001FC0079 -:103B10007F001FC007F001FC007F001FC007F001FD -:103B2000FC007F001FC007F001FC007F001FC007E2 -:103B3000F001FC007F001FC007F001FC007F001FA8 -:103B4000C007F001FC007F001FC007F001FC007FF0 -:103B5000001FC007F000000000000FFF00001FFF63 -:103B6000F0000FFFFF000FFFFFE003FFFFFC01FF6E -:103B700081FF80FF801FF03F8001FE1FC0003F8754 -:103B8000F0000FF3F80001FCFE00007F3F80000F03 -:103B9000CFC00003F3F00000FCFC00003F3F00003A -:103BA0000FC0000007F0000001FC0000007E0000D4 -:103BB000003F8000001FC000000FF0000007F80069 -:103BC0000007FC000007FE000003FF000003FF8069 -:103BD0000003FFC00003FFC00001FFC00001FFC0E1 -:103BE0000000FFC000007FC000003FE000001FE0B9 -:103BF00000000FF0000003F8000001FC000000FED0 -:103C00000000003F0000000FC0000007F0000001AE -:103C1000FC0000007FFFFFFFDFFFFFFFF7FFFFFF5C -:103C2000FDFFFFFFFFFFFFFFFFFFFFFFFFF00000B3 -:103C3000000000000000001FFE00003FFFF0001F1A -:103C4000FFFE000FFFFFC007FFFFF803FF03FF01A8 -:103C5000FE003FE07F0003F81F80007F0FE0001FA1 -:103C6000C3F80003F0FC0000FC3F00003F1FC00051 -:103C70000FC7F00003F1FC0000FC0000003F000053 -:103C8000001FC0000007E0000003F8000003FC0074 -:103C9000007FFE00003FFF00000FFF000003FFE079 -:103CA0000000FFFE00003FFFC000000FF800000012 -:103CB000FF0000001FE0000003F80000007E00008D -:103CC000001FC0000007F0000001FFF800003FFEE9 -:103CD00000000FFF800007F7E00001FDF800007F03 -:103CE0007F00001F9FC0000FE3F80003F8FE0001F3 -:103CF000FC1FE001FF07FE01FF80FFFFFFC01FFF69 -:103D0000FFE003FFFFF0003FFFF00003FFF00000C3 -:103D1000078000000003E0000000FC0000001F809E -:103D2000000007F0000001FE0000003FC000000F8F -:103D3000F8000003FF000000FFE000001FFC00008F -:103D400007FF800001FBF000003F7E00000FCFC0A6 -:103D50000003F1F80000FE3F00001F87E00007E0CD -:103D6000FC0001F81F80003F03F0000FC07E00033D -:103D7000F00FC000FE01F8001F803F0007E007E0E1 -:103D800001F800FC007F001F800FC003F003F0006B -:103D90007E00FC000FC01F8001F807E0003F00FF1D -:103DA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF23 -:103DB000FFFFFFFFFFFFFFFFFFC00001F800000053 -:103DC0003F00000007E0000000FC0000001F800032 -:103DD0000003F00000007E0000000FC0000001F8AA -:103DE0000000003F00000007E0000000FC000000B1 -:103DF0000000000000000003FFFFFF00FFFFFFC006 -:103E00007FFFFFF01FFFFFFC07FFFFFF01FFFFFF2A -:103E1000C07E0000001F80000007C0000003F0000B -:103E20000000FC0000003F0000000FC0000003F095 -:103E3000000000FC0000003E0000001F80000007A2 -:103E4000E0FF8001F9FFFC007FFFFFC01FFFFFF8CC -:103E500007FFFFFF01FFF3FFE07FC00FFC3FC00043 -:103E6000FF0FE0001FE3F00003F8000000FE000079 -:103E7000001FC0000007F0000000FC0000003F0031 -:103E800000000FC0000003F0000000FC0000003F35 -:103E90000000000FC0000003F7E00001FDF8000083 -:103EA0007F7F00001F9FC0000FE3F80007F0FF00B6 -:103EB00001FC1FE001FE07FE01FF80FFFFFFC01FA6 -:103EC000FFFFE003FFFFE0003FFFF00003FFF00013 -:103ED000000780000007FE00001FFFC0001FFFF862 -:103EE000003FFFFE003FFFFF801FF07FE01FE00F5D -:103EF000F01FE003FC0FE000FE0FE0003F87E00052 -:103F00001FC7F00007E3F00003F1F8000001FC0018 -:103F10000000FC0000007E0000003F0000001F8049 -:103F200000001FC0FFC00FE1FFFC07F3FFFF03F31A -:103F3000FFFFC1FBFFFFF0FFFE0FFC7FF801FF3F1B -:103F4000F0003F9FF0000FEFF80007F7F80001FFC7 -:103F5000FC0000FFFC00003FFE00001FFF00000F00 -:103F6000FF800007EFC00003F7E00001FBF0000056 -:103F7000FDF800007E7E00007F3F00003F9FC000F4 -:103F80003F87E0001FC3F8001FC0FF003FE07FE055 -:103F90007FE01FFFFFE007FFFFE001FFFFE0007F82 -:103FA000FFC0000FFF8000003C0000FFFFFFFFFF8D -:103FB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF11 -:103FC000FFFFFFFFE0000001F8000000FC00000020 -:103FD0003E0000001F8000000FC0000003E0000052 -:103FE00001F8000000FC0000003E0000001F8000FF -:103FF000000FC0000003F0000001F80000007C008A -:1040000000003F0000001F80000007E0000003F0F8 -:10401000000000FC0000007E0000001F8000000F78 -:10402000C0000003F0000001F80000007E00000066 -:104030001F8000000FC0000003F0000001F8000026 -:10404000007E0000001F8000000FE0000003F00071 -:104050000000FC0000007F0000001F80000007E05F -:10406000000003F8000000FE0000003F0000000F09 -:10407000C0000007F0000001FC0000007F0000000D -:104080000000000000000000001FFC00007FFFC0D7 -:10409000007FFFF0007FFFFC007FFFFF007FE0FF5D -:1040A000C07F800FF03F8003F83F8000FE1FC000FC -:1040B0007F0FC0001F8FE0000FE7F00007F3F8004C -:1040C00003F9FC0001FC7E0000FC3F00007E1FC0E5 -:1040D000007F07F0007F03FC007F80FF80FF803FB0 -:1040E000FFFF8007FFFF0001FFFF0003FFFFE0036A -:1040F000FFFFF803FF07FE03FC007F83FC001FE3C4 -:10410000F80003F9FC0001FDFC00007FFE00003F09 -:10411000FE00000FFF000007FF800003FFC000014A -:10412000FFE00000FFF000007FF800003FFE00000D -:104130003FFF00001FDFC0001FCFF0001FE3FC00A7 -:104140001FE0FF807FE03FFFFFF00FFFFFF003FF66 -:10415000FFE000FFFFE0000FFF80000038000000DC -:104160001FFC00007FFF80007FFFF000FFFFFC00CE -:10417000FFFFFF00FFC0FFC07F801FE07F8007F8C8 -:104180007F8000FC3F80007F1F80001F9FC0000FCA -:10419000EFE00003F7E00001FBF00000FDF8000095 -:1041A0007EFC00003FFE00001FFF00000FFF8000AC -:1041B00007FFE00003FFF00003FDFC0001FEFE002E -:1041C00001FF7F8001FF9FE001FFC7FC03FFE3FFCA -:1041D000FFFBF0FFFFF9F83FFFF8FC07FFF8FE00D8 -:1041E000FFF07F0003003F8000001F8000000FC031 -:1041F000000007E0000003F0000003F9F80001F8F8 -:10420000FC0000FC7F0000FE3F80007E0FE0007F8E -:1042100007F0007F01FC007F80FF80FF803FFFFFF1 -:10422000800FFFFF8003FFFF8000FFFF00001FFEE5 -:10423000000000F00000FFFFFFFFFFFF8000000014 -:10424000000000000000000000000000000000006E -:104250003FFFFFFFFFFFE0FFFFFFFFFFFF800000CA -:10426000000000000000000000000000000000004E -:10427000003FFFFFFFFFFFE1C3870E1C38E7DF3C75 -:104280000000000000000000001C0000000F800083 -:10429000000FF0000007FE000003FFC00003FFF066 -:1042A0000001FFF00000FFF80000FFF800007FFCB5 -:1042B00000003FFC00003FFE00001FFE00001FFF4B -:1042C000000007FF800000FF8000001FC000000307 -:1042D000FF0000007FF8000003FFC000001FFF0088 -:1042E0000000FFF8000003FFE000001FFF000000D7 -:1042F000FFF8000003FFE000001FFF000000FFFCCC -:10430000000003FFC000001FF8000000FF000000D5 -:1043100003E00000001C0000000000FFFFFFFFFFA3 -:10432000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9D -:10433000C0000000000000000000000000000000BD -:104340000000000000000000000000000000FFFF6F -:10435000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6D -:10436000FFFFFFC000000000300000000F800000D1 -:1043700003F8000000FF8000003FFC000007FFC0C2 -:1043800000007FFC000003FFE000003FFE00000390 -:10439000FFF000001FFF000001FFF000000FFF8092 -:1043A0000000FFF8000007FF0000007FC0000007CA -:1043B000F0000007FC000007FF00000FFF80000F67 -:1043C000FF80000FFF00001FFF00001FFF00003FE5 -:1043D000FE00003FFE00007FFC00007FFC00003F6D -:1043E000FC00000FF8000003F8000000F8000000D7 -:1043F0003000000000000000000001C00000FFFCD1 -:104400000007FFFE001FFFFE007FFFFE01FFFFFE13 -:1044100007FC07FE1FE003FE3F8001FC7E0003F95E -:10442000FC0003FBF00007F7E00007EFC0000FDF20 -:1044300080001FFF00003FFE0000FE000001FC00A6 -:104440000003F000000FE000003FC00000FF00008C -:1044500003FC00000FF000003FC00000FF0000035D -:10446000FC00000FF000003FC000007F000001FCD6 -:10447000000003F800000FE000001FC000003F80B4 -:1044800000007E000000FC000001F8000003F000C6 -:10449000000000000000000000000000000000001C -:1044A00000000000000000000000000003F0000019 -:1044B00007E000000FC000001F8000003F00000068 -:1044C0007E000000FC0000000000007C00000000F6 -:1044D000000007FFFC00000000000FFFFFE00000ED -:1044E00000001FFFFFFF000000001FFFFFFFE000B4 -:1044F00000001FFFFFFFFE0000000FFF000FFFC0C6 -:1045000000000FFE00003FFC000007FE000003FF5C -:10451000800003FE0000003FF00001FE00000003E9 -:10452000FE0000FF000000007FC0007F8000000050 -:104530000FF8003F8000000001FE001FC0000000D7 -:10454000003FC00FF00000000007F803F80001FC76 -:104550000000FE01FC0003FFC1F83FC07E0003FF26 -:10456000F87E07F03F8001FFFF1F00FC0FC000FF37 -:10457000DFEFC03F87E0007F80FBF00FE1F8003FF6 -:10458000801FF801F8FC001FC003FE007E3F000FF3 -:10459000E0007F801F8FC003F0001FE007E7E0010D -:1045A000FC0007F001FDF800FE0001FC007F7E002A -:1045B0003F80007F001F9F800FC0001F8007E7E043 -:1045C00007F00007E001F9F801FC0001F8007EFCAB -:1045D000007E0000FC001FBF001F80003F000FEFA7 -:1045E000C007E0000FC003F3F801F80007E000FC8B -:1045F000FE007E0001F8007E1F801F8000FE001F6D -:1046000087E007F0003F000FC1F801FC001FC00762 -:10461000F07E007F000FF003F81FC00FE003FC01E5 -:10462000FC03F003FC01FF00FF00FE007FC1FFF070 -:10463000FF803F800FFFF9FFFFC007F001FFFC7F05 -:10464000FFC001FC003FFE0FFFE0003F8007FE01BE -:10465000FFE0000FF00018001FC00001FE00000086 -:10466000000000003FC000000000000007F800004C -:104670000000000001FF000000000000003FF0000B -:10468000000000000007FE000000000000007FF0B6 -:104690000000080000000FFF80003E00000001FF46 -:1046A000FFC7FFC00000001FFFFFFFF00000000178 -:1046B000FFFFFFFE000000001FFFFFFF00000000E3 -:1046C00000FFFFFC000000000003FFF000000000FE -:1046D000001FE000000001FF800000000FFC000050 -:1046E0000000FFE000000007FF800000003FFC002A -:1046F000000003FFE00000001FFF80000000FDFC41 -:104700000000000FE7E00000007F3F80000003F1A1 -:10471000FC0000003F87E0000001FC3F8000000F2C -:10472000C1FC000000FE07F0000007F03F80000021 -:104730007F01FC000003F807F000001F803F8000AD -:1047400001FC01FC00000FE007F000007E003F804C -:104750000007F001FC00003F8007F00001F8003F77 -:1047600080001FC001FC0000FE0007F00007E00011 -:104770003F80007F0001FC0003F80007F0003FFFCE -:10478000FFFF8001FFFFFFFE000FFFFFFFF000FFB4 -:10479000FFFFFF8007FFFFFFFE003F800007F003E1 -:1047A000F800003F801FC00001FE00FE000007F07F -:1047B0000FE000003F807F000001FE03F8000007CB -:1047C000F03F8000003F81FC000001FE0FE0000090 -:1047D00007F0FE0000003F87F0000001FE7F0000B0 -:1047E0000007F3F80000003F9FC0000001FFFC003D -:1047F000000007F0FFFFFF0003FFFFFFC00FFFFFF8 -:10480000FFC03FFFFFFFC0FFFFFFFF83FFFFFFFE73 -:104810000FC0001FFC3F00000FF8FC00001FE3F07A -:1048200000003F8FC000007F3F000001FCFC000043 -:1048300007F3F000001FCFC000007F3F000001FC25 -:10484000FC000007F3F000001F8FC00000FE3F00D7 -:104850000003F8FC00001FC3F00001FE0FC000FFC2 -:10486000F03FFFFFFF80FFFFFFF803FFFFFFF80FA0 -:10487000FFFFFFF03FFFFFFFF0FC0000FFE3F00051 -:10488000007FCFC000007FBF000000FEFC000003DF -:10489000FFF0000007FFC000001FFF0000007FFCCA -:1048A000000000FFF0000003FFC000000FFF000049 -:1048B000007FFC000001FFF0000007FFC000003F88 -:1048C000BF000001FEFC00000FFBF00000FFCFFF67 -:1048D000FFFFFE3FFFFFFFF0FFFFFFFF83FFFFFF34 -:1048E000FC0FFFFFFFC03FFFFFF800000001C0000A -:1048F000000007FFF8000000FFFFF000001FFFFFAF -:10490000E00003FFFFFF80003FFFFFFE0003FFC04A -:104910007FF8003FF0007FE003FE0000FF803FE0F3 -:104920000003FC01FE00000FF01FE000003F80FECE -:10493000000000FE0FE0000007F07F0000003F874E -:10494000F0000000FE3F80000007F1F800000000CA -:104950001FC000000000FE0000000007F000000083 -:10496000003F0000000001F8000000000FC0000040 -:1049700000007E0000000007F0000000003F800003 -:10498000000001FC000000000FE0000000007F00BC -:1049900000000001F8000000000FC0000000007ED1 -:1049A0000000000003F0000000001FC0000000FE37 -:1049B000FE00000007F7F00000003F9F80000001AC -:1049C000FCFE0000001FC7F0000000FE1FC000003A -:1049D00007F0FE0000007F03F8000003F81FE0006E -:1049E000003FC07F800003FC03FE00003FE00FF8A3 -:1049F0000003FE003FF0007FE000FFF01FFF000318 -:104A0000FFFFFFF0000FFFFFFE00001FFFFFE000B1 -:104A1000007FFFFC0000007FFF800000000F00000F -:104A200000FFFFFE00007FFFFFF8003FFFFFFF00D9 -:104A30001FFFFFFFE00FFFFFFFF807FFFFFFFE0371 -:104A4000F80007FF81FC00007FE0FE00000FF87F08 -:104A5000000003FC3F800000FF1FC000003F8FE00C -:104A600000000FE7F0000007F3F8000001FDFC0074 -:104A70000000FEFE0000007F7F0000001FBF8000DE -:104A8000000FFFC0000007FFE0000003FFF0000080 -:104A900001FFF80000007FFC0000003FFE00000066 -:104AA0001FFF0000000FFF80000007FFC000000391 -:104AB000FFE0000001FFF0000000FFF80000007FB1 -:104AC000FC0000007FFE0000003FFF0000001FFF11 -:104AD0008000000FFFC0000007EFE0000007F7F0C4 -:104AE000000003FBF8000003F9FC000001FCFE00DD -:104AF0000001FE7F000001FE3F800001FF1FC0009B -:104B000001FF0FE00001FF07F00007FF03FFFFFFB9 -:104B1000FF01FFFFFFFF00FFFFFFFF007FFFFFFF21 -:104B2000003FFFFFFE001FFFFFF00000FFFFFFFF41 -:104B3000F3FFFFFFFFCFFFFFFFFF3FFFFFFFFCFF84 -:104B4000FFFFFFF3FFFFFFFFCFE00000003F80000B -:104B50000000FE00000003F80000000FE00000006D -:104B60003F80000000FE00000003F80000000FE09E -:104B70000000003F80000000FE00000003F800007D -:104B8000000FE00000003F80000000FE0000000376 -:104B9000F80000000FE00000003FFFFFFFF8FFFFFC -:104BA000FFFFE3FFFFFFFF8FFFFFFFFE3FFFFFFF62 -:104BB000F8FE00000003F80000000FE00000003FD6 -:104BC00080000000FE00000003F80000000FE0007D -:104BD00000003F80000000FE00000003F80000001D -:104BE0000FE00000003F80000000FE00000003F81E -:104BF0000000000FE00000003F80000000FE000009 -:104C00000003F80000000FFFFFFFFFFFFFFFFFFFA3 -:104C1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA4 -:104C2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF94 -:104C3000FFFFFFFFFFFFFFFFFFFFFFFFFFFF800002 -:104C40000007F0000000FE0000001FC0000003F895 -:104C50000000007F0000000FE0000001FC000000E9 -:104C60003F80000007F0000000FE0000001FC000B1 -:104C70000003F80000007F0000000FE0000001FCCE -:104C80000000003F80000007FFFFFFF8FFFFFFFF6D -:104C90001FFFFFFFE3FFFFFFFC7FFFFFFF8FE00031 -:104CA0000001FC0000003F80000007F0000000FE53 -:104CB0000000001FC0000003F80000007F0000009B -:104CC0000FE0000001FC0000003F80000007F00042 -:104CD0000000FE0000001FC0000003F80000007F7D -:104CE0000000000FE0000001FC0000003F80000019 -:104CF00007F0000000FE0000001FC0000003F800E5 -:104D000000007F00000000000000E000000000FF45 -:104D1000FF0000000FFFFFC000007FFFFFE0000169 -:104D2000FFFFFFE0000FFFFFFFE0003FFF03FFF08A -:104D300000FFE0007FE003FF00003FE007F8000015 -:104D40003FE01FE000003FC07F8000003FC0FE004A -:104D500000003F83FC0000003F87F00000007F1F41 -:104D6000C0000000FE3F80000000FE7E000000004A -:104D700001FC0000000003F80000000007E0000054 -:104D800000000FC0000000003F80000000007F0016 -:104D900000000000FE0000000001FC000000000315 -:104DA000F80000FFFFFFF00001FFFFFFE00003FF3E -:104DB000FFFFC00007FFFFFF80000FFFFFFF0000A5 -:104DC00000001FFE000000003EFC00000000FDFC93 -:104DD00000000001FBF800000003F7F000000007EE -:104DE000EFF00000000FCFE00000001F9FC00000A8 -:104DF000007F1FC0000000FE3FC0000003FC7F805A -:104E0000000007F87F8000001FF07F8000007FE037 -:104E1000FF800001FFC0FFC0000FEF80FFE0007FB8 -:104E20009F00FFFC07FE3E00FFFFFFF87C00FFFF36 -:104E3000FFE0F8007FFFFF00F0003FFFFC01E00013 -:104E40001FFFC000000000F0000000FE0000007F17 -:104E5000FE0000007FFE0000007FFE0000007FFEDD -:104E60000000007FFE0000007FFE0000007FFE00CB -:104E700000007FFE0000007FFE0000007FFE0000BB -:104E8000007FFE0000007FFE0000007FFE000000AB -:104E90007FFE0000007FFE0000007FFE0000007F1C -:104EA000FE0000007FFE0000007FFE0000007FFE8D -:104EB0000000007FFE0000007FFE0000007FFFFF7B -:104EC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2 -:104ED000FFFFFFFFFFFFFFFE0000007FFE0000005E -:104EE0007FFE0000007FFE0000007FFE0000007FCC -:104EF000FE0000007FFE0000007FFE0000007FFE3D -:104F00000000007FFE0000007FFE0000007FFE002A -:104F100000007FFE0000007FFE0000007FFE00001A -:104F2000007FFE0000007FFE0000007FFE0000000A -:104F30007FFE0000007FFE0000007FFE0000007F7B -:104F4000FE0000007FFE0000007FFE0000007FFFEB -:104F5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF61 -:104F6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF51 -:104F7000FFFFFFFFFFFFFFFFFFFFFFFFF00000014C -:104F8000F800000FC000007E000003F000001F804A -:104F90000000FC000007E000003F000001F80000F6 -:104FA0000FC000007E000003F000001F800000FC26 -:104FB000000007E000003F000001F800000FC00003 -:104FC000007E000003F000001F800000FC000007CE -:104FD000E000003F000001F800000FC000007E006C -:104FE0000003F000001F800000FC000007E000004C -:104FF0003F000001F800000FC000007FFC0003FF2D -:10500000E0001FFF0000FFF80007FFC0007FFE0068 -:1050100003FFF0001FFF8000FEFE0007F7F0007F97 -:105020003FC003F8FF003FC7FC07FC1FFFFFC07F26 -:10503000FFFE01FFFFC007FFFC000FFF800003C061 -:1050400000FC000000FF7E000000FF3F000000FFAA -:105050001F800000FF0FC00000FF07E00000FF03FB -:10506000F00000FF01F80000FF00FC0000FF007EE0 -:105070000000FF003F0000FF001F8000FF000FC086 -:1050800000FF0007E000FF0003F000FF0001F80050 -:10509000FF0000FC00FF00007E00FF00003F00FF5B -:1050A00000001F80FF00000FC0FF000007E0FF802E -:1050B0000003F0FFC00001F8FFF00000FCFFFC005F -:1050C000007EFFFE00003FFF7F80001FFF1FE0000B -:1050D0000FFF07F00007FF03FC0003FF00FF0001C4 -:1050E000FF003F8000FF000FE0007F0007F8003F57 -:1050F0000001FE001F80007F000FC0003FC007E0DE -:10510000000FF003F00003F801F80001FE00FC00BE -:10511000007F807E00001FC03F00000FF01F800056 -:1051200003FC0FC00000FF07E000007F83F00000D9 -:105130001FE1F8000007F8FC000001FC7E00000001 -:10514000FF3F0000003FDF8000000FE0FC00000098 -:10515000FC000000FC000000FC000000FC0000005F -:10516000FC000000FC000000FC000000FC0000004F -:10517000FC000000FC000000FC000000FC0000003F -:10518000FC000000FC000000FC000000FC0000002F -:10519000FC000000FC000000FC000000FC0000001F -:1051A000FC000000FC000000FC000000FC0000000F -:1051B000FC000000FC000000FC000000FC000000FF -:1051C000FC000000FC000000FC000000FC000000EF -:1051D000FC000000FC000000FC000000FC000000DF -:1051E000FC000000FC000000FC000000FC000000CF -:1051F000FC000000FC000000FC000000FC000000BF -:10520000FC000000FFFFFFFFFFFFFFFFFFFFFFFFAE -:10521000FFFFFFFFFFFFFFFFFFFFFFFFFFC00000DB -:1052200000FFFFE0000000FFFFF00000007FFFFC38 -:105230000000003FFFFE0000003FFFFF0000001FD6 -:10524000FFFFC000000FFFFFE000000FFFFFF000B6 -:105250000007FFFFFC000003EFFFFE000003F7FF65 -:10526000DF000001FBFFEFC00000F9FFF7E00000E6 -:10527000FCFFF9F000007E7FFCFC00003E3FFE7E5C -:1052800000003F1FFF1F00001F8FFF8FC0000F8710 -:10529000FFC7E0000FC3FFE1F00007E1FFF0FC00F3 -:1052A00003E0FFF87E0003F07FFC1F0001F83FFEE3 -:1052B0000FC000F81FFF07E000FC0FFF81F0007E29 -:1052C00007FFC0FC003E03FFE07E003F01FFF01F30 -:1052D000001F80FFF80FC00F807FFC07E00FC03F6A -:1052E000FE01F007E01FFF00FC03E00FFF807E03DC -:1052F000F007FFC01F01F803FFE00FC0F801FFF047 -:1053000007E0FC00FFF801F07E007FFC00FC3E009F -:105310003FFE007E3F001FFF001F9F800FFF800F9A -:10532000CF8007FFC007EFC003FFE001FFE001FFF0 -:10533000F000FFE000FFF8007FF0007FFC001FF8A6 -:10534000003FFE000FF8001FFF0007FC000FFF806A -:1053500001FE0007FFC000FE0003F0FF0000001F79 -:10536000FF8000000FFFE0000007FFF8000003FFD0 -:10537000FC000001FFFF000000FFFFC000007FFFF6 -:10538000E000003FFFF800001FFFFC00000FFFFFE0 -:10539000000007FFDFC00003FFEFE00001FFF3F8AC -:1053A0000000FFF9FE00007FFC7F00003FFE1FC0F1 -:1053B000001FFF0FF0000FFF83F80007FFC0FE0083 -:1053C00003FFE07F8001FFF01FC000FFF807F0003F -:1053D0007FFC03F8003FFE00FE001FFF003F800F30 -:1053E000FF801FC007FFC007F003FFE003FC01FFC1 -:1053F000F000FE00FFF8003F807FFC001FE03FFE52 -:105400000007F01FFF0001FC0FFF8000FE07FFC038 -:10541000003F83FFE0000FE1FFF00007F0FFF8001E -:1054200001FC7FFC0000FF3FFE00003F9FFF0000EB -:105430000FEFFF800007FFFFC00001FFFFE000004B -:105440007FFFF000003FFFF800000FFFFC000003AB -:10545000FFFE000001FFFF0000007FFF8000003F13 -:10546000FFC000000FF0000001C0000000001FFF9F -:10547000E00000003FFFFE0000003FFFFFE00000F3 -:105480003FFFFFFE00001FFFFFFFC0000FFFC0FF38 -:10549000F80007FF0003FF0003FF00003FE001FFEB -:1054A000000007FC00FF800000FF803FC000001FDD -:1054B000E01FE0000003FC07F00000007F83FC0019 -:1054C00000000FE0FE00000003FC7F000000007FF2 -:1054D0001FC00000001FC7F000000003F1F800002B -:1054E0000000FEFE000000003FBF800000000FEF44 -:1054F000E000000001FBF8000000007EFC0000005E -:10550000001FFF0000000007FFC000000001FFF0C7 -:10551000000000007FFC000000001FFF00000000F2 -:1055200007FFC000000001FFF8000000007FFE0040 -:105530000000001FBF8000000007EFE00000000334 -:10554000F9F800000000FE7F000000003F9FC0004F -:1055500000001FC7F000000007F0FE00000003FC81 -:105560003FC0000000FE07F00000007F81FE000049 -:10557000003FC03FC000000FF00FF8000007F80127 -:10558000FF000007FC003FF00003FE0007FF0003E0 -:10559000FF0000FFF80FFF80001FFFFFFFC00003A8 -:1055A000FFFFFFE000003FFFFFE0000003FFFFF010 -:1055B0000000001FFFE0000000001C000000FFFFD3 -:1055C000FFC003FFFFFFE00FFFFFFFE03FFFFFFF14 -:1055D000E0FFFFFFFFC3FFFFFFFF8FE0000FFF3F74 -:1055E000800007FCFE00000FFBF800001FEFE0004A -:1055F000003FBF800000FEFE000001FFF800000732 -:10560000FFE000001FFF8000007FFE000001FFF8A8 -:10561000000007FFE000003FFF800000FEFE0000EA -:1056200007FBF800001FEFE00001FF3F80001FFCB8 -:10563000FFFFFFFFE3FFFFFFFF0FFFFFFFF83FFF4D -:10564000FFFFC0FFFFFFFC03FFFFFFC00FE00000F4 -:10565000003F80000000FE00000003F80000000F83 -:10566000E00000003F80000000FE00000003F800A2 -:1056700000000FE00000003F80000000FE0000007E -:1056800003F80000000FE00000003F80000000FE73 -:1056900000000003F80000000FE00000003F800061 -:1056A0000000FE00000003F80000000FE000000012 -:1056B0003F80000000000001C0000000001FFFE06C -:1056C0000000003FFFFE0000003FFFFFE000003F42 -:1056D000FFFFFE00001FFFFFFFC0000FFFC0FFF82D -:1056E0000007FF0003FF0003FF00003FE001FF0091 -:1056F0000007FC00FF800000FF803FC000001FF09B -:105700001FE0000003FC07F00000007F83FC0000A6 -:10571000000FE0FE00000003FC7F000000007F1F80 -:10572000C00000001FC7F000000003F9F8000000EF -:1057300000FEFE000000003FBF800000000FEFE011 -:1057400000000001FBF8000000007EFC00000000EB -:105750001FFF0000000007FFC000000001FFF00075 -:105760000000007FFC000000001FFF000000000799 -:10577000FFC000000001FFF8000000007EFE0000F6 -:1057800000001FBF800000000FEFE000000003F9E1 -:10579000F800000000FE7F000000003F9FC00000F6 -:1057A000001FC7F000000007F0FE00000C03F83FE8 -:1057B000C00007C0FE07F00001F87F81FE0000FF77 -:1057C0003FC03FC0001FEFE00FF80003FFF801FFEC -:1057D00000003FFC003FE00007FE0007FE0003FF63 -:1057E0000000FFF81FFFE0001FFFFFFFFE0003FFA8 -:1057F000FFFFFFC0003FFFFFE7F80003FFFFE0FFF0 -:1058000000001FFFE01FE000001E0003F00000008A -:1058100000003800000000000400FFFFFFE0007FF0 -:10582000FFFFFF003FFFFFFFE01FFFFFFFFC0FFF39 -:10583000FFFFFF07FFFFFFFFC3F00000FFE1F800DD -:10584000000FF8FC000003FC7E000000FF3F00009A -:10585000003F9F8000001FCFC000000FE7E0000066 -:1058600007F3F0000003F9F8000001FCFC00000061 -:10587000FE7E0000007F3F0000003F9F8000003F51 -:105880008FC000001FC7E000001FC3F000001FC151 -:10589000F800007FE0FFFFFFFFC07FFFFFFFC03F7A -:1058A000FFFFFF801FFFFFFFF00FFFFFFFFC07FF61 -:1058B000FFFFFF83F000003FC1F800000FF0FC0085 -:1058C0000003F87E000000FE3F0000007F1F800004 -:1058D000003F8FC000000FC7E0000007E3F00000AA -:1058E00003F1F8000001F8FC000000FC7E0000005D -:1058F0007E3F0000003F1F8000001F8FC000000F90 -:10590000C7E0000007E3F0000003F9F8000001FC25 -:10591000FC000000FE7E0000007FBF0000001FFFB3 -:105920008000000FF000001C00000007FFF80000DE -:105930000FFFFF80001FFFFFF0001FFFFFFC001F95 -:10594000FFFFFF001FFC01FFC01FF0001FF00FF062 -:105950000007F80FF00000FE07F000007F07F000DE -:10596000001FC3F800000FE1FC000003F0FE000080 -:1059700001F87F000000FC3F800000001FC0000015 -:10598000000FF000000007FC00000001FF00000015 -:1059900000FFE00000003FFF0000000FFFF00000EC -:1059A00003FFFF800000FFFFFC00001FFFFFC0009F -:1059B00001FFFFF800001FFFFF000000FFFFC00015 -:1059C0000007FFF00000007FFC00000007FF000060 -:1059D0000000FF800000003FC00000000FF000004A -:1059E0000003FFF0000001FDF8000000FEFC0000D5 -:1059F000007F7E0000003FBF8000001FDFC000006E -:105A00000FE7F000000FE3F8000007F1FE000007C9 -:105A1000F87FC00007F81FF0000FF80FFF807FFC31 -:105A200003FFFFFFFC00FFFFFFF8001FFFFFF80070 -:105A300003FFFFF000003FFFC0000000780000FF00 -:105A4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF66 -:105A5000FFFFFFFFFFFFFFFFFFFFFFFFFFFC000057 -:105A6000FC000000007E000000003F000000001F5E -:105A7000800000000FC000000007E000000003F0FD -:105A800000000001F800000000FC000000007E00A3 -:105A90000000003F000000001F800000000FC00059 -:105AA000000007E000000003F000000001F8000023 -:105AB0000000FC000000007E000000003F0000002D -:105AC000001F800000000FC000000007E000000081 -:105AD00003F000000001F800000000FC00000000DE -:105AE0007E000000003F000000001F800000000F4B -:105AF000C000000007E000000003F000000001F813 -:105B000000000000FC000000007E000000003F00DC -:105B10000000001F800000000FC000000007E00030 -:105B2000000003F000000001F800000000FC00008D -:105B300000007E000000003F000000001F80000009 -:105B4000000FC000000007E00000FE0000007FFE24 -:105B50000000007FFE0000007FFE0000007FFE00CE -:105B600000007FFE0000007FFE0000007FFE0000BE -:105B7000007FFE0000007FFE0000007FFE000000AE -:105B80007FFE0000007FFE0000007FFE0000007F1F -:105B9000FE0000007FFE0000007FFE0000007FFE90 -:105BA0000000007FFE0000007FFE0000007FFE007E -:105BB00000007FFE0000007FFE0000007FFE00006E -:105BC000007FFE0000007FFE0000007FFE0000005E -:105BD0007FFE0000007FFE0000007FFE0000007FCF -:105BE000FE0000007FFE0000007FFE0000007FFE40 -:105BF0000000007FFE0000007FFE0000007FFE002E -:105C000000007FFE0000007FFE0000007FFE00001D -:105C1000007FFE0000007E7F000000FE7F0000008D -:105C2000FE7F800001FC3FC00003FC3FE00007F85E -:105C30001FF8001FF80FFF00FFF007FFFFFFE00352 -:105C4000FFFFFFC001FFFFFF00007FFFFE00000F0E -:105C5000FFF00000003C0000FE00000007F7F0002D -:105C60000000FE7F0000000FE7F0000000FE3F8014 -:105C700000001FC3F8000001FC3F8000001FC1FCB2 -:105C8000000003F81FC000003F81FC000003F80F74 -:105C9000E000007F00FE000007F007E000007F004A -:105CA0007F00000FE007F00000FE003F80000FE0E3 -:105CB00003F80001FC003F80001FC001FC0001F858 -:105CC000001FC0003F8001FC0003F8000FE0003F10 -:105CD0000000FE0007F0000FE0007F00007F0007DB -:105CE000E00007F000FE00003F000FE00003F800B6 -:105CF000FC00003F801FC00001F801FC00001FC035 -:105D00001F800001FC01F800000FC03F800000FE72 -:105D100003F000000FE03F0000007E07F0000007E6 -:105D2000F07E0000003F07E0000003F8FE000000E6 -:105D30003F8FC0000001F8FC0000001FDF80000062 -:105D400001FDF80000000FDF80000000FFF0000000 -:105D5000000FFF000000007FF000000007FE0000C1 -:105D600000007FE000000003FE000000003FC000D4 -:105D7000000001FC0000FE00000FE000007FFE00BC -:105D8000000FF00000FFFE00000FF00000FEFF001B -:105D9000001FF00000FE7F00001FF00000FE7F00EB -:105DA000001FF80001FE7F00003FF80001FC7F802B -:105DB000003FF80001FC3F80003FFC0001FC3F80F9 -:105DC000003FFC0003FC3F80007EFC0003F83FC066 -:105DD000007EFC0003F81FC0007E7E0003F81FC099 -:105DE000007E7E0003F81FC000FC7E0007F01FC08D -:105DF00000FC7F0007F00FE000FC3F0007F00FE021 -:105E000001F83F0007F00FE001F83F000FE007E066 -:105E100001F83F800FE007F001F81F800FE007F066 -:105E200003F01F800FE007F003F01FC00FC003F066 -:105E300003F00FC01FC003F803F00FC01FC003F82A -:105E400007E00FC01FC003F807E00FE01F8001F854 -:105E500007E007E03F8001F80FC007E03F8001FC4A -:105E60000FC007E03F8001FC0FC003F03F0000FCC3 -:105E70000FC003F07F0000FC1F8003F07F0000FED6 -:105E80001F8003F87F0000FE1F8001F87E00007E67 -:105E90001F8001F87E00007E3F0001F8FE00007FB9 -:105EA0003F0000FCFE00007F3F0000FCFC00003FC4 -:105EB0007E0000FCFC00003F7E0000FFFC00003F75 -:105EC0007E00007FFC00003FFE00007FF800001F06 -:105ED000FC00007FF800001FFC00007FF800001F9E -:105EE000FC00003FF000001FFC00003FF000000F2E -:105EF000F800003FF000000FF800001FF000000F56 -:105F0000F800001FE000000FF000001FE000000795 -:105F1000F000001FE0007F8000001FE3FC00000392 -:105F2000FC3FC000003FC1FE000007F80FF000007A -:105F30007F00FF00000FF007F80001FE003F800027 -:105F40001FC003FC0003FC001FE0007F8000FE0078 -:105F500007F0000FF000FE00007F801FE00003F854 -:105F600001FC00003FC03F800001FE07F800000F69 -:105F7000E07F000000FF0FE0000007F9FE000000D6 -:105F80003F9FC0000003FFF80000001FFF800000DB -:105F900000FFF000000007FE000000007FC00000CE -:105FA0000003FC000000007FC00000000FFE0000A6 -:105FB0000000FFF00000001FFF80000003FFF8005A -:105FC00000003FBFC0000007F1FE000000FF0FE02F -:105FD00000000FE0FF000001FC07F800003FC03F99 -:105FE000800003F803FC00007F001FE0000FF000BA -:105FF000FE0001FE000FF0001FE0007F8003FC00A8 -:1060000003FC007F80003FC007F80001FE00FF0096 -:10601000000FF01FE00000FF01FE000007F83FC086 -:1060200000003FC7F8000003FC7F8000001FEFF076 -:10603000000000FFFF00000003FDFE0000001FE75E -:10604000F80000007F8FF0000003FC1FE000000F4D -:10605000E07F8000007F80FF000003FC01FC000067 -:106060000FE007F800007F800FF00001FC003FC048 -:10607000000FF0007F80007F8000FE0001FC000325 -:10608000FC000FF00007F8003F80000FE001FE0069 -:10609000003FC007F000007F003F800000FE01FECF -:1060A000000003FC07F0000007F03F8000001FE045 -:1060B000FE0000003F87F00000007F3FC0000001AD -:1060C000FEFE00000003FFF000000007FFC000001C -:1060D000001FFE000000003FF000000000FFC000B5 -:1060E000000001FE0000000003F8000000000FC0E7 -:1060F000000000003F0000000000FC000000000362 -:10610000F0000000000FC0000000003F0000000091 -:1061100000FC0000000003F0000000000FC00000C1 -:1061200000003F0000000000FC0000000003F00041 -:106130000000000FC0000000003F0000000000FC55 -:106140000000000003F0000000000FC0000000008D -:106150003F0000000000FC0000000003F000003FD2 -:10616000FFFFFFFE7FFFFFFFFCFFFFFFFFF9FFFFC9 -:10617000FFFFF3FFFFFFFFE7FFFFFFFFC00000008F -:106180007F80000001FE00000007F80000001FF003 -:106190000000003FC0000000FF00000003FC000002 -:1061A000000FF80000001FE00000007F80000001E9 -:1061B000FE00000007FC0000001FF00000003FC0D0 -:1061C000000000FF00000003FC0000000FF80000CA -:1061D000001FE00000007F80000001FE00000007BB -:1061E000FC0000001FF00000003FC0000000FF00A6 -:1061F000000003FC0000000FF80000001FE000009A -:10620000007F80000001FE00000007FC0000001F6E -:10621000F00000003FC0000000FF00000003FC0091 -:1062200000000FF80000001FE00000007F80000069 -:1062300001FE00000007FC0000001FF00000003F0E -:10624000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5E -:10625000FFFFFFFFFFFFFFFFFFFFFFFFF0FFFFFF5D -:10626000FFFFFFFFFFFC03E01F00F807C03E01F047 -:106270000F807C03E01F00F807C03E01F00F807C18 -:1062800003E01F00F807C03E01F00F807C03E01F11 -:1062900000F807C03E01F00F807C03E01F00F80704 -:1062A000C03E01F00F807C03E01F00F807C03E01F4 -:1062B000F00F807C03E01F00F807C03E01F00F8064 -:1062C0007C03FFFFFFFFFFFFFFFE700003C0001E07 -:1062D0000000F00003C0001E0000F00003C0001E1C -:1062E0000000F00003C0001E0000F00003C0001E0C -:1062F0000000F0000380001E0000F0000380001E7C -:106300000000F0000780001E0000F0000780001E63 -:106310000000F0000780001E0000F0000780001E53 -:106320000000F0000780001C0000F0000780001C47 -:106330000000F0000780003C0000F0000780003CF7 -:106340000000F0000780003C0000F0000780003CE7 -:106350000000F0000780FFFFFFFFFFFFFFFF80FC52 -:1063600007E03F01F80FC07E03F01F80FC07E03F0D -:1063700001F80FC07E03F01F80FC07E03F01F80F1B -:10638000C07E03F01F80FC07E03F01F80FC07E03D2 -:10639000F01F80FC07E03F01F80FC07E03F01F8074 -:1063A000FC07E03F01F80FC07E03F01F80FC07E010 -:1063B0003F01F80FC07E03F01F80FFFFFFFFFFFFCC -:1063C000FFFFFE001F800007F00000FE00003FE01E -:1063D0000007FC0000FFC0003EF80007DF0001F1ED -:1063E000F0003E3E0007C3E001F07C003E07800F56 -:1063F00080F801F01F003E01F00F803E01F003C065 -:106400007C007C0F800F81F000F87C001F0F800162 -:10641000E3E0003E7C0007CF00007FE0000F80FF3C -:10642000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80FB -:10643000FF01FC03F807F00FC01F803F007C00F84D -:1064400001E003C0003FFE00003FFFF8000FFFFF28 -:106450008003FFFFF800FFFFFF803FF83FF807F8D9 -:1064600000FF01FC000FE03F8000FC07E0001FC0C0 -:10647000FC0001F81F80003F00000007E000000161 -:10648000FC0000003F8000001FF000003FFE000302 -:10649000FFFFC007FFFFF803FFFFFF01FFFFC7E09B -:1064A0007FFE00FC1FF8001F83FC0003F07F00004C -:1064B0007E1FC0000FC3F00001F87E00007F0FC0F8 -:1064C000000FE1F80001FC3F00007F87F0001FF0A3 -:1064D0007F0007FE0FF003FFC1FFFFFDFC1FFFFF62 -:1064E0003FF1FFFFC7FE1FFFE07FC0FFF007F8018D -:1064F000E0001CFC0000007E0000003F0000001FC8 -:106500008000000FC0000007E0000003F000000161 -:10651000F8000000FC0000007E0000003F000000CA -:106520001F8000000FC0000007E0000003F03FF0F4 -:1065300001F87FFF00FC7FFFC07E7FFFF03F7FFF01 -:10654000FC1FFF87FF0FFE007FC7FE001FF3FE004A -:1065500007F9FE0001FCFF00007F7F00003FBF80C5 -:10656000000FDFC00007FFC00003FFE00000FFF0E6 -:1065700000007FF800003FFC00001FFE00000FFF3E -:10658000000007FF800003FFC00001FFE00000FFE4 -:10659000F00000FFF800007FFE00003F7F00003F9A -:1065A000BFC0001FDFE0001FCFF8000FE7FE000FA5 -:1065B000E3FF801FF1FFF01FF0FBFFFFF07CFFFF08 -:1065C000F03E3FFFF01F0FFFF00001FFE00000066C -:1065D0000000000FFC00007FFF8000FFFFC003FFF2 -:1065E000FFE007FFFFF007FC1FF80FF003FC1FE0C0 -:1065F00001FC1FC000FC3F8000FE3F00007E7F00CA -:10660000007E7E00007E7E0000007E000000FE0016 -:106610000000FE000000FC000000FC000000FC0088 -:106620000000FC000000FC000000FC000000FE0078 -:1066300000007E0000007E00003F7E00003F7F00E3 -:10664000007F7F00007E3F80007E3F8000FE1FC0F5 -:1066500001FC1FE003FC0FF80FF807FFFFF803FF32 -:10666000FFF001FFFFE000FFFF80003FFE000001A0 -:10667000E0000000001F8000000FC0000007E000E5 -:106680000003F0000001F8000000FC0000007E00A4 -:1066900000003F0000001F8000000FC0000007E066 -:1066A000000003F0000001F8000000FC007FE07E25 -:1066B00001FFFC3F01FFFF9F81FFFFEFC1FFFFFFD5 -:1066C000E1FFC3FFF1FF007FF8FF000FFCFF0003B5 -:1066D000FE7F0000FF7F00007FBF80001FDF800083 -:1066E0000FFFC00007FFE00001FFE00000FFF00027 -:1066F000007FF800003FFC00001FFE00000FFF00BD -:106700000007FF800003FFC00001FFE00000FFF072 -:1067100000007FFC00003F7E00003FBF00001FDF45 -:10672000C0001FEFF0000FF3F8000FF9FE000FFCA0 -:106730007F800FFE1FF01FFF0FFFFFEF83FFFFE7BC -:10674000C0FFFFE3E01FFFE1F003FFC000001C00FB -:1067500000000FFC00003FFFC0007FFFF0007FFF44 -:10676000FE007FFFFF807FE0FFE07FC00FF03F80F3 -:1067700003FC3F8000FE1F80003F9FC0000FCFC082 -:106780000007E7E00001FFF00000FFF000007FF8E5 -:1067900000003FFC00001FFFFFFFFFFFFFFFFFFFA8 -:1067A000FFFFFFFFFFFFFFFFE0000003F00000011D -:1067B000F8000000FC0000007E0000001F800000C8 -:1067C0000FC00007E7E00003F3F80003F8FE000144 -:1067D000FC7F8001FC1FE001FE07FC03FE01FFFFC0 -:1067E000FE00FFFFFE001FFFFE0007FFFE0000FF90 -:1067F000F8000003C000001F803FC07FE03FF03F73 -:10680000F81FC01FC00FC007E003F001F800FC0034 -:106810007E003F001F81FFFFFFFFFFFFFFFFFFFF25 -:10682000F07E003F001F800FC007E003F001F8007A -:10683000FC007E003F001F800FC007E003F001F85E -:1068400000FC007E003F001F800FC007E003F00146 -:10685000F800FC007E003F001F800FC007E003F03F -:1068600001F800FC00001FF000003FFE0FC07FFF9A -:10687000C7E07FFFF3F07FFFFDF87FE0FFFC3FC044 -:106880001FFE3F8003FF3F8000FF9FC0007FCFC0FF -:10689000001FEFE0000FF7E00003FBF00001FDF840 -:1068A0000000FFFC00007FFC00003FFE00000FFF27 -:1068B000000007FF800003FFC00001FFE00000FFB1 -:1068C000F000007FF800007FFE00003FBF00001FC7 -:1068D000DF80000FEFC0000FF7F00007F9F80003AA -:1068E000FCFE0003FE3F8003FF1FE003FF87FC0761 -:1068F000EFC1FFFFF7E07FFFF3F01FFFF1F807FFA5 -:10690000E0F800FFE0FC0007007E0000003F000010 -:10691000001F9F80000FCFE0000FC3F00007E1FCD5 -:106920000007F0FE0007F03FC007F80FFF7FF807F1 -:10693000FFFFF800FFFFF8003FFFF80007FFE0004F -:10694000FC000003F000000FC000003F000000FC4E -:10695000000003F000000FC000003F000000FC003A -:106960000003F000000FC000003F000000FC00002A -:1069700003F000000FC07FE03F0FFFE0FC7FFFC38C -:10698000F3FFFF8FDFFFFF3FFE07FEFFE007FBFE89 -:10699000000FEFF8001FBFC0007EFE0001FFF800EF -:1069A00003FFE0000FFF00003FFC0000FFF00003CA -:1069B000FFC0000FFF00003FFC0000FFF00003FFDE -:1069C000C0000FFF00003FFC0000FFF00003FFC00D -:1069D000000FFF00003FFC0000FFF00003FFC000BD -:1069E0000FFF00003FFC0000FFF00003FFC0000F9E -:1069F000FF00003FFC0000FFF00003FFC0000FFF9E -:106A000000003FFFFFFFFFFFFF00000000003FFF0F -:106A1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF86 -:106A2000FFFFFFFFFFFFFFFFFFFF03F03F03F03F0C -:106A300003F03F03F03F00000000000000000000F2 -:106A4000003F03F03F03F03F03F03F03F03F03F04C -:106A50003F03F03F03F03F03F03F03F03F03F03FFD -:106A600003F03F03F03F03F03F03F03F03F03F0329 -:106A7000F03F03F03F03F03F03F03F03F03F03F02C -:106A80003F03F03F07F07FFFEFFEFFCFF8FF00FC72 -:106A9000000000FC000000FC000000FC000000FC06 -:106AA000000000FC000000FC000000FC000000FCF6 -:106AB000000000FC000000FC000000FC000000FCE6 -:106AC000000000FC000000FC000000FC0007F8FCD7 -:106AD000000FF0FC001FE0FC003FC0FC007F80FCCA -:106AE00000FF00FC01FE00FC03FC00FC07F800FCBA -:106AF0000FF000FC1FE000FC3FC000FC7F8000FCAA -:106B0000FF8000FDFFC000FFFFC000FFFFE000FFAF -:106B1000EFE000FFC7F000FF87F800FF03F800FE7A -:106B200001FC00FC01FE00FC00FE00FC007F00FCFC -:106B3000007F00FC003F80FC003FC0FC001FC0FC49 -:106B4000000FE0FC000FF0FC0007F0FC0003F8FC75 -:106B50000003F8FC0001FCFC0001FEFC0000FEFF4D -:106B6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF35 -:106B7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF25 -:106B8000FFFFFFFFFFFF000FF8007FE07C1FFF000B -:106B9000FFFC3E1FFFE0FFFF1F1FFFF8FFFFCF9F1F -:106BA000FFFCFFFFF7DF81FFFC0FFBFF007FF80119 -:106BB000FFFF001FF8007FFF0007F8003FFF000302 -:106BC000FC000FFF8001FC0007FF80007E0003FF38 -:106BD000C0003F0001FFE0001F8000FFF0000FC079 -:106BE000007FF80007E0003FFC0003F0001FFE00FC -:106BF00001F8000FFF0000FC0007FF80007E00038B -:106C0000FFC0003F0001FFE0001F8000FFF0000F09 -:106C1000C0007FF80007E0003FFC0003F0001FFE0B -:106C20000001F8000FFF0000FC0007FF80007E005D -:106C300003FFC0003F0001FFE0001F8000FFF000E5 -:106C40000FC0007FF80007E0003FFC0003F0001FCA -:106C5000FE0001F8000FFF0000FC0007FF80007E2F -:106C60000003FFC0003F0001FFE0001F8000FC00A8 -:106C700007FE03E07FFE0F87FFFC3E3FFFF8F9FFB2 -:106C8000FFF3EFE07FEFBE007FBFE000FEFF80017B -:106C9000FBFC0007FFE0000FFF80003FFE0000FF4D -:106CA000F00003FFC0000FFF00003FFC0000FFF0FA -:106CB0000003FFC0000FFF00003FFC0000FFF000DA -:106CC00003FFC0000FFF00003FFC0000FFF00003C7 -:106CD000FFC0000FFF00003FFC0000FFF00003FFBB -:106CE000C0000FFF00003FFC0000FFF00003FFC0EA -:106CF000000FFF00003FFC0000FFF00003F0001F4A -:106D0000FC00007FFF80007FFFF0007FFFFC00FFA2 -:106D1000FFFF007FE0FFC07F801FF07F8003F83F10 -:106D20008000FE3F80007F1FC0001FCFC00007EF24 -:106D3000E00003F7E00001FFF00000FFF800003F73 -:106D4000FC00001FFE00000FFF000007FF80000393 -:106D5000FFC00001FFE00000FFF000007FF800002E -:106D60003FFC00001FFE00001FFF80000FEFC0006F -:106D700007E7E00007F3F80003F8FE0003F87F8060 -:106D800003FC1FE003FC0FFC07FC03FFFFFE00FFFA -:106D9000FFFE003FFFFC0007FFFC0000FFF80000C3 -:106DA000038000000FFC007C1FFF803E1FFFF01FD0 -:106DB0001FFFFC0F9FFFFF07DFE1FFC3FFC01FF1B5 -:106DC000FF8007F8FF8001FE7F80007F3FC0001F2B -:106DD000DFC0000FEFE00003F7F00001FBF0000060 -:106DE000FFF800003FFC00001FFE00000FFF000046 -:106DF00007FF800003FFC00001FFE00000FFF0007C -:106E0000007FF800003FFC00003FFE00001FFF80F5 -:106E1000000FDFC00007EFF00007F7F80007FBFEE8 -:106E20000003F9FF8003FCFFE003FC7FFC07FC3F4D -:106E3000FFFFFE1FBFFFFE0FCFFFFE07E1FFFC03BA -:106E4000F07FF801F801C000FC0000007E000000A7 -:106E50003F0000001F8000000FC0000007E000009E -:106E600003F0000001F8000000FC0000007E0000BC -:106E7000003F0000001F8000000FC0000000001F46 -:106E8000F800003FFF07C07FFFE3E07FFFF9F07FDE -:106E9000FFFEF87FF0FFFC7FC00FFE3FC003FF3F07 -:106EA000C000FF9FC0003FDFC0001FEFE00007F7FA -:106EB000E00003FFF00001FFF800007FF800003F52 -:106EC000FC00001FFE00000FFF000007FF80000312 -:106ED000FFC00001FFE00000FFF000007FF80000AD -:106EE0003FFC00001FFF00000FFF80000FEFC000FD -:106EF00007F7F00007FBFC0003FCFE0003FE7F80A9 -:106F000003FF1FE003FF8FFC07FFC3FFFFFFE0FF4E -:106F1000FFFBF03FFFF9F807FFF8FC00FFF07E00F1 -:106F200007003F0000001F8000000FC0000007E0C6 -:106F3000000003F0000001F8000000FC0000007EEB -:106F40000000003F0000001F8000000FC00000078D -:106F5000E0000003F0000001F8000FFE0FFF87FFC4 -:106F6000E3FFF9FFFE7FFFBFC3FF80FF803FC00F3D -:106F7000E003F800FE003F000FC003F000FC003FFC -:106F8000000FC003F000FC003F000FC003F000FC46 -:106F9000003F000FC003F000FC003F000FC003F0F3 -:106FA00000FC003F000FC003F000FC003F000000A9 -:106FB0003FF80003FFFE000FFFFE007FFFFE00FF13 -:106FC000FFFE03FF07FE0FF001FC1FC001FC3F00A6 -:106FD00003F8FE0003F1FC0007E3F8000007F000EF -:106FE0000007F000000FF000001FF800001FFF0076 -:106FF000001FFFE0001FFFF8001FFFFE0007FFFE5D -:107000000001FFFE00003FFE000007FE000003FC41 -:10701000000003F8000003FFF00007F7E0000FEFA7 -:10702000C0001F9FC0003F3F8000FE7F8003FC7FA9 -:10703000C01FF07FFFFFC0FFFFFF80FFFFFC007F4E -:10704000FFF0003FFF800003E00007E003F001F8DD -:1070500000FC007E003F001F800FC007E003F03FF0 -:10706000FFFFFFFFFFFFFFFFFFFE0FC007E003F082 -:1070700001F800FC007E003F001F800FC007E00306 -:10708000F001F800FC007E003F001F800FC007E009 -:1070900003F001F800FC007E003F001F800FC007D6 -:1070A000E003F001F800FFF07FF81FFC0FFE03FF84 -:1070B000001E00FC0001FFE0000FFF00007FF80051 -:1070C00003FFC0001FFE0000FFF00007FF80003F2D -:1070D000FC0001FFE0000FFF00007FF80003FFC08D -:1070E000001FFE0000FFF00007FF80003FFC0001D2 -:1070F000FFE0000FFF00007FF80003FFC0001FFE4D -:107100000000FFF00007FF80003FFC0001FFE000EF -:107110000FFF0000FFF80007FFC0003FFE0003FF65 -:10712000F8003FFFE003FFFF807EFBFFFFF7CFFF8C -:10713000FF3E7FFFF1F0FFFE0F83FFE00001E00064 -:1071400000FE00001FBF80000FE7E00003F1FC001D -:1071500000FC7F00007F0FC0001F83F8000FE0FEDF -:107160000003F81F8000FC07F0007F01FC001F8077 -:107170003F0007E00FE003F801F800FC007E003F4D -:10718000001FC01F8003F007E000FC01F8003F80F3 -:10719000FC0007E03F0001F80FC0007F07E0000F90 -:1071A000C1F80003F07C0000FE3F00001F8FC0000C -:1071B00007E3E00001FDF800003F7E00000FFF0044 -:1071C0000001FFC000007FE000001FF8000003FE88 -:1071D000000000FF0000003FC0000007E000007E4C -:1071E0000007F8001FDF8001FE0007F7F0007FC0F6 -:1071F00001F8FC001FF0007E3F000FFC003F8FC035 -:1072000003FF000FE3F800FFE003F07E003FF8000B -:10721000FC1F800FFE007F07F007EF801F80FC013E -:10722000F3F007E03F007CFC01F80FC01F3F00FEB9 -:1072300003F80FC7C03F007E03E1F80FC01F80F8BE -:107240007E03F007E03E1F81F800FC1F83E07E0014 -:107250003F07C0FC1F800FC1F03F07C003F07C0F49 -:10726000C3F0007E3F01F0FC001F8F807E3F0007CF -:10727000E3E01F8F8000F8F807E7E0003F7E00F9A9 -:10728000F8000FDF003F7C0003F7C00FDF00007D38 -:10729000F003FFC0001FFC007FF00007FF001FF895 -:1072A0000000FF8007FE00003FE001FF80000FF8B4 -:1072B000003FC00003FE000FF000007F0003FC0051 -:1072C000001FC000FE00007F00007F1FC0007F077E -:1072D000E0003F03F8003F80FE003F803F001F803A -:1072E0001FC01FC007F01FC001F80FC0007E0FE0D5 -:1072F000003F8FE0000FC7E00003F7E00001FFF060 -:1073000000007FF000001FF000000FF8000003F8FD -:10731000000001FC000001FF000000FFC00000FFB2 -:10732000E00000FFF800007EFE00007E3F00007FCE -:107330001FC0007F07F0003F03F8003F80FE003FC2 -:10734000803F801F801FC01FC007F01FC001FC0FBF -:10735000C000FE0FE0003F8FE0000FE7E00007F005 -:107360007E00003FBF00001F9FC0000FC7E0000F5E -:10737000E3F00007E1FC0003F07E0003F03F0001B2 -:10738000F81FC001FC07E000FC03F0007E01FC00D8 -:107390007F007E003F003F001F801FC01FC007E02E -:1073A0000FC003F007E001FC07E0007E03F0003FA0 -:1073B00001F8001FC1F80007E0FC0003F0FE000127 -:1073C000FC7E00007E3F00003F3F00001FDF80008A -:1073D00007EFC00003FFC00001FFE000007FF000E6 -:1073E000003FF000001FF8000007FC000003FC0055 -:1073F0000001FE0000007E0000003F0000003F8012 -:1074000000001F8000000FC000000FE0000007E038 -:10741000000007F0000003F8000003F8000067FC1C -:1074200000003FFC00001FFC00000FFE000007FEF4 -:10743000000003FC0000003FFFFFF8FFFFFFE3FF39 -:10744000FFFF8FFFFFFE3FFFFFF800000FE000008F -:107450007F000003F800001FE000007F000003F839 -:1074600000001FC00000FE000007F000003FC00049 -:1074700000FE000007F000003F800001FC00000F4C -:10748000F000007F800001FC00000FE000007F00A2 -:107490000003F800001FE00000FF000003F80000F8 -:1074A0001FC00000FE000007F000003FC00000FF0A -:1074B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDC -:1074C000FFFC001F803FC03FE03FF03FF81F800FF0 -:1074D000C007C003E001F000F8007C003E001F0080 -:1074E0000F8007C003E001F000F8007C003E001FA1 -:1074F000000F8007C007E003F001F800FC00FC006B -:107500007E00FE01FF00FE007E003F801FE007F8C6 -:1075100000FC003F000F8007E003F001F800FC00D2 -:107520003E001F000F8007C003E001F000F8007C60 -:10753000003E001F000F8007C003E001F000F800CC -:107540007C003E001F800FFE03FF00FF807FC00F06 -:10755000E0FFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5A -:10756000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2B -:10757000FFFFF0F8007F803FE01FF80FFC007F0066 -:107580001F8007C003E001F000F8007C003E001FF0 -:10759000000F8007C003E001F000F8007C003E000F -:1075A0001F000F8007C003E001F000FC007E001FF9 -:1075B000000FC003F800FF003F800FC00FE00FF086 -:1075C0000FE00FE007E007E003F001F800F8007CAF -:1075D000003E001F000F8007C003E001F000F8002C -:1075E0007C003E001F000F8007C003E001F000F8A0 -:1075F000007C007E003F03FF01FF80FF807F803F13 -:10760000000007E000001FF000007FF00079FFF8A5 -:1076100000F7FFFC01EF83FC03DE03FE0FBC01FF5C -:10762000FEF001FFFDE000FFF00000FFC000003EA3 -:1076300000000000000014000100000006341409DE -:10764000CD00270012111904CF004E00253227016A -:10765000CF00360123402702CA004E023B333F02CF -:10766000CF00C70329342F04CF00D20407110E0323 -:10767000CF00E10410431805CD0067050F43180340 -:10768000CD00E50515151C03CD001D062223290498 -:10769000DF00B20608111406FA00C306110518032C -:1076A000EB00CE0608071406FA00D506153514FFC0 -:1076B000CD00610721342703CD0038081234270795 -:1076C000CD00AD0822342702CD008A0922342702DA -:1076D000CD00670A23342702CD004B0B223427024A -:1076E000CD00280C21342703CD00FF0C22342703C2 -:1076F000CD00DC0D21342703CD00B30E2134270348 -:10770000CD008A0F07251408DC00AB0F072F1408E3 -:10771000DC00D50F23232903DF006F102211290479 -:10772000E800B81022232904DF004D111F3527057A -:10773000CC001B12423F4802CC0023142D342F01F1 -:10774000CD00481526342F06CD003F162D373303C4 -:10775000CC00751729343306CD00801826342F0647 -:10776000CD00771923342B06CD005B1A2F37370352 -:10777000CC009F1B28343306CD00A31C073414070C -:10778000CD00D11C1D362401CD00951D29342F06B6 -:10779000CD00A01E20342706CD00701F31343B05DC -:1077A000CD00AF2029343305CD00BA21323737035D -:1077B000CC00122326342F06CD000924323937039A -:1077C000CC006E2529343307CD00792629372F03C5 -:1077D000CC00932729342B01CD009E282836330670 -:1077E000CD00AC292C342F02CD00CA2A40344302EC -:1077F000CD006A2C2C342F02CD00882D2E342F0181 -:10780000CD00B32E27342B02CD00B12F0D4314052C -:10781000CD001E30153514FFCD00AA300D431402E3 -:10782000CD0017311B1B2103CF0073312B0327FE23 -:107830000A0084310E0B1802CC009831232827034C -:10784000DB00473221362704CD00263320282402CE -:10785000DB00C63321362702CD00A53421282703BB -:10786000DB004A3511341401CD00B935213527022A -:10787000DB0094361E342705CD005737063410053B -:10788000CD007E370C4310FFCD00E33720342404B5 -:10789000CD00B33806341005CD00DA3831263B056B -:1078A000DB00C3391E262705DB00523A21282703B7 -:1078B000DB00F73A21352704DB00D23B21352702D4 -:1078C000DB00AD3C12261805DB00033D1F28240217 -:1078D000DB009E3D11311401D200073E1D27270514 -:1078E000DC00953E22252401DC00333F32253300A5 -:1078F000DC001B4021252401DC00B440213424019C -:10790000DC008B411E252402DC00164211431803C3 -:10791000CD00A54204431207CD00C742114318020F -:10792000CD0056431F0C2905E200000038790308FA -:10793000E87B0308207E0F0000000000000000002C -:1079400000FF40B6D01228D3F244BF944890107183 -:1079500052950E070952A5F081000087888908908A -:1079600072000004E09109110E3048484830529AE4 -:107970008C8EFAF0294924992291249A49484F4A39 -:1079800010411F104100D8E0C01022044488312C5F -:10799000E186186149E013F111111118CD0A106147 -:1079A0008C3040FC38C91831C380A14678000418D7 -:1079B00071E2C9B37F0C187E810207C8C08146F806 -:1079C000312860FB38618DE0FC108210C208610034 -:1079D000312861792861CDE07368E18F724389E0D5 -:1079E000828380003330E0E040FC0FC00303031DBE -:1079F000C8007B384318430000C00FC0C308048E82 -:107A0000B4CCA4472229124FE3000E001F000C0E35 -:107A10000502C22111FC824160C0FC868282FC8684 -:107A2000828382FC3E43C18080808181437EFCC68C -:107A3000C3C1C1C1C1C3C6FCFF83060FF83060C11A -:107A4000FCFF83060FD83060C1803E21B05008048F -:107A50003E0303419F4081818181FF81818181813A -:107A6000FFFFF0041041041071CDE082848890B0D3 -:107A7000D88C84868382082082082083F0C1E0E8C5 -:107A8000747A2C965B298CC640C1C1A1B191898DB5 -:107A90008587833E21A070180C060303431F00FD59 -:107AA0008F0E1C7FB060C1803E21A070180C0603B1 -:107AB0000B431F8020FEC3C1C1C6FEC3C3C3C17C2C -:107AC000C682C0701E0283C27CFF0808080808082E -:107AD0000808088181818181818181C37E41A098CC -:107AE0004462219058281C0600C21A389144CA26C4 -:107AF000531450A2851438E0820041118C8280C05A -:107B0000E050446320C0C1B088C6C1406020100866 -:107B100004007F0306040818306040FFEAAAAAC0E8 -:107B20008804402201E49249249E23152900007E06 -:107B300044FA20BE8A2FC082083E8E18618FE07CF6 -:107B400089060C089F0002040BD47870E146F47A91 -:107B5000387F823780344F4444447A8F1E1C68DE5D -:107B600083447082083E8E186186109FC04155552F -:107B7000C0820826B38F248A30FFC0FFC662311846 -:107B80008C4622FA3861861840FA3861863780FAC6 -:107B90003861863FA082007E8F0E1C28DE810204A1 -:107BA000FA49207D140E0517C04BA492608E38E36D -:107BB0008E3F40C4893342860C00CCD324C95E7307 -:107BC0000CC33044A38429A440448931428604086C -:107BD00020C07C318C210FC06925A64926FFF8C63C -:107BE0006622122666C0E6700000060B0600F6004C -:107BF0000900010A0402F7000B0003040501F70065 -:107C00000D00070A0800F7001600070D0800F6002F -:107C100022000C0A0C00F7003100080A0901F700E5 -:107C20003B0001040301F7003C00030D0501F700D0 -:107C30004100030D0501F700460004040501F700AB -:107C4000480006070801FA004E0002030401000084 -:107C50004F0003010501FD00500002010401000076 -:107C60005100040A0400F7005600060A0801F70054 -:107C70005E00040A0801F7006300070A0800F70025 -:107C80006C00070A0800F7007600070A0800F700F2 -:107C90007F00070A0800F7008800060A0801F700BD -:107CA0009000060A0801F7009800060A0801F7008C -:107CB000A000060A0801F700A80001070402FA0064 -:107CC000A90001090402FA00AB0006070801FA0046 -:107CD000B10006030801FC00B40006070801FA0021 -:107CE000BA00060A0801F700C2000D0C0E00F700EA -:107CF000D600090A0900F700E200080A0901F700A6 -:107D0000EC00080A0A01F700F600080A0A01F70069 -:107D10000001070A0901F7000901070A0801F70035 -:107D20001201090A0B01F7001E01080A0A01F700F7 -:107D30002801020A0401F7002B01060A0700F700D8 -:107D40003301080A0901F7003D01060A0801F7009E -:107D50004501090A0B01F7005101080A0A01F70061 -:107D60005B01090A0B01F7006701070A0901F70027 -:107D70007001090B0B01F7007D01080A0A01F700E9 -:107D80008701080A0901F7009101080A0800F700B5 -:107D90009B01080A0A01F700A501090A0900F7007A -:107DA000B1010D0A0D00F700C201090A0900F70030 -:107DB000CE01090A0900F700DA01080A0800F700F5 -:107DC000E401020D0401F700E801040A0400F700D1 -:107DD000ED01030D0400F700F20105050601F700AF -:107DE000F601080208000200F80103020500F7008E -:107DF000F90106070801FA00FF01060A0801F70069 -:107E0000070207070700FA000E02070A0800F7003A -:107E1000170206070801FA001D02040A0400F70011 -:107E20002202070A0800FA002B02060A0801F700DE -:107E30003302010A0301F7003502020D0300F700C7 -:107E40003902060A0701F7004102010A0301F7009F -:107E5000430209070B01FA004B0206070801FA006A -:107E6000510206070801FA005702060A0801FA0043 -:107E70005F02070A0800FA00680203070501FA001A -:107E80006B0206070700FA00710203090400F800FC -:107E9000750206070801FA007B0207070700FA00CF -:107EA00082020A070A00FA008B0206070700FA009E -:107EB0009102070A0700FA009A0206070700FA0073 -:107EC000A002030D0501F700A502010D0401F70052 -:107ED000A702040D0500F700AE0206020801FB0030 -:107EE000F87E0308F4870308207E160076830308D3 -:107EF000EC8A0308207E1600FFFFF8C0DEF7200999 -:107F0000864191FF1304C320C8FF89826190101F2E -:107F100014DA3D1E8340781708F47A3533F0402098 -:107F20003810EC20C620C640C6406C803900013CA9 -:107F30000277026304630477083C0E0660CC198163 -:107F4000E0180F0336C2D8730631E3C4FE13266C63 -:107F5000CCCCC46623108C4663333332664C802508 -:107F60007EA50030C33F30C30CD6F0C008442110BA -:107F700084421108003C6642C3C3C3C3C3C3C342A7 -:107F8000663C113F33333333303E31B07830181C08 -:107F90001C1C1818100807F83C66C3C303061C070E -:107FA00003C3C3663C0C187162C9A346FE18306057 -:107FB000C07F2010080807F38C030180F06C63E099 -:107FC0001E3198780C06F38D83C1E0D06C63E0FF1E -:107FD000030206040C081818181030303E31B0782F -:107FE0003C1B18F8C6C1E0F06C63E03C66C2C3C33A -:107FF000C3673B0303C2663CC00030C0000064A0FE -:108000000081C78E0C0780700E0180FF80001FF07A -:1080100000700E01C0183871C080003E31B0783059 -:1080200018183818180C0000018003F0060E06011D -:108030008600661DBB31CF18C79863CC31E611B3FB -:1080400099CCF7860001800070400FE00600F00F29 -:10805000009019819810830C3FC204606606C030FE -:10806000FF183303606C0D833FC606C0780F01E034 -:108070006FF81F861981A03C0180300600C0680D92 -:10808000831861F0FF183303603C0780F01E03C0C3 -:10809000780F0360CFF0FFE030180C0603FD80C0BE -:1080A0006030180FF8FFC0C0C0C0C0FEC0C0C0C064 -:1080B000C0C00F830E606603C00C00C1FC03C03655 -:1080C0000360730F0F10C0780F01E03C0780FFFEC4 -:1080D00003C0780F01E03C06FFFFFFC0060C18301C -:1080E00060C183078F1E2780C0D8330C630CC1B8D2 -:1080F0003F0730C3186306606C0CC0C0C0C0C0C06E -:10810000C0C0C0C0C0C0FFE03F01FC1FE0FD05ECE7 -:108110006F637913CD9E6CF1478E3C7180E07C0FCC -:10812000C1E83D8798F11E33C3786F07E07C0E0FDE -:108130008183180CC06C01E00F007803C01B01980C -:108140000C60C0F800FF306C0F03C0F06FF300C08C -:10815000300C0300C0000F8183180CC06C01E00FCD -:10816000007803C01B01986C60C0FB0008FF8C0EF8 -:10817000C06C06C06C0CFF8C0EC06C06C06C06C0D8 -:10818000703F186C0F03C01E01F00E00F03C0D860E -:108190003F00FF86030180C06030180C0603018099 -:1081A000C0C0780F01E03C0780F01E03C0780F01CB -:1081B000B061F0C06C0D8110630C610460CC1901DA -:1081C000603C070060C18130E19870CC28662621B0 -:1081D0001330C8986C4C14340A1A070703038081C3 -:1081E0008060630C30C1980F00E00600F019019820 -:1081F00030C60E6060C0360630C30C1981D80F003F -:10820000600600600600600600FFC060300C0603D8 -:1082100001C0603018060300FFC0FB6DB6DB6DB611 -:10822000E0841084108410841080EDB6DB6DB6DB22 -:10823000E03060A244D8A180FFC0C6307E71B0C0DB -:1082400060F3DB0D86C73DC0C060301BCE360F0724 -:1082500083C1E0F07C6DE03C66C3C0C0C0C0C366B3 -:108260003C0303033B67C3C3C3C3C3C3673B3C6651 -:10827000C3C3FFC0C0C3663C366F66666666603BBC -:1082800067C3C3C3C3C3C3673B0303C67CC0C0C0CB -:10829000DEE3C3C3C3C3C3C3C3C3C3FFFFC0300351 -:1082A000333333333333E0C06030184C466361F00E -:1082B000EC6231986C30FFFFFFC0DEF71CF0C78620 -:1082C0003C31E18F0C7863C31E18C0DEE3C3C3C327 -:1082D000C3C3C3C3C33C66C3C3C3C3C3C3663CDE1B -:1082E00071B0783C1E0F0783E36F30180C003B67BA -:1082F000C3C3C3C3C3C3673B030303DF318C63182A -:10830000C6003EE3C0C0E03C07C3E37E66F6666697 -:108310006667C3C3C3C3C3C3C3C3C77BC1A098CC71 -:108320004221B0D0281C0C00C61E3891C4CA66D3A6 -:1083300016D0A6871C38C0C6004362361C181C3CE9 -:10834000266243C12198CC4261B0D0381C0C060390 -:10835000010300FE0C30C1861820C1FC3666666E33 -:10836000CE66666630FFFFFFFFC0C666666737668B -:108370006666C0612438FFFFF8C0DEF72009864139 -:1083800091FF1304C320C8FF89826190101F14DA83 -:108390003D1E8340781708F47A3533F040203810BA -:1083A000EC20C620C640C6406C803900013C0277F4 -:1083B000026304630477083C0E0660CC1981E01860 -:1083C0000F0336C2D8730631E3C4FE13266CCCCC3F -:1083D000C46623108C4663333332664C80257EA5F9 -:1083E0000030C33F30C30CD6F0C008442110844293 -:1083F0001108003C6642C3C3C3C3C3C3C342663C47 -:10840000113F33333333303E31B07830181C1C1CED -:108410001818100807F83C66C3C303061C0703C3FB -:10842000C3663C0C187162C9A346FE183060C07F59 -:108430002010080807F38C030180F06C63E01E3104 -:1084400098780C06F38D83C1E0D06C63E0FF0302E3 -:1084500006040C081818181030303E31B0783C1B58 -:1084600018F8C6C1E0F06C63E03C66C2C3C3C367E2 -:108470003B0303C2663CC00030C0000064A0008122 -:10848000C78E0C0780700E0180FF80001FF0007007 -:108490000E01C0183871C080003E31B07830181815 -:1084A0003818180C0000018003F0060E0601860043 -:1084B000661DBB31CF18C79863CC31E611B399CC98 -:1084C000F7860001800070400FE00600F00F00907A -:1084D00019819810830C3FC204606606C030FF18F3 -:1084E0003303606C0D833FC606C0780F01E06FF860 -:1084F0001F861981A03C0180300600C0680D8318DA -:1085000061F0FF183303603C0780F01E03C0780F52 -:108510000360CFF0FFE030180C0603FD80C0603030 -:10852000180FF8FFC0C0C0C0C0FEC0C0C0C0C0C0EF -:108530000F830E606603C00C00C1FC03C0360360ED -:10854000730F0F10C0780F01E03C0780FFFE03C0DF -:10855000780F01E03C06FFFFFFC0060C183060C139 -:1085600083078F1E2780C0D8330C630CC1B83F0728 -:1085700030C3186306606C0CC0C0C0C0C0C0C0C0AF -:10858000C0C0C0C0FFE03F01FC1FE0FD05EC6F6311 -:108590007913CD9E6CF1478E3C7180E07C0FC1E871 -:1085A0003D8798F11E33C3786F07E07C0E0F8183FF -:1085B000180CC06C01E00F007803C01B01980C6020 -:1085C000C0F800FF306C0F03C0F06FF300C0300C38 -:1085D0000300C0000F8183180CC06C01E00F00780D -:1085E00003C01B01986C60C0FB0008FF8C0EC06CC0 -:1085F00006C06C0CFF8C0EC06C06C06C06C0703FD1 -:10860000186C0F03C01E01F00E00F03C0D863F00F9 -:10861000FF86030180C06030180C06030180C0C0D3 -:10862000780F01E03C0780F01E03C0780F01B061B5 -:10863000F0C06C0D8110630C610460CC1901603CCA -:10864000070060C18130E19870CC28662621133084 -:10865000C8986C4C14340A1A0707030380818060A1 -:10866000630C30C1980F00E00600F019019830C685 -:108670000E6060C0360630C30C1981D80F0060064A -:1086800000600600600600FFC060300C060301C0F9 -:10869000603018060300FFC0FB6DB6DB6DB6E084EA -:1086A0001084108410841080EDB6DB6DB6DBE030F2 -:1086B00060A244D8A180FFC0C6307E71B0C060F314 -:1086C000DB0D86C73DC0C060301BCE360F0783C1AF -:1086D000E0F07C6DE03C66C3C0C0C0C0C3663C0334 -:1086E00003033B67C3C3C3C3C3C3673B3C66C3C386 -:1086F000FFC0C0C3663C366F66666666603B67C394 -:10870000C3C3C3C3C3673B0303C67CC0C0C0DEE3AF -:10871000C3C3C3C3C3C3C3C3C3FFFFC03003333327 -:1087200033333333E0C06030184C466361F0EC62A1 -:1087300031986C30FFFFFFC0DEF71CF0C7863C317C -:10874000E18F0C7863C31E18C0DEE3C3C3C3C3C389 -:10875000C3C3C33C66C3C3C3C3C3C3663CDE71B0FB -:10876000783C1E0F0783E36F30180C003B67C3C3D0 -:10877000C3C3C3C3673B030303DF318C6318C60065 -:108780003EE3C0C0E03C07C3E37E66F6666666670C -:10879000C3C3C3C3C3C3C3C3C77BC1A098CC422157 -:1087A000B0D0281C0C00C61E3891C4CA66D316D09F -:1087B000A6871C38C0C6004362361C181C3C2662C3 -:1087C00043C12198CC4261B0D0381C0C0603010390 -:1087D00000FE0C30C1861820C1FC3666666ECE667F -:1087E000666630FFFFFFFFC0C6666667376666666F -:1087F000C061243800000000050001000000020DE7 -:108800000602F400040005040601F40007000A0C47 -:108810000A00F500160009100A01F3002800100DE7 -:108820001201F40042000B0D0C01F400540002048C -:108830000401F400550004110601F4005E00041167 -:108840000601F400670005050701F4006B00060847 -:108850000B03F9007100020405020000720004011C -:108860000601FC0073000201050100007400050D03 -:108870000500F4007D00080D0A01F4008A00040DD3 -:108880000A03F4009100090D0A01F400A000080D8C -:108890000A01F400AD00070D0A02F400B900090D49 -:1088A0000A01F400C800090D0A01F400D700080D00 -:1088B0000A00F400E400090D0A01F400F300080DB9 -:1088C0000A01F4000001020A0501F7000301030C8C -:1088D0000501F800080109090B01F800130109045A -:1088E0000B01FB00180109090B01F8002301090D18 -:1088F0000A01F400320111101201F40054010C0DB0 -:108900000C00F40068010B0D0C01F4007A010B0D52 -:108910000D01F4008C010B0D0D01F4009E01090DF9 -:108920000B01F400AD01080D0B01F400BA010C0DB0 -:108930000E01F400CE010B0D0D01F400E001020D5B -:108940000502F400E401070D0A01F400F0010B0D2B -:108950000C01F4000202080D0A01F4000F020D0DD3 -:108960000F01F40025020B0D0D01F40037020D0D6F -:108970000E01F4004D020A0D0C01F4005E020D0E12 -:108980000E01F40075020C0D0D01F40089020A0DB0 -:108990000C01F4009A02090D0B01F400A9020B0D61 -:1089A0000D01F400BB020B0D0C00F400CD02110D03 -:1089B0001100F400E9020C0D0C00F400FD020C0D96 -:1089C0000C00F40011030A0D0B01F4002203031143 -:1089D0000501F4002903050D0500F400320303111D -:1089E0000500F400390307070801F40040030A01F9 -:1089F0000A000300420304030500F4004403090ACB -:108A00000A01F7005003090D0A01F4005F03080A88 -:108A10000901F7006903080D0A01F4007603080A4A -:108A20000A01F7008003040D0501F4008703080E16 -:108A30000A01F7009503080D0A01F400A203020DD4 -:108A40000401F400A60304110400F400AF03090DAF -:108A50000901F400BE03020D0401F400C2030D0A73 -:108A60000F01F700D303080A0A01F700DD03080A23 -:108A70000A01F700E703090D0A01F700F603080DE4 -:108A80000A01F7000304050A0601F7000A04080AB0 -:108A90000901F7001404040C0501F5001A04080A82 -:108AA0000A01F7002404090A0900F70030040D0A3E -:108AB0000D00F7004104080A0900F7004B04090EF5 -:108AC0000900F7005B04070A0901F70064040411B8 -:108AD0000601F4006D0402110402F4007204041192 -:108AE0000601F4007B0407030901F90000000000FF -:108AF000050001000000020D0602F4000400050458 -:108B00000601F40007000A0C0A00F500160009101F -:108B10000A01F3002800100D1201F40042000B0DB1 -:108B20000C01F400540002040401F4005500041187 -:108B30000601F4005E0004110601F400670005055B -:108B40000701F4006B0006080B03F9007100020432 -:108B500005020000720004010601FC00730002011E -:108B6000050100007400050D0500F4007D00080DEE -:108B70000A01F4008A00040D0A03F4009100090DB3 -:108B80000A01F400A000080D0A01F400AD00070D71 -:108B90000A02F400B900090D0A01F400C800090D29 -:108BA0000A01F400D700080D0A00F400E400090DE2 -:108BB0000A01F400F300080D0A01F4000001020AA2 -:108BC0000501F7000301030C0501F800080109097C -:108BD0000B01F800130109040B01FB00180109093E -:108BE0000B01F8002301090D0A01F40032011110F4 -:108BF0001201F40054010C0D0C00F40068010B0D7F -:108C00000C01F4007A010B0D0D01F4008C010B0D29 -:108C10000D01F4009E01090D0B01F400AD01080DDA -:108C20000B01F400BA010C0D0E01F400CE010B0D86 -:108C30000D01F400E001020D0502F400E401070D4E -:108C40000A01F400F0010B0D0C01F4000202080D02 -:108C50000A01F4000F020D0D0F01F40025020B0DA7 -:108C60000D01F40037020D0D0E01F4004D020A0D46 -:108C70000C01F4005E020D0E0E01F40075020C0DE5 -:108C80000D01F40089020A0D0C01F4009A02090D8D -:108C90000B01F400A9020B0D0D01F400BB020B0D3A -:108CA0000C00F400CD02110D1100F400E9020C0DCE -:108CB0000C00F400FD020C0D0C00F40011030A0D71 -:108CC0000B01F400220303110501F4002903050D33 -:108CD0000500F400320303110500F400390307070F -:108CE0000801F40040030A010A00030042030403E0 -:108CF0000500F4004403090A0A01F7005003090DB6 -:108D00000A01F4005F03080A0901F7006903080D6E -:108D10000A01F4007603080A0A01F7008003040D33 -:108D20000501F4008703080E0A01F7009503080DFA -:108D30000A01F400A203020D0401F400A6030411C9 -:108D40000400F400AF03090D0901F400BE03020D95 -:108D50000401F400C2030D0A0F01F700D303080A4F -:108D60000A01F700DD03080A0A01F700E703090D0D -:108D70000A01F700F603080D0A01F7000304050ACB -:108D80000601F7000A04080A0901F7001404040C9C -:108D90000501F5001A04080A0A01F7002404090A6B -:108DA0000900F70030040D0A0D00F7004104080A1D -:108DB0000900F7004B04090E0900F7005B04070ADD -:108DC0000901F700640404110601F4006D040211A6 -:108DD0000402F400720404110601F4007B0407038A -:108DE0000901F90000000000648001200F003C0030 -:108DF00000000000000900100F00640000000000E7 -:108E00009C8401200F00C8000000000034110010F5 -:108E10000F002C0100000000D08C01200F009001F9 -:108E200000000000581A00100F00F40100000000BC -:108E3000C49801200F00983A000000003C27001061 -:108E40000F00204E01000000A09E01200F006400D2 -:108E500002000000CC9F012003006400010000001C -:108E60002C0A00100F0096000200000090810120E3 -:108E70000300960001000000CC8101200F00C80013 -:108E800002000000580B00100300C80001000000A1 -:108E9000940B00100F00FA0002000000F88201207D -:108EA0000300FA0001000000348301200F002C01B0 -:108EB00002000000C00C001003002C0101000000A3 -:108EC000FC0C00100F005E01020000006084012015 -:108ED00003005E0101000000C88501200F00900121 -:108EE00002000000280E00100300900101000000A5 -:108EF000640E00100F00C20102000000F486012081 -:108F00000300C20101000000308701200F00F401BE -:108F100002000000900F00100300F40101000000A7 -:108F2000CC0F00100F002602020000005C88012018 -:108F30000300260201000000988801200F0058025B -:108F400002000000F81000100300580201000000A9 -:108F5000601200100F008A0202000000C489012084 -:108F600003008A0201000000008A01200F00BC02F9 -:108F7000020000008C1300100300BC02010000007E -:108F8000C81300100F00EE02020000002C8B01201D -:108F90000300EE0201000000688B01200F00200397 -:108FA00002000000F4140010030020030100000080 -:108FB000301500100F00520302000000948C0120B5 -:108FC0000300520301000000FC8D01200F00840308 -:108FD000020000005C160010030084030100000082 -:108FE000981600100F00B60302000000288F012021 -:108FF0000300B60301000000648F01200F00E803A6 -:1090000002000000C41700100300E8030100000084 -:10901000001800100F00780501000000909001205A -:109020000F004006010000002C1900100F00080777 -:1090300001000000BC9101200F0034080100000075 -:10904000841B00100F00FC0801000000E8920120C2 -:109050000F00C40901000000B01C00100F008C0AB2 -:1090600001000000149401200F00540B01000000C7 -:10907000DC1D00100F00B80B01000000409501201E -:109080000F00800C01000000081F00100F00480DA9 -:10909000010000006C9601200F00100E010000007E -:1090A000342000100F00D80E010000009897012016 -:1090B0000F00A00F01000000602100100F009411AC -:1090C00001000000F09901200F008813010000004A -:1090D0008C2200100F007017010000001C9B012063 -:1090E0000F00581B01000000B82300100F00401FA4 -:1090F00001000000489C01200F002823010000000F -:10910000E42400100F00102701000000749D0120CE -:109110000F00983A01000000102600100F00204EAA -:109120002C91030884F70308207E6D00FFFFFFFFEA -:10913000FFFFFFFFFFFBF7EFDFBF7EFDFBF7EFDF7A -:109140003E7C78F1E3C78F1E3C78000000003F7E34 -:10915000FDFBF7E0FC3FFC3FFC3FFC3FFC3FFC3FDE -:10916000FC3FFC3FFC3FFC3FFC1F7C1F781F781E30 -:10917000781E780E000F003C000F801E0007C01FF5 -:109180000003E00F8001E007C001F003C000F80118 -:10919000E0007C01F0003C00F8001E007C001F0095 -:1091A0003C000F801E0FFFFFFFFFFFFFFFFFFFFFD1 -:1091B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFC03CC1 -:1091C00000F8001E0078001F003C000F803E0007E2 -:1091D000C01F0003C00F8003E0078001F003C00040 -:1091E000F803E0007801F00FFFFFFFFFFFFFFFFF34 -:1091F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7F -:10920000C3E0078001F007C000F803E0007801F038 -:10921000003C00F0003E0078001F007C000F803E04 -:109220000007801F0007C00F0003E0078000000058 -:1092300001800000000003C00000000007E0000003 -:10924000000007E0000000001FE000000000FFF049 -:1092500000000003FFF000000007FFE00000001F17 -:10926000FFE00000003FFFE00000007FC7E00000DB -:1092700000FF07E0000001FE07E0000001FC07E03E -:10928000000003F807E0000007F007E0000007E037 -:1092900007E000000FC007E000000FC007E000007B -:1092A0000F8007E000001F8007E000001F8007E03C -:1092B00000001F0007E000001F0007E000001F0083 -:1092C00007E000001F0007E000001F0007E00000AB -:1092D0001F0007E000001F0007E000001F0007E07C -:1092E000FC001F8007E7FF801F8007FFFFE00F8063 -:1092F00007FFFFF00FC007FFFFF80FC007FFFFFCDD -:1093000007E007FF03FC07F007FC00FE03F807F87F -:10931000007E01FC07F0003F01FE07F0003F00FF68 -:1093200007E0003F007FC7E0001F003FFFE0001F95 -:10933000001FFFE0001F000FFFE0003F0003FFF0F1 -:10934000003F0000FFF0003E00001FF8007E00001C -:1093500007FC00FE000007FE01FC000007FFFFF80D -:10936000000007FFFFF0100007FFFFE0780007EFA5 -:10937000FFC0FC0007E7FF80FC0007E07F007E00E5 -:1093800007E07F007F0007E0FE003F8007E1FC0070 -:109390001FC007E3FC000FF007EFF80007FC07FF12 -:1093A000F00003FFC7FFE00001FFFFFFC00000FF68 -:1093B000FFFF0000003FFFFC0000000FFFF0000077 -:1093C0000000FFE00000000007E00000000007E0F0 -:1093D0000000000003C0000003F000003C000FFC90 -:1093E00000007C001FFF000078003FFF8000F800B5 -:1093F0007E1F8000F0007C07C001F000F807C0016C -:10940000E000F803C003E000F803E003C000F80345 -:10941000E007C000F803E00F8000F003E00F000059 -:10942000F003E01F0000F803E01E0000F803E03E38 -:109430000000F803E03C0000F803C07C0000F807DF -:10944000C07800007C07C0F800007E1F80F000009C -:109450003FFF01F000001FFF01E000000FFC03E0F0 -:10946000000003F003C01F80000007C07FF0000071 -:109470000780FFF800000F81FFFC00000F01F0FCE7 -:1094800000001F03E03E00003E03E03E00003E07F8 -:10949000C01F00007C07C01F00007C07C01F000029 -:1094A000F807C01F0000F007C01F0001F007C01F31 -:1094B0000001E007C01F0003E007C01F0003C00752 -:1094C000C01F0007C007C01F00078003E03E000F59 -:1094D0008003E03E000F0003F0FC001F0001FFFCD2 -:1094E000001E0000FFF8003E00007FF0003C00007E -:1094F0001F800007F00000007FF0000007FFF00071 -:10950000003FFFE00001FFFF800007F07F00003F09 -:1095100000FC0000FC01F80007E007E0001F801FCE -:1095200080007E007E0001F801F80003F007E000F3 -:109530000FC03F00003F80FC00007F0FE00000FEF6 -:109540007F000003FFF8000007FFC000000FFE00CF -:1095500000003FE0000003FF8000003FFF0000012B -:10956000FFFE00000FF3F800007F87F01C03F80FE8 -:10957000E0FC1FC03FC3F07E007F8FC3F800FE7E7B -:109580000FC001FDF83F0003FFE0FC000FFF03F0F8 -:10959000001FFC0FC0003FE03F00007F80FC000187 -:1095A000FE03F80007FC07E0003FF81FC001FFF0D2 -:1095B0003F801FFFE0FF81FF3FC1FFFFF87FC3FF38 -:1095C000FFC0FE07FFFC01F007FFE0038003FC0083 -:1095D0000400FFFFFFFFFFFFFFFFFE79E79E003C57 -:1095E000007801E007C00F003E00F801E007C00F5F -:1095F000803E007C01F003E00FC01F003E00FC0134 -:10960000F803E007C01F803F007E00FC01F803F074 -:1096100007E00FC01F803F007E00FC01F803F00749 -:10962000E00FC00F801F003F007E007C00F801F8B3 -:1096300001F003E003E007C007C00F800F801F00A8 -:109640001F003E003E003C003C0078F000F001F0BE -:1096500001E003E003E007C007C00F800F801F0098 -:109660001F003E007E007C00F801F801F003E007D7 -:10967000E00FC01F801F003E007C00FC01F803F0DB -:1096800007E00FC01F803F007E00F801F003E00FED -:10969000C01F803F007C00F803F007C00F803F0030 -:1096A0007C00F803E007C01F003E00F801F007C08F -:1096B0000F003E007801E00000F0000F0000F00015 -:1096C0000F0000F0070F067CF3EFFFFFFFFFF3FF33 -:1096D000FE03FC001F8003FC0079E00F9F01F0F8FF -:1096E0003F0FC0E070040200000F8000007C00000B -:1096F00003E000001F000000F8000007C000003E6B -:10970000000001F000000F8000007C000003E0007A -:10971000001F000FFFFFFFFFFFFFFFFFFFFFFFFF27 -:10972000FFFFFFFFFFF8007C000003E000001F00C8 -:109730000000F8000007C000003E000001F000003B -:109740000F8000007C000003E000001F000000F814 -:1097500000007EFDFBF7EFC3871E3C71E78E18000B -:10976000FFFFFFFFFFFFFFFFFFFFF8FFFFFFFFF01F -:1097700000078001E000F8003E000F0007C001F084 -:109780000078001E000F8003C000F0007C001F0066 -:10979000078001E000F8003C000F0007C001F00066 -:1097A00078001E000F8003C000F0007C001F00073F -:1097B0008003E000F8003C000F0007C001F00078D3 -:1097C000003E000F8003C000F0007C001E000780F8 -:1097D00003E000F80000003FC00007FFC000FFFFEB -:1097E000000FFFFC00FFFFF007F83FC07F007F077E -:1097F000F001F83F0007E3F0003F1F8000F8FC0095 -:1098000007E7C0003F7E0000FBF00007DF80003F5D -:10981000FC0001FFE0000FFE00007FF00003FF806E -:10982000001FFC0000FFE00007FF00003FF8000100 -:10983000FFC0000FFE00007FF00003FF80001FFE4E -:109840000000FFF00007FF80003EFC0001F3E00095 -:109850001F9F8000FCFC0007C7E0007E1F8003F014 -:10986000FE003F03F803F81FF07F807FFFF801FF41 -:10987000FF8007FFF8000FFF80001FE000000007D7 -:10988000000F001F003F003F00FF01FF03FF07FF25 -:109890001FFF7FDFFF9FFF1FFC1FF01FC01F001F68 -:1098A000001F001F001F001F001F001F001F001FC0 -:1098B000001F001F001F001F001F001F001F001FB0 -:1098C000001F001F001F001F001F001F001F001FA0 -:1098D000001F001F001F001F0000001FF00003FFFB -:1098E000F8003FFFF801FFFFF00FFFFFE07FC07FB0 -:1098F000C1FC007F0FE000FE3F0001F8FC0007E71D -:10990000E0000FDF80003F7E0000FC380003F00025 -:10991000000F8000007E000001F800000FE0000052 -:109920007F000001FC00000FE000007F000003F852 -:1099300000001FC00001FE00000FF000007F80004B -:1099400003FC00003FE00001FF00000FF800007F73 -:10995000800003FC00001FE00000FF000007F8008B -:10996000001FC00000FE000003F000001FFFFFFF0B -:109970007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF77 -:10998000FFFFFC00000000003FC0000FFF8000FF51 -:10999000FF001FFFFC01FFFFF00FF03FC0FE007F44 -:1099A00007E001F87E000FC3F0003F1F0001F9F847 -:1099B000000FC1C0007E000003F000001F80000106 -:1099C000F800001FC00001FC00003FC0003FFC0089 -:1099D00001FF80000FFF00007FFE0003FFF8000082 -:1099E0001FE000007F800001FC000007E000001F76 -:1099F000800000FC000007E000003F380001FFE0AD -:109A0000000FFF00007FF80003FFE0003F3F00036E -:109A1000F9FC003FC7F003FC3FE07FC0FFFFFC0301 -:109A2000FFFFC00FFFFC001FFF80001FE0000000D1 -:109A3000000F8000003F000000FE000001FC00005D -:109A400007F800001FF000007FE00000FFC00003E7 -:109A5000FF80000FFF00003F7E00007CFC0001F152 -:109A6000F80007E3F0001F87E0003E0FC000F81F7A -:109A70008003E03F000FC07E003F00FC007C01F847 -:109A800001F003F007E007E01F800FC03E001F80D9 -:109A9000F8003F03F0007E07FFFFFFFFFFFFFFFF1F -:109AA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFE00C6 -:109AB000003F0000007E000000FC000001F80000F4 -:109AC00003F0000007E000000FC000001F8000004E -:109AD0003F0000007E000000FC000001F8000000D4 -:109AE000000007FFFFE01FFFFF80FFFFFE03FFFFF7 -:109AF000F80FFFFFE03FFFFF80F8000003E00000E9 -:109B00001F8000007E000001F0000007C000001F61 -:109B1000000000FC000003F0FE000F9FFF003EFF6E -:109B2000FE00FFFFFE07FFFFFC1FF81FF87F801FEE -:109B3000E1FC001FC7E0003F070000FE000001F845 -:109B4000000007E000001F8000003E000000FC0055 -:109B50000003F000000F8000007EFC0001FBF0001D -:109B600007EFC0001FBF0000FC7E0007F1FC003FB4 -:109B700083F801FE0FF81FF01FFFFF803FFFFC007E -:109B80007FFFE0007FFE00003FC0000000000000FB -:109B900007F00000FFF8000FFFF0007FFFF003FF69 -:109BA000FFC01FE07F80FE007F03F000FC1F8001EC -:109BB000F87E0007E3F0001F8FC0003E3E0000016A -:109BC000F8000007E000001F0000007C07F001F033 -:109BD0007FF807C7FFF01F3FFFF0FDFFFFE3FFE047 -:109BE0007F8FFE007F3FE000FEFF8001FBFC00074F -:109BF000EFE0000FFF80003F7E0000FDF80003F75C -:109C0000E0000FDF80003F7E0000FDF80003F3E07E -:109C1000000FCFC0003E3F0001F87E0007E1FC00CE -:109C20003F03F801FC0FF81FE01FFFFF003FFFF8A4 -:109C3000003FFFC0007FFE00003FC000FFFFFFFFAE -:109C4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF24 -:109C5000FFFC00000FC00000FC00000FC00000FC73 -:109C600000000FE000007E000007E000007F000021 -:109C700003F000003F000001F800001F800000FC1E -:109C800000000FC000007E000007E000003F000061 -:109C900003F000001F800001F800000FC000007EEC -:109CA000000007E000003F000001F800001F8000F6 -:109CB00000FC000007E000003E000003F000001F71 -:109CC000800000FC000007E000003E000001F00002 -:109CD000001F800000FC000007E000003F000000C3 -:109CE00000000000003FC0000FFFC000FFFF800F1A -:109CF000FFFE00FFFFF80FF03FE0FF007F07F001DD -:109D0000FC3F0007E3F0003F1F8000F8FC0007C79E -:109D1000E0003E1F0003F0FC001F87E001FC1FC0B5 -:109D20001FC07F03FC01FFFFC007FFFC000FFF8087 -:109D300003FFFF003FFFFE03FC0FF83F800FE3F837 -:109D4000003F9F8000FDF80007EFC0001FFE0000ED -:109D5000FFE00007FF00001FF80000FFC0000FFF3A -:109D600000007FF80003FFC0001FBF0001F9FC00E6 -:109D70001FC7F001FC3FE03FE0FFFFFE03FFFFE0F5 -:109D80000FFFFC001FFFC0001FF000003FC0000FCE -:109D9000FF8000FFFF001FFFFC01FFFFF00FF83FF7 -:109DA000C0FF007F0FE001FC7F0007E7F0001FBF4E -:109DB0000000FDF80003EFC0001F7C0000FFE00082 -:109DC00007FF00003FF80001FFC0000FFF00007F09 -:109DD000F80007FFC0003FBF0003FDFC003FE7F0B5 -:109DE00003FF3FE07EF8FFFFF7C3FFFF3E0FFFF1E9 -:109DF000F01FFE0F803FC0FC000007E000003E00A7 -:109E00000001F000001F9F0000FCF80007C7C00021 -:109E10007E3F0007F0FC003F07F007F01FC0FF8007 -:109E2000FFFFF803FFFF800FFFF8001FFF00003F58 -:109E3000C000FFFFFFFFF000000000000000000076 -:109E40000000000000003FFFFFFFFC7EFDFBF7EF7E -:109E5000C000000000000000000000000000000042 -:109E6000000003F7EFDFBF7E1C38F1E38F7C70C08A -:109E70000000000400000070000007C00000FF00A8 -:109E8000000FFC0000FFF0001FFF8001FFF8001F23 -:109E9000FF0003FFF0003FFE0003FFE0003FFC0077 -:109EA00003FFC0000FF800003F800000FF800003A8 -:109EB000FFC00003FFC00003FFE00003FFE000035A -:109EC000FFF00001FFF00001FFF80001FFF80000C3 -:109ED000FFF00000FFC00000FF0000007C00000059 -:109EE0007000000040FFFFFFFFFFFFFFFFFFFFFFCD -:109EF000FFFFFFFFFFFFFFFFFFFFFC000000000070 -:109F00000000000000000000000000000000000051 -:109F1000000000007FFFFFFFFFFFFFFFFFFFFFFFCD -:109F2000FFFFFFFFFFFFFFFFFFFEC0000003C000B9 -:109F3000000FC000003FE00000FFE00003FFE00072 -:109F400003FFE00003FFF00001FFF00001FFF0005D -:109F500000FFF80000FFF800007FF800007FF0002D -:109F6000003FC000003F000003FC00007FF000073E -:109F7000FF8000FFF8000FFF8001FFF0001FFF00CF -:109F800003FFF0003FFE0003FFE0000FFE00003F74 -:109F9000C00000FC000003C000000C000000000036 -:109FA0003FE0000FFFE001FFFF801FFFFF01FFFF09 -:109FB000FC1FF03FF0FE007F8FE000FE7E0003F705 -:109FC000F0001FFF00007FF80003FFC0001F8E009D -:109FD00000FC000007E000003E000003F000003F2E -:109FE000800003F800003FC00003FC00003FC000F9 -:109FF00003FC00003FC00003FC00001FC00001FC88 -:10A0000000001FC00000FC000007E000003E000050 -:10A0100001F000001F800000FC000007E0000000CD -:10A020000000000000000000000000000000000030 -:10A03000001F800000FC000007E000003F0000015E -:10A04000F800000FC0000000007FF80000000001D1 -:10A05000FFFFF000000000FFFFFF800000007FFF17 -:10A06000FFFC0000003FFFFFFFE000000FFFFFFFCD -:10A07000FE000007FFE003FFE00001FFC00007FE55 -:10A0800000007FE000003FE0001FF0000001FE0044 -:10A0900007F80000001FE000FE00000000FE003F87 -:10A0A0008007E0000FC00FE003FF07E0FC01F801AC -:10A0B000FFF8FC1F807E007FFF9F01F80F803FFFAD -:10A0C000FBE01F03F007FFFFFC03E07C01FF07FF3D -:10A0D000807E0F807F803FF007C3E01FE003FC001D -:10A0E000F87C03F8003F801F0F00FE0007F003E339 -:10A0F000E01F8000FE003C7C03F0000FC0078F8053 -:10A10000FC0001F000F1E01F80003E003E3C03F047 -:10A110000007C007C780FC0001F800F9F01F8000AD -:10A120003E001F3E03F00007C003C7C07E0000F8DA -:10A1300000F8F80FC0003F001F1F01F80007E007FC -:10A14000C1E03F0001F800F83C07E0007F003E0757 -:10A15000C07E001FE00F80F80FC007FC03F01F0156 -:10A16000FC01FF80FC03E01FE0FFF03F003E03FF27 -:10A17000FFFE1FC007C03FFFEFFFF000FC03FFF929 -:10A18000FFFC000FC03FFE3FFF0001F803FF03FF8D -:10A1900080001F801F801F800F83F80000000003D5 -:10A1A000F03F8000000000FC03FC000000007F0086 -:10A1B0003FC00000003FE003FF0000001FF8003F29 -:10A1C000F800000FFC0003FFF8003FFF00003FFF16 -:10A1D000FFFFFFC00001FFFFFFFFE000001FFFFFC8 -:10A1E000FFF80000007FFFFFFC00000001FFFFFC04 -:10A1F0000000000001FFF00000000000000000006F -:10A20000000003C00000000007E00000000007E0BD -:10A210000000000007F0000000000FF00000000048 -:10A220000FF0000000000FF8000000001FF8000011 -:10A2300000001FF8000000001FFC000000003FFCB1 -:10A24000000000003FFC000000007F7E00000000D6 -:10A250007E7E000000007E7E00000000FE3F0000C9 -:10A260000000FC3F00000000FC1F80000001FC1FFC -:10A2700080000001F81F80000001F80FC0000003FB -:10A28000F00FC0000003F00FC0000003F007E00073 -:10A290000007E007E0000007E007E0000007E00338 -:10A2A000F000000FC003F000000FC003F000000F2B -:10A2B000C001F800001F8001F800001F8001F800B5 -:10A2C000003F8000FC00003F0000FC00003F000059 -:10A2D0007C00007F00007E00007FFF007E00007F8A -:10A2E000FFE03F0000FFFFF03F0000FFFFF83F00EE -:10A2F00000FFFFFC1F8001FFFFFE1F8001FF01FF29 -:10A300001F8001FE007F0FC003F8003F8FC003F8DD -:10A31000001F8FC003F0001F87E007F0000FC7E0A9 -:10A3200007F0000FC7E007E0000FC3F00FE0000FD9 -:10A33000C3F00FF0000F83F01FF0000F81F81FF043 -:10A34000001F81F81FF8001F80F83FF8003F00FC55 -:10A350003FFC007F00FC3FFF01FE007E7E7FFFFE92 -:10A36000007E7E7FFFFC007E7E1FFFF8003F7C0F9B -:10A37000FFE0003F7C07FFC0003E3800FE00000CFD -:10A38000200018000001F007FF800003E07FFFE0DD -:10A390000007C3FFFFF0000F8FFFFFF0001F3FFF1C -:10A3A000FFF0003EFFE03FF8007FFE000FF000FFEF -:10A3B000F0000FF001FFC00007F003FF000007F0FE -:10A3C00007FC000007E00FF000000FE01FC00000D6 -:10A3D0000FC03F8000001F807E0000001F80FC0037 -:10A3E00000003F01F80000007E03F00000007C0741 -:10A3F000C0000000F80F80000001F01F0000000303 -:10A40000F03E00000007C07E0000001F80FC00003E -:10A41000003F01F80000007E03F0000000FE07F09E -:10A42000000003FE0FE0000007FE1FE000001FFC1D -:10A430003FE000007FFC7FE00001FDFCFFE0000743 -:10A44000FBF9FFE0001FE3F3FFF000FF87F7FFFFDA -:10A450003FFE07EFFFFFFFF80FDF9FFFFFE01FBE8C -:10A460001FFFFF003F7C0FFFFC003FF807FFC0000D -:10A470007FF000000000FFE000000001FFC00000CE -:10A480000003FF800000000FDF800000001FBF00FE -:10A490000000003F7E000000007EFC00000001FD87 -:10A4A000FC00000003F3F80000000FE7F8000000D4 -:10A4B0001F8FF80000007F1FF8000001FC3FF8002C -:10A4C0000007F87FF800001FE0FFF80000FF81F7A9 -:10A4D000FC0003FE03E7FE003FF807C7FFFFFFE0B5 -:10A4E0000F87FFFFFF801F03FFFFFC003E01FFFF00 -:10A4F000F0007C00FFFF000070001FE00000000083 -:10A50000007FFFFF80000000FFFFFFF8000001FF59 -:10A51000FFFFFF800001FFFFFFFFF00000FFFFFFD4 -:10A52000FFFE0000FFFF1FF9FF80007FF80FF00F14 -:10A53000F0003FF003F800FE003FF001FC001F8038 -:10A540001FF0007E0007E00FF8001F8000FC03FCF6 -:10A55000000FC0003F01FC0003F0000FC0FE000030 -:10A56000FC0003F07F80003F0000FC1FC0000FC014 -:10A57000003F0FE00001F0000FC3F000007E000775 -:10A58000F1FC00001F8001F87E000003F000FE3F98 -:10A59000800000FF007F0FC000001FF07FC3F000AD -:10A5A0000003FFFFE0F80000007FFFF07E000000E6 -:10A5B0000FFFF81F80000001FFF807E00000001FF8 -:10A5C000F801F00000000000007C00000000000026 -:10A5D0001F0000000000000FC0000000000003F09A -:10A5E000000000000000FC0000000000001F000050 -:10A5F0000000000007C0000000000001F0000000A3 -:10A600000000007C0000000000001F80000000002F -:10A610000007E0000000000001F80000000000005A -:10A620003E0000000000000FC0000000000003F02A -:10A630000000000000007E0000000000001F8000FD -:10A640000000000007F0000000000000FC00000017 -:10A650000000003F80000000000007F00000000044 -:10A660000780FE0000000001F03F8000000000FCB9 -:10A6700007F0000000007F00FF000000007F801F47 -:10A68000E00000003FC003FC0000001FE0007FC0AE -:10A6900000001FF0000FFC00001FF80001FFE000A9 -:10A6A0003FFC00001FFFC1FFFE000003FFFFFFFE95 -:10A6B0000000003FFFFFFE00000003FFFFFE000060 -:10A6C00000001FFFFC00000000003FF00000000041 -:10A6D0000000000003FFF80000007FFFFE00000301 -:10A6E000FFFFFF80000FFFFFFFC0001FFFFFFFE025 -:10A6F000003FFC1FFFE0007E0001FFE000FC0000C7 -:10A700007FF001F800003FF003F000001FF007E0C9 -:10A7100000001FF00FC000001FE01F8000001FE0BE -:10A720003F0000001FE07E0000001FE0FC00000072 -:10A730001FC1F80000001FC3F00000001F87E000E9 -:10A7400000003F8FC00000003F1F800000007E3FE0 -:10A75000000000007E7E00000000FCFC0000000104 -:10A76000F9F800000001FBF000000003F7E0000032 -:10A770000007EFC00000000FDF800000001FBF00D7 -:10A780000000001F7E000000003EFC000000007F73 -:10A79000F800000000FFF000000001F7E0000000FA -:10A7A00003EFC00000000FDF800000001FBF0000AB -:10A7B00000003F7E000000007EFC00000000FDF86D -:10A7C00000000003F3F000000007E7E00000000FC6 -:10A7D000CFC00000003F1F800000007E3F0000004F -:10A7E00001F87E00000007F0FC0000000FC1F80037 -:10A7F00000003F83F0000000FE07E0000003FC0FB4 -:10A80000C000000FF01F8000003FC03F000000FFAD -:10A81000007E000007FC00FC00003FF001F8000192 -:10A82000FFC003F8001FFF0007FFFFFFF8000FFF46 -:10A83000FFFFE0001FFFFFFF00000FFFFFF8000019 -:10A8400003FFFF800000007FE00000003FFFFFFFEC -:10A85000FFF9FFFFFFFFFFFBFFFFFFFFFFFFFFFF12 -:10A86000FFFFFFFFFFFFFFFFFFDFFFFFFFFFFFBE59 -:10A8700000000000007C0000000000F80000000064 -:10A8800001F00000000003E00000000007C000002D -:10A8900000000F80000000001F00000000003E00CC -:10A8A000000000007C0000000000F8000000000133 -:10A8B000F00000000003E00000000007C3FE0000FD -:10A8C000000F9FFF0000001F7FFF8000003FFFFF81 -:10A8D0008000007FFFFF800000FFFFFF800001FF7E -:10A8E00080FF800003FE007F000007F8007F00006B -:10A8F0000FE0007E00001F8000FC00003F0000FC15 -:10A9000000007E0001F80000F80003F00001F000F4 -:10A9100007E00003F0000FC00007E0001F00000F79 -:10A92000C0007E00001FC000FC00003FC003F80014 -:10A93000007FC00FE00000FFC07F800001FFFFFF2D -:10A94000000003FFFFFC000007FFFFF000000FBF47 -:10A95000FF8000001F1FFE0000003E07E000000017 -:10A960007C0000000000F80000000001F000000082 -:10A970000003E00000000007C0000000000F80009E -:10A980000000001F00000000003E00000000007CEE -:10A990000000000000F80000000001F000000000CE -:10A9A00003E00000000007FFFFFFFFFFCFFFFFFFF6 -:10A9B000FFFFDFFFFFFFFFFFFFFFFFFFFFFFFFFFC7 -:10A9C000FFFFFFFE7FFFFFFFFFF83FFFFFFFFFF9E5 -:10A9D000FFFFFFFFFFFBFFFFFFFFFFFFFFFFFFFF8B -:10A9E000FFFFFFFFFFFFFFDFFFFFFFFFFF3E000056 -:10A9F0000000007C0000000000F80000000001F0F2 -:10AA00000000000003E00000000007C0000000009C -:10AA10000F80000000001F00000000003E0000004A -:10AA200000007C0000000000F80000000001F000C1 -:10AA300000000003E00000000007C3FE0000000F5C -:10AA40009FFF8000001FFFFF8000003FFFFF80008E -:10AA5000007FFFFF800000FFF1FF800001FF807F8B -:10AA6000800003FC007F000007F0007F00000FC0A3 -:10AA7000007E00001F8000FC00003F0000FC000082 -:10AA80007C0001F80000F80003F00001F00007E08E -:10AA90000003E0000FC00007E0003F00000FC0000F -:10AAA0007E00001FC001FC00003FC003F000007FDB -:10AAB000C01FE00000FFE0FF800001FFFFFF00007B -:10AAC00003FFFFFC000007FFFFF000000FBFFF8047 -:10AAD00000001F1FFC0000003E03800000007C00FF -:10AAE00000000000F80000000001F000000000037A -:10AAF000E00000000007C0000000000F8000000020 -:10AB0000001F00000000003E00000000007C00006C -:10AB1000000000F80000000001F00000000003E069 -:10AB20000000000007C0000000000F8000000000CF -:10AB30001F00000000003E00000000007C0000003C -:10AB400000007000000000000000007FFF00000017 -:10AB50000000FFFFF800000001FFFFFFC000000140 -:10AB6000FFFFFFFC000000FFFFFFFFC00000FFFF32 -:10AB70008FFFF800007FF8000FFF00007FF000005B -:10AB80007FF0003FF0000007FC001FF00000007F96 -:10AB9000000FF80000000FC003FC00000000E001FF -:10ABA000FC000000000000FE0000000000007F80AC -:10ABB00000000000001FC000000000000FE00000C7 -:10ABC00000000003F0000000000001FC00000C0089 -:10ABD00000007E00007FF000003F80003FFF00008B -:10ABE0000FC0003FFFF00003F0001FFFFE0001F860 -:10ABF000000FFFFFC0007E0007FC0FF0001F800168 -:10AC0000FC00FE0007E000FE001FC001F0003F0056 -:10AC100003F0007C000FC000FC001F0007E0001FD5 -:10AC2000800FC001F80007E0FBF0007E0001FFFF8D -:10AC3000FC001F80007FFFFF0007E0001FFFF7C040 -:10AC400001F80007FFFDF0003E0003FFFF7C000F4E -:10AC5000C000FC0FDF8003F0007F03F7E0007E0000 -:10AC60003F80FDF8001FE01FE03F3E0003FF3FF084 -:10AC70000FCFC0007FFFF803F3F0000FFFFC00FCD4 -:10AC80007E0001FFFE003F1F80003FFE000FC7F067 -:10AC90000001FE0003F0FC0000000000FC3F80000B -:10ACA0000000003F07F0000000000FC0FC000000A3 -:10ACB0000003F03F8000000000FC07F000000000EF -:10ACC0007F00FE000000003F801FE00000003FC04A -:10ACD00003FC0000001FE0007FC000001FF0000F19 -:10ACE000FC00001FF80001FFE0001FFC00001FFF38 -:10ACF000C0FFFE000003FFFFFFFE0000003FFFFF5C -:10AD0000FE00000003FFFFFE000000001FFFFC002C -:10AD1000000000007FF00000200000000019F0009B -:10AD2000000000FBE000000001FFC000000003FF86 -:10AD30008000000007FF000000000FFE0000000080 -:10AD40001FFC000000003FF8000000007FF0000042 -:10AD50000000FFE000000001FFC000000003FF80D2 -:10AD600000000007FF000000000FFE000000001FB1 -:10AD7000FC000000003FF8000000007FF000000031 -:10AD800000FFE000000001FFC000FF0003FF800F94 -:10AD9000FFC007FF003FFFC00FFE00FFFFC01FFC0A -:10ADA00003FFFFC03FF80FFFFFC07FF03FC03FC071 -:10ADB000FFE07F003F81FFC1FC003F83FF83F00085 -:10ADC0003F07FF0FC0003F0FFFFF80007FFFFFFF27 -:10ADD0000000FFFFFFFE0001FFFFFFFC0003FFFF7D -:10ADE000FFF80007FFFFFFF0000FFFFF83F0003FB9 -:10ADF00087FF07E0007E0FFE07E001FC1FFC0FE06D -:10AE000007F03FF80FF03FE07FF01FFFFF80FFE00B -:10AE10001FFFFE01FFC01FFFF803FF800FFFE007C9 -:10AE2000FF0007FF000FFE0001E0001FFC00000014 -:10AE3000003FF8000000007FF000000000FFE0008D -:10AE4000000001FFC000000003FF8000000007FFBA -:10AE5000000000000FFE000000001FFC00000000CA -:10AE60003FF8000000007FF000000000FFE000005D -:10AE70000001FFC000000003FF8000000007FF008A -:10AE80000000000FFE000000001FFC000000003E5C -:10AE900070000000003823EFBFFFFFFFFFFFFFFF40 -:10AEA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB2 -:10AEB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA2 -:10AEC000FFFFFFFFFFFE70000000000FF800000012 -:10AED0000001FFFC000000000FFFF8000000007FF1 -:10AEE000FFF000000003FFFFE00000001FF8FFC0BC -:10AEF000000000FF00FF80000003F800FE000000DB -:10AF00001FC001FC0000007E0007F0000001F800F7 -:10AF10000FC0000007C0003F0000003F0000FC0021 -:10AF20000000FC0001F0000003F0000FC000000F63 -:10AF3000C0003F0000001F0000FC0000007E000376 -:10AF4000F0000001F8001FC0000003F000FE000048 -:10AF5000000FE007F80000001FF07FC00000007F36 -:10AF6000FFFE00000000FFFFF000000001FFFF8077 -:10AF700000000001FFFC0000000001FFC000000015 -:10AF80000000F8000000000003E000000000000FD7 -:10AF90008000000000003E000000000000F80000FB -:10AFA00000000003E000000000000F80000000002F -:10AFB000003E000000000000F8000000000003E078 -:10AFC00000000000000F8000000000003E000000B4 -:10AFD000000000F8000000000003E0000000000096 -:10AFE0001F8000000000007E000000000001F8004B -:10AFF0000000000007E000000000001F80000000CB -:10B0000000007E000000000003F000000000000FC0 -:10B01000C000C00000007F000F80000001F8003F6A -:10B020000000000FE000FE0000007F0003FC0000B5 -:10B0300003FC0007F800001FE0000FF00000FF0015 -:10B04000001FF0000FF800003FF000FFC000007F7D -:10B05000FFFFFE000000FFFFFFF0000000FFFFFF0A -:10B0600000000001FFFFF800000001FFFF000000EA -:10B0700000007FE0000000200000008001F00000E0 -:10B080003FF803E00001FFFC07C00007FFFC0F8052 -:10B09000001FFFFE1F00007FFFFC3E0001FF87FC3A -:10B0A0007C0007F803FCF8000FE003F9F0001F80B4 -:10B0B00003FBE0007E0003F7C001FC0007EF800700 -:10B0C000F80007DF001FE0000FFE007FC0001FFC3C -:10B0D00001FFC0003FF807FF80007DF03FFF000147 -:10B0E000FBE0FFFF0003F7C3FE7E000FEF8FFCFEC7 -:10B0F000003F9F3FFCFE00FF3EFFFDFF87FC7FFF00 -:10B10000F9FFFFF0FFF3F9FFFFC1FFC3F9FFFF03F2 -:10B11000FF07F1FFFC07FC07F07FE00FE007F000FE -:10B12000001F8007F000003E000FE000007C000FD1 -:10B13000E00000F8000FE00001F0001FC00003E095 -:10B14000001FC00007C0001FC0000F80003F80002C -:10B150001F00003F80003E00003F80007C00003F59 -:10B160008000F800007F0001F000007F0003E00095 -:10B17000007F0007C00000FE000F800000FE001FDF -:10B18000000000FE003E000001FC007C000001FC0D -:10B1900000F8000001FC01F0000001FC03E00000E9 -:10B1A00003F807C0000003F80F80000003F81F0039 -:10B1B000000007F03E00000007F07C00000007F0F0 -:10B1C000F80000000FE1F00000000FE3E0000000D5 -:10B1D0000FE7C00000000FEF800000001FDF00003D -:10B1E00000001FFE000000001FFC000000003E7079 -:10B1F0000000000038200000000001F00000000006 -:10B2000003E00000000007C0000000000F80000005 -:10B2100000001F00000000003E00000000007C0055 -:10B2200000000000F80000000001F0000000000332 -:10B23000E00000000007C0000000000F80000000D8 -:10B24000001F00000000003E00000000007C000025 -:10B25000000000F80000000001F00000000003E022 -:10B260000000000007C0000000000F800000000088 -:10B270001F00000000003E00000000007C000000F5 -:10B280000000F80000000001F00000000003E000F2 -:10B2900000000007C0000000000F80000000001F39 -:10B2A00000000000003E00000000007C00000000E4 -:10B2B00000F80000000001F00000000003E00000C2 -:10B2C000000007C0000000000F80000000001F0009 -:10B2D000000000003E00000000007C0000000000B4 -:10B2E000F80000000001F00000000003E000000092 -:10B2F0000007C0000000000F80000000001F0000D9 -:10B300000000003E00000000007C0000000000F88B -:10B310000000000001F00000000003E00000000059 -:10B3200007C0000000000F80000000001F000000A8 -:10B3300000003E00000000007C0000000000F8005B -:10B3400000000001F00000000003E0000000000722 -:10B35000FFFFFFFFFFCFFFFFFFFFFFDFFFFFFFFF4D -:10B36000FFFFFFFFFFFFFFFFFFFFFFFFFE7FFFFF6E -:10B37000FFFFF8700000000000000EFC000000005D -:10B3800000001FFE0000000000003FFF0000000062 -:10B3900000007FFF800000000000FFFFC0000000F1 -:10B3A0000001FFFFE00000000003FFFFF0000000CD -:10B3B0000007FFFFF8000000000FFFFBFC0000008B -:10B3C000001FDFF9FE000FF0003F9FF8FF003FFE77 -:10B3D000007F1FF87F80FFFF00FE1FF83FC1FFFFC7 -:10B3E00081FC1FF81FE3FFFFC7F81FF80FF7FC1FD2 -:10B3F000EFF01FF807FFF007FFE01FF803FFC0039F -:10B40000FFC01FF801FFC001FF801FF800FF800090 -:10B41000FF001FF8007F0000FE001FF8003F000043 -:10B42000FC001FF8003F00007C001FF8003F0000F8 -:10B430007C001FF8003F0000FC001FF8003F0000E8 -:10B44000FC001FF8003F8000F8001FF8001F80017B -:10B45000F8001FF8001FC001F8001FF8001FE003EC -:10B46000F0001FF8000FF00FF0001FF8000FFFFFB3 -:10B47000E0001FF80007FFFFE0001FF80003FFFFD8 -:10B48000C0001FF80001FFFF80001FF800007FFED2 -:10B4900000001FF800003FF800001FF800000180C6 -:10B4A00000001FF80000000000001FF8000000006E -:10B4B00000001FF80000000000001FF8000000005E -:10B4C00000001FF80000000000001FF8000000004E -:10B4D00000001FF80000000000001FF8000000003E -:10B4E00000001FF80000000000001FF8000000002E -:10B4F00000001FF80000000000001FF8000000001E -:10B5000000001FF80000000000001FF8000000000D -:10B5100000001FF80000000000001FF800000000FD -:10B5200000001FF80000000000001FF800000000ED -:10B5300000001FF80000000000001FF800000000DD -:10B5400000001FF80000000000001FF800000000CD -:10B5500000001FF80000000000001FF800000000BD -:10B5600000001F700000000000000E30000000000E -:10B5700011F0000000007BF000000001F7E0000087 -:10B580000003FFE000000007FFE00000000FFFC025 -:10B590000000001FFFC00000003FFFC00000007F50 -:10B5A000FFC0000000FFFF80000001FFFF800000DF -:10B5B00003FFBF80000007FF7F0000000FFE7F0039 -:10B5C00000001FFC7F0000003FF8FE0000007FF03D -:10B5D000FE000000FFE0FE000001FFC1FE000003CE -:10B5E000FF81FC000007FF01FC00000FFE01FC00D2 -:10B5F000001FFC03F800003FF803F800007FF00391 -:10B60000F80000FFE007F00001FFC007F00003FFB3 -:10B610008007F00007FF000FF0000FFE000FE000B2 -:10B620001FFC000FE0003FF8000FE0007FF0001F5C -:10B63000C000FFE0001FC001FFC0001FC003FF806B -:10B64000003F8007FF00003F800FFE00003F801F8B -:10B65000FC00007F803FF800007F007FF000007F4B -:10B6600000FFE000007F01FFC00000FE03FF80003C -:10B6700000FE07FF000000FE0FFE000001FC1FFCA3 -:10B68000000001FC3FF8000001FC7FF0000003FC1B -:10B69000FFE0000003F9FFC0000003FBFF80000093 -:10B6A00003FFFF00000007FFFE00000007FFFC0093 -:10B6B000000007FFF80000000FFFF00000000FFF80 -:10B6C000E00000000FFFC00000001FFF800000002E -:10B6D0001FFF000000001FFE000000001FFC000014 -:10B6E00000003E7000000000380000007FFF0000F6 -:10B6F00000000007FFFFE0000000001FFFFFFC004C -:10B700000000007FFFFFFF00000001FFFFFFFF8040 -:10B71000000003FFFE7FFFE000000FFF8001FFF04D -:10B7200000001FFC00003FF800003FF000000FFC8D -:10B7300000007FC0000003FE0000FF00000000FFCB -:10B740000001FE000000007F8003FC000000003FBD -:10B75000C003F8000000001FE007F0000000000F29 -:10B76000E00FE00000000007F00FC0000000000341 -:10B77000F81FC00000000003F81F80000000000157 -:10B78000FC3F800000000000FC3F000000000000C3 -:10B79000FC3F0000000000007E7E00000000000072 -:10B7A0007E7E0000000000007E7E000000000000A1 -:10B7B0003F7C0000000000003FFC00000000000093 -:10B7C0003FFC0000000000003FFC00000000000003 -:10B7D0003FFC0000000000001FF800000000000017 -:10B7E0001FF80000000000001FF80000000000002B -:10B7F0001FF80000000000001FFC00000000000017 -:10B800001FFC0000000000003FFC000000000000E2 -:10B810003FFC0000000000003F7C00000000000032 -:10B820003F7E0000000000003E7E0000000000009F -:10B830007E7E0000000000007E3F0000000000004F -:10B840007E3F000000000000FC1F800000000000A0 -:10B85000FC1F800000000001F81FC0000000000372 -:10B86000F80FC00000000003F007E0000000000730 -:10B87000F007F0000000000FE003F8000000001FD8 -:10B88000E001FC000000003FC001FE000000007F5E -:10B890008000FF00000000FF00007FC0000003FEEA -:10B8A00000003FF000000FFC00001FFC00003FF80C -:10B8B000000007FF8001FFF0000003FFFE7FFFC0D4 -:10B8C000000000FFFFFFFF800000007FFFFFFE0081 -:10B8D0000000000FFFFFF80000000003FFFFC000A2 -:10B8E000000000001FFC0000000003FF000000003B -:10B8F0000003FFFFFFE0000001FFFFFFFF0000006B -:10B900007FFFFFFFF000001FFFFFFFFF000007FFAA -:10B91000FFFFFFE00001F800007FFC00007E000058 -:10B9200001FFC0001F8000001FF00007E0000001C1 -:10B93000FE0001F80000003FC0007E00000007F894 -:10B94000001F80000000FE0007E00000001FC00193 -:10B95000F800000003F0007E00000000FE001F80E1 -:10B960000000001F8007E000000007E001F8000071 -:10B970000000FC00FF000000003F01FFF00000009D -:10B980000FC0FFFF00000003F07FFFE0000000FC9D -:10B990003FFFFC0000003F1FF9FF8000000FCFF0C9 -:10B9A0000FF0000003F3F800FC000000FDFC001F96 -:10B9B0008000003F7E0007E000000FFF0000FC0059 -:10B9C0000003FFC0003F000001FBF0000FC00000BB -:10B9D0007EFC0003F000003FBF0000FC00000FCF22 -:10B9E000C0003F000007F3F0000FC00003F87E0026 -:10B9F00003E00001FE1F8001F80000FF07F000FED9 -:10BA000000007F80FE007F00007FC03FE07FF000ED -:10BA10007FF007FFFFFFC1FFF800FFFFFFFFFFF808 -:10BA2000001FFFFFFFFFFC0001FFFDFFFFFC000008 -:10BA30003FFC0FFFFC000001F800FFF80000007E53 -:10BA40000000000000001F80000000000007E00070 -:10BA50000000000001F80000000000007E0000006F -:10BA60000000001F80000000000007E00000000050 -:10BA70000001F80000000000007E0000000000004F -:10BA80001F80000000000007E0000000000001F837 -:10BA90000000000000007E0000000000001F800089 -:10BAA0000000000007E0000000000001F8000000B6 -:10BAB0000000003E0000000000000F000000000039 -:10BAC00000000000FFFC000000000007FFFFC000B6 -:10BAD0000000000FFFFFFC000000001FFFFFFF80C1 -:10BAE0000000003FFFFFFFE00000003FFF00FFFC01 -:10BAF0000000007FF80007FF0000007FE000007FEB -:10BB0000C000007FC000000FF000007FC0000003F5 -:10BB1000FC00007F800000007F00003F80000000EC -:10BB20001FC0003F8000000007F0003F80000000C1 -:10BB300001FC003F80000000007E001F800000002C -:10BB4000001F801FC0000000000FC00FC0000000D9 -:10BB50000003F00FE00000000001F807E000000023 -:10BB600000007E03F000000000003F03F000000032 -:10BB700000000F81F8000000000007E0FC0000005A -:10BB8000000001F07C000000000000F87E000000D2 -:10BB90000000007C3F0000000000003E1F8000000D -:10BBA0000000001F8FC000000000000FC7E0000071 -:10BBB00000000003E3E0000000000001F1F00000DD -:10BBC00000000000F8FC000000000000FC7E000007 -:10BBD000000000007E3F0000000000003F1F8000CA -:10BBE000000000001F87C000000000000F83E0007D -:10BBF0000000000007C1F8000000000FFFE0FC009B -:10BC00000000001FFFF03E000000001FFFF01F803B -:10BC10000000001FFFFC0FC00000001FFFFF03F02B -:10BC20000000001FF07F81FC0000000FE00FE07EAD -:10BC30000000000FE003F03F80000007E000FC0F71 -:10BC4000E0000007E0007E03F8000003F0001F01A1 -:10BC5000FE000001F8000F807F800000F80007C0A0 -:10BC60001FE000007C0003E007FC00003F0001F043 -:10BC700001FF80001F8000F8007FF00007C000FC7B -:10BC8000001FFF001FF000FE0003FFFFFFFC007E0F -:10BC90000000FFFFFFFF00FF00001FFFFFFFF1FF9D -:10BCA000000001FFFFFFFFFF0000001FFFE3FFFF99 -:10BCB000000000003C00FFFF0000000000001FFE2D -:10BCC00000000000000003FC000000007FF000788E -:10BCD0000001FFFE00FC0007FFFF00FE000FFFFF5A -:10BCE000C0FE001FFFFFE0FF003FFDFFF0FF807F71 -:10BCF000801FF0FF80FF0007F8FFC1FC0003FCFF7E -:10BD0000E1F80001FCFFF3F80000FEFFF3F0000093 -:10BD10007EFFFBE000007EFDFFE000003FFCFFE057 -:10BD200000003FFCFFE000003FFC7FC000003FFC44 -:10BD30003FC000003FFC3FE000003FFC1FE0000070 -:10BD40003FFC0FE000003FFC07E000003FFC07F075 -:10BD500000007EFC03F800007EFC01F80000FEFC01 -:10BD600001FC0001FCFC00FE0003FCFC007F8007DE -:10BD7000F8FC007FE03FF0FC003FFFFFE0FC001F0D -:10BD8000FFFFC0FC000FFFFF80FC000FFFFE00FC68 -:10BD90000007FFF800FC0003FF8000FC0003F80030 -:10BDA00000FC0001FC0000FC0000FE0000FC0000A4 -:10BDB000FE0000FC00007F0000FC00003F8000FC53 -:10BDC00000001F8000FC00001FC000FC00000FE00E -:10BDD00000FC000007F000FC000007F000FC000081 -:10BDE00003F800FC000001FC00FC000000FC00FC6B -:10BDF000000000FE00FC0000007F00FC0000003F8F -:10BE000000FC0000003F80FC0000001FC0FC0000A0 -:10BE1000000FE0FC0000000FE0FC00000007F0FC59 -:10BE200000000003F8FC00000001F8FC0000000125 -:10BE3000FCFC00000000FCFC000000007E7C000018 -:10BE400000007C78000000003800001FE0000000C7 -:10BE500000FFF000000003FFF000000007FFE0001B -:10BE600000001FFFE00000003FF8000000007FC05E -:10BE700000000000FF0000000001FE0000000001C3 -:10BE8000FC0000000003F80000000007F0000000C4 -:10BE90000007E0000000000FC0000000000FC0001D -:10BEA0000000000F80000000001F80000000001F45 -:10BEB00080000000001F00000000001F00000000C4 -:10BEC000001F00000000001F00000000001F000015 -:10BED0000000001F00000000001F00000000001F05 -:10BEE000000000FC001F800007FF801F80001FFF74 -:10BEF000E00F80003FFFF00FC0007FFFF80FC00091 -:10BF0000FFFFFC07E000FF03FC07F001FC00FE035D -:10BF1000F801F8007E01FC03F0003F01FE03F00091 -:10BF20003F00FF03E0003F007FC3E0001F003FFF32 -:10BF3000E0001F001FFFE0001F000FFFE0003F00B8 -:10BF400003FFF0003F0000FFF0003E00001FF8007C -:10BF50007E000001FC00FE000000FE01FC0000006D -:10BF6000FFFFF80000007FFFF01000003FFFE078C7 -:10BF700000000FFFC0FC000007FF80FC0000007FF6 -:10BF8000007E0000007F007F000000FE003F800078 -:10BF900001FC001FC00003FC000FF0000FF80007B9 -:10BFA000FC003FF00003FFC3FFE00001FFFFFFC004 -:10BFB0000000FFFFFF0000003FFFFC0000000FFF3C -:10BFC000F000000000FF80000000FFC00000001F24 -:10BFD000FFFFFFFF01FFFFFFFFFC1FFFFFFFFFF160 -:10BFE000FFFFFFFFFF9FF8FFFFFFF9FE01FFFFFFCD -:10BFF0008FE003F80000FE000FC00007E0007E00A5 -:10C00000003F0001F00001F0000FC0000F80007E33 -:10C0100000007C0003F00003E0001F80001F000010 -:10C02000FC0000FC0007C00007E0007E00001F804D -:10C0300007F00000FE007F000003FC07F800001F6F -:10C04000FFFF8000007FFFF8000001FFFF8000007D -:10C0500007FFF80000000FFF000000000FC0000005 -:10C0600000007E0000000003F0000000001F8000C0 -:10C07000000000FC0000000007E0000000003F009E -:10C0800000000001F8000000000FC0000000007E6A -:10C090000000000003F0000000001F80000000000E -:10C0A000FC0000000007E0000000003F000000006E -:10C0B00001F8000000000FC0000000007E0000003A -:10C0C0000003F0000000001F8000000000FC0000E2 -:10C0D000000007E0000000003F0000000001F80041 -:10C0E0000000000FC0000000007E0000000003F010 -:10C0F000000000001F8000000000FC00000000079E -:10C10000E0000000003F0000000001F80000000017 -:10C110000FC0000000007E0000000003F0000000DF -:10C12000001F80000000007C0000000003C0000031 -:10C130000078000000003DF000000000FBF000006F -:10C140000001FFE000000003FFC000000007FF80C7 -:10C150000000000FFF000000001FFE000000003F75 -:10C16000FC000000007FF800000000FFF00000006D -:10C1700001FFE000000003FFC000000007FF800097 -:10C1800000000FFF000000001FFE000000003FFC49 -:10C19000000000007FF800000000FFF00000000138 -:10C1A000FFE000000003FFC000000007FF80000068 -:10C1B000000FFF000000001FFE000000003FFC0019 -:10C1C0000000007FF800000000FFF000000001FF09 -:10C1D000E000000003FFC000000007FF8000000037 -:10C1E0000FFF000000001FFE000000003FFC0000E9 -:10C1F00000007FF800000000FFF000000001FFE0F9 -:10C2000000000003FFC000000007FF800000000FD7 -:10C21000FF000000001FFE000000003FFC000000C7 -:10C22000007FF800000000FFF000000001F7E000D0 -:10C23000000003EFC00000000FDF800000001F9F20 -:10C24000800000003F3F00000000FE7E0000000173 -:10C25000F87E00000007F0FC0000000FC0FC0000AA -:10C26000003F81FC000000FE01FC000003FC03FC19 -:10C2700000000FF003FE00003FC003FE0001FF00BE -:10C2800003FF800FFC0003FFFFFFF00003FFFFFF31 -:10C29000C00001FFFFFF000000FFFFF80000007F6B -:10C2A000FFC00000000FF80000000000000000F0D8 -:10C2B00000000000FBE000000001F7E000000007C4 -:10C2C000EFC00000000FDF800000001F9F80000013 -:10C2D000007E3F00000000FC7E00000001F87E00B0 -:10C2E000000007E0FC0000000FC1F80000001F8103 -:10C2F000F80000007E03F0000000FC07F0000001E1 -:10C30000F807E0000007E00FC000000FC00FC000FA -:10C31000001F801F8000007E003F000000FC003FE7 -:10C32000000001F8007E000007E000FC00000FC0E4 -:10C3300000FC00001F8001F800007E0003F00000F8 -:10C34000FC0003F00003F80007E00007E0000FC066 -:10C35000000FC0000FC0003F80001F80007E000063 -:10C360003F0000FC00003F0003F800007E0007E0F3 -:10C370000000FC000FC00000FC003F000001F800BE -:10C380007E000003F000FC000003F003F000000753 -:10C39000E007E000000FC00FC000000FC03F00002A -:10C3A000001F807E0000001F80FC0000003F03F0A3 -:10C3B0000000007E07E00000007E0FC0000000FCCF -:10C3C0003F00000001F87E00000001F9FC000000C1 -:10C3D00003F3F000000007E7E000000007FFC000E3 -:10C3E00000000FFF000000001FFE000000001FFC07 -:10C3F000000000003FF0000000007FE000000000AF -:10C400007FC000000000FF0000000001FE000000EF -:10C410000001FC0000000003F00000000007E00045 -:10C420000000000780000000000000000000007C09 -:10C43000001E00001C000FBE000F80001F0007DF61 -:10C440008007C0000F8007EFC003F0000FC003F7A4 -:10C45000E001F80007E001F9F800FC0007E001F84E -:10C46000FC003F0003F000FC7E001F8001F8007E0E -:10C470001F800FE001F8007E0FC003F000FC003FBA -:10C4800007E001F8007E003F81F8007E007E001F7B -:10C4900080FC003F003F000FC07E001F801F800F08 -:10C4A000E01F8007E01F8007E00FC003F00FC0030C -:10C4B000F007E001F807E003F801F8007E07E0016B -:10C4C000F800FC003FFFF000FC007F001FFFF800B9 -:10C4D000FE001F8007FFF8007E000FC007FFFE0070 -:10C4E0003F0003F007FFFF803F8001F807FFFFE0F8 -:10C4F0001F8000FC07F807F80FC0003F03F801FC9D -:10C500000FC0001F83F8007F07E0000FC1F8001F75 -:10C5100083F00003F0FC000FC3F00001F8FC0003FF -:10C52000F1F80000FC7E0001F8FC00003F3F000035 -:10C53000FCFC00001F9F80007E7E00000FCFC0002B -:10C540003F7F000003F3E0001FBF000001F9F80087 -:10C550001F9F800000FCFE001FDFC000003F3F0067 -:10C560000FEFC000001F9FE01FE7E000000FC7FCB7 -:10C570003FE7F0000003F1FFFFF3F0000001F87F58 -:10C58000FFF1F8000000FC1FFFF1FC0000003F0776 -:10C59000FFE0FC0000001F81FFE07E0000000FE0D4 -:10C5A000FFF07F00000003F0FCFC3F00000001F8FA -:10C5B0007E7E1F800000007E7F1F1FC00000003FA6 -:10C5C0003F0FCFC00000001F9F87E7E0000000077B -:10C5D000FFC1F7E000000003FFC0FFF00000000112 -:10C5E000FFE07FF8000000007FF01FF8000000006F -:10C5F0003FF00FFC000000001FF807FE00000000E5 -:10C6000007F801FE0000000003FC00FF000000002E -:10C6100001FE007F80000000007E001F80000000FF -:10C62000003F000FC0000000001F8007E000000076 -:10C6300000078001E0000000200000000011F00071 -:10C640000000007BF000000001FFE000000007FF99 -:10C65000E00000000FCFE00000003F8FE00000008E -:10C66000FE1FC0000003F81FC0000007F01FC0003D -:10C67000001FC03F8000007F003F800000FE003FA1 -:10C68000800003F8007F00000FE0007F00001F80A3 -:10C69000007F00007F00007F0001FC0000FE00071B -:10C6A000F00000FE000FE00000FEFFBF800001FF71 -:10C6B000FFFE000001FFFFF8000001FFFFF0000097 -:10C6C00003FFFFE000000FFFFFE000003FC03FC09E -:10C6D00000007F001FC00001FC001F800003F0006D -:10C6E0003F800007E0003F00001F80007E00003F09 -:10C6F00000007C00007E0000F80000FC0001F0005B -:10C7000001F80007E00001F0000FC00003F0001F77 -:10C71000800007E0007E000007E001FC00000FF051 -:10C7200007F000000FF03FE000000FFFFF80000067 -:10C730001FFFFF0000007FFFFE000000FFFFFE0064 -:10C740000003FFFFFE00000FE0E1FC00003F80015E -:10C75000FC00007F0001FC0001FC0001FC0007F070 -:10C760000003F8000FE00003F8003F800003F8002A -:10C77000FE000007F001FC000007F007F0000007D2 -:10C78000F01FC000000FE07F0000000FE0FE00007F -:10C79000000FE3F80000000FEFE00000001FDFC013 -:10C7A0000000001FFF000000001FFC000000003E12 -:10C7B00070000000003C780000000000079F0000AF -:10C7C00000000003FFE00000000001FDFC0000008D -:10C7D0000000FE7F80000000007F8FF0000000005E -:10C7E0003FC1FE000000001FE03FC00000000FF04E -:10C7F00007F800000007F800FF00000003FC001F1E -:10C80000E0000001FE0003FC000000FF00007F804C -:10C8100000007F80000FF000003FC00001FE00001C -:10C820001FE000003FC0000FF0000007F80007F80D -:10C83000000000FF0003FC0000001FEFF9FE0000F5 -:10C840000003FFFFFF000000007FFFFF80000000EB -:10C850000FFFFFC000000003FFFFF000000000FF1B -:10C8600087FE000000007F807F800000003F80077F -:10C87000F00000000FE001FC00000003F0003F00AA -:10C88000000001F80007E00000007E0001F8000051 -:10C89000001F80007E00000007E0001F80000001F4 -:10C8A000F80007E00000007E0001F80000000F80A3 -:10C8B00000FE00000003F0003F00000000FC001F2D -:10C8C000C00000001F800FF000000007F807F8000C -:10C8D000000000FF87FC000000001FFFFF000000B9 -:10C8E0000003FFFF80000000007FFF8000000000C9 -:10C8F0000FFFC000000000007F8000000000000F5C -:10C90000C0000000000003F0000000000000FC0078 -:10C9100000000000003F0000000000000FC0000009 -:10C9200000000003F0000000000000FC0000000018 -:10C9300000003F0000000000000FC00000000000E9 -:10C9400003F0000000000000FC0000000000003FB9 -:10C950000000000000000FC0000000000003F00015 -:10C960000000000000FC0000000000003F0000008C -:10C970000000000FC0000000000001F000000000F7 -:10C98000000078000000FFFFFFFFFFFC007FFFFFBB -:10C99000FFFFFE003FFFFFFFFFFF801FFFFFFFFFC6 -:10C9A000FF800FFFFFFFFFFFC000000000001FC05F -:10C9B00000000000001FC000000000000FE00000A9 -:10C9C0000000000FE000000000000FE00000000089 -:10C9D0000007F0000000000007F000000000000762 -:10C9E000F0000000000003F0000000000003F80069 -:10C9F0000000000003F8000000000003F800000041 -:10CA0000000001FC000000000001FC00000000002C -:10CA100001FC000000000000FE000000000000FE1D -:10CA2000000000000000FE0000000000007E00008A -:10CA3000000000007F0000000000007F00000000F8 -:10CA400000007F0000000000003F800000000000A8 -:10CA50003F8000000000003F8000000000001FC079 -:10CA600000000000001FC000000000001FC0000008 -:10CA70000000000FC000000000000FE000000000F8 -:10CA8000000FE000000000000FE0000FC0000007F2 -:10CA9000F0003FFC000007F0007FFF000007F000FF -:10CAA000FFFFE00003F800FFFFF80003F800FFFFBE -:10CAB000FE0003F8007F81FF0001F8007F003FC007 -:10CAC00001FC003F000FE001FC003F0003F801FC07 -:10CAD000001F8000FC00FE000F80007E00FE000FA3 -:10CAE000C0003F00FE0007E0000F807F0003F00061 -:10CAF00007C07F0001F80007E07F00007C0003F022 -:10CB00003F00003F0001F83F80001F8001FC3F8094 -:10CB1000000FE000FC3F800003F800FE1FC0000192 -:10CB2000FE01FE1FFFFFFFFFF7FF1FFFFFFFFFFFDD -:10CB3000FF0FFFFFFFFFFFFF07FFFFFFFFFFFF03E9 -:10CB4000FFFFFFFFFFFE00FFFFFFFFFFF800FFFFFB -:10CB5000FFFFFFFFFFFFC0FC0FC0FC0FC0FC0FC0BA -:10CB6000FC0FC0FC0FC0FC0FC0FC0FC0FC0FC0FCD2 -:10CB70000FC0FC0FC0FC0FC0FC0FC0FC0FC0FC0FAF -:10CB8000C0FC0FC0FC0FC0FC0FC0FC0FC0FC0FC0EE -:10CB9000FC0FC0FC0FC0FC0FC0FC0FC0FC0FFFFF60 -:10CBA000FFFFFFFFFFF8003E00078001E0007C0070 -:10CBB0000F0003C000F8003E00078001E0007C0089 -:10CBC0000F0003C000F8001E00078001F0007C0089 -:10CBD0000F0003C000F8001E00078001F0007C0079 -:10CBE0000F0003C000F8001E00078001F0007C0069 -:10CBF0000F0003C000F8001E00078001F0007C0059 -:10CC00000F0003C000F8001E000780FFFFFFFFFFBA -:10CC1000FFFFF01F01F01F01F01F01F01F01F01FC7 -:10CC200001F01F01F01F01F01F01F01F01F01F01B3 -:10CC3000F01F01F01F01F01F01F01F01F01F01F0B4 -:10CC40001F01F01F01F01F01F01F01F01F01F01F75 -:10CC500001F01F01F01F01F01F01FFFFFFFFFFFFA9 -:10CC6000FFFF003E00000FC00007F00001FE0000C3 -:10CC7000FF80003FE0000FFC0007DF0001F3E00051 -:10CC8000F8F8003E1F001F07C007C1F803F03E0080 -:10CC9000F80FC07E01F01F007E07C00F83F003E095 -:10CCA000F800FC7E001F1F0007EFC000FBF0003FF4 -:10CCB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF84 -:10CCC000FFFFFFFFFFFFFF80FE0FE0FC0FC1F81F1B -:10CCD00081F01F03E0000000000000000003C0001E -:10CCE00000000007E00000000007E000000000076F -:10CCF000F0000000000FF0000000000FF000000046 -:10CD0000000FF8000000001FF8000000001FF800EE -:10CD10000000001FFC000000003FFC000000003F7E -:10CD2000FC000000007F7E000000007E7E0000000E -:10CD3000007E7E00000000FE3F00000000FC3F007F -:10CD4000000000FC1F80000001FC1F80000001F8B3 -:10CD50001F80000001F80FC0000003F00FC00000AA -:10CD600003F00FC0000003F007E0000007E007E059 -:10CD7000000007E007E0000007E003F000000FC03C -:10CD800003F000000FC003F000000FC001F8000026 -:10CD90001F8001F800001F8001F800003F8000FCA8 -:10CDA00000003F0000FC00003F00007C00007F000E -:10CDB000007E00007FFF007E00007FFFE03F00005C -:10CDC000FFFFF03F0000FFFFF83F0000FFFFFC1FE8 -:10CDD0008001FFFFFE1F8001FF01FF1F8001FE0099 -:10CDE0007F0FC003F8003F8FC003F8001F8FC00300 -:10CDF000F0001F87E007F0000FC7E007F0000FC743 -:10CE0000E007E0000FC3F00FE0000FC3F00FF000E9 -:10CE10000F83F01FF0000F81F81FF0001F81F81F33 -:10CE2000F8001F80F83FF8003F00FC3FFC007F0047 -:10CE3000FC3FFF01FE007E7E7FFFFE007E7E7FFFC7 -:10CE4000FC007E7E1FFFF8003F7C0FFFE0003F7C70 -:10CE500007FFC0003E3800FE00000C200018000054 -:10CE600001F007FF800003E07FFFE00007C3FFFF42 -:10CE7000F0000F8FFFFFF0001F3FFFFFF0003EFFAD -:10CE8000E03FF8007FFE000FF000FFF0000FF00120 -:10CE9000FFC00007F003FF000007F007FC000007D9 -:10CEA000E00FF000000FE01FC000000FC03F800047 -:10CEB000001F807E0000001F80FC0000003F01F882 -:10CEC0000000007E03F00000007C07C0000000F8B6 -:10CED0000F80000001F01F00000003F03E00000082 -:10CEE00007C07E0000001F80FC0000003F01F8002A -:10CEF00000007E03F0000000FE07F0000003FE0FBC -:10CF0000E0000007FE1FE000001FFC3FE000007F84 -:10CF1000FC7FE00001FDFCFFE00007FBF9FFE00003 -:10CF20001FE3F3FFF000FF87F7FFFF3FFE07EFFF70 -:10CF3000FFFFF80FDF9FFFFFE01FBE1FFFFF003F57 -:10CF40007C0FFFFC003FF807FFC0007FF0000000EF -:10CF500000FFE000000001FFC000000003FF8000B0 -:10CF600000000FDF800000001FBF000000003F7EB8 -:10CF7000000000007EFC00000001FDFC000000033A -:10CF8000F3F80000000FE7F80000001F8FF8000022 -:10CF9000007F1FF8000001FC3FF8000007F87FF851 -:10CFA00000001FE0FFF80000FF81F7FC0003FE0314 -:10CFB000E7FE003FF807C7FFFFFFE00F87FFFFFF17 -:10CFC000801F03FFFFFC003E01FFFFF0007C00FF1D -:10CFD000FF000070001FE000000000007FFFFF80E6 -:10CFE000000000FFFFFFF8000001FFFFFFFF8000CF -:10CFF00001FFFFFFFFF00000FFFFFFFFFE0000FF4B -:10D00000FF1FF9FF80007FF80FF00FF0003FF003E3 -:10D01000F800FE003FF001FC001F801FF0007E00C2 -:10D0200007E00FF8001F8000FC03FC000FC0003F6A -:10D0300001FC0003F0000FC0FE0000FC0003F07FC5 -:10D0400080003F0000FC1FC0000FC0003F0FE00049 -:10D0500001F0000FC3F000007E0007F1FC00001F8C -:10D060008001F87E000003F000FE3F800000FF001A -:10D070007F0FC000001FF07FC3F0000003FFFFE040 -:10D08000F80000007FFFF07E0000000FFFF81F8017 -:10D09000000001FFF807E00000001FF801F00000A9 -:10D0A000000000007C0000000000001F00000000E5 -:10D0B00000000FC0000000000003F00000000000AE -:10D0C00000FC0000000000001F000000000000073E -:10D0D000C0000000000001F00000000000007C0023 -:10D0E00000000000001F80000000000007E00000BA -:10D0F00000000001F80000000000003E00000000F9 -:10D1000000000FC0000000000003F000000000005D -:10D11000007E0000000000001F80000000000007EB -:10D12000F0000000000000FC0000000000003F8054 -:10D13000000000000007F0000000000780FE000073 -:10D14000000001F03F8000000000FC07F00000003C -:10D15000007F00FF000000007F801FE00000003F14 -:10D16000C003FC0000001FE0007FC000001FF000B3 -:10D170000FFC00001FF80001FFE0003FFC00001F53 -:10D18000FFC1FFFE000003FFFFFFFE0000003FFFA6 -:10D19000FFFE00000003FFFFFE000000001FFFFC79 -:10D1A00000000000003FF00000000000000000034D -:10D1B000FFF80000007FFFFE000003FFFFFF80007C -:10D1C0000FFFFFFFC0001FFFFFFFE0003FFC1FFF3E -:10D1D000E0007E0001FFE000FC00007FF001F800AD -:10D1E000003FF003F000001FF007E000001FF00F09 -:10D1F000C000001FE01F8000001FE03F0000001F74 -:10D20000E07E0000001FE0FC0000001FC1F80000ED -:10D21000001FC3F00000001F87E00000003F8FC028 -:10D220000000003F1F800000007E3F000000007EE5 -:10D230007E00000000FCFC00000001F9F800000086 -:10D2400001FBF000000003F7E000000007EFC00062 -:10D2500000000FDF800000001FBF000000001F7EE5 -:10D26000000000003EFC000000007FF8000000000D -:10D27000FFF000000001F7E000000003EFC0000035 -:10D28000000FDF800000001FBF000000003F7E0095 -:10D290000000007EFC00000000FDF800000003F329 -:10D2A000F000000007E7E00000000FCFC000000022 -:10D2B0003F1F800000007E3F00000001F87E00005C -:10D2C0000007F0FC0000000FC1F80000003F83F0F1 -:10D2D000000000FE07E0000003FC0FC000000FF09C -:10D2E0001F8000003FC03F000000FF007E000007DD -:10D2F000FC00FC00003FF001F80001FFC003F80053 -:10D300001FFF0007FFFFFFF8000FFFFFFFE0001FF8 -:10D31000FFFFFF00000FFFFFF8000003FFFF80008A -:10D3200000007FE00000003FFFFFFFFFF9FFFFFF6D -:10D33000FFFFFBFFFFFFFFFFFFFFFFFFFFFFFFFF01 -:10D34000FFFFFFFFDFFFFFFFFFFFBE000000000049 -:10D350007C0000000000F80000000001F000000068 -:10D360000003E00000000007C0000000000F800084 -:10D370000000001F00000000003E00000000007CD4 -:10D380000000000000F80000000001F000000000B4 -:10D3900003E00000000007C3FE0000000F9FFF0035 -:10D3A00000001F7FFF8000003FFFFF8000007FFF25 -:10D3B000FF800000FFFFFF800001FF80FF8000036F -:10D3C000FE007F000007F8007F00000FE0007E00F5 -:10D3D000001F8000FC00003F0000FC00007E0001F8 -:10D3E000F80000F80003F00001F00007E00003F08F -:10D3F000000FC00007E0001F00000FC0007E00000B -:10D400001FC000FC00003FC003F800007FC00FE019 -:10D410000000FFC07F800001FFFFFF000003FFFF4F -:10D42000FC000007FFFFF000000FBFFF8000001F9F -:10D430001FFE0000003E07E00000007C000000002E -:10D4400000F80000000001F00000000003E0000010 -:10D45000000007C0000000000F80000000001F0057 -:10D46000000000003E00000000007C000000000002 -:10D47000F80000000001F00000000003E0000000E0 -:10D480000007FFFFFFFFFFCFFFFFFFFFFFDFFFFFF3 -:10D49000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFE7F1D -:10D4A000FFFFFFFFF83FFFFFFFFFF9FFFFFFFFFF59 -:10D4B000FBFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 -:10D4C000FFFFDFFFFFFFFFFF3E00000000007C00CA -:10D4D00000000000F80000000001F0000000000360 -:10D4E000E00000000007C0000000000F8000000006 -:10D4F000001F00000000003E00000000007C000053 -:10D50000000000F80000000001F00000000003E04F -:10D510000000000007C3FE0000000F9FFF80000016 -:10D520001FFFFF8000003FFFFF8000007FFFFF80A4 -:10D530000000FFF1FF800001FF807F800003FC00FE -:10D540007F000007F0007F00000FC0007E00001F7A -:10D550008000FC00003F0000FC00007C0001F8009F -:10D5600000F80003F00001F00007E00003E0000F06 -:10D57000C00007E0003F00000FC0007E00001FC099 -:10D5800001FC00003FC003F000007FC01FE000006E -:10D59000FFE0FF800001FFFFFF000003FFFFFC0032 -:10D5A0000007FFFFF000000FBFFF8000001F1FFCFF -:10D5B0000000003E03800000007C0000000000F836 -:10D5C0000000000001F00000000003E00000000087 -:10D5D00007C0000000000F80000000001F000000D6 -:10D5E00000003E00000000007C0000000000F80089 -:10D5F00000000001F00000000003E0000000000750 -:10D60000C0000000000F80000000001F00000000AC -:10D61000003E00000000007C0000000000700000E0 -:10D620000000000000007FFF0000000000FFFFF886 -:10D6300000000001FFFFFFC0000001FFFFFFFC0032 -:10D640000000FFFFFFFFC00000FFFF8FFFF800009A -:10D650007FF8000FFF00007FF000007FF0003FF038 -:10D66000000007FC001FF00000007F000FF8000022 -:10D67000000FC003FC00000000E001FC00000000FF -:10D680000000FE0000000000007F8000000000009D -:10D690001FC000000000000FE0000000000003F0C9 -:10D6A000000000000001FC00000C0000007E0000F3 -:10D6B0007FF000003F80003FFF00000FC0003FFFF1 -:10D6C000F00003F0001FFFFE0001F8000FFFFFC095 -:10D6D000007E0007FC0FF0001F8001FC00FE000729 -:10D6E000E000FE001FC001F0003F0003F0007C00DE -:10D6F0000FC000FC001F0007E0001F800FC001F8F2 -:10D700000007E0FBF0007E0001FFFFFC001F80002F -:10D710007FFFFF0007E0001FFFF7C001F80007FFD1 -:10D72000FDF0003E0003FFFF7C000FC000FC0FDF98 -:10D730008003F0007F03F7E0007E003F80FDF800EB -:10D740001FE01FE03F3E0003FF3FF00FCFC0007F10 -:10D75000FFF803F3F0000FFFFC00FC7E0001FFFE6A -:10D76000003F1F80003FFE000FC7F00001FE0003D6 -:10D77000F0FC0000000000FC3F80000000003F07BC -:10D78000F0000000000FC0FC0000000003F03F802C -:10D7900000000000FC07F0000000007F00FE000019 -:10D7A00000003F801FE00000003FC003FC000000BD -:10D7B0001FE0007FC000001FF0000FFC00001FF8FA -:10D7C0000001FFE0001FFC00001FFFC0FFFE000083 -:10D7D00003FFFFFFFE0000003FFFFFFE000000030D -:10D7E000FFFFFE000000001FFFFC00000000007FA4 -:10D7F000F00000200000000019F000000000FBE035 -:10D8000000000001FFC000000003FF8000000007CF -:10D81000FF000000000FFE000000001FFC000000E1 -:10D82000003FF8000000007FF000000000FFE00073 -:10D83000000001FFC000000003FF8000000007FFA0 -:10D84000000000000FFE000000001FFC00000000B0 -:10D850003FF8000000007FF000000000FFE0000043 -:10D860000001FFC000FF0003FF800FFFC007FF00A3 -:10D870003FFFC00FFE00FFFFC01FFC03FFFFC03FC4 -:10D88000F80FFFFFC07FF03FC03FC0FFE07F003FC9 -:10D8900081FFC1FC003F83FF83F0003F07FF0FC003 -:10D8A000003F0FFFFF80007FFFFFFF0000FFFFFF33 -:10D8B000FE0001FFFFFFFC0003FFFFFFF80007FF72 -:10D8C000FFFFF0000FFFFF83F0003F87FF07E0003E -:10D8D0007E0FFE07E001FC1FFC0FE007F03FF80F92 -:10D8E000F03FE07FF01FFFFF80FFE01FFFFE01FF22 -:10D8F000C01FFFF803FF800FFFE007FF0007FF00D6 -:10D900000FFE0001E0001FFC000000003FF80000D7 -:10D9100000007FF000000000FFE000000001FFC0F9 -:10D9200000000003FF8000000007FF000000000F60 -:10D93000FE000000001FFC000000003FF800000097 -:10D94000007FF000000000FFE000000001FFC000C9 -:10D95000000003FF8000000007FF000000000FFE32 -:10D96000000000001FFC000000003E7000000000EE -:10D970003823EFBFFFFFFFFFFFFFFFFFFFFFFFFFAA -:10D98000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA7 -:10D99000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF97 -:10D9A000FE70000000000FF80000000001FFFC0006 -:10D9B0000000000FFFF8000000007FFFF0000000F3 -:10D9C00003FFFFE00000001FF8FFC0000000FF00A1 -:10D9D000FF80000003F800FE0000001FC001FC00F3 -:10D9E00000007E0007F0000001F8000FC0000007F3 -:10D9F000C0003F0000003F0000FC000000FC0001F0 -:10DA0000F0000003F0000FC000000FC0003F000056 -:10DA1000001F0000FC0000007E0003F0000001F881 -:10DA2000001FC0000003F000FE0000000FE007F838 -:10DA30000000001FF07FC00000007FFFFE0000001C -:10DA400000FFFFF000000001FFFF8000000001FF69 -:10DA5000FC0000000001FFC00000000000F8000012 -:10DA600000000003E000000000000F800000000044 -:10DA7000003E000000000000F8000000000003E08D -:10DA800000000000000F8000000000003E000000C9 -:10DA9000000000F8000000000003E00000000000AB -:10DAA0000F8000000000003E000000000000F800B1 -:10DAB0000000000003E000000000001F80000000E4 -:10DAC00000007E000000000001F8000000000007D8 -:10DAD000E000000000001F8000000000007E000049 -:10DAE00000000003F000000000000FC000C00000B4 -:10DAF000007F000F80000001F8003F0000000FE0F1 -:10DB000000FE0000007F0003FC000003FC0007F89B -:10DB100000001FE0000FF00000FF00001FF0000FEA -:10DB2000F800003FF000FFC000007FFFFFFE000094 -:10DB300000FFFFFFF0000000FFFFFF00000001FFFB -:10DB4000FFF800000001FFFF00000000007FE00080 -:10DB50000000200000008001F000003FF803E0001A -:10DB600001FFFC07C00007FFFC0F80001FFFFE1F26 -:10DB700000007FFFFC3E0001FF87FC7C0007F803EC -:10DB8000FCF8000FE003F9F0001F8003FBE0007ECB -:10DB90000003F7C001FC0007EF8007F80007DF0073 -:10DBA0001FE0000FFE007FC0001FFC01FFC0003F10 -:10DBB000F807FF80007DF03FFF0001FBE0FFFF0062 -:10DBC00003F7C3FE7E000FEF8FFCFE003F9F3FFC7C -:10DBD000FE00FF3EFFFDFF87FC7FFFF9FFFFF0FF28 -:10DBE000F3F9FFFFC1FFC3F9FFFF03FF07F1FFFCDC -:10DBF00007FC07F07FE00FE007F000001F8007F050 -:10DC000000003E000FE000007C000FE00000F80084 -:10DC10000FE00001F0001FC00003E0001FC000077C -:10DC2000C0001FC0000F80003F80001F00003F8029 -:10DC3000003E00003F80007C00003F8000F80000B4 -:10DC40007F0001F000007F0003E000007F0007C0BC -:10DC50000000FE000F800000FE001F000000FE001C -:10DC60003E000001FC007C000001FC00F800000107 -:10DC7000FC01F0000001FC03E0000003F807C00015 -:10DC80000003F80F80000003F81F00000007F03EBB -:10DC900000000007F07C00000007F0F80000000F13 -:10DCA000E1F00000000FE3E00000000FE7C000001B -:10DCB000000FEF800000001FDF000000001FFE00CB -:10DCC0000000001FFC000000003E70000000003853 -:10DCD000200000000001F00000000003E000000050 -:10DCE0000007C0000000000F80000000001F0000BF -:10DCF0000000003E00000000007C0000000000F872 -:10DD00000000000001F00000000003E0000000003F -:10DD100007C0000000000F80000000001F0000008E -:10DD200000003E00000000007C0000000000F80041 -:10DD300000000001F00000000003E0000000000708 -:10DD4000C0000000000F80000000001F0000000065 -:10DD5000003E00000000007C0000000000F8000011 -:10DD6000000001F00000000003E00000000007C018 -:10DD7000000000000F80000000001F0000000000F5 -:10DD80003E00000000007C0000000000F8000000E1 -:10DD90000001F00000000003E00000000007C000E8 -:10DDA0000000000F80000000001F00000000003E87 -:10DDB00000000000007C0000000000F800000000EF -:10DDC00001F00000000003E00000000007C00000B8 -:10DDD00000000F80000000001F00000000003E0057 -:10DDE000000000007C0000000000F80000000001BE -:10DDF000F00000000003E00000000007C000000089 -:10DE0000000F80000000001F00000000003E000026 -:10DE10000000007C0000000000F80000000001F09D -:10DE20000000000003E00000000007FFFFFFFFFF0D -:10DE3000CFFFFFFFFFFFDFFFFFFFFFFFFFFFFFFF42 -:10DE4000FFFFFFFFFFFFFFFE7FFFFFFFFFF87000F8 -:10DE500000000000000EFC0000000000001FFE009B -:10DE600000000000003FFF0000000000007FFF8076 -:10DE70000000000000FFFFC00000000001FFFFE005 -:10DE80000000000003FFFFF00000000007FFFFF8A4 -:10DE9000000000000FFFFBFC000000001FDFF9FE88 -:10DEA000000FF0003F9FF8FF003FFE007F1FF87F4C -:10DEB00080FFFF00FE1FF83FC1FFFF81FC1FF81F1E -:10DEC000E3FFFFC7F81FF80FF7FC1FEFF01FF8077D -:10DED000FFF007FFE01FF803FFC003FFC01FF801BA -:10DEE000FFC001FF801FF800FF8000FF001FF80047 -:10DEF0007F0000FE001FF8003F0000FC001FF8003C -:10DF00003F00007C001FF8003F00007C001FF8006D -:10DF10003F0000FC001FF8003F0000FC001FF8005D -:10DF20003F8000F8001FF8001F8001F8001FF80074 -:10DF30001FC001F8001FF8001FE003F0001FF800E9 -:10DF40000FF00FF0001FF8000FFFFFE0001FF800B8 -:10DF500007FFFFE0001FF80003FFFFC0001FF800ED -:10DF600001FFFF80001FF800007FFE00001FF80087 -:10DF7000003FF800001FF80000018000001FF800BB -:10DF800000000000001FF80000000000001FF80063 -:10DF900000000000001FF80000000000001FF80053 -:10DFA00000000000001FF80000000000001FF80043 -:10DFB00000000000001FF80000000000001FF80033 -:10DFC00000000000001FF80000000000001FF80023 -:10DFD00000000000001FF80000000000001FF80013 -:10DFE00000000000001FF80000000000001FF80003 -:10DFF00000000000001FF80000000000001FF800F3 -:10E0000000000000001FF80000000000001FF800E2 -:10E0100000000000001FF80000000000001FF800D2 -:10E0200000000000001FF80000000000001FF800C2 -:10E0300000000000001FF80000000000001F70003A -:10E0400000000000000E300000000011F000000091 -:10E05000007BF000000001F7E000000003FFE0009B -:10E06000000007FFE00000000FFFC00000001FFFDE -:10E07000C00000003FFFC00000007FFFC0000000A4 -:10E08000FFFF80000001FFFF80000003FFBF800052 -:10E090000007FF7F0000000FFE7F0000001FFC7FD5 -:10E0A0000000003FF8FE0000007FF0FE000000FFCF -:10E0B000E0FE000001FFC1FE000003FF81FC000044 -:10E0C00007FF01FC00000FFE01FC00001FFC03F82D -:10E0D00000003FF803F800007FF003F80000FFE0C5 -:10E0E00007F00001FFC007F00003FF8007F0000702 -:10E0F000FF000FF0000FFE000FE0001FFC000FE01C -:10E10000003FF8000FE0007FF0001FC000FFE000BC -:10E110001FC001FFC0001FC003FF80003F8007FF3A -:10E1200000003F800FFE00003F801FFC00007F804A -:10E130003FF800007F007FF000007F00FFE000005C -:10E140007F01FFC00000FE03FF800000FE07FF000C -:10E150000000FE0FFE000001FC1FFC000001FC3F60 -:10E16000F8000001FC7FF0000003FCFFE00000036A -:10E17000F9FFC0000003FBFF80000003FFFF000069 -:10E180000007FFFE00000007FFFC00000007FFF88B -:10E190000000000FFFF00000000FFFE00000000F84 -:10E1A000FFC00000001FFF800000001FFF000000F4 -:10E1B000001FFE000000001FFC000000003E700079 -:10E1C000000000380000007FFF000000000007FF93 -:10E1D000FFE0000000001FFFFFFC000000007FFFC9 -:10E1E000FFFF00000001FFFFFFFF80000003FFFEB4 -:10E1F0007FFFE000000FFF8001FFF000001FFC0028 -:10E20000003FF800003FF000000FFC00007FC0005E -:10E210000003FE0000FF00000000FF0001FE000000 -:10E2200000007F8003FC000000003FC003F80000F6 -:10E2300000001FE007F0000000000FE00FE000000A -:10E24000000007F00FC00000000003F81FC000002E -:10E25000000003F81F800000000001FC3F80000068 -:10E26000000000FC3F000000000000FC3F00000038 -:10E270000000007E7E0000000000007E7E000000A6 -:10E280000000007E7E0000000000003F7C000000D7 -:10E290000000003FFC0000000000003FFC00000008 -:10E2A0000000003FFC0000000000003FFC000000F8 -:10E2B0000000001FF80000000000001FF800000030 -:10E2C0000000001FF80000000000001FF800000020 -:10E2D0000000001FFC0000000000001FFC00000008 -:10E2E0000000003FFC0000000000003FFC000000B8 -:10E2F0000000003F7C0000000000003F7E000000A6 -:10E300000000003E7E0000000000007E7E00000055 -:10E310000000007E3F0000000000007E3F00000083 -:10E32000000000FC1F800000000000FC1F800000B7 -:10E33000000001F81FC00000000003F80FC000003B -:10E34000000003F007E00000000007F007F0000005 -:10E3500000000FE003F8000000001FE001FC0000D7 -:10E3600000003FC001FE000000007F8000FF0000B1 -:10E370000000FF00007FC0000003FE00003FF0002F -:10E38000000FFC00001FFC00003FF8000007FF80AA -:10E3900001FFF0000003FFFE7FFFC0000000FFFF51 -:10E3A000FFFF800000007FFFFFFE000000000FFF66 -:10E3B000FFF80000000003FFFFC000000000001F86 -:10E3C000FC0000000003FF000000000003FFFFFF4F -:10E3D000E0000001FFFFFFFF0000007FFFFFFFF0F4 -:10E3E00000001FFFFFFFFF000007FFFFFFFFE0002F -:10E3F00001F800007FFC00007E000001FFC0001F4C -:10E400008000001FF00007E0000001FE0001F8009E -:10E4100000003FC0007E00000007F8001F800000E1 -:10E4200000FE0007E00000001FC001F8000000032C -:10E43000F0007E00000000FE001F800000001F8032 -:10E4400007E000000007E001F800000000FC00FF0A -:10E45000000000003F01FFF00000000FC0FFFF00C0 -:10E46000000003F07FFFE0000000FC3FFFFC000025 -:10E47000003F1FF9FF8000000FCFF00FF0000003F6 -:10E48000F3F800FC000000FDFC001F8000003F7E50 -:10E490000007E000000FFF0000FC000003FFC000C9 -:10E4A0003F000001FBF0000FC000007EFC0003F005 -:10E4B00000003FBF0000FC00000FCFC0003F000085 -:10E4C00007F3F0000FC00003F87E0003E00001FE38 -:10E4D0001F8001F80000FF07F000FE00007F80FEB3 -:10E4E000007F00007FC03FE07FF0007FF007FFFF6C -:10E4F000FFC1FFF800FFFFFFFFFFF8001FFFFFFF56 -:10E50000FFFC0001FFFDFFFFFC00003FFC0FFFFCD4 -:10E51000000001F800FFF80000007E00000000008D -:10E52000001F80000000000007E000000000000164 -:10E53000F80000000000007E0000000000001F80C6 -:10E54000000000000007E0000000000001F80000EB -:10E55000000000007E0000000000001F800000009E -:10E56000000007E0000000000001F80000000000CB -:10E57000007E0000000000001F8000000000000777 -:10E58000E0000000000001F80000000000003E0074 -:10E5900000000000000F000000000000000000FF6D -:10E5A000FC000000000007FFFFC0000000000FFF9C -:10E5B000FFFC000000001FFFFFFF800000003FFF86 -:10E5C000FFFFE00000003FFF00FFFC0000007FF8BD -:10E5D0000007FF0000007FE000007FC000007FC058 -:10E5E00000000FF000007FC0000003FC00007F80EF -:10E5F0000000007F00003F800000001FC0003F803F -:10E6000000000007F0003F8000000001FC003F8098 -:10E61000000000007E001F80000000001F801FC05F -:10E62000000000000FC00FC00000000003F00FE06A -:10E630000000000001F807E000000000007E03F089 -:10E6400000000000003F03F000000000000F81F810 -:10E65000000000000007E0FC000000000001F07C6A -:10E66000000000000000F87E0000000000007C3F79 -:10E670000000000000003E1F8000000000001F8F0F -:10E68000C000000000000FC7E0000000000003E32E -:10E69000E0000000000001F1F0000000000000F8C0 -:10E6A000FC000000000000FC7E0000000000007E76 -:10E6B0003F0000000000003F1F8000000000001F1E -:10E6C00087C000000000000F83E00000000000078A -:10E6D000C1F8000000000FFFE0FC000000001FFF79 -:10E6E000F03E000000001FFFF01F800000001FFF31 -:10E6F000FC0FC00000001FFFFF03F00000001FF030 -:10E700007F81FC0000000FE00FE07E0000000FE0C2 -:10E7100003F03F80000007E000FC0FE0000007E08E -:10E72000007E03F8000003F0001F01FE000001F866 -:10E73000000F807F800000F80007C01FE000007C11 -:10E740000003E007FC00003F0001F001FF80001F14 -:10E750008000F8007FF00007C000FC001FFF001FD2 -:10E76000F000FE0003FFFFFFFC007E0000FFFFFF44 -:10E77000FF00FF00001FFFFFFFF1FF000001FFFF90 -:10E78000FFFFFF0000001FFFE3FFFF000000003C51 -:10E7900000FFFF0000000000001FFE00000000005E -:10E7A0000003FC000000007FF000780001FFFE0085 -:10E7B000FC0007FFFF00FE000FFFFFC0FE001FFF71 -:10E7C000FFE0FF003FFDFFF0FF807F801FF0FF8034 -:10E7D000FF0007F8FFC1FC0003FCFFE1F80001FCAB -:10E7E000FFF3F80000FEFFF3F000007EFFFBE00007 -:10E7F000007EFDFFE000003FFCFFE000003FFCFF6B -:10E80000E000003FFC7FC000003FFC3FC000003F35 -:10E81000FC3FE000003FFC1FE000003FFC0FE00079 -:10E82000003FFC07E000003FFC07F000007EFC0317 -:10E83000F800007EFC01F80000FEFC01FC0001FC79 -:10E84000FC00FE0003FCFC007F8007F8FC007FE07A -:10E850003FF0FC003FFFFFE0FC001FFFFFC0FC009B -:10E860000FFFFF80FC000FFFFE00FC0007FFF80019 -:10E87000FC0003FF8000FC0003F80000FC0001FC2A -:10E880000000FC0000FE0000FC0000FE0000FC0098 -:10E89000007F0000FC00003F8000FC00001F8000A3 -:10E8A000FC00001FC000FC00000FE000FC0000079F -:10E8B000F000FC000007F000FC000003F800FC0082 -:10E8C0000001FC00FC000000FC00FC000000FE0059 -:10E8D000FC0000007F00FC0000003F00FC00000086 -:10E8E0003F80FC0000001FC0FC0000000FE0FC00A7 -:10E8F00000000FE0FC00000007F0FC00000003F83F -:10E90000FC00000001F8FC00000001FCFC0000001D -:10E9100000FCFC000000007E7C000000007C780011 -:10E920000000003800001FE000000000FFF00000C1 -:10E930000003FFF000000007FFE00000001FFFE001 -:10E940000000003FF8000000007FC000000000FF52 -:10E950000000000001FE0000000001FC00000000BB -:10E9600003F80000000007F00000000007E00000CE -:10E9700000000FC0000000000FC0000000000F806A -:10E98000000000001F80000000001F800000000049 -:10E990001F00000000001F00000000001F0000001A -:10E9A00000001F00000000001F00000000001F000A -:10E9B000000000001F00000000001F000000FC001D -:10E9C0001F800007FF801F80001FFFE00F80003FB7 -:10E9D000FFF00FC0007FFFF80FC000FFFFFC07E053 -:10E9E00000FF03FC07F001FC00FE03F801F8007EC5 -:10E9F00001FC03F0003F01FE03F0003F00FF03E0D5 -:10EA0000003F007FC3E0001F003FFFE0001F001F2A -:10EA1000FFE0001F000FFFE0003F0003FFF0003F9A -:10EA20000000FFF0003E00001FF8007E000001FC27 -:10EA300000FE000000FE01FC000000FFFFF80000E7 -:10EA4000007FFFF01000003FFFE07800000FFFC0E4 -:10EA5000FC000007FF80FC0000007F007E0000003B -:10EA60007F007F000000FE003F800001FC001FC00F -:10EA70000003FC000FF0000FF80007FC003FF0005F -:10EA800003FFC3FFE00001FFFFFFC00000FFFFFF27 -:10EA90000000003FFFFC0000000FFFF0000000003E -:10EAA000FF80000000FFC00000001FFFFFFFFF010C -:10EAB000FFFFFFFFFC1FFFFFFFFFF1FFFFFFFFFF57 -:10EAC0009FF8FFFFFFF9FE01FFFFFF8FE003F80053 -:10EAD00000FE000FC00007E0007E00003F0001F0D4 -:10EAE0000001F0000FC0000F80007E00007C0003DA -:10EAF000F00003E0001F80001F0000FC0000FC008D -:10EB000007C00007E0007E00001F8007F00000FE45 -:10EB1000007F000003FC07F800001FFFFF800000DB -:10EB20007FFFF8000001FFFF80000007FFF80000F2 -:10EB3000000FFF000000000FC0000000007E00007A -:10EB4000000003F0000000001F8000000000FC0037 -:10EB500000000007E0000000003F0000000001F896 -:10EB6000000000000FC0000000007E000000000355 -:10EB7000F0000000001F8000000000FC000000000A -:10EB800007E0000000003F0000000001F800000066 -:10EB9000000FC0000000007E0000000003F0000035 -:10EBA00000001F8000000000FC0000000007E000E3 -:10EBB0000000003F0000000001F8000000000FC04E -:10EBC000000000007E0000000003F0000000001FB5 -:10EBD0008000000000FC0000000007E000000000D2 -:10EBE0003F0000000001F8000000000FC00000001E -:10EBF000007E0000000003F0000000001F80000005 -:10EC000000007C0000000003C0000000780000004D -:10EC1000003DF000000000FBF000000001FFE000FC -:10EC2000000003FFC000000007FF800000000FFF8E -:10EC3000000000001FFE000000003FFC000000007C -:10EC40007FF800000000FFF000000001FFE000007E -:10EC50000003FFC000000007FF800000000FFF005E -:10EC60000000001FFE000000003FFC000000007FCD -:10EC7000F800000000FFF000000001FFE0000000CD -:10EC800003FFC000000007FF800000000FFF00002E -:10EC900000001FFE000000003FFC000000007FF8A5 -:10ECA00000000000FFF000000001FFE00000000392 -:10ECB000FFC000000007FF800000000FFF00000001 -:10ECC000001FFE000000003FFC000000007FF80075 -:10ECD000000000FFF000000001FFE000000003FF63 -:10ECE000C000000007FF800000000FFF00000000D0 -:10ECF0001FFE000000003FFC000000007FF8000045 -:10ED00000000FFF000000001F7E000000003EFC08A -:10ED10000000000FDF800000001F9F800000003F08 -:10ED20003F00000000FE7E00000001F87E000000B1 -:10ED300007F0FC0000000FC0FC0000003F81FC0059 -:10ED40000000FE01FC000003FC03FC00000FF003C8 -:10ED5000FE00003FC003FE0001FF0003FF800FFC28 -:10ED60000003FFFFFFF00003FFFFFFC00001FFFFF4 -:10ED7000FF000000FFFFF80000007FFFC000000060 -:10ED80000FF80000000000000000F000000000FB91 -:10ED9000E000000001F7E000000007EFC000000005 -:10EDA0000FDF800000001F9F800000007E3F0000FA -:10EDB0000000FC7E00000001F87E00000007E0FC7F -:10EDC0000000000FC1F80000001F81F80000007E65 -:10EDD00003F0000000FC07F0000001F807E000006D -:10EDE00007E00FC000000FC00FC000001F801F8091 -:10EDF00000007E003F000000FC003F000001F80022 -:10EE00007E000007E000FC00000FC000FC00001FB7 -:10EE10008001F800007E0003F00000FC0003F00019 -:10EE200003F80007E00007E0000FC0000FC0000F6C -:10EE3000C0003F80001F80007E00003F0000FC00FB -:10EE4000003F0003F800007E0007E00000FC000F18 -:10EE5000C00000FC003F000001F8007E000003F04D -:10EE600000FC000003F003F0000007E007E00000F2 -:10EE70000FC00FC000000FC03F0000001F807E00C9 -:10EE800000001F80FC0000003F03F00000007E0730 -:10EE9000E00000007E0FC0000000FC3F0000000109 -:10EEA000F87E00000001F9FC00000003F3F0000010 -:10EEB0000007E7E000000007FFC00000000FFF00B0 -:10EEC0000000001FFE000000001FFC000000003FCB -:10EED000F0000000007FE0000000007FC0000000A4 -:10EEE00000FF0000000001FE0000000001FC000027 -:10EEF000000003F00000000007E0000000000780B1 -:10EF0000000000000000000000007C001E00001C4B -:10EF1000000FBE000F80001F0007DF8007C0000F3A -:10EF20008007EFC003F0000FC003F7E001F800070F -:10EF3000E001F9F800FC0007E001F8FC003F0003E5 -:10EF4000F000FC7E001F8001F8007E1F800FE001B2 -:10EF5000F8007E0FC003F000FC003F07E001F8005E -:10EF60007E003F81F8007E007E001F80FC003F0095 -:10EF70003F000FC07E001F801F800FE01F8007E052 -:10EF80001F8007E00FC003F00FC003F007E001F897 -:10EF900007E003F801F8007E07E001F800FC003FFD -:10EFA000FFF000FC007F001FFFF800FE001F80073D -:10EFB000FFF8007E000FC007FFFE003F0003F007D0 -:10EFC000FFFF803F8001F807FFFFE01F8000FC0784 -:10EFD000F807F80FC0003F03F801FC0FC0001F83C3 -:10EFE000F8007F07E0000FC1F8001F83F00003F076 -:10EFF000FC000FC3F00001F8FC0003F1F80000FC76 -:10F000007E0001F8FC00003F3F0000FCFC00001FF8 -:10F010009F80007E7E00000FCFC0003F7F00000376 -:10F02000F3E0001FBF000001F9F8001F9F800000FF -:10F03000FCFE001FDFC000003F3F000FEFC00000DC -:10F040001F9FE01FE7E000000FC7FC3FE7F0000054 -:10F0500003F1FFFFF3F0000001F87FFFF1F800007B -:10F0600000FC1FFFF1FC0000003F07FFE0FC000078 -:10F07000001F81FFE07E0000000FE0FFF07F000036 -:10F080000003F0FCFC3F00000001F87E7E1F8000C2 -:10F0900000007E7F1F1FC00000003F3F0FCFC00059 -:10F0A00000001F9F87E7E000000007FFC1F7E000B6 -:10F0B000000003FFC0FFF000000001FFE07FF80048 -:10F0C0000000007FF01FF8000000003FF00FFC0080 -:10F0D0000000001FF807FE0000000007F801FE0016 -:10F0E00000000003FC00FF0000000001FE007F8024 -:10F0F000000000007E001F80000000003F000FC0E5 -:10F10000000000001F8007E000000000078001E011 -:10F11000000000200000000011F0000000007BF063 -:10F1200000000001FFE000000007FFE00000000F0A -:10F13000CFE00000003F8FE0000000FE1FC0000095 -:10F1400003F81FC0000007F01FC000001FC03F8071 -:10F1500000007F003F800000FE003F800003F800B9 -:10F160007F00000FE0007F00001F80007F00007F15 -:10F1700000007F0001FC0000FE0007F00000FE0020 -:10F180000FE00000FEFFBF800001FFFFFE00000156 -:10F19000FFFFF8000001FFFFF0000003FFFFE000A9 -:10F1A000000FFFFFE000003FC03FC000007F001FD6 -:10F1B000C00001FC001F800003F0003F800007E05A -:10F1C000003F00001F80007E00003F00007C000028 -:10F1D0007E0000F80000FC0001F00001F80007E0EC -:10F1E0000001F0000FC00003F0001F800007E000E6 -:10F1F0007E000007E001FC00000FF007F000000FA8 -:10F20000F03FE000000FFFFF8000001FFFFF000045 -:10F21000007FFFFE000000FFFFFE000003FFFFFE77 -:10F2200000000FE0E1FC00003F8001FC00007F00D7 -:10F2300001FC0001FC0001FC0007F00003F8000FD6 -:10F24000E00003F8003F800003F800FE000007F034 -:10F2500001FC000007F007F0000007F01FC00000ED -:10F260000FE07F0000000FE0FE0000000FE3F80059 -:10F2700000000FEFE00000001FDFC00000001FFFD4 -:10F28000000000001FFC000000003E7000000000B5 -:10F290003C780000000000079F000000000003FF12 -:10F2A000E00000000001FDFC0000000000FE7F8087 -:10F2B000000000007F8FF0000000003FC1FE000052 -:10F2C00000001FE03FC00000000FF007F800000042 -:10F2D00007F800FF00000003FC001FE0000001FE33 -:10F2E0000003FC000000FF00007F8000007F800022 -:10F2F0000FF000003FC00001FE00001FE000003FD3 -:10F30000C0000FF0000007F80007F8000000FF0041 -:10F3100003FC0000001FEFF9FE00000003FFFFFFE9 -:10F32000000000007FFFFF800000000FFFFFC00013 -:10F33000000003FFFFF000000000FF87FE00000058 -:10F34000007F807F800000003F8007F00000000FFA -:10F35000E001FC00000003F0003F00000001F800A5 -:10F3600007E00000007E0001F80000001F80007E22 -:10F3700000000007E0001F80000001F80007E00027 -:10F3800000007E0001F80000000F8000FE00000079 -:10F3900003F0003F00000000FC001FC00000001F41 -:10F3A000800FF000000007F807F800000000FF875A -:10F3B000FC000000001FFFFF0000000003FFFF80B3 -:10F3C000000000007FFF80000000000FFFC0000071 -:10F3D0000000007F8000000000000FC0000000005F -:10F3E0000003F0000000000000FC0000000000002E -:10F3F0003F0000000000000FC0000000000003F00C -:10F40000000000000000FC0000000000003F0000C1 -:10F41000000000000FC0000000000003F00000002A -:10F42000000000FC0000000000003F0000000000A1 -:10F43000000FC0000000000003F00000000000000A -:10F44000FC0000000000003F0000000000000FC0B2 -:10F45000000000000001F000000000000078000043 -:10F4600000FFFFFFFFFFFC007FFFFFFFFFFE003FED -:10F47000FFFFFFFFFF801FFFFFFFFFFF800FFFFF6A -:10F48000FFFFFFC000000000001FC00000000000E0 -:10F490001FC000000000000FE000000000000FE0AF -:10F4A00000000000000FE0000000000007F0000076 -:10F4B00000000007F0000000000007F0000000005E -:10F4C0000003F0000000000003F80000000000034B -:10F4D000F8000000000003F8000000000001FC003C -:10F4E0000000000001FC000000000001FC00000022 -:10F4F000000000FE000000000000FE000000000010 -:10F5000000FE0000000000007E0000000000007F00 -:10F510000000000000007F0000000000007F0000ED -:10F52000000000003F8000000000003F800000005D -:10F5300000003F8000000000001FC000000000002D -:10F540001FC000000000001FC000000000000FC02E -:10F5500000000000000FE000000000000FE00000CD -:10F560000000000FE0000FC0000007F0003FFC00AB -:10F570000007F0007FFF000007F000FFFFE000033E -:10F58000F800FFFFF80003F800FFFFFE0003F8009B -:10F590007F81FF0001F8007F003FC001FC003F00B9 -:10F5A0000FE001FC003F0003F801FC001F8000FC9D -:10F5B00000FE000F80007E00FE000FC0003F00FE36 -:10F5C0000007E0000F807F0003F00007C07F00010C -:10F5D000F80007E07F00007C0003F03F00003F00E0 -:10F5E00001F83F80001F8001FC3F80000FE000FC1D -:10F5F0003F800003F800FE1FC00001FE01FE1FFF58 -:10F60000FFFFFFF7FF1FFFFFFFFFFFFF0FFFFFFFE2 -:10F61000FFFFFF07FFFFFFFFFFFF03FFFFFFFFFFEE -:10F62000FE00FFFFFFFFFFF800001FC01FF00FFCF0 -:10F6300003FF01FFC07FF01F800FC003F000F80040 -:10F640003E000F8003E000F8003E000F8003E00062 -:10F65000F8003E000F8003E000F8003E001F800726 -:10F66000C003F003F803FC00FE003E000FE003FCC3 -:10F67000003F8003F0007C001F8003E000F8003EA4 -:10F68000000F8003E000F8003E000F8003E000F868 -:10F69000003E000F8003E000F8003F000FC001F8BB -:10F6A000007FF01FFC03FF00FFC00FF001FCFFFF15 -:10F6B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5A -:10F6C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4A -:10F6D000FFFFFEFE003FC00FFC03FF00FFE03FF80E -:10F6E000007E000FC003F0007C001F0007C001F087 -:10F6F000007C001F0007C001F0007C001F0007C055 -:10F7000001F0007C001F0007E000F8003F0007F058 -:10F7100000FF001FC001F001FC00FF007F003F0060 -:10F720000F8007E001F0007C001F0007C001F0001F -:10F730007C001F0007C001F0007C001F0007C00113 -:10F74000F0007C003F000FC007E03FF80FFE03FF12 -:10F7500000FFC03FC00FC00007E000003FF80004FA -:10F76000FFFC001BFFFE00FFFFFF83FFFFFFFFFE0C -:10F770000FFFFFF003FFFEC001FFF90000FFE000F4 -:10F78000007F000000000000200001000000072DA5 -:10F790001205D400280010101603D4004800212DB3 -:10F7A0002301D400020130474108BD00AC02302FD4 -:10F7B0003804D300C603262F2A03D300A60406105C -:10F7C0000C03D400B2040F3A1504D4001F050F3AFD -:10F7D0001504D4008C0514131902D400BC051D1D9A -:10F7E0002504DC002606070F1205FB003406110570 -:10F7F0001502EE003F0606061206FB004406122D17 -:10F800001200D400AA061D2E2303D4005207102E86 -:10F810002307D400AE071E2E2302D4005B081D2E42 -:10F820002303D40003091F2E2301D400B6091E2E82 -:10F830002303D400630A1E2E2302D400100B1D2EB6 -:10F840002303D400B80B1D2E2303D4005F0C1D2E00 -:10F850002303D400060D06211206E0001F0D072A1F -:10F860001205E000440D1E1F2503DB00B90D1D131A -:10F870002504E100FE0D1E1F2503DB00730E1D2E67 -:10F880002303D3001A0F3B3B4003D300CE1030417B -:10F890004108C00054122F414109C000D2133A4020 -:10F8A0004103C100A2152F414109C00020172F417B -:10F8B0004109C0009E182F414109C0001C1A3A405E -:10F8C0004103C100EC1B2F414109C0006A1D0641E4 -:10F8D0001909C0009B1D36404105C1004B1F2F4137 -:10F8E0004109C000C9202F414109C0004722403FC3 -:10F8F0005209C2003F242F414109C000BD254040AC -:10F900004100C100BD273A414103C0009529414053 -:10F910004100C1009D2B30404108C1001D2D3040E9 -:10F920004108C1009D2E2D40410CC10005302F40E3 -:10F930004109C1007D312F414109C000FB324141E5 -:10F940004100C0000C352F414109C0008A363A40C1 -:10F950004103C1005A3839404D09C100223A0C3ADE -:10F960001204D400793A122D1200D400DF3A0C3A76 -:10F970001201D400363B1A181E02D300843B250521 -:10F9800023FF09009C3B0B091503D400A93B304120 -:10F990004108C0002F3D2F414109C000AD3E3A4013 -:10F9A0004103C1007D402F414109C000FB412F416F -:10F9B0004109C00079432F414109C000F7443A4052 -:10F9C0004103C100C7462F414109C00045480641D7 -:10F9D0001909C000764836404105C100264A2F412A -:10F9E0004109C000A44B2F414109C000224D403FB6 -:10F9F0005209C2001A4F2F414109C000985040409F -:10FA00004100C10098523A414103C0007054414046 -:10FA10004100C100785630404108C100F8573040DD -:10FA20004108C10078592D40410CC100E05A2F40D7 -:10FA30004109C100585C2F414109C000D65D4141D8 -:10FA40004100C000E75F2F414109C00065613A40B5 -:10FA50004103C100356339404D09C100FD64123BCB -:10FA60001502D3008265053B1006D400A765123B42 -:10FA70001501D3002C661F0B2503E60009023A018D -:10FA8000070100C0FA080B00020202010509040088 -:10FA900000010202010505240010010524010001F6 -:10FAA0000424020205240600010705840310001047 -:10FAB00009040100020A0000050705010210000107 -:10FAC00007058102100001080B020202020106096B -:10FAD00004020001020201060524001001052401B0 -:10FAE0000003042402020524060203070584031010 -:10FAF000001009040300020A0000060705020210B4 -:10FB0000000107058202100001080B040301000038 -:10FB1000070904040000010100070A240100013460 -:10FB2000000205060C24021E10070002030000005C -:10FB3000092403230101001E000C24020C01010012 -:10FB40000203001B00092403111107000C00090423 -:10FB50000500000102000709040501010102000778 -:10FB6000072401230101000B240201020318018074 -:10FB7000BB000905830D20010100000725010002DB -:10FB80000000090406000001020007090406010143 -:10FB9000010200070724010C0101000B24020102ED -:10FBA00003180180BB0009050305200101000007BF -:10FBB00025010000000000000A06000200000040CD -:10FBC00001000800000210000004180000062000D8 -:10FBD00000082800000A3000000C3800000E480021 -:10FBE0004002500040045800400660004008680091 -:10FBF000400A7000400C7800400E8800800290009F -:10FC0000800498008006A0008008A800800AB00048 -:10FC1000800CB800800EC800C002D000C004D8001C -:10FC2000C006E000C008E800C00AF000C00CF80000 -:10FC3000C00E080100031001000518010007200193 -:10FC400000092801000B3001000D3801000F4801A8 -:10FC50004003500140055801400760014009680118 -:10FC6000400B7001400D7801400F88018003900126 -:10FC7000800598018007A0018009A801800BB001D0 -:10FC8000800DB801800FC801C003D001C005D801A4 -:10FC9000C007E001C009E801C00BF001C00DF80188 -:10FCA000C00F1002080418020806200208082802E3 -:10FCB000080A3002080C3802080E500248045802A4 -:10FCC0004806600248086802480A7002480C780238 -:10FCD000480E9002880498028806A0028808A802AC -:10FCE000880AB002880CB802880ED002C804D80274 -:10FCF000C806E002C808E802C80AF002C80CF80208 -:10FD0000C80E100308051803080720030809280374 -:10FD1000080B3003080D3803080F5003480558033B -:10FD20004807600348096803480B7003480D7803CF -:10FD3000480F9003880598038807A0038809A80343 -:10FD4000880BB003880DB803880FD003C805D8030B -:10FD5000C807E003C809E803C80BF003C80DF8039F -:10FD6000C80F18041006200410082804100A3004D4 -:10FD7000100C3804100E5804500660045008680433 -:10FD8000500A7004500C7804500E98049006A00499 -:10FD90009008A804900AB004900CB804900ED804FF -:10FDA000D006E004D008E804D00AF004D00CF8042F -:10FDB000D00E18051007200510092805100B300576 -:10FDC000100D3805100F58055007600550096805DB -:10FDD000500B7005500D7805500F98059007A00541 -:10FDE0009009A805900BB005900DB805900FD805A7 -:10FDF000D007E005D009E805D00BF005D00DF805D7 -:10FE0000D00F200618082806180A3006180C3806E5 -:10FE1000180E600658086806580A7006580C7806CE -:10FE2000580EA0069808A806980AB006980CB806BE -:10FE3000980EE006D808E806D80AF006D80CF806AE -:10FE4000D80E200718092807180B3007180D380797 -:10FE5000180F600758096807580B7007580D780786 -:10FE6000580FA0079809A807980BB007980DB80776 -:10FE7000980FE007D809E807D80BF007D80DF80766 -:10FE8000D80F2808200A3008200C3808200E6808EF -:10FE9000600A7008600C7808600EA808A00AB00814 -:10FEA000A00CB808A00EE808E00AF008E00CF8087A -:10FEB000E00E2809200B3009200D3809200F6809B1 -:10FEC000600B7009600D7809600FA809A00BB009DC -:10FED000A00DB809A00FE809E00BF009E00DF80942 -:10FEE000E00F300A280C380A280E700A680C780ACD -:10FEF000680EB00AA80CB80AA80EF00AE80CF80AB6 -:10FF0000E80E300B280D380B280F700B680D780B9E -:10FF1000680FB00BA80DB80BA80FF00BE80DF80B8D -:10FF2000E80F380C300E780C700EB80CB00EF80CD0 -:10FF3000F00E380D300F780D700FB80DB00FF80DB2 -:10FF4000F00F0000000000000000000020C90208BF -:10FF50000000000094C8020800000000000000003B -:10FF60000000000024CA0208000000000000000099 -:10FF70000000000000000000000000000000000081 -:10FF8000000000006CCB020800000000003E5B4F48 -:10FF90005B3E3E6B4F6B3E1C3E7C3E1C183C7E3CE9 -:10FFA000181C577D571C1C5E7F5E1C00183C1800F7 -:10FFB000FFE7C3E7FF0018241800FFE7DBE7FF3087 -:10FFC000483A060E2629792926407F050507407FF5 -:10FFD00005253F5A3CE73C5A7F3E1C1C08081C1C68 -:10FFE0003E7F14227F22145F5F005F5F06097F015E -:10FFF0007F006689956A606060606094A2FFA29449 -:020000040804EE -:1000000008047E040810207E201008082A1C080816 -:100010001C2A08081E101010100C1E0C1E0C303864 -:100020003E3830060E3E0E06000000000000005F65 -:1000300000000007000700147F147F14242A7F2A81 -:100040001223130864623649562050000807030043 -:10005000001C2241000041221C002A1C7F1C2A088F -:10006000083E0808008070300008080808080000F2 -:1000700060600020100804023E5149453E00427F66 -:10008000400072494949462141494D331814127FB5 -:100090001027454545393C4A494931412111090755 -:1000A0003649494936464949291E000014000000D6 -:1000B00040340000000814224114141414140041A8 -:1000C00022140802015909063E415D594E7C121165 -:1000D000127C7F494949363E414141227F4141419D -:1000E0003E7F494949417F090909013E4141517318 -:1000F0007F0808087F00417F41002040413F017F89 -:10010000081422417F404040407F021C027F7F0450 -:1001100008107F3E4141413E7F090909063E415199 -:10012000215E7F09192946264949493203017F0189 -:10013000033F4040403F1F2040201F3F4038403F8A -:10014000631408146303047804036159494D4300A0 -:100150007F4141410204081020004141417F0402D7 -:10016000010204404040404000030708002054546E -:1001700078407F284444383844444428384444284C -:100180007F385454541800087E090218A4A49C789F -:100190007F0804047800447D40002040403D007FFB -:1001A0001028440000417F40007C047804787C08DB -:1001B0000404783844444438FC18242418182424AF -:1001C00018FC7C08040408485454542404043F4494 -:1001D000243C4040207C1C2040201C3C4030403CC3 -:1001E00044281028444C9090907C4464544C440023 -:1001F0000836410000007700000041360800020187 -:100200000204023C2623263C1EA1A161123A404072 -:10021000207A3854545559215555794122545478EF -:1002200042215554784020545579400C1E52721288 -:100230003955555559395454545939555454580011 -:1002400000457C410002457D420001457C407D1215 -:1002500011127DF0282528F07C5455450020545477 -:100260007C547C0A097F4932494949323A44444422 -:100270003A324A4848303A4141217A3A424020785D -:10028000009DA0A07D3D4242423D3D4040403D3C5E -:1002900024FF2424487E4943662B2FFC2F2BFF0983 -:1002A00029F620C0887E0903205454794100004477 -:1002B0007D413048484A32384040227A007A0A0A62 -:1002C000727D0D19317D2629292F282629292926D5 -:1002D00030484D4020380808080808080808382F1A -:1002E00010C8ACBA2F102834FA00007B00000814A4 -:1002F0002A142222142A14085500550055AA55AA7A -:1003000055AAFF55FF55FF000000FF00101010FF19 -:1003100000141414FF001010FF00FF1010F010F074 -:10032000141414FC001414F700FF0000FF00FF1465 -:1003300014F404FC141417101F10101F101F1414B1 -:10034000141F00101010F0000000001F10101010FB -:100350001F10101010F010000000FF1010101010EF -:1003600010101010FF10000000FF140000FF00FF2D -:1003700000001F10170000FC04F4141417101714C9 -:1003800014F404F40000FF00F714141414141414EB -:10039000F700F7141414171410101F101F1414145E -:1003A000F4141010F010F000001F101F0000001FC8 -:1003B00014000000FC140000F010F01010FF10FFFB -:1003C000141414FF141010101F00000000F010FF90 -:1003D000FFFFFFFFF0F0F0F0F0FFFFFF0000000074 -:1003E00000FFFF0F0F0F0F0F3844443844FC4A4AF8 -:1003F0004A347E02020606027E027E0263554941AD -:10040000633844443C04407E201E2006027E0202E3 -:1004100099A5E7A5991C2A492A1C4C7201724C30F7 -:100420004A4D4D303048784830BC625A463D3E49CE -:100430004949007E0101017E2A2A2A2A2A44445F72 -:10044000444440514A444040444A51400000FF0166 -:1004500003E080FF000008086B6B08361236243674 -:10046000060F090F060000181800000010100030D9 -:1004700040FF0101001F01011E00191D1712003C61 -:100480003C3C3C000000000000000000920E493C93 -:10049000B10AC93C2BC3163D2EFB483D752B7B3D55 -:1004A00004A9963D80B6AF3D36BDC83D2FBCE13DA9 -:1004B00073B2FA3D87CF093E8340163EB5AB223E6C -:1004C000A2102F3ECF6E3B3EC2C5473E0115543EA3 -:1004D000135C603E7F9A6C3ECCCF783EC07D823EFE -:1004E000938E883E229A8E3E32A0943E86A09A3EFB -:1004F000E59AA03E128FA63ED47CAC3EEF63B23E9E -:100500002A44B83E491DBE3E15EFC33E53B9C93E0D -:10051000CA7BCF3E4136D53E80E8DA3E4F92E03E80 -:100520007533E63EBBCBEB3EEA5AF13ECBE0F63EFE -:10053000275DFC3EE4E7003F3D9C033F824B063FC6 -:100540009BF5083F6B9A0B3FDA390E3FCDD3103F36 -:100550002A68133FD9F6153FC07F183FC6021B3FDC -:10056000D17F1D3FCBF61F3F9967223F25D2243F05 -:100570005636273F1594293F4AEB2B3FDE3B2E3F53 -:10058000BB85303FC9C8323FF304353F233A373F7C -:100590004268393F3B8F3B3FF9AE3D3F67C73F3F26 -:1005A00070D8413F01E2433F03E4453F65DE473FEA -:1005B00012D1493FF8BB4B3F029F4D3F207A4F3F3E -:1005C0003D4D513F4918533F31DB543FE595563F70 -:1005D0005348583F6AF2593F1A945B3F532D5D3F91 -:1005E00005BE5E3F2146603F97C5613F5A3C633F71 -:1005F00059AA643F880F663FD86B673F3CBF683F8E -:10060000A7096A3F0C4B6B3F5E836C3F93B26D3F13 -:100610009ED86E3F73F56F3F0809713F5213723FCA -:100620004714733FDD0B743F0BFA743FC6DE753F12 -:1006300007BA763FC58B773FF853783F9812793FDA -:100640009DC7793F02737A3FBE147B3FCDAC7B3FA1 -:10065000283B7C3FC9BF7C3FAC3A7D3FCCAB7D3F64 -:1006600023137E3FB0707E3F6DC47E3F580E7F3FA8 -:100670006D4E7F3FAB847F3F0FB17F3F97D37F3F6E -:1006800043EC7F3F11FB7F3F0000803F11FB7F3F2A -:1006900043EC7F3F97D37F3F0FB17F3FAB847F3FDA -:1006A0006D4E7F3F580E7F3F6DC47E3FB0707E3FE2 -:1006B00023137E3FCCAB7D3FAC3A7D3FC9BF7C3F2F -:1006C000283B7C3FCDAC7B3FBE147B3F02737A3F1F -:1006D0009DC7793F9812793FF853783FC58B773F94 -:1006E00007BA763FC6DE753F0BFA743FDD0B743FE9 -:1006F0004714733F5213723F0809713F73F56F3F00 -:100700009ED86E3F93B26D3F5E836C3F0C4B6B3F48 -:10071000A7096A3F3CBF683FD86B673F880F663FB9 -:1007200059AA643F5A3C633F97C5613F2146603FE9 -:1007300005BE5E3F532D5D3F1A945B3F6AF2593F01 -:100740005348583FE595563F31DB543F4918533FD6 -:100750003D4D513F207A4F3F029F4D3FF8BB4B3FED -:1007600012D1493F65DE473F03E4453F01E2433F85 -:1007700070D8413F67C73F3FF9AE3D3F3B8F3B3F9E -:100780004268393F233A373FF304353FC9C8323F07 -:10079000BB85303FDE3B2E3F4AEB2B3F1594293F74 -:1007A0005636273F25D2243F9967223FCBF61F3F7D -:1007B000D17F1D3FC6021B3FC07F183FD9F6153FB2 -:1007C0002A68133FCDD3103FDA390E3F6B9A0B3FA7 -:1007D0009BF5083F824B063F3D9C033FE4E7003F0B -:1007E000275DFC3ECBE0F63EEA5AF13EBBCBEB3E4A -:1007F0007533E63E4F92E03E80E8DA3E4136D53E24 -:10080000CA7BCF3E53B9C93E15EFC33E491DBE3E1C -:100810002A44B83EEF63B23ED47CAC3E128FA63E73 -:10082000E59AA03E86A09A3E32A0943E229A8E3E41 -:10083000938E883EC07D823ECCCF783E7F9A6C3EC0 -:10084000135C603E0115543EC2C5473ECF6E3B3E31 -:10085000A2102F3EB5AB223E8340163E87CF093E05 -:1008600073B2FA3D2FBCE13D36BDC83D80B6AF3D09 -:1008700004A9963D752B7B3D2EFB483D2BC3163DB1 -:10088000B10AC93C920E493C00000000920E49BCDE -:10089000B10AC9BC2BC316BD2EFB48BD752B7BBD51 -:1008A00004A996BD80B6AFBD36BDC8BD2FBCE1BDA5 -:1008B00073B2FABD87CF09BE834016BEB5AB22BE68 -:1008C000A2102FBECF6E3BBEC2C547BE011554BE9F -:1008D000135C60BE7F9A6CBECCCF78BEC07D82BEFA -:1008E000938E88BE229A8EBE32A094BE86A09ABEF7 -:1008F000E59AA0BE128FA6BED47CACBEEF63B2BE9A -:100900002A44B8BE491DBEBE15EFC3BE53B9C9BE09 -:10091000CA7BCFBE4136D5BE80E8DABE4F92E0BE7C -:100920007533E6BEBBCBEBBEEA5AF1BECBE0F6BEFA -:10093000275DFCBEE4E700BF3D9C03BF824B06BFC2 -:100940009BF508BF6B9A0BBFDA390EBFCDD310BF32 -:100950002A6813BFD9F615BFC07F18BFC6021BBFD8 -:10096000D17F1DBFCBF61FBF996722BF25D224BF01 -:10097000563627BF159429BF4AEB2BBFDE3B2EBF4F -:10098000BB8530BFC9C832BFF30435BF233A37BF78 -:10099000426839BF3B8F3BBFF9AE3DBF67C73FBF22 -:1009A00070D841BF01E243BF03E445BF65DE47BFE6 -:1009B00012D149BFF8BB4BBF029F4DBF207A4FBF3A -:1009C0003D4D51BF491853BF31DB54BFE59556BF6C -:1009D000534858BF6AF259BF1A945BBF532D5DBF8D -:1009E00005BE5EBF214660BF97C561BF5A3C63BF6D -:1009F00059AA64BF880F66BFD86B67BF3CBF68BF8A -:100A0000A7096ABF0C4B6BBF5E836CBF93B26DBF0F -:100A10009ED86EBF73F56FBF080971BF521372BFC6 -:100A2000471473BFDD0B74BF0BFA74BFC6DE75BF0E -:100A300007BA76BFC58B77BFF85378BF981279BFD6 -:100A40009DC779BF02737ABFBE147BBFCDAC7BBF9D -:100A5000283B7CBFC9BF7CBFAC3A7DBFCCAB7DBF60 -:100A600023137EBFB0707EBF6DC47EBF580E7FBFA4 -:100A70006D4E7FBFAB847FBF0FB17FBF97D37FBF6A -:100A800043EC7FBF11FB7FBF000080BF11FB7FBF26 -:100A900043EC7FBF97D37FBF0FB17FBFAB847FBFD6 -:100AA0006D4E7FBF580E7FBF6DC47EBFB0707EBFDE -:100AB00023137EBFCCAB7DBFAC3A7DBFC9BF7CBF2B -:100AC000283B7CBFCDAC7BBFBE147BBF02737ABF1B -:100AD0009DC779BF981279BFF85378BFC58B77BF90 -:100AE00007BA76BFC6DE75BF0BFA74BFDD0B74BFE5 -:100AF000471473BF521372BF080971BF73F56FBFFC -:100B00009ED86EBF93B26DBF5E836CBF0C4B6BBF44 -:100B1000A7096ABF3CBF68BFD86B67BF880F66BFB5 -:100B200059AA64BF5A3C63BF97C561BF214660BFE5 -:100B300005BE5EBF532D5DBF1A945BBF6AF259BFFD -:100B4000534858BFE59556BF31DB54BF491853BFD2 -:100B50003D4D51BF207A4FBF029F4DBFF8BB4BBFE9 -:100B600012D149BF65DE47BF03E445BF01E243BF81 -:100B700070D841BF67C73FBFF9AE3DBF3B8F3BBF9A -:100B8000426839BF233A37BFF30435BFC9C832BF03 -:100B9000BB8530BFDE3B2EBF4AEB2BBF159429BF70 -:100BA000563627BF25D224BF996722BFCBF61FBF79 -:100BB000D17F1DBFC6021BBFC07F18BFD9F615BFAE -:100BC0002A6813BFCDD310BFDA390EBF6B9A0BBFA3 -:100BD0009BF508BF824B06BF3D9C03BFE4E700BF07 -:100BE000275DFCBECBE0F6BEEA5AF1BEBBCBEBBE46 -:100BF0007533E6BE4F92E0BE80E8DABE4136D5BE20 -:100C0000CA7BCFBE53B9C9BE15EFC3BE491DBEBE18 -:100C10002A44B8BEEF63B2BED47CACBE128FA6BE6F -:100C2000E59AA0BE86A09ABE32A094BE229A8EBE3D -:100C3000938E88BEC07D82BECCCF78BE7F9A6CBEBC -:100C4000135C60BE011554BEC2C547BECF6E3BBE2D -:100C5000A2102FBEB5AB22BE834016BE87CF09BE01 -:100C600073B2FABD2FBCE1BD36BDC8BD80B6AFBD05 -:100C700004A996BD752B7BBD2EFB48BD2BC316BDAD -:100C8000B10AC9BC920E49BC0000008055413352E4 -:100C9000454F205472616E73636569766572207387 -:100CA000746172746564210D0A0D0A0025640A00DE -:100CB00046697273742062797465206F6620525899 -:100CC0002D4650474120492F513A200041444320AE -:100CD0004D494E2F4D415820416D706C69747564BB -:100CE000653A200049512050686173652065727231 -:100CF0006F723A20002053616D706C65733A20006A -:100D00002054696D652C206D733A2000556E6B6E12 -:100D10006F776E2043415420617267756D656E7404 -:100D2000733A20002054696D652C2075733A2000B9 -:100D3000474F4F4420425945210050726F66696CFD -:100D4000652023004D435033303038202D20312092 -:100D5000696E6974206572726F722028465047412F -:100D60002049325320434C4B3F29005B4552525D92 -:100D70002046726F6E7470616E656C204D43503307 -:100D8000303038202D2031206E6F7420666F756EE4 -:100D9000642C2064697361626C696E672E2E2E204C -:100DA0002846504741205350492F49325320434C45 -:100DB0004F434B204552524F523F29002E003030B6 -:100DC000005B4F4B5D2053657474696E67732064DC -:100DD0006174612073756363657366756C6C7920EB -:100DE0006C6F616465642066726F6D204241434B95 -:100DF0005550205352414D2062616E6B2031005B93 -:100E00004F4B5D2053657474696E67732064617421 -:100E1000612073756363657366756C6C79206C6FA4 -:100E2000616465642066726F6D204241434B55508A -:100E3000205352414D2062616E6B20320043414C81 -:100E40004C5349474E3A00425030303030303B002E -:100E5000534D303130303B004E4130303B0050413B -:100E600030303B00524130303B004E4230303B008E -:100E70004D4C30303B004E5230303B004F53303001 -:100E80003B00435430303B00475430303B00414935 -:100E9000303B004249303B005653303B0046543013 -:100EA0003B005458303B005658303B0050413031E5 -:100EB0003B00475430313B004944303234313B0031 -:100EC0005053313B005653313B005458313B00479F -:100ED0005430323B005458323B00475430333B00CF -:100EE000475430343B0053483031363B00464100D4 -:100EF0004E41005041005241004642004E42004CDB -:100F0000534200555342004946204761696E2C20E8 -:100F100064420041747420737465702C2064420034 -:100F2000414743005043004944004D440054776F0B -:100F3000205369676E616C2054554E4500505245F0 -:100F400000535752205452414E53205241544500B1 -:100F50004D555445005246204741494E20484600D1 -:100F60004946005246204741494E204C46005246D1 -:100F7000204741494E2048462048494748005348A9 -:100F8000004149004249004C4F434B0043572D4C10 -:100F9000004449474C004D4C00414D004E464D0029 -:100FA00057464D005B4F4B5D2053657474696E6707 -:100FB0007320646174612073756363657366756C17 -:100FC0006C79206C6F616465642066726F6D20457A -:100FD0004550524F4D004357204B6579657220575D -:100FE000504D00534D0055534220494E004D49434A -:100FF00020494E004C494E4520494E004250004C7D -:101000004F4F5000495100564F4C542043414C49DA -:1010100042520053204D45544552004E52004F5607 -:1010200052004846205357520042616E6420535785 -:101030005200414743204761696E207461726765C1 -:10104000742C204C4B4653004F53005053005653C2 -:1010500000435400465400475400467265712053C3 -:1010600074657020464153540043572D5500444940 -:101070004755005246204741494E204846204C4F94 -:101080005700252E316657004E4F545800565800D1 -:1010900042573A25642D25640042573A2564005290 -:1010A00058204147432053534220537065656400E4 -:1010B00054582041474320537065656400525820BE -:1010C00041474320435720537065656400464654AA -:1010D00020456E61626C6564005B4F4B5D20454549 -:1010E00050524F4D2043616C6962726174696F6E3A -:1010F00073205361766564004D4943204551204D6E -:101100006964005258204551204D69640046465498 -:10111000204772696400444E5220546872657368B7 -:101120006F6C64005B4552525D20417564696F20AD -:10113000636F646563206E6F7420666F756E640004 -:10114000464654204261636B67726F756E6400455A -:101150006E636F64657232206465626F756E63657D -:1011600000456E636F646572206465626F756E63BF -:101170006500444E52204176657261676500547285 -:10118000616E7376657274657220456E61626C651E -:1011900000444542554720436F6E736F6C650043B2 -:1011A0006F6C6F72205468656D65005365742043E1 -:1011B0006C6F636B2054696D6500566F6C756D655F -:1011C00000466C6173682075706461746500456EDB -:1011D000636F64657220736C6F7720726174650051 -:1011E000456E636F64657220416363656C6572610F -:1011F000746500467265713D25646B487A205357CB -:10120000523D252E3166005B4F4B5D2043616C697A -:1012100062726174696F6E206C6F6164696E6700E1 -:101220005B4F4B5D2053657474696E6773206C6F00 -:101230006164696E67004646542041766572616755 -:10124000696E6700456E636F646572206F6E20661D -:10125000616C6C696E6700464D20537175656C63F7 -:1012600068004D494320455120486967680052583D -:1012700020455120486967680057726F6E67206685 -:10128000696C746572206C656E67746800444E52B8 -:10129000204D696E696D616C005368696674204900 -:1012A0006E74657276616C00323230306D003130B0 -:1012B0006D0032306D0033306D0034306D003136EA -:1012C000306D0038306D0031326D0031356D0036D3 -:1012D0006D0031376D00464654205A6F6F6D0043E4 -:1012E000616C6C7369676E004D6963204761696E5C -:1012F0000043616C6962726174696F6E00414443BE -:101300002053687574646F776E0042616E64204D7F -:1013100061700046726571205374657000435720F8 -:1013200053656C662048656172004175746F476152 -:10133000696E6572004265657065720043572047AB -:10134000617573732066696C746572004144432053 -:1013500044726976657200524620506F776572005C -:101360004357204B657965720046465420436F6CA5 -:101370006F7200417564696F20636F6465632069F3 -:101380006E6974206572726F720046465420436F16 -:101390006D70726573736F72005365727669636501 -:1013A00073004144432F4441432053657474696E74 -:1013B00067730053435245454E2053657474696EFC -:1013C000677300415544494F2053657474696E67D3 -:1013D000730043572053657474696E6773005452E9 -:1013E000582053657474696E6773005B4F4B5D20C2 -:1013F0004C6F616465642064656661756C7420730C -:10140000657474696E6773005B4552525D20526566 -:10141000616420454550524F4D2053455454494E28 -:101420004753206D756C7469706C65206572726FBE -:10143000727300535342204850462050617373002A -:101440004357204850462050617373005353422045 -:101450004C5046205061737300414D204C50462043 -:101460005061737300464D204C5046205061737399 -:10147000004357204C50462050617373005B455227 -:10148000525D204241434B5550205352414D206400 -:1014900061746120696E636F7272656374005B458D -:1014A00052525D20454550524F4D2053657474692A -:1014B0006E6773206461746120696E636F72726518 -:1014C0006374004441432053686966740043494390 -:1014D00020536869667400545820434943434F4D74 -:1014E000502053686966740043572047656E6572E3 -:1014F00061746F72207368696674005B4F4B5D2086 -:10150000454E43322074696D65722054494D332035 -:10151000696E6974005B4F4B5D204646542F5761DE -:1015200074657266616C6C20262054494D342069C4 -:101530006E6974005B4F4B5D20417564696F70721A -:101540006F636573736F7220262054494D3520698F -:101550006E6974005B4F4B5D204D69736320746945 -:101560006D65722054494D3620696E6974005B4F79 -:101570004B5D205045524950484552414C207469BA -:101580006D65722054494D3820696E6974005B4F57 -:101590004B5D204650474120696E6974005B4F4B9C -:1015A0005D204C434420696E6974005B4F4B5D20A5 -:1015B00054525820696E6974005B4F4B5D20417531 -:1015C00064696F436F64656320696E6974005B4F83 -:1015D0004B5D20435055204C6F616420696E6974E7 -:1015E000005B4F4B5D205265616C2054696D652036 -:1015F000436C6F636B20696E6974005B4F4B5D20B9 -:1016000046726F6E7470616E656C20696E697400ED -:101610005B4F4B5D2050726F66696C657220696E1E -:10162000697400456E636F6465723220696E766519 -:10163000727400456E636F64657220696E766572C0 -:1016400074004357204B65792074696D656F75741C -:10165000002575004D4943204551204C6F770052BD -:1016600058204551204C6F77004646542057696EEC -:10167000646F77005472616E737665727465722060 -:101680004F66667365742C206D487A00535752205C -:10169000746F6F2048494748210000004C1304082C -:1016A000010000007E79001099170108FD1204085E -:1016B000010000007F790010E91701080200000016 -:1016C000BA110408030000004C790010112601082B -:1016D000070F0408030000004D79001045210108A0 -:1016E00032100408070000004E7900105518010858 -:1016F000E8120408030000004F79001005220108D9 -:10170000161104080300000056790010651F010837 -:101710007211040803000000577900101D1F010812 -:101720008D1204080300000058790010411F0108C1 -:101730003314040804000000627900100D2301082E -:101740004C1404080400000060790010092401080A -:1017500040140408040000005E790010AD1B01086D -:1017600071140408040000005C790010311C0108A9 -:10177000591404080400000064790010811801085D -:10178000651404080400000066790010DD1F0108DC -:10179000571204080300000068790010891F01082F -:1017A0005416040807000000537900109D21010819 -:1017B000F81004080700000054790010D121010836 -:1017C0006212040807000000557900106921010821 -:1017D0005F1604080700000050790010A5220108D8 -:1017E000031104080700000051790010D9220108F4 -:1017F0006E120408070000005279001071220108DF -:101800009F10040803000000597900104D220108C0 -:10181000BD100408030000005A79001029220108B5 -:10182000B0100408030000005B790010ED250108EA -:10183000351304080300000069790010911B0108AA -:101840001800000033160408010000002D2A0010C3 -:10185000DD27010823160408010000002E2A0010CD -:10186000A127010861110408030000002F2A0010BD -:10187000B92701084F11040803000000302A0010A6 -:101880007D270108CE11040803000000312A001052 -:101890000D2801084412040801000000322A00103B -:1018A000F5270108CD14040803000000332A0010B6 -:1018B0003D270108DA14040803000000342A001050 -:1018C0001D270108D714040803000000352A001062 -:1018D000F52B0108C314040803000000362A001089 -:1018E0005D270108630F040803000000372A001079 -:1018F000A92A01087310040803000000382A001008 -:10190000D5290108550F040803000000392A0010EA -:101910002D2801086E0F0408030000003A2A001069 -:101920000129010813100408080000003C2A0010D7 -:10193000D12B0108410F04080A000000402A0010C2 -:101940007D2B0108071004080A000000442A00103B -:10195000152C0108110000004216040804000000C4 -:101960006C790010652D0108E814040804000000DB -:101970006A790010152D01081D13040801000000EC -:101980006E790010D12D01086013040801000000D9 -:1019900070790010912D0108D60F04080300000093 -:1019A00072790010AD2D01083C13040801000000FD -:1019B00074790010412D010806000000DE130408B0 -:1019C0000B000000000000006D380108C31304087C -:1019D0000B000000000000000D180108D2130408DD -:1019E0000B00000000000000692C0108B31304087C -:1019F0000B00000000000000ED2D0108A2130408F8 -:101A00000B000000000000005117010899130408A2 -:101A10000B0000000000000059300108AB11040861 -:101A200002000000000000000D310108C11104088F -:101A3000020000000000000035260108F112040831 -:101A40000C00000000000000C5260108090000008D -:101A5000D61204080300000077790010DD2F01087A -:101A60009F1104080300000075790010352E01084D -:101A700069130408030000007A790010292F010877 -:101A80000D110408030000007C790010852F010867 -:101A900040110408010000007D7900100D2F01089D -:101AA000CD1004080100000076790010692F0108AC -:101AB0008A130408010000007B7900104D2F0108F3 -:101AC000361204080300000078790010E92E01089E -:101AD000691604080300000079790010AD2F010891 -:101AE00009000000291004080200000000000000A6 -:101AF000C5370108221004080200000000000000A1 -:101B000031380108020000005713040803000000E8 -:101B100026790010E93A01080A13040801000000C0 -:101B200031790010FD3801082A1304080100000073 -:101B300035790010D93801082D0F04080100000084 -:101B40002A790010F53C01089912040804000000ED -:101B5000287900100D3B010813130408040000004D -:101B60002C790010F93901085A100408040000000B -:101B70002E79001051390108E0110408010000001D -:101B80003879001035390108130F040803000000EC -:101B900024790010B53801089111040801000000F3 -:101BA0003079001019390108ED0F04080100000018 -:101BB00032790010BD3A0108F40F0408010000005A -:101BC000337900108D3A0108E60F04080100000087 -:101BD00034790010113D0108DF12040802000000F2 -:101BE00000000000393B01087E11040801000000DC -:101BF00049790010B13C0108741604080400000083 -:101C00004A790010CD3C0108100000000000803F20 -:101C10000000000011FB7F3F900E493C43EC7F3FEA -:101C2000B00AC93C98D37F3F2CC3163D0FB17F3F0C -:101C30002FFB483DAB847F3F742B7B3D6D4E7F3F38 -:101C400005A9963D580E7F3F80B6AF3D6DC47E3FDF -:101C500036BDC83DB0707E3F2EBCE13D24137E3FB3 -:101C600073B2FA3DCCAB7D3F86CF093EAC3A7D3FA7 -:101C70008340163EC9BF7C3FB6AB223E283B7C3F2B -:101C8000A2102F3ECDAC7B3FCF6E3B3EBE147B3FC0 -:101C9000C2C5473E02737A3F0115543E9DC7793F46 -:101CA000135C603E9812793F7F9A6C3EF853783F00 -:101CB000CCCF783EC58B773FC07D823E07BA763F5A -:101CC000938E883EC6DE753F229A8E3E0BFA743F95 -:101CD00031A0943EDD0B743F86A09A3E4714733FBB -:101CE000E59AA03E5213723F128FA63E0809713F3B -:101CF000D47CAC3E73F56F3FEF63B23E9ED86E3F2F -:101D00002A44B83E93B26D3F4A1DBE3E5E836C3F8F -:101D100015EFC33E0C4B6B3F53B9C93EA7096A3F51 -:101D2000CA7BCF3E3CBF683F4136D53ED86B673F4C -:101D300080E8DA3E880F663F4F92E03E59AA643F42 -:101D40007533E63E5A3C633FBBCBEB3E98C5613FE3 -:101D5000EA5AF13E2146603FCBE0F63E05BE5E3FCB -:101D6000275DFC3E532D5D3FE4E7003F1A945B3F47 -:101D70003D9C033F6AF2593F824B063F5348583F10 -:101D80009BF5083FE595563F6B9A0B3F31DB543F7F -:101D9000DA390E3F4918533FCDD3103F3D4D513FE7 -:101DA0002A68133F1F7A4F3FD9F6153F029F4D3FD8 -:101DB000C07F183FF8BB4B3FC6021B3F12D1493FC3 -:101DC000D17F1D3F65DE473FCBF61F3F03E4453F14 -:101DD0009967223F00E2433F25D2243F70D8413F1C -:101DE0005636273F67C73F3F1594293FF9AE3D3F21 -:101DF0004AEB2B3F3B8F3B3FDE3B2E3F4268393F58 -:101E0000BB85303F233A373FC9C8323FF304353FE3 -:101E1000F304353FC9C8323F233A373FBB85303FD3 -:101E20004268393FDE3B2E3F3B8F3B3F4AEB2B3F27 -:101E3000F9AE3D3F1594293F67C73F3F5636273FD0 -:101E400070D8413F25D2243F00E2433F9967223FAB -:101E500003E4453FCBF61F3F65DE473FD17F1D3F83 -:101E600012D1493FC6021B3FF8BB4B3FC07F183F12 -:101E7000029F4D3FD9F6153F1F7A4F3F2A68133F07 -:101E80003D4D513FCDD3103F4918533FDA390E3FF6 -:101E900031DB543F6B9A0B3FE595563F9BF5083F6E -:101EA0005348583F824B063F6AF2593F3D9C033FDF -:101EB0001A945B3FE4E7003F532D5D3F275DFC3EF6 -:101EC00005BE5E3FCBE0F63E2146603FEA5AF13E5A -:101ED00098C5613FBBCBEB3E5A3C633F7533E63E52 -:101EE00059AA643F4F92E03E880F663F80E8DA3E91 -:101EF000D86B673F4136D53E3CBF683FCA7BCF3E7B -:101F0000A7096A3F53B9C93E0C4B6B3F15EFC33E5F -:101F10005E836C3F4A1DBE3E93B26D3F2A44B83E7D -:101F20009ED86E3FEF63B23E73F56F3FD47CAC3EFC -:101F30000809713F128FA63E5213723FE59AA03EE8 -:101F40004714733F86A09A3EDD0B743F31A0943E48 -:101F50000BFA743F229A8E3EC6DE753F938E883E02 -:101F600007BA763FC07D823EC58B773FCCCF783EA7 -:101F7000F853783F7F9A6C3E9812793F135C603E2D -:101F80009DC7793F0115543E02737A3FC2C5473E53 -:101F9000BE147B3FCF6E3B3ECDAC7B3FA2102F3EAD -:101FA000283B7C3FB6AB223EC9BF7C3F8340163EF8 -:101FB000AC3A7D3F86CF093ECCAB7D3F73B2FA3D54 -:101FC00024137E3F2EBCE13DB0707E3F36BDC83D40 -:101FD0006DC47E3F80B6AF3D580E7F3F05A9963D4C -:101FE0006D4E7F3F742B7B3DAB847F3F2FFB483D85 -:101FF0000FB17F3F2CC3163D98D37F3FB00AC93C39 -:1020000043EC7F3F900E493C11FB7F3F00000000F6 -:102010000000803F900E49BC11FB7F3FB00AC9BC55 -:1020200043EC7F3F2CC316BD98D37F3F2FFB48BDA9 -:102030000FB17F3F742B7BBDAB847F3F05A996BD5D -:102040006D4E7F3F80B6AFBD580E7F3F36BDC8BDD9 -:102050006DC47E3F2EBCE1BDB0707E3F73B2FABD51 -:1020600024137E3F86CF09BECCAB7D3F834016BE96 -:10207000AC3A7D3FB6AB22BEC9BF7C3FA2102FBE9B -:10208000283B7C3FCF6E3BBECDAC7B3FC2C547BE3D -:10209000BE147B3F011554BE02737A3F135C60BED1 -:1020A0009DC7793F7F9A6CBE9812793FCCCF78BE9E -:1020B000F853783FC07D82BEC58B773F938E88BE34 -:1020C00007BA763F229A8EBEC6DE753F31A094BE17 -:1020D0000BFA743F86A09ABEDD0B743FE59AA0BE52 -:1020E0004714733F128FA6BE5213723FD47CACBE0E -:1020F0000809713FEF63B2BE73F56F3F2A44B8BE63 -:102100009ED86E3F4A1DBEBE93B26D3F15EFC3BE53 -:102110005E836C3F53B9C9BE0C4B6B3FCA7BCFBECD -:10212000A7096A3F4136D5BE3CBF683F80E8DABEAA -:10213000D86B673F4F92E0BE880F663F7533E6BEAF -:1021400059AA643FBBCBEBBE5A3C633FEA5AF1BE8F -:1021500098C5613FCBE0F6BE2146603F275DFCBEDF -:1021600005BE5E3FE4E700BF532D5D3F3D9C03BFCE -:102170001A945B3F824B06BF6AF2593F9BF508BF3A -:102180005348583F6B9A0BBFE595563FDA390EBF5F -:1021900031DB543FCDD310BF4918533F2A6813BFDA -:1021A0003D4D513FD9F615BF1F7A4F3FC07F18BF35 -:1021B000029F4D3FC6021BBFF8BB4B3FD17F1DBFE7 -:1021C00012D1493FCBF61FBF65DE473F996722BF5B -:1021D00003E4453F25D224BF00E2433F563627BFE4 -:1021E00070D8413F159429BF67C73F3F4AEB2BBFCB -:1021F000F9AE3D3FDE3B2EBF3B8F3B3FBB8530BF43 -:102200004268393FC9C832BF233A373FF30435BF6C -:10221000F304353F233A37BFC9C8323F426839BF5C -:10222000BB85303F3B8F3BBFDE3B2E3FF9AE3DBF12 -:102230004AEB2B3F67C73FBF1594293F70D841BF7A -:102240005636273F00E243BF25D2243F03E445BF73 -:102250009967223F65DE47BFCBF61F3F12D149BFCA -:10226000D17F1D3FF8BB4BBFC6021B3F029F4DBF36 -:10227000C07F183F1F7A4FBFD9F6153F3D4D51BF64 -:102280002A68133F491853BFCDD3103F31DB54BFE9 -:10229000DA390E3FE59556BF6B9A0B3F534858BF4E -:1022A0009BF5083F6AF259BF824B063F1A945BBF09 -:1022B0003D9C033F532D5DBFE4E7003F05BE5EBF7D -:1022C000275DFC3E214660BFCBE0F63E98C561BF6E -:1022D000EA5AF13E5A3C63BFBBCBEB3E59AA64BFFE -:1022E0007533E63E880F66BF4F92E03ED86B67BFFE -:1022F00080E8DA3E3CBF68BF4136D53EA7096ABFD9 -:10230000CA7BCF3E0C4B6BBF53B9C93E5E836CBFDB -:1023100015EFC33E93B26DBF4A1DBE3E9ED86EBF41 -:102320002A44B83E73F56FBFEF63B23E080971BF30 -:10233000D47CAC3E521372BF128FA63E471473BFBB -:10234000E59AA03EDD0B74BF86A09A3E0BFA74BFDF -:1023500031A0943EC6DE75BF229A8E3E07BA76BF84 -:10236000938E883EC58B77BFC07D823EF85378BF81 -:10237000CCCF783E981279BF7F9A6C3E9DC779BFCB -:10238000135C603E02737ABF0115543EBE147BBFDE -:10239000C2C5473ECDAC7BBFCF6E3B3E283B7CBF2A -:1023A000A2102F3EC9BF7CBFB6AB223EAC3A7DBF68 -:1023B0008340163ECCAB7DBF86CF093E24137EBF43 -:1023C00073B2FA3DB0707EBF2EBCE13D6DC47EBFDE -:1023D00036BDC83D580E7FBF80B6AF3D6D4E7FBF46 -:1023E00005A9963DAB847FBF742B7B3D0FB17FBFAA -:1023F0002FFB483D98D37FBF2CC3163D43EC7FBFD6 -:10240000B00AC93C11FB7FBF900E493C000080BF61 -:102410000000000011FB7FBF900E49BC43EC7FBF62 -:10242000B00AC9BC98D37FBF2CC316BD0FB17FBF04 -:102430002FFB48BDAB847FBF742B7BBD6D4E7FBF30 -:1024400005A996BD580E7FBF80B6AFBD6DC47EBFD7 -:1024500036BDC8BDB0707EBF2EBCE1BD24137EBFAB -:1024600073B2FABDCCAB7DBF86CF09BEAC3A7DBF9F -:10247000834016BEC9BF7CBFB6AB22BE283B7CBF23 -:10248000A2102FBECDAC7BBFCF6E3BBEBE147BBFB8 -:10249000C2C547BE02737ABF011554BE9DC779BF3E -:1024A000135C60BE981279BF7F9A6CBEF85378BFF8 -:1024B000CCCF78BEC58B77BFC07D82BE07BA76BF52 -:1024C000938E88BEC6DE75BF229A8EBE0BFA74BF8D -:1024D00031A094BEDD0B74BF86A09ABE471473BFB3 -:1024E000E59AA0BE521372BF128FA6BE080971BF33 -:1024F000D47CACBE73F56FBFEF63B2BE9ED86EBF27 -:102500002A44B8BE93B26DBF4A1DBEBE5E836CBF87 -:1025100015EFC3BE0C4B6BBF53B9C9BEA7096ABF49 -:10252000CA7BCFBE3CBF68BF4136D5BED86B67BF44 -:1025300080E8DABE880F66BF4F92E0BE59AA64BF3A -:102540007533E6BE5A3C63BFBBCBEBBE98C561BFDB -:10255000EA5AF1BE214660BFCBE0F6BE05BE5EBFC3 -:10256000275DFCBE532D5DBFE4E700BF1A945BBF3F -:102570003D9C03BF6AF259BF824B06BF534858BF08 -:102580009BF508BFE59556BF6B9A0BBF31DB54BF77 -:10259000DA390EBF491853BFCDD310BF3D4D51BFDF -:1025A0002A6813BF1F7A4FBFD9F615BF029F4DBFD0 -:1025B000C07F18BFF8BB4BBFC6021BBF12D149BFBB -:1025C000D17F1DBF65DE47BFCBF61FBF03E445BF0C -:1025D000996722BF00E243BF25D224BF70D841BF14 -:1025E000563627BF67C73FBF159429BFF9AE3DBF19 -:1025F0004AEB2BBF3B8F3BBFDE3B2EBF426839BF50 -:10260000BB8530BF233A37BFC9C832BFF30435BFDB -:10261000F30435BFC9C832BF233A37BFBB8530BFCB -:10262000426839BFDE3B2EBF3B8F3BBF4AEB2BBF1F -:10263000F9AE3DBF159429BF67C73FBF563627BFC8 -:1026400070D841BF25D224BF00E243BF996722BFA3 -:1026500003E445BFCBF61FBF65DE47BFD17F1DBF7B -:1026600012D149BFC6021BBFF8BB4BBFC07F18BF0A -:10267000029F4DBFD9F615BF1F7A4FBF2A6813BFFF -:102680003D4D51BFCDD310BF491853BFDA390EBFEE -:1026900031DB54BF6B9A0BBFE59556BF9BF508BF66 -:1026A000534858BF824B06BF6AF259BF3D9C03BFD7 -:1026B0001A945BBFE4E700BF532D5DBF275DFCBEEE -:1026C00005BE5EBFCBE0F6BE214660BFEA5AF1BE52 -:1026D00098C561BFBBCBEBBE5A3C63BF7533E6BE4A -:1026E00059AA64BF4F92E0BE880F66BF80E8DABE89 -:1026F000D86B67BF4136D5BE3CBF68BFCA7BCFBE73 -:10270000A7096ABF53B9C9BE0C4B6BBF15EFC3BE57 -:102710005E836CBF4A1DBEBE93B26DBF2A44B8BE75 -:102720009ED86EBFEF63B2BE73F56FBFD47CACBEF4 -:10273000080971BF128FA6BE521372BFE59AA0BEE0 -:10274000471473BF86A09ABEDD0B74BF31A094BE40 -:102750000BFA74BF229A8EBEC6DE75BF938E88BEFA -:1027600007BA76BFC07D82BEC58B77BFCCCF78BE9F -:10277000F85378BF7F9A6CBE981279BF135C60BE25 -:102780009DC779BF011554BE02737ABFC2C547BE4B -:10279000BE147BBFCF6E3BBECDAC7BBFA2102FBEA5 -:1027A000283B7CBFB6AB22BEC9BF7CBF834016BEF0 -:1027B000AC3A7DBF86CF09BECCAB7DBF73B2FABD4C -:1027C00024137EBF2EBCE1BDB0707EBF36BDC8BD38 -:1027D0006DC47EBF80B6AFBD580E7FBF05A996BD44 -:1027E0006D4E7FBF742B7BBDAB847FBF2FFB48BD7D -:1027F0000FB17FBF2CC316BD98D37FBFB00AC9BC31 -:1028000043EC7FBF900E49BC11FB7FBF00000080EE -:10281000000080BF900E493C11FB7FBFB00AC93C4D -:1028200043EC7FBF2CC3163D98D37FBF2FFB483DA1 -:102830000FB17FBF742B7B3DAB847FBF05A9963D55 -:102840006D4E7FBF80B6AF3D580E7FBF36BDC83DD1 -:102850006DC47EBF2EBCE13DB0707EBF73B2FA3D49 -:1028600024137EBF86CF093ECCAB7DBF8340163E8E -:10287000AC3A7DBFB6AB223EC9BF7CBFA2102F3E93 -:10288000283B7CBFCF6E3B3ECDAC7BBFC2C5473E35 -:10289000BE147BBF0115543E02737ABF135C603EC9 -:1028A0009DC779BF7F9A6C3E981279BFCCCF783E96 -:1028B000F85378BFC07D823EC58B77BF938E883E2C -:1028C00007BA76BF229A8E3EC6DE75BF31A0943E0F -:1028D0000BFA74BF86A09A3EDD0B74BFE59AA03E4A -:1028E000471473BF128FA63E521372BFD47CAC3E06 -:1028F000080971BFEF63B23E73F56FBF2A44B83E5B -:102900009ED86EBF4A1DBE3E93B26DBF15EFC33E4B -:102910005E836CBF53B9C93E0C4B6BBFCA7BCF3EC5 -:10292000A7096ABF4136D53E3CBF68BF80E8DA3EA2 -:10293000D86B67BF4F92E03E880F66BF7533E63EA7 -:1029400059AA64BFBBCBEB3E5A3C63BFEA5AF13E87 -:1029500098C561BFCBE0F63E214660BF275DFC3ED7 -:1029600005BE5EBFE4E7003F532D5DBF3D9C033FC6 -:102970001A945BBF824B063F6AF259BF9BF5083F32 -:10298000534858BF6B9A0B3FE59556BFDA390E3F57 -:1029900031DB54BFCDD3103F491853BF2A68133FD2 -:1029A0003D4D51BFD9F6153F1F7A4FBFC07F183F2D -:1029B000029F4DBFC6021B3FF8BB4BBFD17F1D3FDF -:1029C00012D149BFCBF61F3F65DE47BF9967223F53 -:1029D00003E445BF25D2243F00E243BF5636273FDC -:1029E00070D841BF1594293F67C73FBF4AEB2B3FC3 -:1029F000F9AE3DBFDE3B2E3F3B8F3BBFBB85303F3B -:102A0000426839BFC9C8323F233A37BFF304353F64 -:102A1000F30435BF233A373FC9C832BF4268393F54 -:102A2000BB8530BF3B8F3B3FDE3B2EBFF9AE3D3F0A -:102A30004AEB2BBF67C73F3F159429BF70D8413F72 -:102A4000563627BF00E2433F25D224BF03E4453F6B -:102A5000996722BF65DE473FCBF61FBF12D1493FC2 -:102A6000D17F1DBFF8BB4B3FC6021BBF029F4D3F2E -:102A7000C07F18BF1F7A4F3FD9F615BF3D4D513F5C -:102A80002A6813BF4918533FCDD310BF31DB543FE1 -:102A9000DA390EBFE595563F6B9A0BBF5348583F46 -:102AA0009BF508BF6AF2593F824B06BF1A945B3F01 -:102AB0003D9C03BF532D5D3FE4E700BF05BE5E3F75 -:102AC000275DFCBE2146603FCBE0F6BE98C5613F66 -:102AD000EA5AF1BE5A3C633FBBCBEBBE59AA643FF6 -:102AE0007533E6BE880F663F4F92E0BED86B673FF6 -:102AF00080E8DABE3CBF683F4136D5BEA7096A3FD1 -:102B0000CA7BCFBE0C4B6B3F53B9C9BE5E836C3FD3 -:102B100015EFC3BE93B26D3F4A1DBEBE9ED86E3F39 -:102B20002A44B8BE73F56F3FEF63B2BE0809713F28 -:102B3000D47CACBE5213723F128FA6BE4714733FB3 -:102B4000E59AA0BEDD0B743F86A09ABE0BFA743FD7 -:102B500031A094BEC6DE753F229A8EBE07BA763F7C -:102B6000938E88BEC58B773FC07D82BEF853783F79 -:102B7000CCCF78BE9812793F7F9A6CBE9DC7793FC3 -:102B8000135C60BE02737A3F011554BEBE147B3FD6 -:102B9000C2C547BECDAC7B3FCF6E3BBE283B7C3F22 -:102BA000A2102FBEC9BF7C3FB6AB22BEAC3A7D3F60 -:102BB000834016BECCAB7D3F86CF09BE24137E3F3B -:102BC00073B2FABDB0707E3F2EBCE1BD6DC47E3FD6 -:102BD00036BDC8BD580E7F3F80B6AFBD6D4E7F3F3E -:102BE00005A996BDAB847F3F742B7BBD0FB17F3FA2 -:102BF0002FFB48BD98D37F3F2CC316BD43EC7F3FCE -:102C0000B00AC9BC11FB7F3F900E49BC802D04085F -:102C10000000002030A40100C4010008403F040867 -:102C20000000001070290000C4010008403F0408A3 -:102C300030A40120443B000020020008A84C0408F6 -:102C400070290010F8B50000200200081C000000E8 -:102C500043000000F8FFFFFF0C0000000E00000022 -:102C60000F0000002E0000001001000043000000D3 -:102C7000F8FFFFFF0040404040404040404041419D -:102C80004141414040404040404040404040404041 -:102C900040404040400502020202020202020202DB -:102CA00002020202022020202020202020202002D8 -:102CB0000202020202029090909090901010101068 -:102CC0001010101010101010101010101010101004 -:102CD0000202020202028888888888880808080898 -:102CE0000808080808080808080808080808080864 -:102CF000020202024000000000000000000000008C -:102D000000000000000000000000000000000000C3 -:102D100000000000000000000000000000000000B3 -:102D200000000000000000000000000000000000A3 -:102D30000000000000000000000000000000000093 -:102D40000000000000000000000000000000000083 -:102D50000000000000000000000000000000000073 -:102D60000000000000000000000000000000000063 -:102D70000000000000000000000000000000000053 -:102D800001FF01FF01FF01FF01FF01FF01FF01FF43 -:102D900001FF01FF01FF01FF01FF01FF01FF01FF33 -:102DA00001FF01FF01FF01FF01FF01FF01FF01FF23 -:102DB00001FF01FF01FF01FF01FF01FF01FF01FF13 -:102DC00001FF01FF01FF01FF01FF01FF01FF01FF03 -:102DD00001FF01FF01FF01FF01FF01FF01FF01FFF3 -:102DE00001FF01FF01FF01FF01FF01FF01FF01FFE3 -:102DF00001FF01FF01FF01FF01FF01FF01FF01FFD3 -:102E000001FF01FF01FF01FF01FF01FF01FF01FFC2 -:102E100001FF01FF01FF01FF01FF01FF01FF01FFB2 -:102E200001FF01FF01FF01FF01FF01FF01FF01FFA2 -:102E300001FF01FF01FF01FF01FF01FF01FF01FF92 -:102E400001FF01FF01FF01FF01FF01FF01FF01FF82 -:102E500001FF01FF01FF01FF01FF01FF01FF01FF72 -:102E600001FF01FF01FF01FF01FF01FF01FF01FF62 -:102E700001FF01FF01FF01FF01FF01FF01FF01FF52 -:102E800001FF01FF01FF01FF01FF01FF01FF01FF42 -:102E900001FF01FF01FF01FF01FF01FF01FF01FF32 -:102EA00001FF01FF01FF01FF01FF01FF01FF01FF22 -:102EB00001FF01FF01FF01FF01FF01FF01FF01FF12 -:102EC00001FF01FF01FF01FF01FF01FF01FF01FF02 -:102ED00001FF01FF01FF01FF01FF01FF01FF01FFF2 -:102EE00001FF01FF01FF01FF01FF01FF01FF01FFE2 -:102EF00001FF01FF01FF01FF01FF01FF01FF01FFD2 -:102F000001FF01FF01FF01FF01FF01FF01FF01FFC1 -:102F100001FF01FF01FF01FF01FF01FF01FF01FFB1 -:102F200001FF01FF01FF01FF01FF01FF01FF01FFA1 -:102F300001FF01FF01FF01FF01FF01FF01FF01FF91 -:102F400001FF01FF01FF01FF01FF01FF01FF01FF81 -:102F500001FF01FF01FF01FF01FF01FF01FF01FF71 -:102F600001FF01FF01FF01FF01FF01FF01FF01FF61 -:102F700001FF01FF01FF01FF01FF01FF01FF01FF51 -:102F800001FF01FF01FF01FF01FF01FF01FF01FF41 -:102F900001FF01FF01FF01FF01FF01FF01FF01FF31 -:102FA00001FF01FF01FF01FF01FF01FF01FF01FF21 -:102FB00001FF01FF01FF01FF01FF01FF01FF01FF11 -:102FC00001FF01FF01FF01FF01FF01FF01FF01FF01 -:102FD00001FF01FF01FF01FF01FF01FF01FF01FFF1 -:102FE00001FF01FF01FF01FF01FF01FF01FF01FFE1 -:102FF00001FF01FF01FF01FF01FF01FF01FF01FFD1 -:1030000001FF01FF01FF01FF01FF01FF01FF01FFC0 -:1030100001FF01FF01FF01FF01FF01FF01FF01FFB0 -:1030200001FF01FF01FF01FF01FF01FF01FF01FFA0 -:1030300001FF01FF01FF01FF01FF01FF01FF01FF90 -:1030400001FF01FF01FF01FF01FF01FF01FF01FF80 -:1030500001FF01FF01FF01FF01FF01FF01FF01FF70 -:1030600001FF01FF01FF01FF01FF01FF01FF01FF60 -:1030700001FF01FF01FF01FF01FF01FF01FF01FF50 -:1030800001FF01E5051216F97F3F2A80142AC008C6 -:10309000080B1C13F8FF3F33F47FBF9DEB28080B90 -:1030A0001C7EEAFF3F78D97FBF2BD828080B1CC7AE -:1030B000D6FF3F1DB37FBF40B528080B1C46B3FFAA -:1030C0003F756E7FBF336528080C1BC361FF3F450A -:1030D000D17EBFF35A7E28080C1B8C52FE3FB3C62C -:1030E0007CBFA1407728F80C2416F73F39D66EBF75 -:1030F000052964280D151C89A8393C2908880ABFB0 -:10310000C7E7FF3FDED57FBF14A10C13A580387839 -:103110003C09E3FF30080C1389D0FF3F1DCC7FBF73 -:1031200029243E284BDEDF28080C137AB8FF3FE63F -:10313000977FBF6C111B284B7CD828080D12B094C8 -:10314000FF3F4E497FBF42B5CE3E284B13C52808EE -:103150000C135E63FF3F8BDC7EBFD8673D284B5B63 -:103160007C28080D12582CFF3F08637EBF73F521A1 -:103170003D283C1AEFFB28080D125605FF3FDA0CDC -:103180007EBF5098883A284B42E4503808C5E1FF8A -:103190003F6BF07F0C01100C138E6A0C3C59080831 -:1031A0000814BF21D4FF3FCBB93CFCA67A381429C0 -:1031B000284BD6BE78080C13B0A8FF3F31B27FBFB2 -:1031C000B6163E284BB7B728080C132B86FF3FEEE8 -:1031D000637FBFAFFE1A284B1DA728080D1231537D -:1031E000FF3F2BEE7EBFB192CE3E284B787B280866 -:1031F0000C13270DFF3F504B7EBFF8553D283C2157 -:10320000D8FE28080D1222BFFE3F82957DBF1A41CD -:10321000233D283CADF0F6281009E287FE3FA814B4 -:103220007DBF0C1298883A284B97C1783808D6C1D0 -:10323000FF3FA2E87F0C01100C13668A443C59083A -:10324000080814BFAFBDFF3FBB9D3CFC17E17F14D6 -:1032500059282AC008080B1CD7D5FF3FAED87FBF1E -:1032600064B328080B1CF2A6FF3FAC7F7FBFA5709C -:1032700028163D61FF3F190E1B7FBF34F87E2808DA -:103280000C1B4AE2FE3F3B1C7EBF71E47D28080C0C -:103290001B7EBEFD3FC8147CBF305A7A28080C1B29 -:1032A0004DFEF93F286C75BFCCED6328080C1097D4 -:1032B0003AE23F01424BBF052964280B1376383CA4 -:1032C0002D2D8CFFBF08080C131D76FF3F4C987FF7 -:1032D000BFA00B3E284B867F28080C13304AFF3FC7 -:1032E00005307FBF8AEF1A284B076228080D12D5D8 -:1032F00009FF3F30937EBFE379CE3E284B881428E8 -:10330000080C13B1B1FE3F6DBA7DBF7C5B3D283C1C -:10331000D4F2FD28080D12AE4FFE3F9EC87CBF8937 -:103320002F253D283CF31BF028080D12550AFE3FBF -:10333000591D7CBF6698883A284B1291783808B39B -:1033400096FF3FDAE07F0C01100C1316A47C3C5969 -:1033500008080814BF72A4FF3FAE813CFCB272386B -:103360001439784A4B78080C13D338FF3F6E7E7FB0 -:10337000BFE7023E284B503728080C138D04FF3F4F -:103380002FFC7EBFFBE31A284B460928080D12A02C -:10339000B8FE3F5E387EBFCF6ACE3E283C5B90FED3 -:1033A00028080C130351FE3FE1297DBF4C783D28CE -:1033B0003C0ECDFC28080D12FCDDFD3F58FC7BBF08 -:1033C00001C0273D283C3597E728080D12AE8CFD3B -:1033D0003FE7267BBF8199883A284BB652A0380830 -:1033E0005E60FF3F14D97F0C01100C13D05B9A3C38 -:1033F0005908080814BF6A88FF3FA4653CFCBC70EC -:10340000381429284B8AFBF0080C13D6F0FE3F979E -:10341000647FBF8BFC3D284B1EDF28080C1347B58B -:10342000FE3F6CC87EBF01DC1A284BE69C28080DC5 -:1034300012995FFE3FB8DD7DBF6F65CE3E283C141C -:10344000EFFD28080C1320EBFD3FAF997CBF50AC7B -:103450003D283C9567FB281B0E6A28080812307B24 -:10346000BFCFF12A3D283C0790DD28080D12EA0E57 -:10347000FD3F4D317ABF519B883A283C8706FF2893 -:103480003808DA1EFF3F50D17F0C01100C13836205 -:10349000B63C5908080814BF9869FF3F9D493CFC99 -:1034A0001F70381429283C9B9DFE30080C132B9E5E -:1034B000FE3FCA4A7FBF8BF83D284BF67628080CA2 -:1034C00014635CFE3FBE947EBF9AD71A284A1C281C -:1034D000080D12C4FEFD3F3F837DBFBC69CE3E2870 -:1034E0003CDC30FD28080C130C80FD3FD6097CBF66 -:1034F00075F73D283C5AC3F928080D12E5F3FC3F47 -:10350000A1657ABF51C42E3D283C0F39D228080D41 -:10351000120791FC3F853C79BFD59D883A284B8D99 -:10352000ACA038082AD2FE3F8EC97F0C01100C13C4 -:103530002466D23C5908080814BFFC47FF3F9A2D67 -:103540003CFCDA70381429284B4D3178080C13D71D -:1035500040FE3F06317FBFE6F63D283CE6FEFD28F3 -:10356000080C13E7F9FD3F28617EBFC7D61A284B28 -:10357000888928080D122896FD3FF7287DBFB1776E -:10358000CE3E283CE455FC28080C13CD0FFD3F5AD5 -:103590007A7BBFA7593E283C74E1F728080D1283B7 -:1035A0007BFC3F2C9B79BFF236333D283CBDC7C521 -:1035B00028080D120213FC3F8A4878BF0FA1883AF1 -:1035C000284BCC44F03808537AFE3FCEC17F0C0123 -:1035D000100C13B366EE3C5908080814BF9823FF7B -:1035E0003F99113CFCBBAB7F1459282AC008080B3B -:1035F0001CC17EFF3F68B17FBF204E28080C1B68AE -:103600001CFF3FB2FF7EBF40C37E28080C1BC58550 -:10361000FE3F77017EBF23C77D28080C1BDD6FFDB1 -:103620003FD23C7CBF83877B28080C1B12F1FA3FFA -:10363000E63B78BFAB467428080C1BE9DFF23FDAA3 -:103640005A6BBFD8F74A28F80C21E9C43F200D2255 -:10365000BF052964280C13ED72383C2DAAB6FDBFB6 -:1036600008080C13DFD8FD3F4E177FBF9DF73D289C -:103670004BF77628080C13D98DFD3FAB2D7EBF8408 -:10368000D91A283CB3E2FC28080D12CB25FD3FE0F7 -:10369000CE7CBF488FCE3E283C635EFB28080C13CF -:1036A000669AFC3F39EB7ABFD3D23E283C20C3F563 -:1036B0002812E8080B12FC3F4ED178BF2C49383D48 -:1036C000283C2E72B828080D12DA94FB3F55557726 -:1036D000BFFDA4883A284B4ECFC838085817FE3F84 -:1036E00012BA7F0C01100D121932053B3C59080823 -:1036F0000913BF6CFCFE3F9CF57E3CFC57763814EA -:1037000029284BBB2D78080C134866FD3FA2FD7E8F -:10371000BFAEFA3D283C38DFFC28080C133E18FDEC -:103720003F48FA7DBFD0DF1A284B8D2828080D129C -:10373000B1ADFC3FFE747CBF7BB0CE3E283C984AC6 -:10374000FA28080C13DB1FFC3F775C7ABFE9623F65 -:10375000283CC069F328080D121484FB3F04087844 -:10376000BF87FA3D3D283C4E6DAA28080D128C16E5 -:10377000FB3FE16276BF9FA9883A284B1A4CF0388C -:10378000083FA9FD3F59B27F0C01100D124338329A -:103790003C3C312A4008080C1BBBD2F93FFAC87EDA -:1037A000BF4A672028080C1BAB33F93FCB6D7CBFA9 -:1037B00022230128100A5118F93FD4427ABF7C0B0A -:1037C0001AB53B28080C1BFC5CF93FFB6178BFA4D1 -:1037D000065028080D1A1DD1F93F54E276BFD16773 -:1037E000A93A28080D1A1442FA3FF7D675BFD741F7 -:1037F000823928280C4586FA3FAA4D75BF052964F1 -:1038000014D10C1BEEFD98DC080C1B3EA5F53F3BCC -:103810006A7EBF989B8928080C1B5012F53F1358ED -:103820007BBF31955D28080C1B8B55F53F6984786B -:10383000BF07601B28080D1A032DF63F071076BF3F -:10384000BAB6B23B28080C1BB441F73F1E1974BF2F -:103850001EA41128080D1A8139F83F93B972BFBD13 -:1038600010E03928280C4ECAF83FA40472BF05297D -:103870006414D10C1BC022D8B4080C1BB48DF13FCA -:10388000C11F7EBF0455C228080C1B9819F13FD1F7 -:103890007D7ABFE9829C28080C1B46CAF13FEA24C6 -:1038A00077BF3DAA5B28080D1A1D40F33FDF3A742D -:1038B000BF55E7FC3B28080C1B42FEF43FBEE371FA -:1038C000BFB7464E28080D1A3983F63F8D3F70BFAB -:1038D000F3C01E3A28280C0264F73FC5666FBF0587 -:1038E000296414D10D1A96E3103D3C080C1BE3CE5D -:1038F000EC3FABD67DBF973E0228080D1AF58BEC46 -:103900003F90A779BF04E9D13C28080C1BF1C6ED14 -:103910003F02CB75BFC8769328080C1B46FEEF3FCD -:10392000646B72BFB0FD2928080D1A8289F23FDE50 -:10393000B26FBFFDCC8A3B28080D1AFBB8F43F5F7D -:10394000C86DBFD1C7553A28280C67FAF53F95CA0C -:103950006CBF05296414D10D1AA1E22F3D3C080C5F -:103960001B1DD4E83FE2A07DBFDE181E28080C1BFB -:10397000C9C1E83FC90979BF3EF2FEDC080C1BA4AF -:1039800076EA3F91CB74BF0B48B328080C1B5A55FD -:10399000ED3FB51371BF66E74E28080D1A5391F03D -:1039A0003F1D116EBFF01AA93B28080D1AD753F31B -:1039B0003F85F06BBFE74C823A28280CB7E8F43F0C -:1039C00008D66ABF05296414D10D1BFF9F5D3DB465 -:1039D000080B1BF9E23FB35A7DBFDB374728080CC1 -:1039E0001BDC36E33FAF3B78BF2DC92028080D1AFA -:1039F00036A5E53FB87C73BF367EE23C28080C1B39 -:103A0000977FE93FF64E6FBF4FED8228080D1A21D0 -:103A1000C5ED3FEBE76BBF0E57D63B28080D1A25C7 -:103A200065F13F027D69BF494CA53A28280C1378FF -:103A3000F33FBE3A68BF05296414D10C1B8D24885E -:103A4000DC080C1B1482DC3F6E167DBF0CCD742885 -:103A5000080C1BCE1FDD3FDF7277BF96D0452808CC -:103A60000C1B6360E03FEC3472BFF1960B28080D2D -:103A70001A8B55E53FD2906DBFD0B7A13C28080CFA -:103A80001B08C6EA3F09C369BF5A9B0428080D1AE0 -:103A90009460EF3FDE0A67BFBABCCC3A28280CAA74 -:103AA00002F23FCD9E65BF05296414D10D15032791 -:103AB0009C3E3C2AC008080C1B435642BF4AA47ACD -:103AC000BF0C578E28080C1B625E53BF7B0C70BF67 -:103AD00039F96D28080C1BDB6877BF156265BF3AA2 -:103AE000323028080D1A653D95BF05AD5ABF2044F8 -:103AF000D73D28080C1B2E71B2BF6D8450BFABE1BF -:103B00003828080D1A7FFBCCBF682F48BF1CA912AC -:103B10003C28280CFF1ADDBF906043BF05296414C0 -:103B2000D10C164FBFD1642A4008080C1BD3CAC958 -:103B30003F4E757CBF40C7BC28080C1BA599CB3FE6 -:103B4000EA9675BF062A9928080C1B5E47D13FBF2D -:103B5000236FBFB6755928080C16B67BD93FDA54C7 -:103B600069BF5E94FDDC3928080C1B8B63E23FA122 -:103B70007964BFFC145128080D1A75EFE93F3BF03A -:103B800060BF71EA213B28280C6F44EE3F89105F2B -:103B9000BF05296414D10D1A472D463E3C080C1B65 -:103BA000AC9B9A3F9E647BBFE7363328080C1BFD15 -:103BB000969F3FE16472BFE9341328080D1A093E4D -:103BC000AB3FFCB069BF73ACD43D28080C1B3D92E1 -:103BD000BB3FB37A61BF33C07C28080D1A7E85CD08 -:103BE0003F0A3B5ABFA5D0D33C28080D1AD919DD8E -:103BF0003FC7AD54BFE695A53B28280C5C3AE63F8D -:103C000067A151BF05296414D10C1B03279CDC0854 -:103C10000C1B4356423F4AA47ABF0C578E28080C0F -:103C20001B625E533F7B0C70BF39F96D28080C1B7B -:103C3000DB68773F156265BF3A323028080D1A6598 -:103C40003D953F05AD5ABF2044D73D28080C1B2E9B -:103C500071B23F6D8450BFABE13828080D1A7FFB6D -:103C6000CC3F682F48BF1CA9123C28280CFF1ADD46 -:103C70003F906043BF05296414D1FC2F042F14A981 -:103C80003C080C1B886D41BF32A37ABF58A8252879 -:103C9000080C1BFA3427BF676C6FBF276315280811 -:103CA0000D1AE6C6E6BE2A2962BF9B15F83E280813 -:103CB0000C1BEAC0F8BD191351BF30A3AF28080C84 -:103CC0001BB213B73E39D03ABF60233028080D1A13 -:103CD00016E6703F760921BF3D851B3D28F80C7E16 -:103CE00028B33F4B320DBF052964C80C13FC87383D -:103CF000DC2DC2F8FFBF08080C13A5EDFF3F0CE652 -:103D00007FBFF9333E284BF7F728080C1318E1FF63 -:103D10003FEDCB7FBFC1271B284B1FF628080C1394 -:103D20004CCEFF3F95A47FBF9AE1CEDC4B44F128F7 -:103D3000080C1353B4FF3F1B6E7FBF36913D284BD9 -:103D400011DF28080D124D97FF3F31317FBF624DC3 -:103D5000213D283C3DFAFE28080D12B582FF3FF6B2 -:103D6000057FBF5499883A284B10F95038087EF6E1 -:103D7000FF3F35F87F0C01100D12FABC60393C5939 -:103D800008080814BF8DF0FF3FE8E33C367C2303AE -:103D900008011E88A501207404290C1AA4085A9051 -:103DA0000C1AC8085AB40C180A91E6010839E70140 -:103DB0000849041A65041A8D041A61141AA1041A18 -:103DC000D9041A0910320529041370291B1098040C -:103DD000240F27FF2D606A46014151F450524513CC -:103DE00099532A0804830102F441545413D5461C04 -:103DF00008314724720304100142571B0D4C241A4A -:103E00004E247A0448F32F421361482B08B54869C1 -:103E100090D701504F5745521AF18C29047990DD03 -:103E20000154554EB51311552A0804799011D64EF8 -:103E30004F544348136D522A08048990DC4641537D -:103E4000D91A05B029048990F44147431B3945B478 -:103E50001904799011CC434C41B31113D94D2A0860 -:103E6000048990DC4D5554B419E72A08048990E57B -:103E70004C4F434B1B01502029047990BE564F4CA8 -:103E8000554D4A1A49F829048990B00842414E44D8 -:103E90004D4150135D492A08047990F50157504D62 -:103EA0001369562A080489901C4B4559A1C11385F2 -:103EB0004F2A0804072270A0012001070B210106E8 -:103EC000246A0509BC4D4F44DA211A3DFC1AF1045D -:103ED000920129DCE113F94A1B08E5DC3302B9330E -:103EE00024F4154041711C1B086D041A35041A99FD -:103EF000046A1AF80113180EB9F7010879F60108D7 -:103F000041F90108910C1A29041F0DF401088DF5DF -:103F10000C19141A21041A2504411AA51C411A95DA -:103F200008120612E11AFF01014D2AC2EA120832F4 -:103F30006416BC190408050611312E302E3000001D -:103F400001FF01FF01FF01FF01FF01FF01FF01FF71 -:103F500001FF9105125BF37F3F2A80142AC00808F5 -:103F60000B1C8BF0FF3F56EC7FBFC9DC28080B1CF5 -:103F7000ACD9FF3FCCBF7FBF19BC28080B1C3EB893 -:103F8000FF3FE87F7FBF528128080C1BD57BFF3F96 -:103F90009E0D7FBF9BFA7E28080C1B14F1FE3F4448 -:103FA000087EBF0A3C7D28080C1BCE24FD3F8DA651 -:103FB0007ABF41937128F80CF31FF13F1F0D64BFC6 -:103FC000052964280C132584383C2DB5EFFFBF0864 -:103FD000080C136FE0FF3F14D97FBFC52B3E284B61 -:103FE000EDED28080C13FFCDFF3FE8B17FBF231C88 -:103FF0001B284BC5E928080D127BB2FF3FED767FE9 -:10400000BF34CACE3E284BD9DE28080C13818CFF62 -:104010003F48257FBF96793D284BECB528080D1207 -:104020001B62FF3F08CA7EBFEA8C213D283C7CB45E -:10403000FD28080D120844FF3F4A897EBFBB9888BF -:104040003A284B65F050380889EDFF3F50F47F0C5B -:1040500001100D12A6B0E0393C5908080814BF4DF4 -:10406000DEFF3FD4C73CFC7A7D381429284BBFD2F1 -:1040700078080C13F4BDFF3F26BF7FBF241D3E28E8 -:104080004BCCCD28080C1388A0FF3FE87D7FBF9A5A -:10409000071B284B44C228080D12ED74FF3FB81BC4 -:1040A0007FBFC1A2CE3E284BF2A328080C13EB38E9 -:1040B000FF3FE3937EBFFA5B3D284B693228080D32 -:1040C0001205F6FE3F31FC7DBFE186223D283CBB58 -:1040D000ACF928080D129FC6FE3FA4907DBF119831 -:1040E000883A284BA8D450380835D3FF3F87EC7F57 -:1040F0000C01100C133F7B283C5908080814BF41E1 -:10410000C9FF3FC2AB3CFC2878381429284B51A783 -:1041100078080C13BD90FF3F3EA57FBFDF103E28FF -:104120004B9F9D28080C136369FF3FF8497FBFA987 -:10413000F61A284B088728080D127E2FFF3FA9C0CA -:104140007EBF1285CE3E284BA94B28080C1313E0E6 -:10415000FE3FD4027EBFCF553D283C946DFE28081B -:104160000D12B087FE3FFC2E7DBF0524243D283C68 -:10417000E7BEF328080D121E49FE3FE4987CBF1DE0 -:1041800098883A284B10AB783808ABADFF3FBEE4B7 -:104190007F0C01100C130398603C5908080814BFE9 -:1041A0006AB1FF3FB48F3CFC2E74381429284B6E43 -:1041B0006D78080C13CE58FF3F5C8B7FBFF8063E2E -:1041C000284B6B5D28080C139328FF3F18167FBF00 -:1041D0004FE91A284B1C3828080D1235E2FE3FC261 -:1041E000657EBF2271CE3E283C17D6FE28080C13F0 -:1041F0000182FE3F1C727DBFFC663D283CF467FDDA -:1042000028080D121C17FE3F67627CBF9063263D95 -:10421000283CE00CEC28080D1285CBFD3F05A27B65 -:10422000BFDD98883A284B9E73A03808EE7CFF3F8C -:10423000F7DC7F0C01100C1350578C3C5908080810 -:1042400014BFC796FF3FA9733CFC8C713814292812 -:104250004B1B2578080C132A16FF3F82717FBF6E17 -:10426000FF3D284B360D28080C131EDEFE3F4BE2A7 -:104270007EBF8BDF1A283C89D5FE28080D12168DCB -:10428000FE3F060B7EBFE966CE3E284B584328080A -:104290000C13B71EFE3FBDE17CBF698F3D283C3A41 -:1042A00022FC28080D124CA4FD3F70967BBFC84429 -:1042B000293D283CD9C0E22815CF4DFD3F08081301 -:1042C000AC7ABF529A883A784A2EC838080141FF22 -:1042D0003F32D57F0C01100C138C5FA83C590808A5 -:1042E0000814BF5A79FF3FA0573CFC36C97F1459C8 -:1042F000282AC008080B1CE8AFFF3F09C57FBFD5BF -:104300008328080B1CD867FF3FA23F7FBFAE1D2844 -:10431000080C1B0EFBFE3F9B807EBFC4647E2808FA -:104320000C1B9133FE3FEF2B7DBFEEBD7C28080CAC -:104330001BEB68FC3FE2257ABFEA5C7728080C1B80 -:104340009A90F63F735270BF52105728F80C3F7482 -:10435000D33FCB5835BF052964280C134370383C34 -:104360002D5FCEFEBF08080C13D6C8FE3FAF577FA7 -:10437000BF3FFA3D284B08AD28080C14088AFE3FC1 -:1043800092AE7EBF5BD91A504A5F28080D122830C2 -:10439000FE3F76B07DBF6066CE3E283C9393FD28FD -:1043A00010093CB6FD3FB7517CBF0B13CF3D283CF5 -:1043B0003F9DFA28080D12412FFD3F15CB7ABF0310 -:1043C000C72C3D283C380BD828080D12FCCFFC3FE9 -:1043D000CFB679BF7D9C883A284B43DBA03808E7ED -:1043E000F9FE3F6FCD7F0C01100C13B664C43C592D -:1043F00008080814BF2359FF3F9B3B3CFC52703810 -:104400001429284B406978080C13D670FE3FE73D0D -:104410007FBF6DF73D284BEB3C28080C13582CFE52 -:104420003FF07A7EBFBED61A283CAED5FD28080DD7 -:10443000126FCBFD3F15567DBF826FCE3E283CF5F7 -:10444000C6FC28080C139248FD3F0CC27BBFAE256A -:104450003E283C0ADAF82816FBB7FC3F540F127AC4 -:10446000BFA7E9303D283C4D20CC28080D12095249 -:10447000FC3F6EC278BF5C9F883A284B657AC8388B -:1044800008A3A7FE3FAEC57F0C01100C13CE66E05B -:104490003C5908080814BF2336FF3F991F3CFCB85D -:1044A00071381429283CC6F5FD30080C132F0EFE78 -:1044B0003F29247FBFF6F63D284BE9BC28080C13A2 -:1044C00012C5FD3F66477EBFB3D71A284B893828EF -:1044D000080D12F15EFD3FE5FB7CBF4982CE3E2810 -:1044E0003CB1DDFB28080C13BED5FC3FBE327BBFC0 -:1044F0005F933E283CC3D9F628080D127C3EFC3F52 -:104500002A3679BF24AC353D283C2236BF28080D19 -:1045100012F3D3FB3FD7CE77BFEFA2883A283CC433 -:104520000BFE283808394AFE3FF0BD7F0C01100C05 -:1045300013D565FC3C5908080814BF5B10FF3F9A6F -:10454000033CFC7774381429283CFB73FD30080CBD -:1045500013E7A0FD3F760A7FBFDAF83D284B112D07 -:1045600028080C133D54FD3FF6137EBF38DC1A2893 -:104570003C0888FC28080D12B5EAFC3FE8A17CBF86 -:10458000AE9ECE3E283C02D8FA28080C13C55DFC2E -:104590003FCCA37ABF02183F284CBA9DF428080CE0 -:1045A00012C2FB3F976C78BFFD0D3B3D283C7182EA -:1045B000B128080D12B855FB3F03DC76BF38A78839 -:1045C0003A284B6A8FC83808AFE1FD3F35B67F0CFB -:1045D00001100D12E5300C3B3C5908080913BFCB04 -:1045E000E7FE3F9EE77E3C0D15199B083C3C2A40A8 -:1045F00008080C16CF32FB3F4FEF7EBF90FAF564F0 -:104600003928080C1B3097FA3F34DE7CBF650CC696 -:1046100028080C1B7E63FA3F5FF77ABF15C88A280B -:10462000080C1BC37DFA3FC65179BFEA781F2808E2 -:104630000D1AE5C1FA3FAE0178BF75D7813A280857 -:104640000D1AFE09FB3FAB1777BF47A6473928284D -:104650000CD436FB3F929F76BF05296414D10D1A06 -:10466000AA46613C3C080C1B3B47F83FE1A27EBFD9 -:1046700080B24A28080C1B7AA9F73F1CFE7BBFF3C7 -:104680002E2328080D1A39AEF73F628F79BFAEC2CC -:10469000E43B28080C1BAD26F83F6F7377BFDF7D26 -:1046A0008328080D1A1ED4F83F2AC475BF7B36D65E -:1046B0003A28080D1A7075F93F4D9774BFEFBBA4E7 -:1046C0003928280C27D5F93FABFC73BF05296414A2 -:1046D000D1FCA5887F14593C2AC008080B1C6442F1 -:1046E000FF3FCC9D7FBF4C1228080C1BA9C4FE3F86 -:1046F000DFBF7EBF68617E28080C1C7601FE3FB5D7 -:10470000827DBF711F7D78080B1B97FC3FFA4E7BA3 -:10471000BFA2417A28080C1BB457F93F215776BF36 -:10472000E1197128080C1B77F0EE3F978666BFB63B -:104730009E3F28F80C99AEB63FA51D11BF05296410 -:10474000280D15363DB73C3C2A4008080C1B97AE97 -:10475000F33FD4447EBFE2C7A428080C1BBF28F354 -:104760003F7AEA7ABFA9AF8428080C1B059FF33F64 -:10477000FFD377BF80253A28080D1A35C1F43FC210 -:104780002475BFCE33D63B28080C1B1F26F63FDD11 -:10479000FD72BF8AA12E28080D1AD360F73F2C7C2A -:1047A00071BF1B5D063A28280C8A17F83F71B57057 -:1047B000BF05296414D10C1B4DA8FBB4080C1BFECB -:1047C00042EF3F06FB7DBFE63DE228080C1B36E5C5 -:1047D000EE3F28127ABF9E41B628080C1B83D7EF04 -:1047E0003F3A7776BF32ED7F28080C1BCAA9F13F0C -:1047F000675273BFC7691328080D1A12CAF33FC066 -:10480000CA70BFDC97703B28080D1AA2A0F53FA420 -:10481000036FBF2F38393A28280CA6AFF63F85180A -:104820006EBF05296414D10D1AF2E61A3D3C080C3E -:104830001B7385EB3FA3C47DBFBC3D0B28080C1B3D -:104840009F51EB3FAE7279BF1873E0DC080C1B8AF6 -:10485000B3EC3F777575BF4BBA9D28080C1B9520AC -:10486000EF3F73F871BF5CE33528080D1A91E4F14E -:104870003F58276FBF968F943B28080D1A3C43F48E -:104880003FF42A6DBF8EDA643A28280C6C9FF53FFE -:10489000B3236CBF05296414D10D1AAD21463D3CEC -:1048A000080C1B92FAE53F907D7DBFF31732280874 -:1048B0000C1B0F0EE63F1AA278BF7CA90F28080D2B -:1048C0001A761CE83F4B2374BF0434CA3C28080CFA -:1048D0001B0475EB3F883070BF4A8E6928080D1A9B -:1048E0008A31EF3FF9FB6CBFC906BF3B28080D1AA0 -:1048F0002C5FF23F8FB66ABFA140933A28280CF58F -:1049000030F43F6A8869BF05296414D10C1B4E59E5 -:1049100076DC080C1BFED0DF3F50387DBF58755D3C -:1049200028080C1BA03CE03F97D677BFB7D63228AB -:10493000080D1A1D11E33FE8D772BFC226FC3C28C0 -:10494000080C1B1975E73F0B6F6EBFA8E79128088D -:104950000D1AFE4BEC3FF1D46ABF1A10EF3B28084A -:104960000D1AA665F03FCC4368BF1B74B83A2811F6 -:10497000280BBEF23FE8EC66BF05296414D10C1B7E -:10498000E027ABDC080C1B0F98D33F0FC47CBFE8BB -:10499000F19928080C1B84C3D43FFC7F76BF0F41DC -:1049A0007928080C1BD12AD93FE5A570BFF45F30E8 -:1049B000281582AADF3F08081A6D6BBFCAF3CC3CEA -:1049C00028080C1B40BEE63FF81A67BFF57C282874 -:1049D000080D1AC5BAEC3F5AFD63BF1242023B28CC -:1049E000280C5228F03FAC5962BF05296414D10D40 -:1049F0001ACA7D143E3C080C1B0FB3B33FE8E37B9F -:104A0000BF57E80528080D1A95FEB63F81E573BF2C -:104A1000967BDA3D28080C1B3D86BF3F464A6CBF9B -:104A200047509C28080C1B6991CB3FF54A65BFD1C4 -:104A3000D33728080D1A57ABD83FA24B5FBF83AAC4 -:104A4000983C28080D1A19DFE33F83D35ABFEE6F55 -:104A50006D3B28280CD75AEA3F6E6B58BF05296476 -:104A600014D10D1AAC167D3E3C080C1BD4E27D3FE0 -:104A700080F97ABFDBB26528080C1BF9B3853FCDFE -:104A80001A71BF0D383E28080C1B4594943F98605E -:104A900067BFA5FC0A28080D1A3C78A93F1CED5DEC -:104AA000BFB848A73D28080C1BB9D3C03FCD4B5514 -:104AB000BF9AC10D28080D1A3584D53FD0784EBF56 -:104AC000D917DF3B28280C4BD5E13F54A34ABF053B -:104AD000296414D10C1B4E6BBBB4080C1BC08F0394 -:104AE0003F5C667ABF8EB4AB28080C1B6CD5173FB1 -:104AF000883E6FBFA50D9128080C1B63A3413FADF5 -:104B0000BE63BFF20B5A28080C1B6EBB7D3F60C70B -:104B100057BFE2890728080D1A7232A23FC6EE4B32 -:104B2000BFAC966C3D28080D1A1754C33FD9CD4130 -:104B3000BF238B3D3C28280CDAF6D73F65C63BBF28 -:104B400005296414D1FCEC746D14A93C080C1B4FAE -:104B50005FDCBF15157DBF76C96828080C1B973E22 -:104B6000D6BFA9A876BF87CE6028080C1BF59DCAC2 -:104B7000BF32FE6DBF31705228080C1BB5D6B4BFD2 -:104B8000591360BFF5843628080D1AE3DE89BF0C7F -:104B90005646BF38D5FB3E28080C1B891EC9BE2BC4 -:104BA0001B13BFD3B41D28F80CCC8D273F3E858A3C -:104BB000BE052964780D158D24883D3C2AC008085F -:104BC0000C1B1482DCBF6E167DBF0CCD7428080C44 -:104BD0001BCE1FDDBFDF7277BF96D04528080C1BA8 -:104BE0006360E0BFEC3472BFF1960B28080D1A8B9E -:104BF00055E5BFD2906DBFD0B7A13C28080C1B086B -:104C0000C6EABF09C369BF5A9B0428080D1A9460FD -:104C1000EFBFDE0A67BFBABCCC3A28280CAA02F262 -:104C2000BFCD9E65BF05296414F9283201130C2EEF -:104C30001410F82D2A100C1A280C1A1404290C5ED2 -:104C4000ACA50120300C115D0C02404008026B083D -:104C5000320513FC29261090A401202BF0C2B418B1 -:104C6000090F270F27FFFFAB031139C4179CFFFF64 -:104C7000FF8D1A1B08890413A91D1B08A5041A41DE -:104C8000041AD104131201180F02EF020110830459 -:104C900001F00601010203CD15040309042AC2D65E -:084CA000120805004C1A04087B -:040000050800018965 -:00000001FF diff --git a/STM32/MDK-ARM/WOLF-Lite/WOLF-Lite.htm b/STM32/MDK-ARM/WOLF-Lite/WOLF-Lite.htm deleted file mode 100644 index 94aba9a..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/WOLF-Lite.htm +++ /dev/null @@ -1,4480 +0,0 @@ - - -Static Call Graph - [WOLF-Lite\WOLF-Lite.axf] -
-

Static Call Graph for image WOLF-Lite\WOLF-Lite.axf


-

#<CALLGRAPH># ARM Linker, 6150002: Last Updated: Sat Jan 30 22:50:57 2021 -

-

Maximum Stack Usage = 780 bytes + Unknown(Functions without stacksize, Cycles, Untraceable Function Pointers)

-Call chain for Maximum Stack Depth:

-__rt_entry_main ⇒ main ⇒ LoadCalibration ⇒ SaveCalibration ⇒ EEPROM_Write_Data ⇒ EEPROM_Read_Data ⇒ EEPROM_Read_Data (Cycle) -

-

-Functions with no stack information -

- -

-

-Mutually Recursive functions -

  • ADC_IRQHandler   ⇒   ADC_IRQHandler
    -
  • arm_quick_sort_core_f32   ⇒   arm_quick_sort_core_f32
    -
  • EEPROM_Read_Data   ⇒   EEPROM_Read_Data
    - -

    -

    -Function Pointers -

      -
    • ADC_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • AUDIO_DeInit_FS from lto-llvm-ea22a7.o(.text.AUDIO_DeInit_FS) referenced 2 times from lto-llvm-ea22a7.o(.data.USBD_AUDIO_fops_FS) -
    • AUDIO_Init_FS from lto-llvm-ea22a7.o(.text.AUDIO_Init_FS) referenced 2 times from lto-llvm-ea22a7.o(.data.USBD_AUDIO_fops_FS) -
    • BusFault_Handler from lto-llvm-ea22a7.o(.text.BusFault_Handler) referenced from startup_stm32f407xx.o(RESET) -
    • CAN1_RX0_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • CAN1_RX1_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • CAN1_SCE_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • CAN1_TX_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • CAN2_RX0_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • CAN2_RX1_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • CAN2_SCE_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • CAN2_TX_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • CAT_Control_FS from lto-llvm-ea22a7.o(.text.CAT_Control_FS) referenced 2 times from lto-llvm-ea22a7.o(.data.USBD_CAT_fops_FS) -
    • CAT_DeInit_FS from lto-llvm-ea22a7.o(.text.CAT_DeInit_FS) referenced 2 times from lto-llvm-ea22a7.o(.data.USBD_CAT_fops_FS) -
    • CAT_Init_FS from lto-llvm-ea22a7.o(.text.CAT_Init_FS) referenced 2 times from lto-llvm-ea22a7.o(.data.USBD_CAT_fops_FS) -
    • CAT_Receive_FS from lto-llvm-ea22a7.o(.text.CAT_Receive_FS) referenced 2 times from lto-llvm-ea22a7.o(.data.USBD_CAT_fops_FS) -
    • DCMI_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • DEBUG_Control_FS from lto-llvm-ea22a7.o(.text.DEBUG_Control_FS) referenced 2 times from lto-llvm-ea22a7.o(.data.USBD_DEBUG_fops_FS) -
    • DEBUG_DeInit_FS from lto-llvm-ea22a7.o(.text.DEBUG_DeInit_FS) referenced 2 times from lto-llvm-ea22a7.o(.data.USBD_DEBUG_fops_FS) -
    • DEBUG_Init_FS from lto-llvm-ea22a7.o(.text.DEBUG_Init_FS) referenced 2 times from lto-llvm-ea22a7.o(.data.USBD_DEBUG_fops_FS) -
    • DEBUG_Receive_FS from lto-llvm-ea22a7.o(.text.DEBUG_Receive_FS) referenced 2 times from lto-llvm-ea22a7.o(.data.USBD_DEBUG_fops_FS) -
    • DMA1_Stream0_IRQHandler from lto-llvm-ea22a7.o(.text.DMA1_Stream0_IRQHandler) referenced from startup_stm32f407xx.o(RESET) -
    • DMA1_Stream1_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • DMA1_Stream2_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • DMA1_Stream3_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • DMA1_Stream4_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • DMA1_Stream5_IRQHandler from lto-llvm-ea22a7.o(.text.DMA1_Stream5_IRQHandler) referenced from startup_stm32f407xx.o(RESET) -
    • DMA1_Stream6_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • DMA1_Stream7_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • DMA2_Stream0_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • DMA2_Stream1_IRQHandler from lto-llvm-ea22a7.o(.text.DMA2_Stream1_IRQHandler) referenced from startup_stm32f407xx.o(RESET) -
    • DMA2_Stream2_IRQHandler from lto-llvm-ea22a7.o(.text.DMA2_Stream2_IRQHandler) referenced from startup_stm32f407xx.o(RESET) -
    • DMA2_Stream3_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • DMA2_Stream4_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • DMA2_Stream5_IRQHandler from lto-llvm-ea22a7.o(.text.DMA2_Stream5_IRQHandler) referenced from startup_stm32f407xx.o(RESET) -
    • DMA2_Stream6_IRQHandler from lto-llvm-ea22a7.o(.text.DMA2_Stream6_IRQHandler) referenced from startup_stm32f407xx.o(RESET) -
    • DMA2_Stream7_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • DebugMon_Handler from lto-llvm-ea22a7.o(.text.DebugMon_Handler) referenced from startup_stm32f407xx.o(RESET) -
    • ETH_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • ETH_WKUP_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • EXTI0_IRQHandler from lto-llvm-ea22a7.o(.text.EXTI0_IRQHandler) referenced from startup_stm32f407xx.o(RESET) -
    • EXTI15_10_IRQHandler from lto-llvm-ea22a7.o(.text.EXTI15_10_IRQHandler) referenced from startup_stm32f407xx.o(RESET) -
    • EXTI1_IRQHandler from lto-llvm-ea22a7.o(.text.EXTI1_IRQHandler) referenced from startup_stm32f407xx.o(RESET) -
    • EXTI2_IRQHandler from lto-llvm-ea22a7.o(.text.EXTI2_IRQHandler) referenced from startup_stm32f407xx.o(RESET) -
    • EXTI3_IRQHandler from lto-llvm-ea22a7.o(.text.EXTI3_IRQHandler) referenced from startup_stm32f407xx.o(RESET) -
    • EXTI4_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • EXTI9_5_IRQHandler from lto-llvm-ea22a7.o(.text.EXTI9_5_IRQHandler) referenced from startup_stm32f407xx.o(RESET) -
    • FLASH_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • FMC_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • FPU_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • FRONTPANEL_BUTTONHANDLER_AGC from lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC) referenced 2 times from lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    • FRONTPANEL_BUTTONHANDLER_AGC_SPEED from lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) referenced 2 times from lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    • FRONTPANEL_BUTTONHANDLER_ATT from lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATT) referenced 2 times from lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    • FRONTPANEL_BUTTONHANDLER_ATTHOLD from lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) referenced 2 times from lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    • FRONTPANEL_BUTTONHANDLER_ArB from lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ArB) referenced 2 times from lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    • FRONTPANEL_BUTTONHANDLER_AsB from lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) referenced 2 times from lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    • FRONTPANEL_BUTTONHANDLER_BANDMAP from lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) referenced 4 times from lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    • FRONTPANEL_BUTTONHANDLER_BAND_N from lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_N) referenced 2 times from lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_Static_Buttons) -
    • FRONTPANEL_BUTTONHANDLER_BAND_P from lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_P) referenced 2 times from lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_Static_Buttons) -
    • FRONTPANEL_BUTTONHANDLER_BW from lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) referenced 2 times from lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    • FRONTPANEL_BUTTONHANDLER_CLAR from lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_CLAR) referenced 4 times from lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    • FRONTPANEL_BUTTONHANDLER_FAST from lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_FAST) referenced 4 times from lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    • FRONTPANEL_BUTTONHANDLER_HPF from lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) referenced 2 times from lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    • FRONTPANEL_BUTTONHANDLER_KEYER from lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_KEYER) referenced 4 times from lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    • FRONTPANEL_BUTTONHANDLER_LOCK from lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_LOCK) referenced 4 times from lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    • FRONTPANEL_BUTTONHANDLER_LOCK from lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_LOCK) referenced 2 times from lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) -
    • FRONTPANEL_BUTTONHANDLER_MODE_N from lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_N) referenced 2 times from lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_Static_Buttons) -
    • FRONTPANEL_BUTTONHANDLER_MODE_P from lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) referenced 2 times from lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_Static_Buttons) -
    • FRONTPANEL_BUTTONHANDLER_MUTE from lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MUTE) referenced 4 times from lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    • FRONTPANEL_BUTTONHANDLER_NOTCH from lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) referenced 4 times from lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    • FRONTPANEL_BUTTONHANDLER_PRE from lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_PRE) referenced 4 times from lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    • FRONTPANEL_BUTTONHANDLER_RF_POWER from lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) referenced 4 times from lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    • FRONTPANEL_BUTTONHANDLER_TUNE from lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_TUNE) referenced 4 times from lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    • FRONTPANEL_BUTTONHANDLER_VOLUME from lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) referenced 4 times from lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    • FRONTPANEL_BUTTONHANDLER_WPM from lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) referenced 4 times from lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    • HAL_I2SEx_FullDuplex_IRQHandler from lto-llvm-ea22a7.o(.text.HAL_I2SEx_FullDuplex_IRQHandler) referenced 2 times from lto-llvm-ea22a7.o(.text.main) -
    • HASH_RNG_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • HardFault_Handler from lto-llvm-ea22a7.o(.text.HardFault_Handler) referenced from startup_stm32f407xx.o(RESET) -
    • I2C1_ER_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • I2C1_EV_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • I2C2_ER_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • I2C2_EV_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • I2C3_ER_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • I2C3_EV_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • I2SEx_TxRxDMACplt from lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMACplt) referenced 2 times from lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) -
    • I2SEx_TxRxDMAError from lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAError) referenced 2 times from lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) -
    • I2SEx_TxRxDMAHalfCplt from lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAHalfCplt) referenced 2 times from lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) -
    • I2S_IRQHandler from lto-llvm-ea22a7.o(.text.I2S_IRQHandler) referenced 2 times from lto-llvm-ea22a7.o(.text.main) -
    • MemManage_Handler from lto-llvm-ea22a7.o(.text.MemManage_Handler) referenced from startup_stm32f407xx.o(RESET) -
    • NMI_Handler from lto-llvm-ea22a7.o(.text.NMI_Handler) referenced from startup_stm32f407xx.o(RESET) -
    • OTG_FS_IRQHandler from lto-llvm-ea22a7.o(.text.OTG_FS_IRQHandler) referenced from startup_stm32f407xx.o(RESET) -
    • OTG_FS_WKUP_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • OTG_HS_EP1_IN_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • OTG_HS_EP1_OUT_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • OTG_HS_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • OTG_HS_WKUP_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • PVD_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • PendSV_Handler from lto-llvm-ea22a7.o(.text.PendSV_Handler) referenced from startup_stm32f407xx.o(RESET) -
    • RCC_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • RTC_Alarm_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • RTC_WKUP_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • Reset_Handler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • SDIO_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • SPI1_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • SPI2_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • SPI3_IRQHandler from lto-llvm-ea22a7.o(.text.SPI3_IRQHandler) referenced from startup_stm32f407xx.o(RESET) -
    • SVC_Handler from lto-llvm-ea22a7.o(.text.SVC_Handler) referenced from startup_stm32f407xx.o(RESET) -
    • SYSMENU_HANDL_ADCMENU from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADCMENU) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) -
    • SYSMENU_HANDL_ADC_DRIVER from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_DRIVER) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_adc_handlers) -
    • SYSMENU_HANDL_ADC_SHDN from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_SHDN) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_adc_handlers) -
    • SYSMENU_HANDL_AUDIOMENU from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIOMENU) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) -
    • SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    • SYSMENU_HANDL_AUDIO_AM_LPF_pass from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    • SYSMENU_HANDL_AUDIO_Beeper from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_Beeper) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    • SYSMENU_HANDL_AUDIO_CW_HPF_pass from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    • SYSMENU_HANDL_AUDIO_CW_LPF_pass from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    • SYSMENU_HANDL_AUDIO_DNR_AVERAGE from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    • SYSMENU_HANDL_AUDIO_DNR_MINMAL from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    • SYSMENU_HANDL_AUDIO_DNR_THRES from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_THRES) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    • SYSMENU_HANDL_AUDIO_FMSquelch from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FMSquelch) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    • SYSMENU_HANDL_AUDIO_FM_LPF_pass from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    • SYSMENU_HANDL_AUDIO_IFGain from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_IFGain) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    • SYSMENU_HANDL_AUDIO_MIC_EQ_HIG from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    • SYSMENU_HANDL_AUDIO_MIC_EQ_LOW from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    • SYSMENU_HANDL_AUDIO_MIC_EQ_MID from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    • SYSMENU_HANDL_AUDIO_MIC_Gain from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_Gain) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    • SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    • SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    • SYSMENU_HANDL_AUDIO_RX_EQ_HIG from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    • SYSMENU_HANDL_AUDIO_RX_EQ_LOW from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    • SYSMENU_HANDL_AUDIO_RX_EQ_MID from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    • SYSMENU_HANDL_AUDIO_SSB_HPF_pass from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    • SYSMENU_HANDL_AUDIO_SSB_LPF_pass from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    • SYSMENU_HANDL_AUDIO_TX_AGCSpeed from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    • SYSMENU_HANDL_AUDIO_VOLUME from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_VOLUME) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    • SYSMENU_HANDL_Bootloader from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_Bootloader) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) -
    • SYSMENU_HANDL_CALIBRATIONMENU from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIBRATIONMENU) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) -
    • SYSMENU_HANDL_CALIB_CICCOMP_SHIFT from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) -
    • SYSMENU_HANDL_CALIB_CIC_SHIFT from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) -
    • SYSMENU_HANDL_CALIB_DAC_SHIFT from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) -
    • SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) -
    • SYSMENU_HANDL_CALIB_ENCODER2_INVERT from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) -
    • SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) -
    • SYSMENU_HANDL_CALIB_ENCODER_INVERT from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) -
    • SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) -
    • SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) -
    • SYSMENU_HANDL_CALIB_RF_GAIN_HF from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) -
    • SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) -
    • SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) -
    • SYSMENU_HANDL_CALIB_RF_GAIN_LF from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) -
    • SYSMENU_HANDL_CALIB_SWR_TRANS_RATE from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) -
    • SYSMENU_HANDL_CALIB_S_METER from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_S_METER) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) -
    • SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) -
    • SYSMENU_HANDL_CALIB_VOLT from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_VOLT) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) -
    • SYSMENU_HANDL_CWMENU from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) -
    • SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) -
    • SYSMENU_HANDL_CW_GaussFilter from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GaussFilter) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) -
    • SYSMENU_HANDL_CW_Key_timeout from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Key_timeout) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) -
    • SYSMENU_HANDL_CW_Keyer from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) -
    • SYSMENU_HANDL_CW_Keyer_WPM from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer_WPM) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) -
    • SYSMENU_HANDL_CW_SelfHear from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_SelfHear) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) -
    • SYSMENU_HANDL_LCDMENU from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_LCDMENU) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) -
    • SYSMENU_HANDL_SCREEN_COLOR_THEME from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) -
    • SYSMENU_HANDL_SCREEN_FFT_Averaging from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) -
    • SYSMENU_HANDL_SCREEN_FFT_Background from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Background) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) -
    • SYSMENU_HANDL_SCREEN_FFT_Color from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Color) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) -
    • SYSMENU_HANDL_SCREEN_FFT_Compressor from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) -
    • SYSMENU_HANDL_SCREEN_FFT_Enabled from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) -
    • SYSMENU_HANDL_SCREEN_FFT_Grid from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Grid) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) -
    • SYSMENU_HANDL_SCREEN_FFT_Window from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Window) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) -
    • SYSMENU_HANDL_SCREEN_FFT_Zoom from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) -
    • SYSMENU_HANDL_SERVICESMENU from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) -
    • SYSMENU_HANDL_SETTIME from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) -
    • SYSMENU_HANDL_SWR_BAND_START from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_BAND_START) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_services_handlers) -
    • SYSMENU_HANDL_SWR_HF_START from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_HF_START) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_services_handlers) -
    • SYSMENU_HANDL_TRXMENU from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRXMENU) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) -
    • SYSMENU_HANDL_TRX_ATT_STEP from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ATT_STEP) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) -
    • SYSMENU_HANDL_TRX_AutoGain from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_AutoGain) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) -
    • SYSMENU_HANDL_TRX_BandMap from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_BandMap) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) -
    • SYSMENU_HANDL_TRX_DEBUG_CONSOLE from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) -
    • SYSMENU_HANDL_TRX_ENC_ACCELERATE from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) -
    • SYSMENU_HANDL_TRX_FRQ_FAST_STEP from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) -
    • SYSMENU_HANDL_TRX_FRQ_STEP from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_STEP) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) -
    • SYSMENU_HANDL_TRX_LINEIN from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_LINEIN) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) -
    • SYSMENU_HANDL_TRX_MICIN from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_MICIN) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) -
    • SYSMENU_HANDL_TRX_RFPower from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_RFPower) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) -
    • SYSMENU_HANDL_TRX_SHIFT_INTERVAL from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) -
    • SYSMENU_HANDL_TRX_SetCallsign from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SetCallsign) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) -
    • SYSMENU_HANDL_TRX_TRANSV_ENABLE from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) -
    • SYSMENU_HANDL_TRX_TRANSV_OFFSET from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) -
    • SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) -
    • SYSMENU_HANDL_TRX_USBIN from lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_USBIN) referenced from lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) -
    • SysTick_Handler from lto-llvm-ea22a7.o(.text.SysTick_Handler) referenced from startup_stm32f407xx.o(RESET) -
    • SystemInit from lto-llvm-ea22a7.o(.text.SystemInit) referenced from startup_stm32f407xx.o(.text) -
    • TAMP_STAMP_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • TIM1_BRK_TIM9_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • TIM1_CC_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • TIM1_TRG_COM_TIM11_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • TIM1_UP_TIM10_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • TIM2_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • TIM3_IRQHandler from lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) referenced from startup_stm32f407xx.o(RESET) -
    • TIM4_IRQHandler from lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) referenced from startup_stm32f407xx.o(RESET) -
    • TIM5_IRQHandler from lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) referenced from startup_stm32f407xx.o(RESET) -
    • TIM6_DAC_IRQHandler from lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) referenced from startup_stm32f407xx.o(RESET) -
    • TIM7_IRQHandler from lto-llvm-ea22a7.o(.text.TIM7_IRQHandler) referenced from startup_stm32f407xx.o(RESET) -
    • TIM8_BRK_TIM12_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • TIM8_CC_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • TIM8_TRG_COM_TIM14_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • TIM8_UP_TIM13_IRQHandler from lto-llvm-ea22a7.o(.text.TIM8_UP_TIM13_IRQHandler) referenced from startup_stm32f407xx.o(RESET) -
    • UA3REO_I2SEx_DMAErr from lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_DMAErr) referenced 2 times from lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) -
    • UA3REO_I2SEx_TxRxDMACplt from lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMACplt) referenced 2 times from lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) -
    • UA3REO_I2SEx_TxRxDMAHalfCplt from lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMAHalfCplt) referenced 2 times from lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) -
    • UART4_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • UART5_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • USART1_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • USART2_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • USART3_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • USART6_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • USBD_FS_ConfigStrDescriptor from lto-llvm-ea22a7.o(.text.USBD_FS_ConfigStrDescriptor) referenced 2 times from lto-llvm-ea22a7.o(.data.FS_Desc) -
    • USBD_FS_DeviceDescriptor from lto-llvm-ea22a7.o(.text.USBD_FS_DeviceDescriptor) referenced 2 times from lto-llvm-ea22a7.o(.data.FS_Desc) -
    • USBD_FS_Interface1StrDescriptor from lto-llvm-ea22a7.o(.text.USBD_FS_Interface1StrDescriptor) referenced 2 times from lto-llvm-ea22a7.o(.data.FS_Desc) -
    • USBD_FS_Interface2StrDescriptor from lto-llvm-ea22a7.o(.text.USBD_FS_Interface2StrDescriptor) referenced 2 times from lto-llvm-ea22a7.o(.data.FS_Desc) -
    • USBD_FS_Interface3StrDescriptor from lto-llvm-ea22a7.o(.text.USBD_FS_Interface3StrDescriptor) referenced 2 times from lto-llvm-ea22a7.o(.data.FS_Desc) -
    • USBD_FS_LangIDStrDescriptor from lto-llvm-ea22a7.o(.text.USBD_FS_LangIDStrDescriptor) referenced 2 times from lto-llvm-ea22a7.o(.data.FS_Desc) -
    • USBD_FS_ManufacturerStrDescriptor from lto-llvm-ea22a7.o(.text.USBD_FS_ManufacturerStrDescriptor) referenced 2 times from lto-llvm-ea22a7.o(.data.FS_Desc) -
    • USBD_FS_ProductStrDescriptor from lto-llvm-ea22a7.o(.text.USBD_FS_ProductStrDescriptor) referenced 2 times from lto-llvm-ea22a7.o(.data.FS_Desc) -
    • USBD_FS_SerialStrDescriptor from lto-llvm-ea22a7.o(.text.USBD_FS_SerialStrDescriptor) referenced 2 times from lto-llvm-ea22a7.o(.data.FS_Desc) -
    • USBD_UA3REO_DataIn from lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataIn) referenced 2 times from lto-llvm-ea22a7.o(.data.USBD_UA3REO) -
    • USBD_UA3REO_DataOut from lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataOut) referenced 2 times from lto-llvm-ea22a7.o(.data.USBD_UA3REO) -
    • USBD_UA3REO_DeInit from lto-llvm-ea22a7.o(.text.USBD_UA3REO_DeInit) referenced 2 times from lto-llvm-ea22a7.o(.data.USBD_UA3REO) -
    • USBD_UA3REO_EP0_RxReady from lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_RxReady) referenced 2 times from lto-llvm-ea22a7.o(.data.USBD_UA3REO) -
    • USBD_UA3REO_EP0_TxReady from lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_TxReady) referenced 2 times from lto-llvm-ea22a7.o(.data.USBD_UA3REO) -
    • USBD_UA3REO_GetDeviceQualifierDescriptor from lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetDeviceQualifierDescriptor) referenced 2 times from lto-llvm-ea22a7.o(.data.USBD_UA3REO) -
    • USBD_UA3REO_GetFSCfgDesc from lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetFSCfgDesc) referenced 2 times from lto-llvm-ea22a7.o(.data.USBD_UA3REO) -
    • USBD_UA3REO_Init from lto-llvm-ea22a7.o(.text.USBD_UA3REO_Init) referenced 2 times from lto-llvm-ea22a7.o(.data.USBD_UA3REO) -
    • USBD_UA3REO_IsoINIncomplete from lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoINIncomplete) referenced 2 times from lto-llvm-ea22a7.o(.data.USBD_UA3REO) -
    • USBD_UA3REO_IsoOutIncomplete from lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoOutIncomplete) referenced 2 times from lto-llvm-ea22a7.o(.data.USBD_UA3REO) -
    • USBD_UA3REO_SOF from lto-llvm-ea22a7.o(.text.USBD_UA3REO_SOF) referenced 2 times from lto-llvm-ea22a7.o(.data.USBD_UA3REO) -
    • USBD_UA3REO_Setup from lto-llvm-ea22a7.o(.text.USBD_UA3REO_Setup) referenced 2 times from lto-llvm-ea22a7.o(.data.USBD_UA3REO) -
    • UsageFault_Handler from lto-llvm-ea22a7.o(.text.UsageFault_Handler) referenced from startup_stm32f407xx.o(RESET) -
    • WWDG_IRQHandler from startup_stm32f407xx.o(.text) referenced from startup_stm32f407xx.o(RESET) -
    • __main from __main.o(!!!main) referenced from startup_stm32f407xx.o(.text) -
    • _get_lc_ctype from lc_ctype_c.o(locale$$code) referenced from rt_ctype_table.o(.text) -
    • _printf_input_char from _printf_char_common.o(.text) referenced from _printf_char_common.o(.text) -
    • _sputc from _sputc.o(.text) referenced from noretval__2sprintf.o(.text) -
    -

    -

    -Global Symbols -

    -

    __main (Thumb, 8 bytes, Stack size 0 bytes, __main.o(!!!main)) -

    [Calls]

    • >>   __rt_entry -
    • >>   __scatterload -
    -
    [Address Reference Count : 1]
    • startup_stm32f407xx.o(.text) -
    -

    __scatterload (Thumb, 0 bytes, Stack size unknown bytes, __scatter.o(!!!scatter)) -

    [Called By]

    • >>   __main -
    - -

    __scatterload_rt2 (Thumb, 44 bytes, Stack size unknown bytes, __scatter.o(!!!scatter), UNUSED) -

    [Calls]

    • >>   __rt_entry -
    - -

    __scatterload_rt2_thumb_only (Thumb, 0 bytes, Stack size unknown bytes, __scatter.o(!!!scatter), UNUSED) - -

    __scatterload_null (Thumb, 0 bytes, Stack size unknown bytes, __scatter.o(!!!scatter), UNUSED) - -

    __decompress (Thumb, 90 bytes, Stack size unknown bytes, __dczerorl2.o(!!dczerorl2), UNUSED) - -

    __decompress1 (Thumb, 0 bytes, Stack size unknown bytes, __dczerorl2.o(!!dczerorl2), UNUSED) - -

    __scatterload_zeroinit (Thumb, 28 bytes, Stack size unknown bytes, __scatter_zi.o(!!handler_zi), UNUSED) - -

    _printf_f (Thumb, 0 bytes, Stack size unknown bytes, _printf_f.o(.ARM.Collect$$_printf_percent$$00000003)) -

    [Stack]

    • Max Depth = 324 + Unknown Stack Size -
    • Call Chain = _printf_f ⇒ _printf_fp_dec ⇒ _printf_fp_dec_real ⇒ _fp_digits ⇒ _btod_etento ⇒ _btod_emul ⇒ _e2e -
    -
    [Calls]
    • >>   _printf_fp_dec -
    - -

    _printf_percent (Thumb, 0 bytes, Stack size unknown bytes, _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000)) -

    [Called By]

    • >>   __printf -
    - -

    _printf_e (Thumb, 0 bytes, Stack size unknown bytes, _printf_e.o(.ARM.Collect$$_printf_percent$$00000004)) -

    [Stack]

    • Max Depth = 324 + Unknown Stack Size -
    • Call Chain = _printf_e ⇒ _printf_fp_dec ⇒ _printf_fp_dec_real ⇒ _fp_digits ⇒ _btod_etento ⇒ _btod_emul ⇒ _e2e -
    -
    [Calls]
    • >>   _printf_fp_dec -
    - -

    _printf_g (Thumb, 0 bytes, Stack size unknown bytes, _printf_g.o(.ARM.Collect$$_printf_percent$$00000005)) -

    [Stack]

    • Max Depth = 324 + Unknown Stack Size -
    • Call Chain = _printf_g ⇒ _printf_fp_dec ⇒ _printf_fp_dec_real ⇒ _fp_digits ⇒ _btod_etento ⇒ _btod_emul ⇒ _e2e -
    -
    [Calls]
    • >>   _printf_fp_dec -
    - -

    _printf_a (Thumb, 0 bytes, Stack size unknown bytes, _printf_a.o(.ARM.Collect$$_printf_percent$$00000006)) -

    [Stack]

    • Max Depth = 112 + Unknown Stack Size -
    • Call Chain = _printf_a ⇒ _printf_fp_hex ⇒ _printf_fp_hex_real ⇒ _printf_fp_infnan ⇒ _printf_post_padding -
    -
    [Calls]
    • >>   _printf_fp_hex -
    - -

    _printf_i (Thumb, 0 bytes, Stack size unknown bytes, _printf_i.o(.ARM.Collect$$_printf_percent$$00000008)) -

    [Stack]

    • Max Depth = 72 + Unknown Stack Size -
    • Call Chain = _printf_i ⇒ _printf_int_dec ⇒ _printf_int_common ⇒ _printf_post_padding -
    -
    [Calls]
    • >>   _printf_int_dec -
    - -

    _printf_d (Thumb, 0 bytes, Stack size unknown bytes, _printf_d.o(.ARM.Collect$$_printf_percent$$00000009)) -

    [Stack]

    • Max Depth = 72 + Unknown Stack Size -
    • Call Chain = _printf_d ⇒ _printf_int_dec ⇒ _printf_int_common ⇒ _printf_post_padding -
    -
    [Calls]
    • >>   _printf_int_dec -
    - -

    _printf_u (Thumb, 0 bytes, Stack size unknown bytes, _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A)) -

    [Stack]

    • Max Depth = 72 + Unknown Stack Size -
    • Call Chain = _printf_u ⇒ _printf_int_dec ⇒ _printf_int_common ⇒ _printf_post_padding -
    -
    [Calls]
    • >>   _printf_int_dec -
    - -

    _printf_o (Thumb, 0 bytes, Stack size unknown bytes, _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B)) -

    [Stack]

    • Max Depth = 64 + Unknown Stack Size -
    • Call Chain = _printf_o ⇒ _printf_int_oct ⇒ _printf_int_common ⇒ _printf_post_padding -
    -
    [Calls]
    • >>   _printf_int_oct -
    - -

    _printf_x (Thumb, 0 bytes, Stack size unknown bytes, _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C)) -

    [Stack]

    • Max Depth = 64 + Unknown Stack Size -
    • Call Chain = _printf_x ⇒ _printf_int_hex ⇒ _printf_int_common ⇒ _printf_post_padding -
    -
    [Calls]
    • >>   _printf_int_hex -
    - -

    _printf_l (Thumb, 0 bytes, Stack size unknown bytes, _printf_l.o(.ARM.Collect$$_printf_percent$$00000012)) - -

    _printf_c (Thumb, 0 bytes, Stack size unknown bytes, _printf_c.o(.ARM.Collect$$_printf_percent$$00000013)) -

    [Stack]

    • Max Depth = 40 + Unknown Stack Size -
    • Call Chain = _printf_c ⇒ _printf_char ⇒ _printf_cs_common ⇒ _printf_str ⇒ _printf_post_padding -
    -
    [Calls]
    • >>   _printf_char -
    - -

    _printf_lc (Thumb, 0 bytes, Stack size unknown bytes, _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015)) -

    [Stack]

    • Max Depth = 88 + Unknown Stack Size -
    • Call Chain = _printf_lc ⇒ _printf_wchar ⇒ _printf_lcs_common ⇒ _printf_wctomb ⇒ _wcrtomb -
    -
    [Calls]
    • >>   _printf_wchar -
    - -

    _printf_percent_end (Thumb, 0 bytes, Stack size unknown bytes, _printf_percent_end.o(.ARM.Collect$$_printf_percent$$00000017)) - -

    __rt_lib_init (Thumb, 0 bytes, Stack size unknown bytes, libinit.o(.ARM.Collect$$libinit$$00000000)) -

    [Called By]

    • >>   __rt_entry_li -
    - -

    __rt_lib_init_fp_1 (Thumb, 0 bytes, Stack size unknown bytes, libinit2.o(.ARM.Collect$$libinit$$00000001)) -

    [Calls]

    • >>   _fp_init -
    - -

    __rt_lib_init_heap_2 (Thumb, 0 bytes, Stack size unknown bytes, libinit2.o(.ARM.Collect$$libinit$$00000005)) -

    [Stack]

    • Max Depth = 64 + Unknown Stack Size -
    • Call Chain = __rt_lib_init_heap_2 ⇒ _init_alloc ⇒ __rt_SIGRTMEM ⇒ __rt_SIGRTMEM_inner ⇒ __default_signal_display ⇒ _ttywrch -
    -
    [Calls]
    • >>   _init_alloc -
    - -

    __rt_lib_init_preinit_1 (Thumb, 0 bytes, Stack size unknown bytes, libinit2.o(.ARM.Collect$$libinit$$00000004)) - -

    __rt_lib_init_heap_1 (Thumb, 0 bytes, Stack size unknown bytes, libinit2.o(.ARM.Collect$$libinit$$0000000A)) - -

    __rt_lib_init_lc_common (Thumb, 0 bytes, Stack size unknown bytes, libinit2.o(.ARM.Collect$$libinit$$0000000F)) -

    [Calls]

    • >>   __rt_locale -
    - -

    __rt_lib_init_rand_1 (Thumb, 0 bytes, Stack size unknown bytes, libinit2.o(.ARM.Collect$$libinit$$0000000E)) - -

    __rt_lib_init_user_alloc_1 (Thumb, 0 bytes, Stack size unknown bytes, libinit2.o(.ARM.Collect$$libinit$$0000000C)) - -

    __rt_lib_init_lc_collate_1 (Thumb, 0 bytes, Stack size unknown bytes, libinit2.o(.ARM.Collect$$libinit$$00000011)) - -

    __rt_lib_init_lc_ctype_2 (Thumb, 0 bytes, Stack size unknown bytes, libinit2.o(.ARM.Collect$$libinit$$00000012)) -

    [Stack]

    • Max Depth = 16 + Unknown Stack Size -
    • Call Chain = __rt_lib_init_lc_ctype_2 ⇒ _get_lc_ctype ⇒ strcmp -
    -
    [Calls]
    • >>   _get_lc_ctype -
    - -

    __rt_lib_init_lc_ctype_1 (Thumb, 0 bytes, Stack size unknown bytes, libinit2.o(.ARM.Collect$$libinit$$00000013)) - -

    __rt_lib_init_lc_monetary_1 (Thumb, 0 bytes, Stack size unknown bytes, libinit2.o(.ARM.Collect$$libinit$$00000015)) - -

    __rt_lib_init_lc_numeric_2 (Thumb, 0 bytes, Stack size unknown bytes, libinit2.o(.ARM.Collect$$libinit$$00000016)) -

    [Stack]

    • Max Depth = 16 + Unknown Stack Size -
    • Call Chain = __rt_lib_init_lc_numeric_2 ⇒ _get_lc_numeric ⇒ strcmp -
    -
    [Calls]
    • >>   _get_lc_numeric -
    - -

    __rt_lib_init_alloca_1 (Thumb, 0 bytes, Stack size unknown bytes, libinit2.o(.ARM.Collect$$libinit$$0000002E)) - -

    __rt_lib_init_argv_1 (Thumb, 0 bytes, Stack size unknown bytes, libinit2.o(.ARM.Collect$$libinit$$0000002C)) - -

    __rt_lib_init_atexit_1 (Thumb, 0 bytes, Stack size unknown bytes, libinit2.o(.ARM.Collect$$libinit$$0000001B)) - -

    __rt_lib_init_clock_1 (Thumb, 0 bytes, Stack size unknown bytes, libinit2.o(.ARM.Collect$$libinit$$00000021)) - -

    __rt_lib_init_cpp_1 (Thumb, 0 bytes, Stack size unknown bytes, libinit2.o(.ARM.Collect$$libinit$$00000032)) - -

    __rt_lib_init_exceptions_1 (Thumb, 0 bytes, Stack size unknown bytes, libinit2.o(.ARM.Collect$$libinit$$00000030)) - -

    __rt_lib_init_fp_trap_1 (Thumb, 0 bytes, Stack size unknown bytes, libinit2.o(.ARM.Collect$$libinit$$0000001F)) - -

    __rt_lib_init_getenv_1 (Thumb, 0 bytes, Stack size unknown bytes, libinit2.o(.ARM.Collect$$libinit$$00000023)) - -

    __rt_lib_init_lc_numeric_1 (Thumb, 0 bytes, Stack size unknown bytes, libinit2.o(.ARM.Collect$$libinit$$00000017)) - -

    __rt_lib_init_lc_time_1 (Thumb, 0 bytes, Stack size unknown bytes, libinit2.o(.ARM.Collect$$libinit$$00000019)) - -

    __rt_lib_init_return (Thumb, 0 bytes, Stack size unknown bytes, libinit2.o(.ARM.Collect$$libinit$$00000033)) - -

    __rt_lib_init_signal_1 (Thumb, 0 bytes, Stack size unknown bytes, libinit2.o(.ARM.Collect$$libinit$$0000001D)) - -

    __rt_lib_init_stdio_1 (Thumb, 0 bytes, Stack size unknown bytes, libinit2.o(.ARM.Collect$$libinit$$00000025)) - -

    __rt_lib_shutdown (Thumb, 0 bytes, Stack size unknown bytes, libshutdown.o(.ARM.Collect$$libshutdown$$00000000)) -

    [Called By]

    • >>   __rt_exit_ls -
    - -

    __rt_lib_shutdown_cpp_1 (Thumb, 0 bytes, Stack size unknown bytes, libshutdown2.o(.ARM.Collect$$libshutdown$$00000002)) - -

    __rt_lib_shutdown_fp_trap_1 (Thumb, 0 bytes, Stack size unknown bytes, libshutdown2.o(.ARM.Collect$$libshutdown$$00000007)) - -

    __rt_lib_shutdown_heap_1 (Thumb, 0 bytes, Stack size unknown bytes, libshutdown2.o(.ARM.Collect$$libshutdown$$0000000F)) - -

    __rt_lib_shutdown_return (Thumb, 0 bytes, Stack size unknown bytes, libshutdown2.o(.ARM.Collect$$libshutdown$$00000010)) - -

    __rt_lib_shutdown_signal_1 (Thumb, 0 bytes, Stack size unknown bytes, libshutdown2.o(.ARM.Collect$$libshutdown$$0000000A)) - -

    __rt_lib_shutdown_stdio_1 (Thumb, 0 bytes, Stack size unknown bytes, libshutdown2.o(.ARM.Collect$$libshutdown$$00000004)) - -

    __rt_lib_shutdown_user_alloc_1 (Thumb, 0 bytes, Stack size unknown bytes, libshutdown2.o(.ARM.Collect$$libshutdown$$0000000C)) - -

    __rt_entry (Thumb, 0 bytes, Stack size unknown bytes, __rtentry.o(.ARM.Collect$$rtentry$$00000000)) -

    [Called By]

    • >>   __main -
    • >>   __scatterload_rt2 -
    - -

    __rt_entry_presh_1 (Thumb, 0 bytes, Stack size unknown bytes, __rtentry2.o(.ARM.Collect$$rtentry$$00000002)) - -

    __rt_entry_sh (Thumb, 0 bytes, Stack size unknown bytes, __rtentry4.o(.ARM.Collect$$rtentry$$00000004)) -

    [Stack]

    • Max Depth = 8 + Unknown Stack Size -
    • Call Chain = __rt_entry_sh ⇒ __user_setup_stackheap -
    -
    [Calls]
    • >>   __user_setup_stackheap -
    - -

    __rt_entry_li (Thumb, 0 bytes, Stack size unknown bytes, __rtentry2.o(.ARM.Collect$$rtentry$$0000000A)) -

    [Calls]

    • >>   __rt_lib_init -
    - -

    __rt_entry_postsh_1 (Thumb, 0 bytes, Stack size unknown bytes, __rtentry2.o(.ARM.Collect$$rtentry$$00000009)) - -

    __rt_entry_main (Thumb, 0 bytes, Stack size unknown bytes, __rtentry2.o(.ARM.Collect$$rtentry$$0000000D)) -

    [Stack]

    • Max Depth = 780 + Unknown Stack Size -
    • Call Chain = __rt_entry_main ⇒ main ⇒ LoadCalibration ⇒ SaveCalibration ⇒ EEPROM_Write_Data ⇒ EEPROM_Read_Data ⇒ EEPROM_Read_Data (Cycle) -
    -
    [Calls]
    • >>   exit -
    • >>   main -
    - -

    __rt_entry_postli_1 (Thumb, 0 bytes, Stack size unknown bytes, __rtentry2.o(.ARM.Collect$$rtentry$$0000000C)) - -

    __rt_exit (Thumb, 0 bytes, Stack size unknown bytes, rtexit.o(.ARM.Collect$$rtexit$$00000000)) -

    [Called By]

    • >>   exit -
    - -

    __rt_exit_ls (Thumb, 0 bytes, Stack size unknown bytes, rtexit2.o(.ARM.Collect$$rtexit$$00000003)) -

    [Calls]

    • >>   __rt_lib_shutdown -
    - -

    __rt_exit_prels_1 (Thumb, 0 bytes, Stack size unknown bytes, rtexit2.o(.ARM.Collect$$rtexit$$00000002)) - -

    __rt_exit_exit (Thumb, 0 bytes, Stack size unknown bytes, rtexit2.o(.ARM.Collect$$rtexit$$00000004)) -

    [Calls]

    • >>   _sys_exit -
    - -

    Reset_Handler (Thumb, 8 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    ADC_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -

    [Calls]

    • >>   ADC_IRQHandler -
    -
    [Called By]
    • >>   ADC_IRQHandler -
    -
    [Address Reference Count : 1]
    • startup_stm32f407xx.o(RESET) -
    -

    CAN1_RX0_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    CAN1_RX1_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    CAN1_SCE_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    CAN1_TX_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    CAN2_RX0_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    CAN2_RX1_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    CAN2_SCE_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    CAN2_TX_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    DCMI_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    DMA1_Stream1_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    DMA1_Stream2_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    DMA1_Stream3_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    DMA1_Stream4_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    DMA1_Stream6_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    DMA1_Stream7_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    DMA2_Stream0_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    DMA2_Stream3_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    DMA2_Stream4_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    DMA2_Stream7_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    ETH_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    ETH_WKUP_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    EXTI4_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    FLASH_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    FMC_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    FPU_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    HASH_RNG_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    I2C1_ER_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    I2C1_EV_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    I2C2_ER_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    I2C2_EV_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    I2C3_ER_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    I2C3_EV_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    OTG_FS_WKUP_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    OTG_HS_EP1_IN_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    OTG_HS_EP1_OUT_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    OTG_HS_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    OTG_HS_WKUP_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    PVD_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    RCC_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    RTC_Alarm_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    RTC_WKUP_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    SDIO_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    SPI1_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    SPI2_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    TAMP_STAMP_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    TIM1_BRK_TIM9_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    TIM1_CC_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    TIM1_TRG_COM_TIM11_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    TIM1_UP_TIM10_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    TIM2_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    TIM8_BRK_TIM12_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    TIM8_CC_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    TIM8_TRG_COM_TIM14_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    UART4_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    UART5_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    USART1_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    USART2_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    USART3_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    USART6_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    WWDG_IRQHandler (Thumb, 0 bytes, Stack size 0 bytes, startup_stm32f407xx.o(.text)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    __user_initial_stackheap (Thumb, 0 bytes, Stack size unknown bytes, startup_stm32f407xx.o(.text)) -

    [Called By]

    • >>   __user_setup_stackheap -
    - -

    malloc (Thumb, 94 bytes, Stack size 16 bytes, h1_alloc.o(.text)) -

    [Stack]

    • Max Depth = 48
    • Call Chain = malloc ⇒ __Heap_Full ⇒ __Heap_ProvideMemory ⇒ free -
    -
    [Calls]
    • >>   __Heap_Full -
    • >>   __rt_heap_descriptor -
    -
    [Called By]
    • >>   USBD_UA3REO_Init -
    - -

    free (Thumb, 78 bytes, Stack size 16 bytes, h1_free.o(.text)) -

    [Stack]

    • Max Depth = 16
    • Call Chain = free -
    -
    [Calls]
    • >>   __rt_heap_descriptor -
    -
    [Called By]
    • >>   __Heap_ProvideMemory -
    • >>   USBD_UA3REO_DeInit -
    - -

    __2sprintf (Thumb, 34 bytes, Stack size 32 bytes, noretval__2sprintf.o(.text)) -

    [Stack]

    • Max Depth = 136 + Unknown Stack Size -
    • Call Chain = __2sprintf ⇒ _printf_char_common ⇒ __printf -
    -
    [Calls]
    • >>   _sputc -
    • >>   _printf_char_common -
    -
    [Called By]
    • >>   sendToDebug_uint8 -
    • >>   sendToDebug_uint32 -
    • >>   sendToDebug_uint16 -
    • >>   sendToDebug_int16 -
    • >>   sendToDebug_float32 -
    • >>   drawSystemMenuElement -
    • >>   SYSMENU_drawSystemMenu -
    • >>   SYSMENU_HANDL_SETTIME -
    • >>   SWR_Start -
    • >>   SWR_EncRotate -
    • >>   LCD_displayTopButtons -
    • >>   LCD_displayStatusInfoBar -
    • >>   LCD_displayFreqInfo -
    • >>   TIM4_IRQHandler -
    - -

    _printf_pre_padding (Thumb, 44 bytes, Stack size 16 bytes, _printf_pad.o(.text)) -

    [Stack]

    • Max Depth = 16
    • Call Chain = _printf_pre_padding -
    -
    [Called By]
    • >>   _printf_wctomb -
    • >>   _printf_str -
    • >>   _printf_fp_infnan -
    • >>   _printf_fp_hex_real -
    • >>   _printf_fp_dec_real -
    • >>   _printf_int_common -
    - -

    _printf_post_padding (Thumb, 34 bytes, Stack size 16 bytes, _printf_pad.o(.text)) -

    [Stack]

    • Max Depth = 16
    • Call Chain = _printf_post_padding -
    -
    [Called By]
    • >>   _printf_wctomb -
    • >>   _printf_str -
    • >>   _printf_fp_infnan -
    • >>   _printf_fp_hex_real -
    • >>   _printf_fp_dec_real -
    • >>   _printf_int_common -
    - -

    _printf_truncate_signed (Thumb, 18 bytes, Stack size 0 bytes, _printf_truncate.o(.text)) -

    [Called By]

    • >>   _printf_int_dec -
    - -

    _printf_truncate_unsigned (Thumb, 18 bytes, Stack size 0 bytes, _printf_truncate.o(.text)) -

    [Called By]

    • >>   _printf_int_hex -
    • >>   _printf_int_oct -
    • >>   _printf_int_dec -
    - -

    _printf_str (Thumb, 82 bytes, Stack size 16 bytes, _printf_str.o(.text)) -

    [Stack]

    • Max Depth = 32
    • Call Chain = _printf_str ⇒ _printf_post_padding -
    -
    [Calls]
    • >>   _printf_post_padding -
    • >>   _printf_pre_padding -
    -
    [Called By]
    • >>   _printf_cs_common -
    - -

    _printf_int_dec (Thumb, 104 bytes, Stack size 24 bytes, _printf_dec.o(.text)) -

    [Stack]

    • Max Depth = 72
    • Call Chain = _printf_int_dec ⇒ _printf_int_common ⇒ _printf_post_padding -
    -
    [Calls]
    • >>   _printf_truncate_unsigned -
    • >>   _printf_truncate_signed -
    • >>   _printf_int_common -
    -
    [Called By]
    • >>   _printf_u -
    • >>   _printf_d -
    • >>   _printf_i -
    - -

    _printf_wctomb (Thumb, 182 bytes, Stack size 56 bytes, _printf_wctomb.o(.text)) -

    [Stack]

    • Max Depth = 80
    • Call Chain = _printf_wctomb ⇒ _wcrtomb -
    -
    [Calls]
    • >>   _printf_post_padding -
    • >>   _printf_pre_padding -
    • >>   _wcrtomb -
    -
    [Called By]
    • >>   _printf_lcs_common -
    - -

    _printf_int_oct (Thumb, 74 bytes, Stack size 16 bytes, _printf_oct_int.o(.text)) -

    [Stack]

    • Max Depth = 64
    • Call Chain = _printf_int_oct ⇒ _printf_int_common ⇒ _printf_post_padding -
    -
    [Calls]
    • >>   _printf_truncate_unsigned -
    • >>   _printf_int_common -
    -
    [Called By]
    • >>   _printf_o -
    - -

    _printf_longlong_oct (Thumb, 0 bytes, Stack size 16 bytes, _printf_oct_int.o(.text), UNUSED) - -

    _printf_int_hex (Thumb, 84 bytes, Stack size 16 bytes, _printf_hex_int.o(.text)) -

    [Stack]

    • Max Depth = 64
    • Call Chain = _printf_int_hex ⇒ _printf_int_common ⇒ _printf_post_padding -
    -
    [Calls]
    • >>   _printf_truncate_unsigned -
    • >>   _printf_int_common -
    -
    [Called By]
    • >>   _printf_x -
    - -

    _printf_longlong_hex (Thumb, 0 bytes, Stack size 16 bytes, _printf_hex_int.o(.text), UNUSED) - -

    __printf (Thumb, 388 bytes, Stack size 40 bytes, __printf_flags_ss_wp.o(.text)) -

    [Stack]

    • Max Depth = 40 + Unknown Stack Size -
    • Call Chain = __printf -
    -
    [Calls]
    • >>   _printf_percent -
    • >>   _is_digit -
    -
    [Called By]
    • >>   _printf_char_common -
    - -

    atoi (Thumb, 26 bytes, Stack size 16 bytes, atoi.o(.text)) -

    [Stack]

    • Max Depth = 88
    • Call Chain = atoi ⇒ strtol ⇒ _strtoul -
    -
    [Calls]
    • >>   strtol -
    • >>   __aeabi_errno_addr -
    -
    [Called By]
    • >>   TIM4_IRQHandler -
    - -

    memcmp (Thumb, 88 bytes, Stack size 8 bytes, memcmp.o(.text)) -

    [Stack]

    • Max Depth = 8
    • Call Chain = memcmp -
    -
    [Called By]
    • >>   TIM4_IRQHandler -
    - -

    strcpy (Thumb, 72 bytes, Stack size 12 bytes, strcpy.o(.text)) -

    [Stack]

    • Max Depth = 12
    • Call Chain = strcpy -
    -
    [Called By]
    • >>   addSymbols -
    • >>   LCD_displayStatusInfoBar -
    • >>   FRONTPANEL_BUTTONHANDLER_KEYER -
    • >>   FRONTPANEL_BUTTONHANDLER_BANDMAP -
    - -

    strlen (Thumb, 62 bytes, Stack size 8 bytes, strlen.o(.text)) -

    [Stack]

    • Max Depth = 8
    • Call Chain = strlen -
    -
    [Called By]
    • >>   sendToDebug_uint8 -
    • >>   sendToDebug_uint32 -
    • >>   sendToDebug_uint16 -
    • >>   sendToDebug_strln -
    • >>   sendToDebug_str3 -
    • >>   sendToDebug_int16 -
    • >>   sendToDebug_float32 -
    • >>   addSymbols -
    • >>   LCD_displayStatusInfoBar -
    • >>   main -
    • >>   TIM4_IRQHandler -
    - -

    strcat (Thumb, 24 bytes, Stack size 0 bytes, strcat.o(.text)) -

    [Called By]

    • >>   addSymbols -
    • >>   main -
    • >>   TIM4_IRQHandler -
    - -

    strncpy (Thumb, 86 bytes, Stack size 8 bytes, strncpy.o(.text)) -

    [Stack]

    • Max Depth = 8
    • Call Chain = strncpy -
    -
    [Calls]
    • >>   __aeabi_memclr -
    -
    [Called By]
    • >>   CAT_Receive_FS -
    • >>   TIM4_IRQHandler -
    - -

    strcmp (Thumb, 124 bytes, Stack size 8 bytes, strcmpv7em.o(.text)) -

    [Stack]

    • Max Depth = 8
    • Call Chain = strcmp -
    -
    [Called By]
    • >>   _get_lc_numeric -
    • >>   _get_lc_ctype -
    • >>   setFT450Mode -
    - -

    __use_two_region_memory (Thumb, 2 bytes, Stack size 0 bytes, heapauxi.o(.text), UNUSED) - -

    __rt_heap_escrow (Thumb, 2 bytes, Stack size 0 bytes, heapauxi.o(.text), UNUSED) - -

    __rt_heap_expand (Thumb, 2 bytes, Stack size 0 bytes, heapauxi.o(.text), UNUSED) - -

    __rt_heap_descriptor (Thumb, 8 bytes, Stack size 0 bytes, rt_heap_descriptor_intlibspace.o(.text)) -

    [Called By]

    • >>   free -
    • >>   malloc -
    • >>   _init_alloc -
    - -

    __aeabi_errno_addr (Thumb, 8 bytes, Stack size 0 bytes, rt_errno_addr_intlibspace.o(.text)) -

    [Called By]

    • >>   _strtoul -
    • >>   strtol -
    • >>   __set_errno -
    • >>   __read_errno -
    • >>   atoi -
    - -

    __errno$intlibspace (Thumb, 0 bytes, Stack size 0 bytes, rt_errno_addr_intlibspace.o(.text), UNUSED) - -

    __rt_errno_addr$intlibspace (Thumb, 0 bytes, Stack size 0 bytes, rt_errno_addr_intlibspace.o(.text), UNUSED) - -

    __use_no_heap (Thumb, 2 bytes, Stack size 0 bytes, hguard.o(.text), UNUSED) - -

    __heap$guard (Thumb, 2 bytes, Stack size 0 bytes, hguard.o(.text), UNUSED) - -

    _terminate_user_alloc (Thumb, 2 bytes, Stack size 0 bytes, init_alloc.o(.text), UNUSED) - -

    _init_user_alloc (Thumb, 2 bytes, Stack size 0 bytes, init_alloc.o(.text), UNUSED) - -

    __Heap_Full (Thumb, 34 bytes, Stack size 16 bytes, init_alloc.o(.text)) -

    [Stack]

    • Max Depth = 32
    • Call Chain = __Heap_Full ⇒ __Heap_ProvideMemory ⇒ free -
    -
    [Calls]
    • >>   __Heap_ProvideMemory -
    -
    [Called By]
    • >>   malloc -
    - -

    __Heap_Broken (Thumb, 6 bytes, Stack size 0 bytes, init_alloc.o(.text), UNUSED) -

    [Calls]

    • >>   __rt_SIGRTMEM -
    - -

    _init_alloc (Thumb, 94 bytes, Stack size 24 bytes, init_alloc.o(.text)) -

    [Stack]

    • Max Depth = 64
    • Call Chain = _init_alloc ⇒ __rt_SIGRTMEM ⇒ __rt_SIGRTMEM_inner ⇒ __default_signal_display ⇒ _ttywrch -
    -
    [Calls]
    • >>   __rt_SIGRTMEM -
    • >>   __Heap_ProvideMemory -
    • >>   __Heap_Initialize -
    • >>   __rt_heap_descriptor -
    -
    [Called By]
    • >>   __rt_lib_init_heap_2 -
    - -

    __Heap_Initialize (Thumb, 10 bytes, Stack size 0 bytes, h1_init.o(.text)) -

    [Called By]

    • >>   _init_alloc -
    - -

    __Heap_DescSize (Thumb, 4 bytes, Stack size 0 bytes, h1_init.o(.text), UNUSED) - -

    __read_errno (Thumb, 10 bytes, Stack size 8 bytes, _rserrno.o(.text), UNUSED) -

    [Calls]

    • >>   __aeabi_errno_addr -
    - -

    __set_errno (Thumb, 12 bytes, Stack size 8 bytes, _rserrno.o(.text)) -

    [Stack]

    • Max Depth = 8
    • Call Chain = __set_errno -
    -
    [Calls]
    • >>   __aeabi_errno_addr -
    -
    [Called By]
    • >>   sqrtf -
    • >>   sqrt -
    • >>   __mathlib_log1p -
    • >>   __hardfp_log -
    • >>   __mathlib_expm1 -
    • >>   __hardfp_exp -
    • >>   __hardfp_cosh -
    • >>   __hardfp_atan2f -
    • >>   __hardfp_asinf -
    • >>   __hardfp_acosh -
    • >>   __hardfp_acos -
    • >>   __hardfp_fmodf -
    • >>   __hardfp_exp2f -
    • >>   __hardfp_cos -
    - -

    _printf_int_common (Thumb, 178 bytes, Stack size 32 bytes, _printf_intcommon.o(.text)) -

    [Stack]

    • Max Depth = 48
    • Call Chain = _printf_int_common ⇒ _printf_post_padding -
    -
    [Calls]
    • >>   _printf_post_padding -
    • >>   _printf_pre_padding -
    -
    [Called By]
    • >>   _printf_int_hex -
    • >>   _printf_int_oct -
    • >>   _printf_int_dec -
    - -

    _printf_fp_dec_real (Thumb, 620 bytes, Stack size 104 bytes, _printf_fp_dec.o(.text)) -

    [Stack]

    • Max Depth = 324
    • Call Chain = _printf_fp_dec_real ⇒ _fp_digits ⇒ _btod_etento ⇒ _btod_emul ⇒ _e2e -
    -
    [Calls]
    • >>   _printf_post_padding -
    • >>   _printf_pre_padding -
    • >>   _printf_fp_infnan -
    • >>   __rt_locale -
    • >>   _fp_digits -
    • >>   __ARM_fpclassify -
    -
    [Called By]
    • >>   _printf_fp_dec -
    - -

    _printf_fp_hex_real (Thumb, 756 bytes, Stack size 72 bytes, _printf_fp_hex.o(.text)) -

    [Stack]

    • Max Depth = 112
    • Call Chain = _printf_fp_hex_real ⇒ _printf_fp_infnan ⇒ _printf_post_padding -
    -
    [Calls]
    • >>   _printf_post_padding -
    • >>   _printf_pre_padding -
    • >>   _printf_fp_infnan -
    • >>   __ARM_fpclassify -
    -
    [Called By]
    • >>   _printf_fp_hex -
    - -

    _printf_char_common (Thumb, 32 bytes, Stack size 64 bytes, _printf_char_common.o(.text)) -

    [Stack]

    • Max Depth = 104 + Unknown Stack Size -
    • Call Chain = _printf_char_common ⇒ __printf -
    -
    [Calls]
    • >>   __printf -
    -
    [Called By]
    • >>   __2sprintf -
    - -

    _sputc (Thumb, 10 bytes, Stack size 0 bytes, _sputc.o(.text)) -

    [Called By]

    • >>   __2sprintf -
    -
    [Address Reference Count : 1]
    • noretval__2sprintf.o(.text) -
    -

    _printf_cs_common (Thumb, 20 bytes, Stack size 8 bytes, _printf_char.o(.text)) -

    [Stack]

    • Max Depth = 40
    • Call Chain = _printf_cs_common ⇒ _printf_str ⇒ _printf_post_padding -
    -
    [Calls]
    • >>   _printf_str -
    -
    [Called By]
    • >>   _printf_string -
    • >>   _printf_char -
    - -

    _printf_char (Thumb, 16 bytes, Stack size 0 bytes, _printf_char.o(.text)) -

    [Stack]

    • Max Depth = 40
    • Call Chain = _printf_char ⇒ _printf_cs_common ⇒ _printf_str ⇒ _printf_post_padding -
    -
    [Calls]
    • >>   _printf_cs_common -
    -
    [Called By]
    • >>   _printf_c -
    - -

    _printf_string (Thumb, 8 bytes, Stack size 0 bytes, _printf_char.o(.text), UNUSED) -

    [Calls]

    • >>   _printf_cs_common -
    - -

    _printf_lcs_common (Thumb, 20 bytes, Stack size 8 bytes, _printf_wchar.o(.text)) -

    [Stack]

    • Max Depth = 88
    • Call Chain = _printf_lcs_common ⇒ _printf_wctomb ⇒ _wcrtomb -
    -
    [Calls]
    • >>   _printf_wctomb -
    -
    [Called By]
    • >>   _printf_wstring -
    • >>   _printf_wchar -
    - -

    _printf_wchar (Thumb, 16 bytes, Stack size 0 bytes, _printf_wchar.o(.text)) -

    [Stack]

    • Max Depth = 88
    • Call Chain = _printf_wchar ⇒ _printf_lcs_common ⇒ _printf_wctomb ⇒ _wcrtomb -
    -
    [Calls]
    • >>   _printf_lcs_common -
    -
    [Called By]
    • >>   _printf_lc -
    - -

    _printf_wstring (Thumb, 8 bytes, Stack size 0 bytes, _printf_wchar.o(.text), UNUSED) -

    [Calls]

    • >>   _printf_lcs_common -
    - -

    strtol (Thumb, 112 bytes, Stack size 32 bytes, strtol.o(.text)) -

    [Stack]

    • Max Depth = 72
    • Call Chain = strtol ⇒ _strtoul -
    -
    [Calls]
    • >>   _strtoul -
    • >>   __rt_ctype_table -
    • >>   __aeabi_errno_addr -
    -
    [Called By]
    • >>   atoi -
    - -

    _c16rtomb (Thumb, 72 bytes, Stack size 24 bytes, _c16rtomb.o(.text), UNUSED) -

    [Calls]

    • >>   __rt_ctype_table -
    - -

    _wcrtomb (Thumb, 0 bytes, Stack size 24 bytes, _c16rtomb.o(.text)) -

    [Stack]

    • Max Depth = 24
    • Call Chain = _wcrtomb -
    -
    [Called By]
    • >>   _printf_wctomb -
    - -

    __aeabi_memcpy4 (Thumb, 0 bytes, Stack size 8 bytes, rt_memcpy_w.o(.text)) -

    [Stack]

    • Max Depth = 8
    • Call Chain = __aeabi_memcpy4 -
    -
    [Called By]
    • >>   DoRxAGC -
    • >>   CAT_Receive_FS -
    • >>   processAutoNotchReduction -
    • >>   SaveSettings -
    • >>   __rt_memcpy -
    • >>   main -
    • >>   TIM6_DAC_IRQHandler -
    • >>   TIM5_IRQHandler -
    • >>   TIM4_IRQHandler -
    - -

    __aeabi_memcpy8 (Thumb, 0 bytes, Stack size 8 bytes, rt_memcpy_w.o(.text), UNUSED) - -

    __rt_memcpy_w (Thumb, 100 bytes, Stack size 8 bytes, rt_memcpy_w.o(.text), UNUSED) - -

    _memcpy_lastbytes_aligned (Thumb, 0 bytes, Stack size unknown bytes, rt_memcpy_w.o(.text), UNUSED) - -

    __aeabi_memclr (Thumb, 0 bytes, Stack size 0 bytes, rt_memclr.o(.text)) -

    [Called By]

    • >>   strncpy -
    • >>   FFT_Init -
    • >>   CAT_Receive_FS -
    • >>   WM8731_start_i2s_and_dma -
    • >>   USBD_UA3REO_Init -
    • >>   TRX_setMode -
    • >>   TRX_Restart_Mode -
    • >>   SYSMENU_HANDL_Bootloader -
    • >>   SPI_Transmit -
    • >>   main -
    • >>   TIM6_DAC_IRQHandler -
    - -

    __rt_memclr (Thumb, 68 bytes, Stack size 0 bytes, rt_memclr.o(.text), UNUSED) -

    [Calls]

    • >>   _memset_w -
    - -

    _memset (Thumb, 0 bytes, Stack size unknown bytes, rt_memclr.o(.text)) -

    [Called By]

    • >>   __aeabi_memset -
    - -

    __user_libspace (Thumb, 8 bytes, Stack size 0 bytes, libspace.o(.text), UNUSED) - -

    __user_perproc_libspace (Thumb, 0 bytes, Stack size 0 bytes, libspace.o(.text)) -

    [Called By]

    • >>   __user_setup_stackheap -
    - -

    __user_perthread_libspace (Thumb, 0 bytes, Stack size 0 bytes, libspace.o(.text), UNUSED) - -

    __rt_ctype_table (Thumb, 16 bytes, Stack size 8 bytes, rt_ctype_table.o(.text)) -

    [Stack]

    • Max Depth = 8
    • Call Chain = __rt_ctype_table -
    -
    [Calls]
    • >>   __rt_locale -
    -
    [Called By]
    • >>   _c16rtomb -
    • >>   strtol -
    - -

    __rt_locale (Thumb, 8 bytes, Stack size 0 bytes, rt_locale_intlibspace.o(.text)) -

    [Called By]

    • >>   __rt_ctype_table -
    • >>   __rt_lib_init_lc_common -
    • >>   _printf_fp_dec_real -
    - -

    __Heap_ProvideMemory (Thumb, 52 bytes, Stack size 0 bytes, h1_extend.o(.text)) -

    [Stack]

    • Max Depth = 16
    • Call Chain = __Heap_ProvideMemory ⇒ free -
    -
    [Calls]
    • >>   free -
    -
    [Called By]
    • >>   _init_alloc -
    • >>   __Heap_Full -
    - -

    _maybe_terminate_alloc (Thumb, 0 bytes, Stack size 0 bytes, maybetermalloc1.o(.text), UNUSED) - -

    _ll_udiv10 (Thumb, 138 bytes, Stack size 12 bytes, lludiv10.o(.text)) -

    [Stack]

    • Max Depth = 12
    • Call Chain = _ll_udiv10 -
    -
    [Called By]
    • >>   _fp_digits -
    - -

    _printf_fp_infnan (Thumb, 112 bytes, Stack size 24 bytes, _printf_fp_infnan.o(.text)) -

    [Stack]

    • Max Depth = 40
    • Call Chain = _printf_fp_infnan ⇒ _printf_post_padding -
    -
    [Calls]
    • >>   _printf_post_padding -
    • >>   _printf_pre_padding -
    -
    [Called By]
    • >>   _printf_fp_hex_real -
    • >>   _printf_fp_dec_real -
    - -

    _strtoul (Thumb, 158 bytes, Stack size 40 bytes, _strtoul.o(.text)) -

    [Stack]

    • Max Depth = 40
    • Call Chain = _strtoul -
    -
    [Calls]
    • >>   __aeabi_errno_addr -
    • >>   _chval -
    -
    [Called By]
    • >>   strtol -
    - -

    _btod_etento (Thumb, 224 bytes, Stack size 72 bytes, bigflt0.o(.text)) -

    [Stack]

    • Max Depth = 124
    • Call Chain = _btod_etento ⇒ _btod_emul ⇒ _e2e -
    -
    [Calls]
    • >>   _btod_emul -
    • >>   _btod_ediv -
    -
    [Called By]
    • >>   _fp_digits -
    - -

    __rt_SIGRTMEM (Thumb, 14 bytes, Stack size 8 bytes, defsig_rtmem_outer.o(.text)) -

    [Stack]

    • Max Depth = 40
    • Call Chain = __rt_SIGRTMEM ⇒ __rt_SIGRTMEM_inner ⇒ __default_signal_display ⇒ _ttywrch -
    -
    [Calls]
    • >>   __rt_SIGRTMEM_inner -
    • >>   __sig_exit -
    -
    [Called By]
    • >>   _init_alloc -
    • >>   __Heap_Broken -
    - -

    __aeabi_memclr4 (Thumb, 0 bytes, Stack size 4 bytes, rt_memclr_w.o(.text)) -

    [Stack]

    • Max Depth = 4
    • Call Chain = __aeabi_memclr4 -
    -
    [Called By]
    • >>   FFT_afterPrintFFT -
    • >>   FFT_Init -
    • >>   DEBUG_Transmit_FIFO_Events -
    • >>   CAT_Receive_FS -
    • >>   sendToDebug_uint8 -
    • >>   sendToDebug_uint32 -
    • >>   sendToDebug_uint16 -
    • >>   sendToDebug_int16 -
    • >>   sendToDebug_float32 -
    • >>   processAutoNotchReduction -
    • >>   addSymbols -
    • >>   WM8731_start_i2s_and_dma -
    • >>   USBD_Restart -
    • >>   TRX_setMode -
    • >>   TRX_Restart_Mode -
    • >>   SYSMENU_drawSystemMenu -
    • >>   SYSMENU_HANDL_Bootloader -
    • >>   SWR_Start -
    • >>   SWR_EncRotate -
    • >>   ReinitAudioFilters -
    • >>   LCD_displayTopButtons -
    • >>   LCD_displayStatusInfoBar -
    • >>   LCD_displayFreqInfo -
    • >>   FRONTPANEL_BUTTONHANDLER_NOTCH -
    • >>   main -
    • >>   TIM6_DAC_IRQHandler -
    • >>   TIM5_IRQHandler -
    • >>   TIM4_IRQHandler -
    - -

    __aeabi_memclr8 (Thumb, 0 bytes, Stack size 4 bytes, rt_memclr_w.o(.text)) -

    [Stack]

    • Max Depth = 4
    • Call Chain = __aeabi_memclr8 -
    -
    [Called By]
    • >>   SaveSettings -
    • >>   main -
    - -

    __rt_memclr_w (Thumb, 78 bytes, Stack size 4 bytes, rt_memclr_w.o(.text), UNUSED) - -

    _memset_w (Thumb, 0 bytes, Stack size unknown bytes, rt_memclr_w.o(.text), UNUSED) -

    [Called By]

    • >>   __rt_memclr -
    • >>   __aeabi_memset4 -
    - -

    __I$use$semihosting (Thumb, 0 bytes, Stack size 0 bytes, use_no_semi.o(.text), UNUSED) - -

    __use_no_semihosting_swi (Thumb, 2 bytes, Stack size 0 bytes, use_no_semi.o(.text), UNUSED) - -

    __semihosting_library_function (Thumb, 0 bytes, Stack size 8 bytes, indicate_semi.o(.text), UNUSED) - -

    __user_setup_stackheap (Thumb, 74 bytes, Stack size 8 bytes, sys_stackheap_outer.o(.text)) -

    [Stack]

    • Max Depth = 8 + Unknown Stack Size -
    • Call Chain = __user_setup_stackheap -
    -
    [Calls]
    • >>   __user_initial_stackheap -
    • >>   __user_perproc_libspace -
    -
    [Called By]
    • >>   __rt_entry_sh -
    - -

    _chval (Thumb, 28 bytes, Stack size 0 bytes, _chval.o(.text)) -

    [Called By]

    • >>   _strtoul -
    - -

    exit (Thumb, 18 bytes, Stack size 8 bytes, exit.o(.text)) -

    [Stack]

    • Max Depth = 8 + Unknown Stack Size -
    • Call Chain = exit -
    -
    [Calls]
    • >>   __rt_exit -
    -
    [Called By]
    • >>   __rt_entry_main -
    - -

    __sig_exit (Thumb, 10 bytes, Stack size 0 bytes, defsig_exit.o(.text)) -

    [Calls]

    • >>   _sys_exit -
    -
    [Called By]
    • >>   __rt_SIGRTMEM -
    - -

    __rt_SIGRTMEM_inner (Thumb, 22 bytes, Stack size 8 bytes, defsig_rtmem_inner.o(.text)) -

    [Stack]

    • Max Depth = 32
    • Call Chain = __rt_SIGRTMEM_inner ⇒ __default_signal_display ⇒ _ttywrch -
    -
    [Calls]
    • >>   __default_signal_display -
    -
    [Called By]
    • >>   __rt_SIGRTMEM -
    - -

    _sys_exit (Thumb, 8 bytes, Stack size 0 bytes, sys_exit.o(.text)) -

    [Called By]

    • >>   __rt_exit_exit -
    • >>   __sig_exit -
    - -

    __default_signal_display (Thumb, 50 bytes, Stack size 16 bytes, defsig_general.o(.text)) -

    [Stack]

    • Max Depth = 24
    • Call Chain = __default_signal_display ⇒ _ttywrch -
    -
    [Calls]
    • >>   _ttywrch -
    -
    [Called By]
    • >>   __rt_SIGRTMEM_inner -
    - -

    _ttywrch (Thumb, 14 bytes, Stack size 8 bytes, sys_wrch.o(.text)) -

    [Stack]

    • Max Depth = 8
    • Call Chain = _ttywrch -
    -
    [Called By]
    • >>   __default_signal_display -
    - -

    __aeabi_uldivmod (Thumb, 0 bytes, Stack size 48 bytes, lludivv7m.o(.text)) -

    [Stack]

    • Max Depth = 48
    • Call Chain = __aeabi_uldivmod -
    -
    [Called By]
    • >>   main -
    - -

    _ll_udiv (Thumb, 240 bytes, Stack size 48 bytes, lludivv7m.o(.text), UNUSED) - -

    __aeabi_memcpy (Thumb, 0 bytes, Stack size 0 bytes, rt_memcpy_v6.o(.text)) -

    [Called By]

    • >>   EEPROM_Write_Data -
    • >>   DEBUG_Transmit_FIFO_Events -
    - -

    __rt_memcpy (Thumb, 138 bytes, Stack size 0 bytes, rt_memcpy_v6.o(.text), UNUSED) -

    [Calls]

    • >>   __aeabi_memcpy4 -
    - -

    _memcpy_lastbytes (Thumb, 0 bytes, Stack size unknown bytes, rt_memcpy_v6.o(.text), UNUSED) - -

    __aeabi_memset (Thumb, 16 bytes, Stack size 0 bytes, aeabi_memset.o(.text)) -

    [Calls]

    • >>   _memset -
    -
    [Called By]
    • >>   FFT_printWaterfallDMA -
    - -

    __aeabi_memset4 (Thumb, 16 bytes, Stack size 0 bytes, aeabi_memset4.o(.text), UNUSED) -

    [Calls]

    • >>   _memset_w -
    - -

    __aeabi_memset8 (Thumb, 0 bytes, Stack size 0 bytes, aeabi_memset4.o(.text)) -

    [Called By]

    • >>   FFT_Init -
    - -

    BusFault_Handler (Thumb, 140 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.BusFault_Handler)) -

    [Stack]

    • Max Depth = 484 + Unknown Stack Size -
    • Call Chain = BusFault_Handler ⇒ LCD_showError ⇒ LCD_doEvents ⇒ SYSMENU_drawSystemMenu ⇒ TRX_setFrequency ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   LCD_showError -
    -
    [Address Reference Count : 1]
    • startup_stm32f407xx.o(RESET) -
    -

    DMA1_Stream0_IRQHandler (Thumb, 82 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.DMA1_Stream0_IRQHandler)) -

    [Stack]

    • Max Depth = 24
    • Call Chain = DMA1_Stream0_IRQHandler ⇒ HAL_DMA_IRQHandler -
    -
    [Calls]
    • >>   HAL_DMA_IRQHandler -
    -
    [Address Reference Count : 1]
    • startup_stm32f407xx.o(RESET) -
    -

    DMA1_Stream5_IRQHandler (Thumb, 82 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.DMA1_Stream5_IRQHandler)) -

    [Stack]

    • Max Depth = 24
    • Call Chain = DMA1_Stream5_IRQHandler ⇒ HAL_DMA_IRQHandler -
    -
    [Calls]
    • >>   HAL_DMA_IRQHandler -
    -
    [Address Reference Count : 1]
    • startup_stm32f407xx.o(RESET) -
    -

    DMA2_Stream1_IRQHandler (Thumb, 82 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.DMA2_Stream1_IRQHandler)) -

    [Stack]

    • Max Depth = 24
    • Call Chain = DMA2_Stream1_IRQHandler ⇒ HAL_DMA_IRQHandler -
    -
    [Calls]
    • >>   HAL_DMA_IRQHandler -
    -
    [Address Reference Count : 1]
    • startup_stm32f407xx.o(RESET) -
    -

    DMA2_Stream2_IRQHandler (Thumb, 82 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.DMA2_Stream2_IRQHandler)) -

    [Stack]

    • Max Depth = 24
    • Call Chain = DMA2_Stream2_IRQHandler ⇒ HAL_DMA_IRQHandler -
    -
    [Calls]
    • >>   HAL_DMA_IRQHandler -
    -
    [Address Reference Count : 1]
    • startup_stm32f407xx.o(RESET) -
    -

    DMA2_Stream5_IRQHandler (Thumb, 22 bytes, Stack size 8 bytes, lto-llvm-ea22a7.o(.text.DMA2_Stream5_IRQHandler)) -

    [Stack]

    • Max Depth = 136 + Unknown Stack Size -
    • Call Chain = DMA2_Stream5_IRQHandler ⇒ FFT_afterPrintFFT ⇒ FFT_printWaterfallDMA -
    -
    [Calls]
    • >>   FFT_afterPrintFFT -
    • >>   HAL_DMA_IRQHandler -
    -
    [Address Reference Count : 1]
    • startup_stm32f407xx.o(RESET) -
    -

    DMA2_Stream6_IRQHandler (Thumb, 92 bytes, Stack size 8 bytes, lto-llvm-ea22a7.o(.text.DMA2_Stream6_IRQHandler)) -

    [Stack]

    • Max Depth = 72 + Unknown Stack Size -
    • Call Chain = DMA2_Stream6_IRQHandler ⇒ FFT_printWaterfallDMA -
    -
    [Calls]
    • >>   FFT_printWaterfallDMA -
    • >>   HAL_DMA_IRQHandler -
    -
    [Address Reference Count : 1]
    • startup_stm32f407xx.o(RESET) -
    -

    DebugMon_Handler (Thumb, 74 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.DebugMon_Handler)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    EXTI0_IRQHandler (Thumb, 94 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.EXTI0_IRQHandler)) -

    [Stack]

    • Max Depth = 324
    • Call Chain = EXTI0_IRQHandler ⇒ TRX_key_change ⇒ TRX_Restart_Mode ⇒ TRX_setFrequency ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   TRX_key_change -
    -
    [Address Reference Count : 1]
    • startup_stm32f407xx.o(RESET) -
    -

    EXTI15_10_IRQHandler (Thumb, 78 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.EXTI15_10_IRQHandler)) -

    [Stack]

    • Max Depth = 364 + Unknown Stack Size -
    • Call Chain = EXTI15_10_IRQHandler ⇒ HAL_GPIO_EXTI_IRQHandler ⇒ TRX_key_change ⇒ TRX_Restart_Mode ⇒ TRX_setFrequency ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   HAL_GPIO_EXTI_IRQHandler -
    -
    [Address Reference Count : 1]
    • startup_stm32f407xx.o(RESET) -
    -

    EXTI1_IRQHandler (Thumb, 94 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.EXTI1_IRQHandler)) -

    [Stack]

    • Max Depth = 324
    • Call Chain = EXTI1_IRQHandler ⇒ TRX_key_change ⇒ TRX_Restart_Mode ⇒ TRX_setFrequency ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   TRX_key_change -
    -
    [Address Reference Count : 1]
    • startup_stm32f407xx.o(RESET) -
    -

    EXTI2_IRQHandler (Thumb, 76 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.EXTI2_IRQHandler)) -

    [Stack]

    • Max Depth = 364 + Unknown Stack Size -
    • Call Chain = EXTI2_IRQHandler ⇒ HAL_GPIO_EXTI_IRQHandler ⇒ TRX_key_change ⇒ TRX_Restart_Mode ⇒ TRX_setFrequency ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   HAL_GPIO_EXTI_IRQHandler -
    -
    [Address Reference Count : 1]
    • startup_stm32f407xx.o(RESET) -
    -

    EXTI3_IRQHandler (Thumb, 76 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.EXTI3_IRQHandler)) -

    [Stack]

    • Max Depth = 364 + Unknown Stack Size -
    • Call Chain = EXTI3_IRQHandler ⇒ HAL_GPIO_EXTI_IRQHandler ⇒ TRX_key_change ⇒ TRX_Restart_Mode ⇒ TRX_setFrequency ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   HAL_GPIO_EXTI_IRQHandler -
    -
    [Address Reference Count : 1]
    • startup_stm32f407xx.o(RESET) -
    -

    EXTI9_5_IRQHandler (Thumb, 112 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.EXTI9_5_IRQHandler)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    HardFault_Handler (Thumb, 140 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.HardFault_Handler)) -

    [Stack]

    • Max Depth = 484 + Unknown Stack Size -
    • Call Chain = HardFault_Handler ⇒ LCD_showError ⇒ LCD_doEvents ⇒ SYSMENU_drawSystemMenu ⇒ TRX_setFrequency ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   LCD_showError -
    -
    [Address Reference Count : 1]
    • startup_stm32f407xx.o(RESET) -
    -

    MemManage_Handler (Thumb, 144 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.MemManage_Handler)) -

    [Stack]

    • Max Depth = 484 + Unknown Stack Size -
    • Call Chain = MemManage_Handler ⇒ LCD_showError ⇒ LCD_doEvents ⇒ SYSMENU_drawSystemMenu ⇒ TRX_setFrequency ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   LCD_showError -
    -
    [Address Reference Count : 1]
    • startup_stm32f407xx.o(RESET) -
    -

    NMI_Handler (Thumb, 74 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.NMI_Handler)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    OTG_FS_IRQHandler (Thumb, 6086 bytes, Stack size 72 bytes, lto-llvm-ea22a7.o(.text.OTG_FS_IRQHandler)) -

    [Stack]

    • Max Depth = 96
    • Call Chain = OTG_FS_IRQHandler ⇒ HAL_PCD_DataOutStageCallback ⇒ USB_EP0StartXfer -
    -
    [Calls]
    • >>   USB_EP0StartXfer -
    • >>   USBD_LL_StallEP -
    • >>   USBD_LL_OpenEP -
    • >>   HAL_PCD_DataOutStageCallback -
    -
    [Address Reference Count : 1]
    • startup_stm32f407xx.o(RESET) -
    -

    PendSV_Handler (Thumb, 74 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.PendSV_Handler)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    SPI3_IRQHandler (Thumb, 82 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SPI3_IRQHandler)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    SVC_Handler (Thumb, 74 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SVC_Handler)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    SysTick_Handler (Thumb, 86 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SysTick_Handler)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(RESET) -
    -

    SystemInit (Thumb, 26 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SystemInit)) -
    [Address Reference Count : 1]

    • startup_stm32f407xx.o(.text) -
    -

    TIM3_IRQHandler (Thumb, 382 bytes, Stack size 32 bytes, lto-llvm-ea22a7.o(.text.TIM3_IRQHandler)) -

    [Stack]

    • Max Depth = 444 + Unknown Stack Size -
    • Call Chain = TIM3_IRQHandler ⇒ eventSecRotateSystemMenu ⇒ SYSMENU_drawSystemMenu ⇒ TRX_setFrequency ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   eventSecRotateSystemMenu -
    • >>   HAL_TIM_IRQHandler -
    -
    [Address Reference Count : 1]
    • startup_stm32f407xx.o(RESET) -
    -

    TIM4_IRQHandler (Thumb, 10040 bytes, Stack size 400 bytes, lto-llvm-ea22a7.o(.text.TIM4_IRQHandler)) -

    [Stack]

    • Max Depth = 764 + Unknown Stack Size -
    • Call Chain = TIM4_IRQHandler ⇒ SYSMENU_drawSystemMenu ⇒ TRX_setFrequency ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   __2sprintf -
    • >>   __aeabi_memclr4 -
    • >>   __aeabi_memcpy4 -
    • >>   strncpy -
    • >>   strcat -
    • >>   strlen -
    • >>   memcmp -
    • >>   atoi -
    • >>   setFT450Mode -
    • >>   sendToDebug_str3 -
    • >>   arm_quick_sort_core_f32 -
    • >>   arm_min_f32 -
    • >>   arm_fir_decimate_f32 -
    • >>   arm_biquad_cascade_df2T_f32 -
    • >>   USB_EPStartXfer -
    • >>   TRX_setMode -
    • >>   TRX_setFrequency -
    • >>   SYSMENU_drawSystemMenu -
    • >>   HAL_TIM_IRQHandler -
    -
    [Address Reference Count : 1]
    • startup_stm32f407xx.o(RESET) -
    -

    TIM5_IRQHandler (Thumb, 15224 bytes, Stack size 152 bytes, lto-llvm-ea22a7.o(.text.TIM5_IRQHandler)) -

    [Stack]

    • Max Depth = 256
    • Call Chain = TIM5_IRQHandler ⇒ DoRxAGC ⇒ exp2f ⇒ __hardfp_exp2f ⇒ __set_errno -
    -
    [Calls]
    • >>   __aeabi_memclr4 -
    • >>   __aeabi_memcpy4 -
    • >>   __hardfp_frexpf -
    • >>   __hardfp_atan2f -
    • >>   __hardfp_asinf -
    • >>   DoRxAGC -
    • >>   readFromCircleBuffer32 -
    • >>   processAutoNotchReduction -
    • >>   arm_sub_f32 -
    • >>   arm_rms_f32 -
    • >>   arm_mult_f32 -
    • >>   arm_min_f32 -
    • >>   arm_max_f32 -
    • >>   arm_fir_f32 -
    • >>   arm_biquad_cascade_df2T_f32 -
    • >>   arm_add_f32 -
    • >>   ModulateFM -
    • >>   HAL_TIM_IRQHandler -
    • >>   HAL_DMA_PollForTransfer -
    • >>   exp2f -
    • >>   __aeabi_f2lz -
    -
    [Address Reference Count : 1]
    • startup_stm32f407xx.o(RESET) -
    -

    TIM6_DAC_IRQHandler (Thumb, 9612 bytes, Stack size 128 bytes, lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler)) -

    [Stack]

    • Max Depth = 772 + Unknown Stack Size -
    • Call Chain = TIM6_DAC_IRQHandler ⇒ SaveSettingsToEEPROM ⇒ EEPROM_Write_Data ⇒ EEPROM_Read_Data ⇒ EEPROM_Read_Data (Cycle) -
    -
    [Calls]
    • >>   __aeabi_memclr4 -
    • >>   __aeabi_memclr -
    • >>   __aeabi_memcpy4 -
    • >>   __hardfp_frexpf -
    • >>   FFT_afterPrintFFT -
    • >>   DEBUG_Transmit_FIFO_Events -
    • >>   sendToDebug_uint8 -
    • >>   sendToDebug_uint32 -
    • >>   sendToDebug_strln -
    • >>   sendToDebug_int16 -
    • >>   sendToDebug_float32 -
    • >>   getBandFromFreq -
    • >>   USBD_Restart -
    • >>   TRX_key_change -
    • >>   TRX_Restart_Mode -
    • >>   SaveSettingsToEEPROM -
    • >>   SaveSettings -
    • >>   ReinitAudioFilters -
    • >>   RF_UNIT_ProcessSensors -
    • >>   LCD_doEvents -
    • >>   LCDDriver_printTextFont -
    • >>   LCDDriver_getTextBounds -
    • >>   LCDDriver_Fill -
    • >>   HAL_TIM_IRQHandler -
    • >>   HAL_DMA_PollForTransfer -
    • >>   fmodf -
    • >>   floorf -
    • >>   exp2f -
    • >>   ceilf -
    -
    [Address Reference Count : 1]
    • startup_stm32f407xx.o(RESET) -
    -

    TIM7_IRQHandler (Thumb, 162 bytes, Stack size 16 bytes, lto-llvm-ea22a7.o(.text.TIM7_IRQHandler)) -

    [Stack]

    • Max Depth = 72
    • Call Chain = TIM7_IRQHandler ⇒ DEBUG_Transmit_FIFO_Events ⇒ USB_EPStartXfer -
    -
    [Calls]
    • >>   DEBUG_Transmit_FIFO_Events -
    • >>   HAL_TIM_IRQHandler -
    -
    [Address Reference Count : 1]
    • startup_stm32f407xx.o(RESET) -
    -

    TIM8_UP_TIM13_IRQHandler (Thumb, 112 bytes, Stack size 8 bytes, lto-llvm-ea22a7.o(.text.TIM8_UP_TIM13_IRQHandler)) -

    [Stack]

    • Max Depth = 652 + Unknown Stack Size -
    • Call Chain = TIM8_UP_TIM13_IRQHandler ⇒ SaveCalibration ⇒ EEPROM_Write_Data ⇒ EEPROM_Read_Data ⇒ EEPROM_Read_Data (Cycle) -
    -
    [Calls]
    • >>   SaveCalibration -
    • >>   HAL_TIM_IRQHandler -
    • >>   FRONTPANEL_Process -
    -
    [Address Reference Count : 1]
    • startup_stm32f407xx.o(RESET) -
    -

    UsageFault_Handler (Thumb, 140 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.UsageFault_Handler)) -

    [Stack]

    • Max Depth = 484 + Unknown Stack Size -
    • Call Chain = UsageFault_Handler ⇒ LCD_showError ⇒ LCD_doEvents ⇒ SYSMENU_drawSystemMenu ⇒ TRX_setFrequency ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   LCD_showError -
    -
    [Address Reference Count : 1]
    • startup_stm32f407xx.o(RESET) -
    -

    main (Thumb, 12672 bytes, Stack size 88 bytes, lto-llvm-ea22a7.o(.text.main)) -

    [Stack]

    • Max Depth = 780 + Unknown Stack Size -
    • Call Chain = main ⇒ LoadCalibration ⇒ SaveCalibration ⇒ EEPROM_Write_Data ⇒ EEPROM_Read_Data ⇒ EEPROM_Read_Data (Cycle) -
    -
    [Calls]
    • >>   __aeabi_memclr8 -
    • >>   __aeabi_memclr4 -
    • >>   __aeabi_memclr -
    • >>   __aeabi_memcpy4 -
    • >>   strcat -
    • >>   strlen -
    • >>   FFT_PreInit -
    • >>   FFT_Init -
    • >>   EEPROM_WaitWrite -
    • >>   EEPROM_Read_Data -
    • >>   DEBUG_Transmit_FIFO_Events -
    • >>   sendToDebug_strln -
    • >>   i2c_endTransmission -
    • >>   getModeFromFreq -
    • >>   WM8731_start_i2s_and_dma -
    • >>   WM8731_RX_mode -
    • >>   USBD_Restart -
    • >>   TRX_setMode -
    • >>   TRX_setFrequency -
    • >>   SaveSettingsToEEPROM -
    • >>   SPI_Transmit -
    • >>   ReinitAudioFilters -
    • >>   LoadCalibration -
    • >>   LCD_showError -
    • >>   LCD_doEvents -
    • >>   LCDDriver_printTextFont -
    • >>   LCDDriver_getTextBounds -
    • >>   LCDDriver_drawChar -
    • >>   LCDDriver_Init -
    • >>   LCDDriver_Fill -
    • >>   JumpToBootloader -
    • >>   HAL_TIM_ConfigClockSource -
    • >>   HAL_TIM_Base_Init -
    • >>   HAL_SRAM_Init -
    • >>   HAL_RTC_Init -
    • >>   HAL_I2S_MspInit -
    • >>   HAL_GPIO_Init -
    • >>   HAL_DMA_Init -
    • >>   HAL_ADC_Init -
    • >>   HAL_ADC_ConfigChannel -
    • >>   HAL_ADCEx_InjectedStart -
    • >>   HAL_ADCEx_InjectedConfigChannel -
    • >>   FRONTPANEL_Process -
    • >>   __aeabi_uldivmod -
    -
    [Called By]
    • >>   __rt_entry_main -
    - -

    _btod_d2e (Thumb, 62 bytes, Stack size 0 bytes, btod.o(CL$$btod_d2e)) -

    [Calls]

    • >>   _d2e_norm_op1 -
    -
    [Called By]
    • >>   _fp_digits -
    - -

    _d2e_denorm_low (Thumb, 70 bytes, Stack size 0 bytes, btod.o(CL$$btod_d2e_denorm_low)) -

    [Called By]

    • >>   _d2e_norm_op1 -
    - -

    _d2e_norm_op1 (Thumb, 96 bytes, Stack size 0 bytes, btod.o(CL$$btod_d2e_norm_op1)) -

    [Calls]

    • >>   _d2e_denorm_low -
    -
    [Called By]
    • >>   _btod_d2e -
    - -

    __btod_div_common (Thumb, 696 bytes, Stack size 24 bytes, btod.o(CL$$btod_div_common)) -

    [Stack]

    • Max Depth = 24
    • Call Chain = __btod_div_common -
    -
    [Called By]
    • >>   _btod_ediv -
    - -

    _e2e (Thumb, 220 bytes, Stack size 24 bytes, btod.o(CL$$btod_e2e)) -

    [Stack]

    • Max Depth = 24
    • Call Chain = _e2e -
    -
    [Called By]
    • >>   _btod_emul -
    • >>   _btod_ediv -
    - -

    _btod_ediv (Thumb, 42 bytes, Stack size 28 bytes, btod.o(CL$$btod_ediv)) -

    [Stack]

    • Max Depth = 52
    • Call Chain = _btod_ediv ⇒ _e2e -
    -
    [Calls]
    • >>   _e2e -
    • >>   __btod_div_common -
    -
    [Called By]
    • >>   _btod_etento -
    • >>   _fp_digits -
    - -

    _btod_emul (Thumb, 42 bytes, Stack size 28 bytes, btod.o(CL$$btod_emul)) -

    [Stack]

    • Max Depth = 52
    • Call Chain = _btod_emul ⇒ _e2e -
    -
    [Calls]
    • >>   __btod_mult_common -
    • >>   _e2e -
    -
    [Called By]
    • >>   _btod_etento -
    • >>   _fp_digits -
    - -

    __btod_mult_common (Thumb, 580 bytes, Stack size 16 bytes, btod.o(CL$$btod_mult_common)) -

    [Stack]

    • Max Depth = 16
    • Call Chain = __btod_mult_common -
    -
    [Called By]
    • >>   _btod_emul -
    - -

    __ARM_fpclassify (Thumb, 48 bytes, Stack size 8 bytes, fpclassify.o(i.__ARM_fpclassify)) -

    [Stack]

    • Max Depth = 8
    • Call Chain = __ARM_fpclassify -
    -
    [Called By]
    • >>   __mathlib_log1p -
    • >>   __mathlib_expm1 -
    • >>   __hardfp_exp -
    • >>   _printf_fp_hex_real -
    • >>   _printf_fp_dec_real -
    • >>   __kernel_sin -
    - -

    __ARM_fpclassifyf (Thumb, 38 bytes, Stack size 0 bytes, fpclassifyf.o(i.__ARM_fpclassifyf)) -

    [Called By]

    • >>   __hardfp_atan2f -
    • >>   __hardfp_asinf -
    • >>   __hardfp_exp2f -
    - -

    __hardfp_acos (Thumb, 738 bytes, Stack size 72 bytes, acos.o(i.__hardfp_acos)) -

    [Stack]

    • Max Depth = 144
    • Call Chain = __hardfp_acos ⇒ sqrt ⇒ _dsqrt ⇒ __fpl_dnaninf -
    -
    [Calls]
    • >>   sqrt -
    • >>   __kernel_poly -
    • >>   __mathlib_dbl_invalid -
    • >>   __mathlib_dbl_infnan -
    • >>   __aeabi_dmul -
    • >>   __aeabi_ddiv -
    • >>   __aeabi_dsub -
    • >>   __aeabi_drsub -
    • >>   __aeabi_dadd -
    • >>   __set_errno -
    -
    [Called By]
    • >>   FFT_PreInit -
    - -

    __hardfp_acosh (Thumb, 332 bytes, Stack size 40 bytes, acosh.o(i.__hardfp_acosh)) -

    [Stack]

    • Max Depth = 192
    • Call Chain = __hardfp_acosh ⇒ log ⇒ __hardfp_log ⇒ __kernel_poly ⇒ __aeabi_dmul -
    -
    [Calls]
    • >>   sqrt -
    • >>   __mathlib_log1p -
    • >>   log -
    • >>   __mathlib_dbl_invalid -
    • >>   __mathlib_dbl_infnan -
    • >>   __aeabi_dmul -
    • >>   __aeabi_ddiv -
    • >>   __aeabi_dsub -
    • >>   __aeabi_dadd -
    • >>   __set_errno -
    -
    [Called By]
    • >>   FFT_PreInit -
    - -

    __hardfp_asinf (Thumb, 258 bytes, Stack size 16 bytes, asinf.o(i.__hardfp_asinf)) -

    [Stack]

    • Max Depth = 40
    • Call Chain = __hardfp_asinf ⇒ sqrtf ⇒ __set_errno -
    -
    [Calls]
    • >>   sqrtf -
    • >>   __mathlib_flt_underflow -
    • >>   __mathlib_flt_invalid -
    • >>   __mathlib_flt_infnan -
    • >>   __ARM_fpclassifyf -
    • >>   __set_errno -
    -
    [Called By]
    • >>   TIM5_IRQHandler -
    - -

    __hardfp_atan2f (Thumb, 594 bytes, Stack size 32 bytes, atan2f.o(i.__hardfp_atan2f)) -

    [Stack]

    • Max Depth = 40
    • Call Chain = __hardfp_atan2f ⇒ __set_errno -
    -
    [Calls]
    • >>   __mathlib_flt_underflow -
    • >>   __mathlib_flt_infnan2 -
    • >>   __ARM_fpclassifyf -
    • >>   __set_errno -
    -
    [Called By]
    • >>   TIM5_IRQHandler -
    - -

    __hardfp_cos (Thumb, 180 bytes, Stack size 32 bytes, cos.o(i.__hardfp_cos)) -

    [Stack]

    • Max Depth = 184
    • Call Chain = __hardfp_cos ⇒ __ieee754_rem_pio2 ⇒ __aeabi_d2iz -
    -
    [Calls]
    • >>   __aeabi_dneg -
    • >>   __mathlib_dbl_invalid -
    • >>   __mathlib_dbl_infnan -
    • >>   __set_errno -
    • >>   __kernel_sin -
    • >>   __ieee754_rem_pio2 -
    • >>   __kernel_cos -
    -
    [Called By]
    • >>   cos -
    - -

    __hardfp_cosh (Thumb, 358 bytes, Stack size 40 bytes, cosh.o(i.__hardfp_cosh)) -

    [Stack]

    • Max Depth = 176
    • Call Chain = __hardfp_cosh ⇒ __mathlib_expm1 ⇒ __kernel_poly ⇒ __aeabi_dmul -
    -
    [Calls]
    • >>   fabs -
    • >>   __mathlib_expm1 -
    • >>   exp -
    • >>   __mathlib_dbl_posinfnan -
    • >>   __mathlib_dbl_overflow -
    • >>   __aeabi_dmul -
    • >>   __aeabi_ddiv -
    • >>   __aeabi_dadd -
    • >>   __set_errno -
    -
    [Called By]
    • >>   FFT_PreInit -
    - -

    __hardfp_exp (Thumb, 714 bytes, Stack size 72 bytes, exp.o(i.__hardfp_exp)) -

    [Stack]

    • Max Depth = 128
    • Call Chain = __hardfp_exp ⇒ __kernel_poly ⇒ __aeabi_dmul -
    -
    [Calls]
    • >>   __aeabi_cdrcmple -
    • >>   __aeabi_cdcmple -
    • >>   __aeabi_i2d -
    • >>   __aeabi_d2iz -
    • >>   __kernel_poly -
    • >>   __mathlib_dbl_underflow -
    • >>   __mathlib_dbl_overflow -
    • >>   __mathlib_dbl_infnan -
    • >>   __aeabi_dmul -
    • >>   __aeabi_ddiv -
    • >>   __aeabi_dsub -
    • >>   __aeabi_drsub -
    • >>   __aeabi_dadd -
    • >>   __set_errno -
    • >>   __ARM_fpclassify -
    -
    [Called By]
    • >>   exp -
    - -

    __hardfp_exp2f (Thumb, 406 bytes, Stack size 16 bytes, exp2f.o(i.__hardfp_exp2f)) -

    [Stack]

    • Max Depth = 24
    • Call Chain = __hardfp_exp2f ⇒ __set_errno -
    -
    [Calls]
    • >>   __mathlib_flt_underflow -
    • >>   __mathlib_flt_overflow -
    • >>   __mathlib_flt_infnan -
    • >>   __ARM_fpclassifyf -
    • >>   __set_errno -
    -
    [Called By]
    • >>   exp2f -
    - -

    __hardfp_fmodf (Thumb, 176 bytes, Stack size 16 bytes, fmodf.o(i.__hardfp_fmodf)) -

    [Stack]

    • Max Depth = 40
    • Call Chain = __hardfp_fmodf ⇒ _frem ⇒ __fpl_fnaninf -
    -
    [Calls]
    • >>   __mathlib_flt_invalid -
    • >>   __set_errno -
    • >>   _frem -
    -
    [Called By]
    • >>   fmodf -
    - -

    __hardfp_frexpf (Thumb, 80 bytes, Stack size 0 bytes, frexpf.o(i.__hardfp_frexpf)) -

    [Called By]

    • >>   DoRxAGC -
    • >>   TIM6_DAC_IRQHandler -
    • >>   TIM5_IRQHandler -
    - -

    __hardfp_log (Thumb, 872 bytes, Stack size 88 bytes, log.o(i.__hardfp_log)) -

    [Stack]

    • Max Depth = 144
    • Call Chain = __hardfp_log ⇒ __kernel_poly ⇒ __aeabi_dmul -
    -
    [Calls]
    • >>   __aeabi_i2d -
    • >>   __aeabi_cdcmpeq -
    • >>   __aeabi_dneg -
    • >>   __kernel_poly -
    • >>   __mathlib_dbl_invalid -
    • >>   __mathlib_dbl_infnan -
    • >>   __mathlib_dbl_divzero -
    • >>   __aeabi_dmul -
    • >>   __aeabi_ddiv -
    • >>   __aeabi_dsub -
    • >>   __aeabi_drsub -
    • >>   __aeabi_dadd -
    • >>   __set_errno -
    -
    [Called By]
    • >>   log -
    - -

    __ieee754_rem_pio2 (Thumb, 938 bytes, Stack size 120 bytes, rred.o(i.__ieee754_rem_pio2)) -

    [Stack]

    • Max Depth = 152
    • Call Chain = __ieee754_rem_pio2 ⇒ __aeabi_d2iz -
    -
    [Calls]
    • >>   __aeabi_ui2d -
    • >>   __aeabi_i2d -
    • >>   __aeabi_d2iz -
    • >>   __aeabi_dneg -
    • >>   fabs -
    • >>   __aeabi_dmul -
    • >>   __aeabi_dsub -
    • >>   __aeabi_drsub -
    • >>   __aeabi_dadd -
    -
    [Called By]
    • >>   __hardfp_cos -
    - -

    __kernel_cos (Thumb, 322 bytes, Stack size 64 bytes, cos_i.o(i.__kernel_cos)) -

    [Stack]

    • Max Depth = 120
    • Call Chain = __kernel_cos ⇒ __kernel_poly ⇒ __aeabi_dmul -
    -
    [Calls]
    • >>   __aeabi_d2iz -
    • >>   __kernel_poly -
    • >>   __aeabi_dmul -
    • >>   __aeabi_dsub -
    • >>   __aeabi_drsub -
    -
    [Called By]
    • >>   __hardfp_cos -
    - -

    __kernel_poly (Thumb, 248 bytes, Stack size 24 bytes, poly.o(i.__kernel_poly)) -

    [Stack]

    • Max Depth = 56
    • Call Chain = __kernel_poly ⇒ __aeabi_dmul -
    -
    [Calls]
    • >>   __aeabi_dmul -
    • >>   __aeabi_dadd -
    -
    [Called By]
    • >>   __mathlib_log1p -
    • >>   __hardfp_log -
    • >>   __mathlib_expm1 -
    • >>   __hardfp_exp -
    • >>   __hardfp_acos -
    • >>   __kernel_sin -
    • >>   __kernel_cos -
    - -

    __kernel_sin (Thumb, 280 bytes, Stack size 72 bytes, sin_i.o(i.__kernel_sin)) -

    [Stack]

    • Max Depth = 128
    • Call Chain = __kernel_sin ⇒ __kernel_poly ⇒ __aeabi_dmul -
    -
    [Calls]
    • >>   __kernel_poly -
    • >>   __mathlib_dbl_underflow -
    • >>   __aeabi_dmul -
    • >>   __aeabi_dsub -
    • >>   __aeabi_drsub -
    • >>   __aeabi_dadd -
    • >>   __ARM_fpclassify -
    -
    [Called By]
    • >>   __hardfp_cos -
    - -

    __mathlib_dbl_divzero (Thumb, 28 bytes, Stack size 8 bytes, dunder.o(i.__mathlib_dbl_divzero)) -

    [Stack]

    • Max Depth = 40
    • Call Chain = __mathlib_dbl_divzero ⇒ __aeabi_ddiv -
    -
    [Calls]
    • >>   __aeabi_ddiv -
    -
    [Called By]
    • >>   __mathlib_log1p -
    • >>   __hardfp_log -
    - -

    __mathlib_dbl_infnan (Thumb, 20 bytes, Stack size 8 bytes, dunder.o(i.__mathlib_dbl_infnan)) -

    [Stack]

    • Max Depth = 24
    • Call Chain = __mathlib_dbl_infnan ⇒ __aeabi_dadd -
    -
    [Calls]
    • >>   __aeabi_dadd -
    -
    [Called By]
    • >>   __mathlib_log1p -
    • >>   __hardfp_log -
    • >>   __mathlib_expm1 -
    • >>   __hardfp_exp -
    • >>   __hardfp_acosh -
    • >>   __hardfp_acos -
    • >>   __hardfp_cos -
    - -

    __mathlib_dbl_invalid (Thumb, 24 bytes, Stack size 8 bytes, dunder.o(i.__mathlib_dbl_invalid)) -

    [Stack]

    • Max Depth = 40
    • Call Chain = __mathlib_dbl_invalid ⇒ __aeabi_ddiv -
    -
    [Calls]
    • >>   __aeabi_ddiv -
    -
    [Called By]
    • >>   __mathlib_log1p -
    • >>   __hardfp_log -
    • >>   __hardfp_acosh -
    • >>   __hardfp_acos -
    • >>   __hardfp_cos -
    - -

    __mathlib_dbl_overflow (Thumb, 24 bytes, Stack size 8 bytes, dunder.o(i.__mathlib_dbl_overflow)) -

    [Stack]

    • Max Depth = 40
    • Call Chain = __mathlib_dbl_overflow ⇒ __aeabi_dmul -
    -
    [Calls]
    • >>   __aeabi_dmul -
    -
    [Called By]
    • >>   __mathlib_expm1 -
    • >>   __hardfp_exp -
    • >>   __hardfp_cosh -
    - -

    __mathlib_dbl_posinfnan (Thumb, 20 bytes, Stack size 8 bytes, dunder.o(i.__mathlib_dbl_posinfnan)) -

    [Stack]

    • Max Depth = 40
    • Call Chain = __mathlib_dbl_posinfnan ⇒ __aeabi_dmul -
    -
    [Calls]
    • >>   __aeabi_dmul -
    -
    [Called By]
    • >>   __hardfp_cosh -
    - -

    __mathlib_dbl_underflow (Thumb, 24 bytes, Stack size 8 bytes, dunder.o(i.__mathlib_dbl_underflow)) -

    [Stack]

    • Max Depth = 40
    • Call Chain = __mathlib_dbl_underflow ⇒ __aeabi_dmul -
    -
    [Calls]
    • >>   __aeabi_dmul -
    -
    [Called By]
    • >>   __mathlib_log1p -
    • >>   __mathlib_expm1 -
    • >>   __hardfp_exp -
    • >>   __kernel_sin -
    - -

    __mathlib_expm1 (Thumb, 1268 bytes, Stack size 80 bytes, expm1_i.o(i.__mathlib_expm1)) -

    [Stack]

    • Max Depth = 136
    • Call Chain = __mathlib_expm1 ⇒ __kernel_poly ⇒ __aeabi_dmul -
    -
    [Calls]
    • >>   __aeabi_cdrcmple -
    • >>   __aeabi_cdcmple -
    • >>   __aeabi_i2d -
    • >>   __aeabi_d2iz -
    • >>   __aeabi_dneg -
    • >>   __kernel_poly -
    • >>   __mathlib_dbl_underflow -
    • >>   __mathlib_dbl_overflow -
    • >>   __mathlib_dbl_infnan -
    • >>   __aeabi_dmul -
    • >>   __aeabi_ddiv -
    • >>   __aeabi_dsub -
    • >>   __aeabi_drsub -
    • >>   __aeabi_dadd -
    • >>   __set_errno -
    • >>   __ARM_fpclassify -
    -
    [Called By]
    • >>   __hardfp_cosh -
    - -

    __mathlib_flt_infnan (Thumb, 6 bytes, Stack size 0 bytes, funder.o(i.__mathlib_flt_infnan)) -

    [Called By]

    • >>   __hardfp_asinf -
    • >>   __hardfp_exp2f -
    - -

    __mathlib_flt_infnan2 (Thumb, 6 bytes, Stack size 0 bytes, funder.o(i.__mathlib_flt_infnan2)) -

    [Called By]

    • >>   __hardfp_atan2f -
    - -

    __mathlib_flt_invalid (Thumb, 10 bytes, Stack size 0 bytes, funder.o(i.__mathlib_flt_invalid)) -

    [Called By]

    • >>   __hardfp_asinf -
    • >>   __hardfp_fmodf -
    - -

    __mathlib_flt_overflow (Thumb, 10 bytes, Stack size 0 bytes, funder.o(i.__mathlib_flt_overflow)) -

    [Called By]

    • >>   __hardfp_exp2f -
    - -

    __mathlib_flt_underflow (Thumb, 10 bytes, Stack size 0 bytes, funder.o(i.__mathlib_flt_underflow)) -

    [Called By]

    • >>   __hardfp_atan2f -
    • >>   __hardfp_asinf -
    • >>   __hardfp_exp2f -
    - -

    __mathlib_log1p (Thumb, 982 bytes, Stack size 88 bytes, log1p_i.o(i.__mathlib_log1p)) -

    [Stack]

    • Max Depth = 144
    • Call Chain = __mathlib_log1p ⇒ __kernel_poly ⇒ __aeabi_dmul -
    -
    [Calls]
    • >>   __aeabi_i2d -
    • >>   __aeabi_cdcmpeq -
    • >>   __aeabi_dneg -
    • >>   __kernel_poly -
    • >>   __mathlib_dbl_underflow -
    • >>   __mathlib_dbl_invalid -
    • >>   __mathlib_dbl_infnan -
    • >>   __mathlib_dbl_divzero -
    • >>   __aeabi_dmul -
    • >>   __aeabi_ddiv -
    • >>   __aeabi_dsub -
    • >>   __aeabi_drsub -
    • >>   __aeabi_dadd -
    • >>   __set_errno -
    • >>   __ARM_fpclassify -
    -
    [Called By]
    • >>   __hardfp_acosh -
    - -

    _is_digit (Thumb, 14 bytes, Stack size 0 bytes, __printf_wp.o(i._is_digit)) -

    [Called By]

    • >>   __printf -
    - -

    ceilf (Thumb, 100 bytes, Stack size 0 bytes, ceilf.o(i.ceilf)) -

    [Called By]

    • >>   FFT_printWaterfallDMA -
    • >>   TIM6_DAC_IRQHandler -
    - -

    cos (Thumb, 16 bytes, Stack size 8 bytes, cos.o(i.cos)) -

    [Stack]

    • Max Depth = 192
    • Call Chain = cos ⇒ __hardfp_cos ⇒ __ieee754_rem_pio2 ⇒ __aeabi_d2iz -
    -
    [Calls]
    • >>   __hardfp_cos -
    -
    [Called By]
    • >>   FFT_PreInit -
    - -

    exp (Thumb, 16 bytes, Stack size 8 bytes, exp.o(i.exp)) -

    [Stack]

    • Max Depth = 136
    • Call Chain = exp ⇒ __hardfp_exp ⇒ __kernel_poly ⇒ __aeabi_dmul -
    -
    [Calls]
    • >>   __hardfp_exp -
    -
    [Called By]
    • >>   __hardfp_cosh -
    - -

    exp2f (Thumb, 16 bytes, Stack size 8 bytes, exp2f.o(i.exp2f)) -

    [Stack]

    • Max Depth = 32
    • Call Chain = exp2f ⇒ __hardfp_exp2f ⇒ __set_errno -
    -
    [Calls]
    • >>   __hardfp_exp2f -
    -
    [Called By]
    • >>   DoRxAGC -
    • >>   ReinitAudioFilters -
    • >>   TIM6_DAC_IRQHandler -
    • >>   TIM5_IRQHandler -
    - -

    fabs (Thumb, 24 bytes, Stack size 8 bytes, fabs.o(i.fabs)) -

    [Stack]

    • Max Depth = 8
    • Call Chain = fabs -
    -
    [Called By]
    • >>   __hardfp_cosh -
    • >>   __ieee754_rem_pio2 -
    - -

    floorf (Thumb, 100 bytes, Stack size 0 bytes, floorf.o(i.floorf)) -

    [Called By]

    • >>   FFT_printWaterfallDMA -
    • >>   TIM6_DAC_IRQHandler -
    - -

    fmodf (Thumb, 20 bytes, Stack size 8 bytes, fmodf.o(i.fmodf)) -

    [Stack]

    • Max Depth = 48
    • Call Chain = fmodf ⇒ __hardfp_fmodf ⇒ _frem ⇒ __fpl_fnaninf -
    -
    [Calls]
    • >>   __hardfp_fmodf -
    -
    [Called By]
    • >>   TIM6_DAC_IRQHandler -
    - -

    log (Thumb, 16 bytes, Stack size 8 bytes, log.o(i.log)) -

    [Stack]

    • Max Depth = 152
    • Call Chain = log ⇒ __hardfp_log ⇒ __kernel_poly ⇒ __aeabi_dmul -
    -
    [Calls]
    • >>   __hardfp_log -
    -
    [Called By]
    • >>   __hardfp_acosh -
    - -

    round (Thumb, 192 bytes, Stack size 56 bytes, round.o(i.round)) -

    [Stack]

    • Max Depth = 88
    • Call Chain = round ⇒ __aeabi_cdcmple -
    -
    [Calls]
    • >>   __aeabi_cdrcmple -
    • >>   __aeabi_cdcmple -
    • >>   __aeabi_dsub -
    • >>   __aeabi_dadd -
    • >>   _drnd -
    -
    [Called By]
    • >>   TRX_setFrequency -
    - -

    sqrt (Thumb, 110 bytes, Stack size 32 bytes, sqrt.o(i.sqrt)) -

    [Stack]

    • Max Depth = 72
    • Call Chain = sqrt ⇒ _dsqrt ⇒ __fpl_dnaninf -
    -
    [Calls]
    • >>   _dsqrt -
    • >>   __set_errno -
    -
    [Called By]
    • >>   __hardfp_acosh -
    • >>   __hardfp_acos -
    - -

    sqrtf (Thumb, 62 bytes, Stack size 16 bytes, sqrtf.o(i.sqrtf)) -

    [Stack]

    • Max Depth = 24
    • Call Chain = sqrtf ⇒ __set_errno -
    -
    [Calls]
    • >>   __set_errno -
    -
    [Called By]
    • >>   __hardfp_asinf -
    - -

    _get_lc_numeric (Thumb, 44 bytes, Stack size 8 bytes, lc_numeric_c.o(locale$$code)) -

    [Stack]

    • Max Depth = 16
    • Call Chain = _get_lc_numeric ⇒ strcmp -
    -
    [Calls]
    • >>   strcmp -
    -
    [Called By]
    • >>   __rt_lib_init_lc_numeric_2 -
    - -

    _get_lc_ctype (Thumb, 44 bytes, Stack size 8 bytes, lc_ctype_c.o(locale$$code)) -

    [Stack]

    • Max Depth = 16
    • Call Chain = _get_lc_ctype ⇒ strcmp -
    -
    [Calls]
    • >>   strcmp -
    -
    [Called By]
    • >>   __rt_lib_init_lc_ctype_2 -
    -
    [Address Reference Count : 1]
    • rt_ctype_table.o(.text) -
    -

    __aeabi_dneg (Thumb, 0 bytes, Stack size 0 bytes, basic.o(x$fpl$basic)) -

    [Called By]

    • >>   __mathlib_log1p -
    • >>   __hardfp_log -
    • >>   __mathlib_expm1 -
    • >>   __ieee754_rem_pio2 -
    • >>   __hardfp_cos -
    - -

    _dneg (Thumb, 6 bytes, Stack size 0 bytes, basic.o(x$fpl$basic), UNUSED) - -

    __aeabi_fneg (Thumb, 0 bytes, Stack size 0 bytes, basic.o(x$fpl$basic), UNUSED) - -

    _fneg (Thumb, 6 bytes, Stack size 0 bytes, basic.o(x$fpl$basic), UNUSED) - -

    _dabs (Thumb, 6 bytes, Stack size 0 bytes, basic.o(x$fpl$basic), UNUSED) - -

    _fabs (Thumb, 6 bytes, Stack size 0 bytes, basic.o(x$fpl$basic), UNUSED) - -

    __aeabi_d2f (Thumb, 0 bytes, Stack size 32 bytes, d2f.o(x$fpl$d2f)) -

    [Stack]

    • Max Depth = 32
    • Call Chain = __aeabi_d2f -
    -
    [Called By]
    • >>   FFT_PreInit -
    - -

    _d2f (Thumb, 98 bytes, Stack size 32 bytes, d2f.o(x$fpl$d2f), UNUSED) -

    [Calls]

    • >>   __fpl_dnaninf -
    • >>   __fpl_fretinf -
    - -

    __aeabi_dadd (Thumb, 0 bytes, Stack size 16 bytes, daddsub_clz.o(x$fpl$dadd)) -

    [Stack]

    • Max Depth = 16
    • Call Chain = __aeabi_dadd -
    -
    [Called By]
    • >>   __kernel_poly -
    • >>   __mathlib_log1p -
    • >>   __hardfp_log -
    • >>   __mathlib_expm1 -
    • >>   __hardfp_exp -
    • >>   __mathlib_dbl_infnan -
    • >>   __hardfp_cosh -
    • >>   __hardfp_acosh -
    • >>   __hardfp_acos -
    • >>   FFT_PreInit -
    • >>   __kernel_sin -
    • >>   __ieee754_rem_pio2 -
    • >>   round -
    - -

    _dadd (Thumb, 332 bytes, Stack size 16 bytes, daddsub_clz.o(x$fpl$dadd), UNUSED) -

    [Calls]

    • >>   __fpl_dretinf -
    • >>   __fpl_dnaninf -
    • >>   _dsub1 -
    - -

    __fpl_dcmp_Inf (Thumb, 24 bytes, Stack size 0 bytes, dcmpi.o(x$fpl$dcmpinf), UNUSED) -

    [Called By]

    • >>   _dcmple -
    • >>   _dcmpeq -
    • >>   _dcmpge -
    - -

    __aeabi_ddiv (Thumb, 0 bytes, Stack size 32 bytes, ddiv.o(x$fpl$ddiv)) -

    [Stack]

    • Max Depth = 32
    • Call Chain = __aeabi_ddiv -
    -
    [Called By]
    • >>   __mathlib_log1p -
    • >>   __hardfp_log -
    • >>   __mathlib_expm1 -
    • >>   __hardfp_exp -
    • >>   __mathlib_dbl_invalid -
    • >>   __mathlib_dbl_divzero -
    • >>   __hardfp_cosh -
    • >>   __hardfp_acosh -
    • >>   __hardfp_acos -
    • >>   FFT_PreInit -
    - -

    _ddiv (Thumb, 552 bytes, Stack size 32 bytes, ddiv.o(x$fpl$ddiv), UNUSED) -

    [Calls]

    • >>   __fpl_dretinf -
    • >>   __fpl_dnaninf -
    - -

    __aeabi_cdcmpeq (Thumb, 0 bytes, Stack size 32 bytes, deqf.o(x$fpl$deqf)) -

    [Stack]

    • Max Depth = 32
    • Call Chain = __aeabi_cdcmpeq -
    -
    [Called By]
    • >>   __mathlib_log1p -
    • >>   __hardfp_log -
    - -

    _dcmpeq (Thumb, 120 bytes, Stack size 32 bytes, deqf.o(x$fpl$deqf), UNUSED) -

    [Calls]

    • >>   __fpl_dnaninf -
    • >>   __fpl_dcmp_Inf -
    -
    [Called By]
    • >>   _dneq -
    • >>   _deq -
    - -

    __aeabi_d2iz (Thumb, 0 bytes, Stack size 32 bytes, dfix.o(x$fpl$dfix)) -

    [Stack]

    • Max Depth = 32
    • Call Chain = __aeabi_d2iz -
    -
    [Called By]
    • >>   __mathlib_expm1 -
    • >>   __hardfp_exp -
    • >>   __ieee754_rem_pio2 -
    • >>   __kernel_cos -
    - -

    _dfix (Thumb, 94 bytes, Stack size 32 bytes, dfix.o(x$fpl$dfix), UNUSED) -

    [Calls]

    • >>   __fpl_dnaninf -
    - -

    __aeabi_d2uiz (Thumb, 0 bytes, Stack size 32 bytes, dfixu.o(x$fpl$dfixu)) -

    [Stack]

    • Max Depth = 32
    • Call Chain = __aeabi_d2uiz -
    -
    [Called By]
    • >>   TRX_setFrequency -
    - -

    _dfixu (Thumb, 90 bytes, Stack size 32 bytes, dfixu.o(x$fpl$dfixu), UNUSED) -

    [Calls]

    • >>   __fpl_dnaninf -
    - -

    __aeabi_i2d (Thumb, 0 bytes, Stack size 0 bytes, dflt_clz.o(x$fpl$dflt)) -

    [Called By]

    • >>   __mathlib_log1p -
    • >>   __hardfp_log -
    • >>   __mathlib_expm1 -
    • >>   __hardfp_exp -
    • >>   TRX_setFrequency -
    • >>   __ieee754_rem_pio2 -
    - -

    _dflt (Thumb, 46 bytes, Stack size 0 bytes, dflt_clz.o(x$fpl$dflt), UNUSED) - -

    __aeabi_ui2d (Thumb, 0 bytes, Stack size 0 bytes, dflt_clz.o(x$fpl$dfltu)) -

    [Called By]

    • >>   FFT_PreInit -
    • >>   __ieee754_rem_pio2 -
    - -

    _dfltu (Thumb, 38 bytes, Stack size 0 bytes, dflt_clz.o(x$fpl$dfltu), UNUSED) - -

    __aeabi_cdcmpge (Thumb, 0 bytes, Stack size 32 bytes, dgeqf.o(x$fpl$dgeqf), UNUSED) - -

    _dcmpge (Thumb, 120 bytes, Stack size 32 bytes, dgeqf.o(x$fpl$dgeqf), UNUSED) -

    [Calls]

    • >>   __fpl_dnaninf -
    • >>   __fpl_dcmp_Inf -
    -
    [Called By]
    • >>   _dgeq -
    • >>   _dgr -
    - -

    __aeabi_cdcmple (Thumb, 0 bytes, Stack size 32 bytes, dleqf.o(x$fpl$dleqf)) -

    [Stack]

    • Max Depth = 32
    • Call Chain = __aeabi_cdcmple -
    -
    [Called By]
    • >>   __mathlib_expm1 -
    • >>   __hardfp_exp -
    • >>   round -
    - -

    _dcmple (Thumb, 120 bytes, Stack size 32 bytes, dleqf.o(x$fpl$dleqf), UNUSED) -

    [Calls]

    • >>   __fpl_dnaninf -
    • >>   __fpl_dcmp_Inf -
    -
    [Called By]
    • >>   _dls -
    • >>   _dleq -
    - -

    __fpl_dcmple_InfNaN (Thumb, 0 bytes, Stack size unknown bytes, dleqf.o(x$fpl$dleqf), UNUSED) -

    [Called By]

    • >>   _drcmple -
    - -

    __aeabi_dmul (Thumb, 0 bytes, Stack size 32 bytes, dmul.o(x$fpl$dmul)) -

    [Stack]

    • Max Depth = 32
    • Call Chain = __aeabi_dmul -
    -
    [Called By]
    • >>   __kernel_poly -
    • >>   __mathlib_log1p -
    • >>   __hardfp_log -
    • >>   __mathlib_expm1 -
    • >>   __hardfp_exp -
    • >>   __mathlib_dbl_underflow -
    • >>   __mathlib_dbl_posinfnan -
    • >>   __mathlib_dbl_overflow -
    • >>   __hardfp_cosh -
    • >>   __hardfp_acosh -
    • >>   __hardfp_acos -
    • >>   FFT_PreInit -
    • >>   TRX_setFrequency -
    • >>   __kernel_sin -
    • >>   __ieee754_rem_pio2 -
    • >>   __kernel_cos -
    - -

    _dmul (Thumb, 332 bytes, Stack size 32 bytes, dmul.o(x$fpl$dmul), UNUSED) -

    [Calls]

    • >>   __fpl_dretinf -
    • >>   __fpl_dnaninf -
    - -

    __fpl_dnaninf (Thumb, 156 bytes, Stack size 16 bytes, dnaninf.o(x$fpl$dnaninf)) -

    [Stack]

    • Max Depth = 16
    • Call Chain = __fpl_dnaninf -
    -
    [Called By]
    • >>   _dsqrt -
    • >>   _dcmple -
    • >>   _dfix -
    • >>   _dcmpeq -
    • >>   _dmul -
    • >>   _ddiv -
    • >>   _dsub -
    • >>   _dadd -
    • >>   _drnd -
    • >>   _dcmpge -
    • >>   _dfixu -
    • >>   _d2f -
    - -

    __fpl_dretinf (Thumb, 12 bytes, Stack size 0 bytes, dretinf.o(x$fpl$dretinf), UNUSED) -

    [Called By]

    • >>   _dmul -
    • >>   _ddiv -
    • >>   _dadd -
    • >>   _f2d -
    - -

    __aeabi_cdrcmple (Thumb, 0 bytes, Stack size 16 bytes, drleqf.o(x$fpl$drleqf)) -

    [Stack]

    • Max Depth = 16
    • Call Chain = __aeabi_cdrcmple -
    -
    [Called By]
    • >>   __mathlib_expm1 -
    • >>   __hardfp_exp -
    • >>   round -
    - -

    _drcmple (Thumb, 108 bytes, Stack size 16 bytes, drleqf.o(x$fpl$drleqf), UNUSED) -

    [Calls]

    • >>   __fpl_dcmple_InfNaN -
    - -

    _drnd (Thumb, 180 bytes, Stack size 16 bytes, drnd.o(x$fpl$drnd)) -

    [Stack]

    • Max Depth = 32
    • Call Chain = _drnd ⇒ __fpl_dnaninf -
    -
    [Calls]
    • >>   __fpl_dnaninf -
    -
    [Called By]
    • >>   round -
    - -

    __aeabi_drsub (Thumb, 0 bytes, Stack size 8 bytes, daddsub_clz.o(x$fpl$drsb)) -

    [Stack]

    • Max Depth = 8
    • Call Chain = __aeabi_drsub -
    -
    [Called By]
    • >>   __mathlib_log1p -
    • >>   __hardfp_log -
    • >>   __mathlib_expm1 -
    • >>   __hardfp_exp -
    • >>   __hardfp_acos -
    • >>   __kernel_sin -
    • >>   __ieee754_rem_pio2 -
    • >>   __kernel_cos -
    - -

    _drsb (Thumb, 22 bytes, Stack size 8 bytes, daddsub_clz.o(x$fpl$drsb), UNUSED) -

    [Calls]

    • >>   _dsub1 -
    • >>   _dadd1 -
    - -

    _dsqrt (Thumb, 404 bytes, Stack size 24 bytes, dsqrt_umaal.o(x$fpl$dsqrt)) -

    [Stack]

    • Max Depth = 40
    • Call Chain = _dsqrt ⇒ __fpl_dnaninf -
    -
    [Calls]
    • >>   __fpl_dnaninf -
    -
    [Called By]
    • >>   sqrt -
    - -

    __aeabi_dsub (Thumb, 0 bytes, Stack size 32 bytes, daddsub_clz.o(x$fpl$dsub)) -

    [Stack]

    • Max Depth = 32
    • Call Chain = __aeabi_dsub -
    -
    [Called By]
    • >>   __mathlib_log1p -
    • >>   __hardfp_log -
    • >>   __mathlib_expm1 -
    • >>   __hardfp_exp -
    • >>   __hardfp_acosh -
    • >>   __hardfp_acos -
    • >>   __kernel_sin -
    • >>   __ieee754_rem_pio2 -
    • >>   __kernel_cos -
    • >>   round -
    - -

    _dsub (Thumb, 464 bytes, Stack size 32 bytes, daddsub_clz.o(x$fpl$dsub), UNUSED) -

    [Calls]

    • >>   __fpl_dnaninf -
    • >>   _dadd1 -
    - -

    __aeabi_f2d (Thumb, 0 bytes, Stack size 16 bytes, f2d.o(x$fpl$f2d)) -

    [Stack]

    • Max Depth = 16
    • Call Chain = __aeabi_f2d -
    -
    [Called By]
    • >>   FFT_PreInit -
    • >>   sendToDebug_float32 -
    • >>   drawSystemMenuElement -
    • >>   SYSMENU_drawSystemMenu -
    • >>   SWR_Start -
    • >>   SWR_EncRotate -
    • >>   LCD_displayStatusInfoBar -
    - -

    _f2d (Thumb, 86 bytes, Stack size 16 bytes, f2d.o(x$fpl$f2d), UNUSED) -

    [Calls]

    • >>   __fpl_dretinf -
    • >>   __fpl_fnaninf -
    - -

    __aeabi_dcmpeq (Thumb, 0 bytes, Stack size 8 bytes, dcmp.o(x$fpl$fcmp), UNUSED) - -

    _deq (Thumb, 14 bytes, Stack size 8 bytes, dcmp.o(x$fpl$fcmp), UNUSED) -

    [Calls]

    • >>   _dcmpeq -
    - -

    _dneq (Thumb, 14 bytes, Stack size 8 bytes, dcmp.o(x$fpl$fcmp), UNUSED) -

    [Calls]

    • >>   _dcmpeq -
    - -

    __aeabi_dcmpgt (Thumb, 0 bytes, Stack size 8 bytes, dcmp.o(x$fpl$fcmp)) -

    [Stack]

    • Max Depth = 8
    • Call Chain = __aeabi_dcmpgt -
    -
    [Called By]
    • >>   FFT_PreInit -
    - -

    _dgr (Thumb, 14 bytes, Stack size 8 bytes, dcmp.o(x$fpl$fcmp), UNUSED) -

    [Calls]

    • >>   _dcmpge -
    - -

    __aeabi_dcmpge (Thumb, 0 bytes, Stack size 8 bytes, dcmp.o(x$fpl$fcmp), UNUSED) - -

    _dgeq (Thumb, 14 bytes, Stack size 8 bytes, dcmp.o(x$fpl$fcmp), UNUSED) -

    [Calls]

    • >>   _dcmpge -
    - -

    __aeabi_dcmple (Thumb, 0 bytes, Stack size 8 bytes, dcmp.o(x$fpl$fcmp), UNUSED) - -

    _dleq (Thumb, 14 bytes, Stack size 8 bytes, dcmp.o(x$fpl$fcmp), UNUSED) -

    [Calls]

    • >>   _dcmple -
    - -

    __aeabi_dcmplt (Thumb, 0 bytes, Stack size 8 bytes, dcmp.o(x$fpl$fcmp)) -

    [Stack]

    • Max Depth = 8
    • Call Chain = __aeabi_dcmplt -
    -
    [Called By]
    • >>   FFT_PreInit -
    - -

    _dls (Thumb, 14 bytes, Stack size 8 bytes, dcmp.o(x$fpl$fcmp), UNUSED) -

    [Calls]

    • >>   _dcmple -
    - -

    __fpl_fnaninf (Thumb, 140 bytes, Stack size 8 bytes, fnaninf.o(x$fpl$fnaninf)) -

    [Stack]

    • Max Depth = 8
    • Call Chain = __fpl_fnaninf -
    -
    [Called By]
    • >>   _frem -
    • >>   _ll_sfrom_f -
    • >>   _f2d -
    - -

    _fp_init (Thumb, 26 bytes, Stack size 0 bytes, fpinit.o(x$fpl$fpinit)) -

    [Called By]

    • >>   __rt_lib_init_fp_1 -
    - -

    __fplib_config_fpu_vfp (Thumb, 0 bytes, Stack size unknown bytes, fpinit.o(x$fpl$fpinit), UNUSED) - -

    __fplib_config_pureend_doubles (Thumb, 0 bytes, Stack size unknown bytes, fpinit.o(x$fpl$fpinit), UNUSED) - -

    _frem (Thumb, 240 bytes, Stack size 16 bytes, frem_clz.o(x$fpl$frem)) -

    [Stack]

    • Max Depth = 24
    • Call Chain = _frem ⇒ __fpl_fnaninf -
    -
    [Calls]
    • >>   __fpl_fnaninf -
    -
    [Called By]
    • >>   __hardfp_fmodf -
    - -

    __fpl_fretinf (Thumb, 10 bytes, Stack size 0 bytes, fretinf.o(x$fpl$fretinf), UNUSED) -

    [Called By]

    • >>   _d2f -
    - -

    __aeabi_f2lz (Thumb, 0 bytes, Stack size 16 bytes, ffixll.o(x$fpl$llsfromf)) -

    [Stack]

    • Max Depth = 16
    • Call Chain = __aeabi_f2lz -
    -
    [Called By]
    • >>   TIM5_IRQHandler -
    - -

    _ll_sfrom_f (Thumb, 134 bytes, Stack size 16 bytes, ffixll.o(x$fpl$llsfromf), UNUSED) -

    [Calls]

    • >>   __fpl_fnaninf -
    - -

    _printf_fp_dec (Thumb, 4 bytes, Stack size 0 bytes, printf1.o(x$fpl$printf1)) -

    [Stack]

    • Max Depth = 324
    • Call Chain = _printf_fp_dec ⇒ _printf_fp_dec_real ⇒ _fp_digits ⇒ _btod_etento ⇒ _btod_emul ⇒ _e2e -
    -
    [Calls]
    • >>   _printf_fp_dec_real -
    -
    [Called By]
    • >>   _printf_g -
    • >>   _printf_e -
    • >>   _printf_f -
    - -

    _printf_fp_hex (Thumb, 4 bytes, Stack size 0 bytes, printf2.o(x$fpl$printf2)) -

    [Stack]

    • Max Depth = 112
    • Call Chain = _printf_fp_hex ⇒ _printf_fp_hex_real ⇒ _printf_fp_infnan ⇒ _printf_post_padding -
    -
    [Calls]
    • >>   _printf_fp_hex_real -
    -
    [Called By]
    • >>   _printf_a -
    -

    -

    -Local Symbols -

    -

    _fp_digits (Thumb, 432 bytes, Stack size 96 bytes, _printf_fp_dec.o(.text)) -

    [Stack]

    • Max Depth = 220
    • Call Chain = _fp_digits ⇒ _btod_etento ⇒ _btod_emul ⇒ _e2e -
    -
    [Calls]
    • >>   _btod_emul -
    • >>   _btod_ediv -
    • >>   _btod_d2e -
    • >>   _btod_etento -
    • >>   _ll_udiv10 -
    -
    [Called By]
    • >>   _printf_fp_dec_real -
    - -

    _printf_input_char (Thumb, 10 bytes, Stack size 0 bytes, _printf_char_common.o(.text)) -
    [Address Reference Count : 1]

    • _printf_char_common.o(.text) -
    -

    _dadd1 (Thumb, 0 bytes, Stack size unknown bytes, daddsub_clz.o(x$fpl$dadd), UNUSED) -

    [Called By]

    • >>   _dsub -
    • >>   _drsb -
    - -

    _dsub1 (Thumb, 0 bytes, Stack size unknown bytes, daddsub_clz.o(x$fpl$dsub), UNUSED) -

    [Called By]

    • >>   _drsb -
    • >>   _dadd -
    - -

    AUDIO_DeInit_FS (Thumb, 4 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.AUDIO_DeInit_FS)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.data.USBD_AUDIO_fops_FS) -
    -

    AUDIO_Init_FS (Thumb, 284 bytes, Stack size 40 bytes, lto-llvm-ea22a7.o(.text.AUDIO_Init_FS)) -

    [Stack]

    • Max Depth = 96
    • Call Chain = AUDIO_Init_FS ⇒ DEBUG_Transmit_FIFO_Events ⇒ USB_EPStartXfer -
    -
    [Calls]
    • >>   DEBUG_Transmit_FIFO_Events -
    • >>   USB_EPStartXfer -
    • >>   USBD_AUDIO_StartTransmit -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.data.USBD_AUDIO_fops_FS) -
    -

    CAT_Control_FS (Thumb, 56 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.CAT_Control_FS)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.data.USBD_CAT_fops_FS) -
    -

    CAT_DeInit_FS (Thumb, 4 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.CAT_DeInit_FS)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.data.USBD_CAT_fops_FS) -
    -

    CAT_Init_FS (Thumb, 40 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.CAT_Init_FS)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.data.USBD_CAT_fops_FS) -
    -

    CAT_Receive_FS (Thumb, 166 bytes, Stack size 104 bytes, lto-llvm-ea22a7.o(.text.CAT_Receive_FS)) -

    [Stack]

    • Max Depth = 112
    • Call Chain = CAT_Receive_FS ⇒ __aeabi_memcpy4 -
    -
    [Calls]
    • >>   __aeabi_memclr4 -
    • >>   __aeabi_memclr -
    • >>   __aeabi_memcpy4 -
    • >>   strncpy -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.data.USBD_CAT_fops_FS) -
    -

    DEBUG_Control_FS (Thumb, 98 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.DEBUG_Control_FS)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.data.USBD_DEBUG_fops_FS) -
    -

    DEBUG_DeInit_FS (Thumb, 4 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.DEBUG_DeInit_FS)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.data.USBD_DEBUG_fops_FS) -
    -

    DEBUG_Init_FS (Thumb, 40 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.DEBUG_Init_FS)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.data.USBD_DEBUG_fops_FS) -
    -

    DEBUG_Receive_FS (Thumb, 68 bytes, Stack size 8 bytes, lto-llvm-ea22a7.o(.text.DEBUG_Receive_FS)) -

    [Stack]

    • Max Depth = 24
    • Call Chain = DEBUG_Receive_FS ⇒ USB_EPStartXfer -
    -
    [Calls]
    • >>   USB_EPStartXfer -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.data.USBD_DEBUG_fops_FS) -
    -

    DEBUG_Transmit_FIFO_Events (Thumb, 438 bytes, Stack size 40 bytes, lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events)) -

    [Stack]

    • Max Depth = 56
    • Call Chain = DEBUG_Transmit_FIFO_Events ⇒ USB_EPStartXfer -
    -
    [Calls]
    • >>   __aeabi_memclr4 -
    • >>   USB_EPStartXfer -
    • >>   __aeabi_memcpy -
    -
    [Called By]
    • >>   AUDIO_Init_FS -
    • >>   sendToDebug_uint8 -
    • >>   sendToDebug_uint32 -
    • >>   sendToDebug_uint16 -
    • >>   sendToDebug_strln -
    • >>   sendToDebug_str3 -
    • >>   sendToDebug_int16 -
    • >>   sendToDebug_float32 -
    • >>   UA3REO_I2SEx_DMAErr -
    • >>   SaveSettingsToEEPROM -
    • >>   SPI_Transmit -
    • >>   LoadCalibration -
    • >>   main -
    • >>   TIM7_IRQHandler -
    • >>   TIM6_DAC_IRQHandler -
    - -

    DoRxAGC (Thumb, 920 bytes, Stack size 72 bytes, lto-llvm-ea22a7.o(.text.DoRxAGC)) -

    [Stack]

    • Max Depth = 104
    • Call Chain = DoRxAGC ⇒ exp2f ⇒ __hardfp_exp2f ⇒ __set_errno -
    -
    [Calls]
    • >>   __aeabi_memcpy4 -
    • >>   __hardfp_frexpf -
    • >>   arm_rms_f32 -
    • >>   exp2f -
    -
    [Called By]
    • >>   TIM5_IRQHandler -
    - -

    EEPROM_Read_Data (Thumb, 408 bytes, Stack size 48 bytes, lto-llvm-ea22a7.o(.text.EEPROM_Read_Data)) -

    [Stack]

    • Max Depth = 532 + Unknown Stack Size - + In Cycle -
    • Call Chain = EEPROM_Read_Data ⇒ EEPROM_Read_Data (Cycle) -
    -
    [Calls]
    • >>   EEPROM_Read_Data -
    • >>   sendToDebug_uint8 -
    • >>   sendToDebug_strln -
    • >>   SPI_Transmit -
    • >>   LCD_showError -
    -
    [Called By]
    • >>   EEPROM_Write_Data -
    • >>   EEPROM_Read_Data -
    • >>   LoadCalibration -
    • >>   main -
    - -

    EEPROM_WaitWrite (Thumb, 200 bytes, Stack size 48 bytes, lto-llvm-ea22a7.o(.text.EEPROM_WaitWrite)) -

    [Stack]

    • Max Depth = 168
    • Call Chain = EEPROM_WaitWrite ⇒ SPI_Transmit ⇒ DEBUG_Transmit_FIFO_Events ⇒ USB_EPStartXfer -
    -
    [Calls]
    • >>   sendToDebug_strln -
    • >>   SPI_Transmit -
    -
    [Called By]
    • >>   EEPROM_Write_Data -
    • >>   SaveSettingsToEEPROM -
    • >>   SaveCalibration -
    • >>   LoadCalibration -
    • >>   main -
    - -

    EEPROM_Write_Data (Thumb, 536 bytes, Stack size 64 bytes, lto-llvm-ea22a7.o(.text.EEPROM_Write_Data)) -

    [Stack]

    • Max Depth = 596 + Unknown Stack Size -
    • Call Chain = EEPROM_Write_Data ⇒ EEPROM_Read_Data ⇒ EEPROM_Read_Data (Cycle) -
    -
    [Calls]
    • >>   EEPROM_WaitWrite -
    • >>   EEPROM_Read_Data -
    • >>   SPI_Transmit -
    • >>   __aeabi_memcpy -
    -
    [Called By]
    • >>   SaveSettingsToEEPROM -
    • >>   SaveCalibration -
    - -

    FFT_Init (Thumb, 1320 bytes, Stack size 48 bytes, lto-llvm-ea22a7.o(.text.FFT_Init)) -

    [Stack]

    • Max Depth = 52
    • Call Chain = FFT_Init ⇒ __aeabi_memclr4 -
    -
    [Calls]
    • >>   __aeabi_memclr4 -
    • >>   __aeabi_memclr -
    • >>   __aeabi_memset8 -
    -
    [Called By]
    • >>   SYSMENU_HANDL_SCREEN_FFT_Zoom -
    • >>   SYSMENU_HANDL_SCREEN_FFT_Window -
    • >>   SYSMENU_HANDL_SCREEN_FFT_Grid -
    • >>   SYSMENU_HANDL_SCREEN_FFT_Color -
    • >>   SYSMENU_HANDL_SCREEN_COLOR_THEME -
    • >>   main -
    - -

    FFT_PreInit (Thumb, 2132 bytes, Stack size 152 bytes, lto-llvm-ea22a7.o(.text.FFT_PreInit)) -

    [Stack]

    • Max Depth = 344
    • Call Chain = FFT_PreInit ⇒ __hardfp_acosh ⇒ log ⇒ __hardfp_log ⇒ __kernel_poly ⇒ __aeabi_dmul -
    -
    [Calls]
    • >>   __aeabi_ui2d -
    • >>   __aeabi_dmul -
    • >>   __aeabi_ddiv -
    • >>   __aeabi_dadd -
    • >>   __hardfp_cosh -
    • >>   __hardfp_acosh -
    • >>   __hardfp_acos -
    • >>   cos -
    • >>   __aeabi_f2d -
    • >>   __aeabi_dcmplt -
    • >>   __aeabi_dcmpgt -
    • >>   __aeabi_d2f -
    -
    [Called By]
    • >>   SYSMENU_HANDL_SCREEN_FFT_Window -
    • >>   main -
    - -

    FFT_afterPrintFFT (Thumb, 1076 bytes, Stack size 64 bytes, lto-llvm-ea22a7.o(.text.FFT_afterPrintFFT)) -

    [Stack]

    • Max Depth = 128 + Unknown Stack Size -
    • Call Chain = FFT_afterPrintFFT ⇒ FFT_printWaterfallDMA -
    -
    [Calls]
    • >>   __aeabi_memclr4 -
    • >>   FFT_printWaterfallDMA -
    • >>   getBandFromFreq -
    -
    [Called By]
    • >>   TIM6_DAC_IRQHandler -
    • >>   DMA2_Stream5_IRQHandler -
    - -

    FFT_printWaterfallDMA (Thumb, 1918 bytes, Stack size 64 bytes, lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA)) -

    [Stack]

    • Max Depth = 64 + Unknown Stack Size -
    • Call Chain = FFT_printWaterfallDMA -
    -
    [Calls]
    • >>   floorf -
    • >>   ceilf -
    • >>   __aeabi_memset -
    -
    [Called By]
    • >>   FFT_afterPrintFFT -
    • >>   DMA2_Stream6_IRQHandler -
    - -

    FRONTPANEL_BUTTONHANDLER_AGC (Thumb, 124 bytes, Stack size 24 bytes, lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC)) -

    [Stack]

    • Max Depth = 56
    • Call Chain = FRONTPANEL_BUTTONHANDLER_AGC ⇒ getBandFromFreq -
    -
    [Calls]
    • >>   getBandFromFreq -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    -

    FRONTPANEL_BUTTONHANDLER_AGC_SPEED (Thumb, 286 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    -

    FRONTPANEL_BUTTONHANDLER_ATT (Thumb, 92 bytes, Stack size 16 bytes, lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATT)) -

    [Stack]

    • Max Depth = 48
    • Call Chain = FRONTPANEL_BUTTONHANDLER_ATT ⇒ getBandFromFreq -
    -
    [Calls]
    • >>   getBandFromFreq -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    -

    FRONTPANEL_BUTTONHANDLER_ATTHOLD (Thumb, 132 bytes, Stack size 24 bytes, lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD)) -

    [Stack]

    • Max Depth = 56
    • Call Chain = FRONTPANEL_BUTTONHANDLER_ATTHOLD ⇒ getBandFromFreq -
    -
    [Calls]
    • >>   getBandFromFreq -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    -

    FRONTPANEL_BUTTONHANDLER_ArB (Thumb, 172 bytes, Stack size 16 bytes, lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ArB)) -

    [Stack]

    • Max Depth = 16
    • Call Chain = FRONTPANEL_BUTTONHANDLER_ArB -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    -

    FRONTPANEL_BUTTONHANDLER_AsB (Thumb, 252 bytes, Stack size 24 bytes, lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB)) -

    [Stack]

    • Max Depth = 276
    • Call Chain = FRONTPANEL_BUTTONHANDLER_AsB ⇒ TRX_setFrequency ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   TRX_setMode -
    • >>   TRX_setFrequency -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    -

    FRONTPANEL_BUTTONHANDLER_BANDMAP (Thumb, 136 bytes, Stack size 8 bytes, lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BANDMAP)) -

    [Stack]

    • Max Depth = 20
    • Call Chain = FRONTPANEL_BUTTONHANDLER_BANDMAP ⇒ strcpy -
    -
    [Calls]
    • >>   strcpy -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    -

    FRONTPANEL_BUTTONHANDLER_BAND_N (Thumb, 274 bytes, Stack size 24 bytes, lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_N)) -

    [Stack]

    • Max Depth = 276
    • Call Chain = FRONTPANEL_BUTTONHANDLER_BAND_N ⇒ TRX_setFrequency ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   getBandFromFreq -
    • >>   TRX_setMode -
    • >>   TRX_setFrequency -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_Static_Buttons) -
    -

    FRONTPANEL_BUTTONHANDLER_BAND_P (Thumb, 274 bytes, Stack size 24 bytes, lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_P)) -

    [Stack]

    • Max Depth = 276
    • Call Chain = FRONTPANEL_BUTTONHANDLER_BAND_P ⇒ TRX_setFrequency ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   getBandFromFreq -
    • >>   TRX_setMode -
    • >>   TRX_setFrequency -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_Static_Buttons) -
    -

    FRONTPANEL_BUTTONHANDLER_BW (Thumb, 460 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    -

    FRONTPANEL_BUTTONHANDLER_CLAR (Thumb, 44 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_CLAR)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    -

    FRONTPANEL_BUTTONHANDLER_FAST (Thumb, 44 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_FAST)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    -

    FRONTPANEL_BUTTONHANDLER_HPF (Thumb, 338 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    -

    FRONTPANEL_BUTTONHANDLER_KEYER (Thumb, 124 bytes, Stack size 8 bytes, lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_KEYER)) -

    [Stack]

    • Max Depth = 20
    • Call Chain = FRONTPANEL_BUTTONHANDLER_KEYER ⇒ strcpy -
    -
    [Calls]
    • >>   strcpy -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    -

    FRONTPANEL_BUTTONHANDLER_LOCK (Thumb, 58 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_LOCK)) -
    [Address Reference Count : 2]

    • lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) -
    • lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    -

    FRONTPANEL_BUTTONHANDLER_MODE_N (Thumb, 180 bytes, Stack size 24 bytes, lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_N)) -

    [Stack]

    • Max Depth = 236
    • Call Chain = FRONTPANEL_BUTTONHANDLER_MODE_N ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   getBandFromFreq -
    • >>   TRX_setMode -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_Static_Buttons) -
    -

    FRONTPANEL_BUTTONHANDLER_MODE_P (Thumb, 340 bytes, Stack size 24 bytes, lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P)) -

    [Stack]

    • Max Depth = 236
    • Call Chain = FRONTPANEL_BUTTONHANDLER_MODE_P ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   getBandFromFreq -
    • >>   TRX_setMode -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_Static_Buttons) -
    -

    FRONTPANEL_BUTTONHANDLER_MUTE (Thumb, 40 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MUTE)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    -

    FRONTPANEL_BUTTONHANDLER_NOTCH (Thumb, 300 bytes, Stack size 24 bytes, lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH)) -

    [Stack]

    • Max Depth = 28
    • Call Chain = FRONTPANEL_BUTTONHANDLER_NOTCH ⇒ __aeabi_memclr4 -
    -
    [Calls]
    • >>   __aeabi_memclr4 -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    -

    FRONTPANEL_BUTTONHANDLER_PRE (Thumb, 86 bytes, Stack size 16 bytes, lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_PRE)) -

    [Stack]

    • Max Depth = 48
    • Call Chain = FRONTPANEL_BUTTONHANDLER_PRE ⇒ getBandFromFreq -
    -
    [Calls]
    • >>   getBandFromFreq -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    -

    FRONTPANEL_BUTTONHANDLER_RF_POWER (Thumb, 286 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    -

    FRONTPANEL_BUTTONHANDLER_TUNE (Thumb, 56 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_TUNE)) -

    [Stack]

    • Max Depth = 308
    • Call Chain = FRONTPANEL_BUTTONHANDLER_TUNE ⇒ TRX_Restart_Mode ⇒ TRX_setFrequency ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   TRX_Restart_Mode -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    -

    FRONTPANEL_BUTTONHANDLER_VOLUME (Thumb, 286 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    -

    FRONTPANEL_BUTTONHANDLER_WPM (Thumb, 286 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) -
    -

    FRONTPANEL_ENCODER_Rotated (Thumb, 432 bytes, Stack size 16 bytes, lto-llvm-ea22a7.o(.text.FRONTPANEL_ENCODER_Rotated)) -

    [Stack]

    • Max Depth = 268 + Unknown Stack Size -
    • Call Chain = FRONTPANEL_ENCODER_Rotated ⇒ TRX_setFrequency ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   TRX_setFrequency -
    • >>   SYSMENU_HANDL_SETTIME -
    -
    [Called By]
    • >>   HAL_GPIO_EXTI_IRQHandler -
    - -

    FRONTPANEL_Process (Thumb, 1430 bytes, Stack size 56 bytes, lto-llvm-ea22a7.o(.text.FRONTPANEL_Process)) -

    [Stack]

    • Max Depth = 420 + Unknown Stack Size -
    • Call Chain = FRONTPANEL_Process ⇒ SYSMENU_drawSystemMenu ⇒ TRX_setFrequency ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   TRX_setFrequency -
    • >>   TRX_Restart_Mode -
    • >>   SYSMENU_drawSystemMenu -
    • >>   SPI_Transmit -
    -
    [Called By]
    • >>   main -
    • >>   TIM8_UP_TIM13_IRQHandler -
    - -

    HAL_ADCEx_InjectedConfigChannel (Thumb, 340 bytes, Stack size 20 bytes, lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedConfigChannel)) -

    [Stack]

    • Max Depth = 20
    • Call Chain = HAL_ADCEx_InjectedConfigChannel -
    -
    [Called By]
    • >>   main -
    - -

    HAL_ADCEx_InjectedStart (Thumb, 206 bytes, Stack size 4 bytes, lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedStart)) -

    [Stack]

    • Max Depth = 4
    • Call Chain = HAL_ADCEx_InjectedStart -
    -
    [Called By]
    • >>   main -
    - -

    HAL_ADC_ConfigChannel (Thumb, 300 bytes, Stack size 20 bytes, lto-llvm-ea22a7.o(.text.HAL_ADC_ConfigChannel)) -

    [Stack]

    • Max Depth = 20
    • Call Chain = HAL_ADC_ConfigChannel -
    -
    [Called By]
    • >>   main -
    - -

    HAL_ADC_Init (Thumb, 542 bytes, Stack size 40 bytes, lto-llvm-ea22a7.o(.text.HAL_ADC_Init)) -

    [Stack]

    • Max Depth = 84
    • Call Chain = HAL_ADC_Init ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   HAL_GPIO_Init -
    -
    [Called By]
    • >>   main -
    - -

    HAL_DMA_IRQHandler (Thumb, 442 bytes, Stack size 24 bytes, lto-llvm-ea22a7.o(.text.HAL_DMA_IRQHandler)) -

    [Stack]

    • Max Depth = 24
    • Call Chain = HAL_DMA_IRQHandler -
    -
    [Called By]
    • >>   DMA2_Stream6_IRQHandler -
    • >>   DMA2_Stream5_IRQHandler -
    • >>   DMA2_Stream2_IRQHandler -
    • >>   DMA2_Stream1_IRQHandler -
    • >>   DMA1_Stream5_IRQHandler -
    • >>   DMA1_Stream0_IRQHandler -
    - -

    HAL_DMA_Init (Thumb, 376 bytes, Stack size 24 bytes, lto-llvm-ea22a7.o(.text.HAL_DMA_Init)) -

    [Stack]

    • Max Depth = 24
    • Call Chain = HAL_DMA_Init -
    -
    [Called By]
    • >>   HAL_I2S_MspInit -
    • >>   main -
    - -

    HAL_DMA_PollForTransfer (Thumb, 388 bytes, Stack size 28 bytes, lto-llvm-ea22a7.o(.text.HAL_DMA_PollForTransfer)) -

    [Stack]

    • Max Depth = 28
    • Call Chain = HAL_DMA_PollForTransfer -
    -
    [Called By]
    • >>   readFromCircleBuffer32 -
    • >>   LCDDriver_Fill_RectXY -
    • >>   LCDDriver_Fill -
    • >>   TIM6_DAC_IRQHandler -
    • >>   TIM5_IRQHandler -
    - -

    HAL_GPIO_EXTI_IRQHandler (Thumb, 3104 bytes, Stack size 40 bytes, lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler)) -

    [Stack]

    • Max Depth = 364 + Unknown Stack Size -
    • Call Chain = HAL_GPIO_EXTI_IRQHandler ⇒ TRX_key_change ⇒ TRX_Restart_Mode ⇒ TRX_setFrequency ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   TRX_key_change -
    • >>   TRX_Restart_Mode -
    • >>   FRONTPANEL_ENCODER_Rotated -
    -
    [Called By]
    • >>   EXTI3_IRQHandler -
    • >>   EXTI2_IRQHandler -
    • >>   EXTI15_10_IRQHandler -
    - -

    HAL_GPIO_Init (Thumb, 432 bytes, Stack size 44 bytes, lto-llvm-ea22a7.o(.text.HAL_GPIO_Init)) -

    [Stack]

    • Max Depth = 44
    • Call Chain = HAL_GPIO_Init -
    -
    [Called By]
    • >>   i2c_shift_out -
    • >>   i2c_get_ack -
    • >>   i2c_endTransmission -
    • >>   WM8731_TXRX_mode -
    • >>   WM8731_RX_mode -
    • >>   USBD_Restart -
    • >>   TRX_Restart_Mode -
    • >>   SPI_Transmit -
    • >>   HAL_SRAM_Init -
    • >>   HAL_I2S_MspInit -
    • >>   HAL_ADC_Init -
    • >>   main -
    - -

    HAL_I2SEx_FullDuplex_IRQHandler (Thumb, 1014 bytes, Stack size 40 bytes, lto-llvm-ea22a7.o(.text.HAL_I2SEx_FullDuplex_IRQHandler)) -

    [Stack]

    • Max Depth = 40
    • Call Chain = HAL_I2SEx_FullDuplex_IRQHandler -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.text.main) -
    -

    HAL_I2S_MspInit (Thumb, 396 bytes, Stack size 64 bytes, lto-llvm-ea22a7.o(.text.HAL_I2S_MspInit)) -

    [Stack]

    • Max Depth = 108
    • Call Chain = HAL_I2S_MspInit ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   HAL_GPIO_Init -
    • >>   HAL_DMA_Init -
    -
    [Called By]
    • >>   main -
    - -

    HAL_PCD_DataOutStageCallback (Thumb, 198 bytes, Stack size 8 bytes, lto-llvm-ea22a7.o(.text.HAL_PCD_DataOutStageCallback)) -

    [Stack]

    • Max Depth = 24
    • Call Chain = HAL_PCD_DataOutStageCallback ⇒ USB_EP0StartXfer -
    -
    [Calls]
    • >>   USB_EP0StartXfer -
    -
    [Called By]
    • >>   OTG_FS_IRQHandler -
    - -

    HAL_RTC_Init (Thumb, 362 bytes, Stack size 8 bytes, lto-llvm-ea22a7.o(.text.HAL_RTC_Init)) -

    [Stack]

    • Max Depth = 8
    • Call Chain = HAL_RTC_Init -
    -
    [Called By]
    • >>   main -
    - -

    HAL_SPI_TransmitReceive (Thumb, 732 bytes, Stack size 28 bytes, lto-llvm-ea22a7.o(.text.HAL_SPI_TransmitReceive)) -

    [Stack]

    • Max Depth = 28
    • Call Chain = HAL_SPI_TransmitReceive -
    -
    [Called By]
    • >>   SPI_Transmit -
    - -

    HAL_SRAM_Init (Thumb, 354 bytes, Stack size 56 bytes, lto-llvm-ea22a7.o(.text.HAL_SRAM_Init)) -

    [Stack]

    • Max Depth = 100
    • Call Chain = HAL_SRAM_Init ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   HAL_GPIO_Init -
    -
    [Called By]
    • >>   main -
    - -

    HAL_TIM_Base_Init (Thumb, 986 bytes, Stack size 12 bytes, lto-llvm-ea22a7.o(.text.HAL_TIM_Base_Init)) -

    [Stack]

    • Max Depth = 12
    • Call Chain = HAL_TIM_Base_Init -
    -
    [Called By]
    • >>   main -
    - -

    HAL_TIM_ConfigClockSource (Thumb, 400 bytes, Stack size 8 bytes, lto-llvm-ea22a7.o(.text.HAL_TIM_ConfigClockSource)) -

    [Stack]

    • Max Depth = 8
    • Call Chain = HAL_TIM_ConfigClockSource -
    -
    [Called By]
    • >>   main -
    - -

    HAL_TIM_IRQHandler (Thumb, 188 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.HAL_TIM_IRQHandler)) -

    [Called By]

    • >>   TIM8_UP_TIM13_IRQHandler -
    • >>   TIM7_IRQHandler -
    • >>   TIM6_DAC_IRQHandler -
    • >>   TIM5_IRQHandler -
    • >>   TIM4_IRQHandler -
    • >>   TIM3_IRQHandler -
    - -

    I2SEx_TxRxDMACplt (Thumb, 422 bytes, Stack size 8 bytes, lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMACplt)) -

    [Stack]

    • Max Depth = 8
    • Call Chain = I2SEx_TxRxDMACplt -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) -
    -

    I2SEx_TxRxDMAError (Thumb, 70 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAError)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) -
    -

    I2SEx_TxRxDMAHalfCplt (Thumb, 112 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAHalfCplt)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) -
    -

    I2S_IRQHandler (Thumb, 228 bytes, Stack size 12 bytes, lto-llvm-ea22a7.o(.text.I2S_IRQHandler)) -

    [Stack]

    • Max Depth = 12
    • Call Chain = I2S_IRQHandler -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.text.main) -
    -

    JumpToBootloader (Thumb, 764 bytes, Stack size 8 bytes, lto-llvm-ea22a7.o(.text.JumpToBootloader)) -

    [Stack]

    • Max Depth = 492 + Unknown Stack Size -
    • Call Chain = JumpToBootloader ⇒ LCD_showError ⇒ LCD_doEvents ⇒ SYSMENU_drawSystemMenu ⇒ TRX_setFrequency ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   MX_USB_DevDisconnect -
    • >>   LCD_showError -
    -
    [Called By]
    • >>   main -
    - -

    LCDDriver_Fill (Thumb, 600 bytes, Stack size 16 bytes, lto-llvm-ea22a7.o(.text.LCDDriver_Fill)) -

    [Stack]

    • Max Depth = 44
    • Call Chain = LCDDriver_Fill ⇒ HAL_DMA_PollForTransfer -
    -
    [Calls]
    • >>   HAL_DMA_PollForTransfer -
    -
    [Called By]
    • >>   eventSecRotateSystemMenu -
    • >>   SYSMENU_drawSystemMenu -
    • >>   SYSMENU_HANDL_TRX_SetCallsign -
    • >>   SYSMENU_HANDL_SETTIME -
    • >>   SWR_Start -
    • >>   LCD_showError -
    • >>   LCD_doEvents -
    • >>   main -
    • >>   TIM6_DAC_IRQHandler -
    - -

    LCDDriver_Fill_RectXY (Thumb, 402 bytes, Stack size 40 bytes, lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY)) -

    [Stack]

    • Max Depth = 68
    • Call Chain = LCDDriver_Fill_RectXY ⇒ HAL_DMA_PollForTransfer -
    -
    [Calls]
    • >>   HAL_DMA_PollForTransfer -
    -
    [Called By]
    • >>   eventSecRotateSystemMenu -
    • >>   drawSystemMenuElement -
    • >>   SYSMENU_drawSystemMenu -
    • >>   SYSMENU_HANDL_TRX_SetCallsign -
    • >>   SWR_Start -
    • >>   SWR_EncRotate -
    • >>   SWR_DrawGraphCol -
    • >>   LCD_doEvents -
    • >>   LCD_displayTopButtons -
    • >>   LCD_displayStatusInfoGUI -
    • >>   LCD_displayStatusInfoBar -
    • >>   LCD_displayFreqInfo -
    • >>   LCDDriver_drawRectXY -
    • >>   LCDDriver_Fill_Triangle -
    - -

    LCDDriver_Fill_Triangle (Thumb, 452 bytes, Stack size 64 bytes, lto-llvm-ea22a7.o(.text.LCDDriver_Fill_Triangle)) -

    [Stack]

    • Max Depth = 132
    • Call Chain = LCDDriver_Fill_Triangle ⇒ LCDDriver_Fill_RectXY ⇒ HAL_DMA_PollForTransfer -
    -
    [Calls]
    • >>   LCDDriver_Fill_RectXY -
    -
    [Called By]
    • >>   LCD_displayStatusInfoGUI -
    - -

    LCDDriver_Init (Thumb, 736 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.LCDDriver_Init)) -

    [Called By]

    • >>   LCD_showError -
    • >>   main -
    - -

    LCDDriver_drawChar (Thumb, 872 bytes, Stack size 56 bytes, lto-llvm-ea22a7.o(.text.LCDDriver_drawChar)) -

    [Stack]

    • Max Depth = 56
    • Call Chain = LCDDriver_drawChar -
    -
    [Called By]
    • >>   eventSecRotateSystemMenu -
    • >>   SYSMENU_drawSystemMenu -
    • >>   SYSMENU_HANDL_TRX_SetCallsign -
    • >>   SYSMENU_HANDL_SETTIME -
    • >>   SWR_Start -
    • >>   SWR_EncRotate -
    • >>   LCD_displayStatusInfoGUI -
    • >>   LCD_displayStatusInfoBar -
    • >>   LCDDriver_printText -
    • >>   main -
    - -

    LCDDriver_drawLine (Thumb, 284 bytes, Stack size 36 bytes, lto-llvm-ea22a7.o(.text.LCDDriver_drawLine)) -

    [Stack]

    • Max Depth = 36
    • Call Chain = LCDDriver_drawLine -
    -
    [Called By]
    • >>   SWR_DrawGraphCol -
    • >>   LCD_displayStatusInfoGUI -
    - -

    LCDDriver_drawRectXY (Thumb, 188 bytes, Stack size 32 bytes, lto-llvm-ea22a7.o(.text.LCDDriver_drawRectXY)) -

    [Stack]

    • Max Depth = 100
    • Call Chain = LCDDriver_drawRectXY ⇒ LCDDriver_Fill_RectXY ⇒ HAL_DMA_PollForTransfer -
    -
    [Calls]
    • >>   LCDDriver_Fill_RectXY -
    -
    [Called By]
    • >>   LCD_doEvents -
    • >>   LCD_displayStatusInfoGUI -
    • >>   LCD_displayStatusInfoBar -
    - -

    LCDDriver_getTextBounds (Thumb, 452 bytes, Stack size 48 bytes, lto-llvm-ea22a7.o(.text.LCDDriver_getTextBounds)) -

    [Stack]

    • Max Depth = 48
    • Call Chain = LCDDriver_getTextBounds -
    -
    [Called By]
    • >>   LCD_showError -
    • >>   LCD_doEvents -
    • >>   LCD_displayTopButtons -
    • >>   LCD_displayStatusInfoGUI -
    • >>   main -
    • >>   TIM6_DAC_IRQHandler -
    - -

    LCDDriver_printText (Thumb, 190 bytes, Stack size 56 bytes, lto-llvm-ea22a7.o(.text.LCDDriver_printText)) -

    [Stack]

    • Max Depth = 112
    • Call Chain = LCDDriver_printText ⇒ LCDDriver_drawChar -
    -
    [Calls]
    • >>   LCDDriver_drawChar -
    -
    [Called By]
    • >>   drawSystemMenuElement -
    - -

    LCDDriver_printTextFont (Thumb, 886 bytes, Stack size 72 bytes, lto-llvm-ea22a7.o(.text.LCDDriver_printTextFont)) -

    [Stack]

    • Max Depth = 72
    • Call Chain = LCDDriver_printTextFont -
    -
    [Called By]
    • >>   LCD_showError -
    • >>   LCD_doEvents -
    • >>   LCD_displayTopButtons -
    • >>   LCD_displayStatusInfoGUI -
    • >>   LCD_displayStatusInfoBar -
    • >>   LCD_displayFreqInfo -
    • >>   main -
    • >>   TIM6_DAC_IRQHandler -
    - -

    LCD_displayFreqInfo (Thumb, 760 bytes, Stack size 96 bytes, lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo)) -

    [Stack]

    • Max Depth = 236 + Unknown Stack Size -
    • Call Chain = LCD_displayFreqInfo ⇒ addSymbols ⇒ strcpy -
    -
    [Calls]
    • >>   __2sprintf -
    • >>   __aeabi_memclr4 -
    • >>   addSymbols -
    • >>   LCDDriver_printTextFont -
    • >>   LCDDriver_Fill_RectXY -
    -
    [Called By]
    • >>   LCD_doEvents -
    - -

    LCD_displayStatusInfoBar (Thumb, 7092 bytes, Stack size 224 bytes, lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar)) -

    [Stack]

    • Max Depth = 364 + Unknown Stack Size -
    • Call Chain = LCD_displayStatusInfoBar ⇒ addSymbols ⇒ strcpy -
    -
    [Calls]
    • >>   __2sprintf -
    • >>   __aeabi_memclr4 -
    • >>   strlen -
    • >>   strcpy -
    • >>   addSymbols -
    • >>   LCDDriver_printTextFont -
    • >>   LCDDriver_drawRectXY -
    • >>   LCDDriver_drawChar -
    • >>   LCDDriver_Fill_RectXY -
    • >>   __aeabi_f2d -
    -
    [Called By]
    • >>   LCD_doEvents -
    - -

    LCD_displayStatusInfoGUI (Thumb, 2008 bytes, Stack size 88 bytes, lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI)) -

    [Stack]

    • Max Depth = 220
    • Call Chain = LCD_displayStatusInfoGUI ⇒ LCDDriver_Fill_Triangle ⇒ LCDDriver_Fill_RectXY ⇒ HAL_DMA_PollForTransfer -
    -
    [Calls]
    • >>   LCDDriver_printTextFont -
    • >>   LCDDriver_getTextBounds -
    • >>   LCDDriver_drawRectXY -
    • >>   LCDDriver_drawLine -
    • >>   LCDDriver_drawChar -
    • >>   LCDDriver_Fill_Triangle -
    • >>   LCDDriver_Fill_RectXY -
    -
    [Called By]
    • >>   LCD_doEvents -
    - -

    LCD_displayTopButtons (Thumb, 1476 bytes, Stack size 128 bytes, lto-llvm-ea22a7.o(.text.LCD_displayTopButtons)) -

    [Stack]

    • Max Depth = 264 + Unknown Stack Size -
    • Call Chain = LCD_displayTopButtons ⇒ __2sprintf ⇒ _printf_char_common ⇒ __printf -
    -
    [Calls]
    • >>   __2sprintf -
    • >>   __aeabi_memclr4 -
    • >>   LCDDriver_printTextFont -
    • >>   LCDDriver_getTextBounds -
    • >>   LCDDriver_Fill_RectXY -
    -
    [Called By]
    • >>   LCD_doEvents -
    - -

    LCD_doEvents (Thumb, 634 bytes, Stack size 64 bytes, lto-llvm-ea22a7.o(.text.LCD_doEvents)) -

    [Stack]

    • Max Depth = 428 + Unknown Stack Size -
    • Call Chain = LCD_doEvents ⇒ SYSMENU_drawSystemMenu ⇒ TRX_setFrequency ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   drawSystemMenuElement -
    • >>   SYSMENU_drawSystemMenu -
    • >>   LCD_displayTopButtons -
    • >>   LCD_displayStatusInfoGUI -
    • >>   LCD_displayStatusInfoBar -
    • >>   LCD_displayFreqInfo -
    • >>   LCDDriver_printTextFont -
    • >>   LCDDriver_getTextBounds -
    • >>   LCDDriver_drawRectXY -
    • >>   LCDDriver_Fill_RectXY -
    • >>   LCDDriver_Fill -
    -
    [Called By]
    • >>   LCD_showError -
    • >>   main -
    • >>   TIM6_DAC_IRQHandler -
    - -

    LCD_showError (Thumb, 432 bytes, Stack size 56 bytes, lto-llvm-ea22a7.o(.text.LCD_showError)) -

    [Stack]

    • Max Depth = 484 + Unknown Stack Size -
    • Call Chain = LCD_showError ⇒ LCD_doEvents ⇒ SYSMENU_drawSystemMenu ⇒ TRX_setFrequency ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   LCD_doEvents -
    • >>   LCDDriver_printTextFont -
    • >>   LCDDriver_getTextBounds -
    • >>   LCDDriver_Init -
    • >>   LCDDriver_Fill -
    -
    [Called By]
    • >>   EEPROM_Read_Data -
    • >>   JumpToBootloader -
    • >>   main -
    • >>   UsageFault_Handler -
    • >>   MemManage_Handler -
    • >>   HardFault_Handler -
    • >>   BusFault_Handler -
    - -

    LoadCalibration (Thumb, 988 bytes, Stack size 48 bytes, lto-llvm-ea22a7.o(.text.LoadCalibration)) -

    [Stack]

    • Max Depth = 692 + Unknown Stack Size -
    • Call Chain = LoadCalibration ⇒ SaveCalibration ⇒ EEPROM_Write_Data ⇒ EEPROM_Read_Data ⇒ EEPROM_Read_Data (Cycle) -
    -
    [Calls]
    • >>   EEPROM_WaitWrite -
    • >>   EEPROM_Read_Data -
    • >>   DEBUG_Transmit_FIFO_Events -
    • >>   sendToDebug_uint8 -
    • >>   sendToDebug_strln -
    • >>   SaveCalibration -
    • >>   SPI_Transmit -
    -
    [Called By]
    • >>   main -
    - -

    MX_USB_DevDisconnect (Thumb, 942 bytes, Stack size 40 bytes, lto-llvm-ea22a7.o(.text.MX_USB_DevDisconnect)) -

    [Stack]

    • Max Depth = 40
    • Call Chain = MX_USB_DevDisconnect -
    -
    [Called By]
    • >>   USBD_Restart -
    • >>   JumpToBootloader -
    - -

    ModulateFM (Thumb, 856 bytes, Stack size 88 bytes, lto-llvm-ea22a7.o(.text.ModulateFM)) -

    [Stack]

    • Max Depth = 88
    • Call Chain = ModulateFM -
    -
    [Called By]
    • >>   TIM5_IRQHandler -
    - -

    RF_UNIT_ProcessSensors (Thumb, 512 bytes, Stack size 4 bytes, lto-llvm-ea22a7.o(.text.RF_UNIT_ProcessSensors)) -

    [Stack]

    • Max Depth = 4
    • Call Chain = RF_UNIT_ProcessSensors -
    -
    [Called By]
    • >>   SYSMENU_drawSystemMenu -
    • >>   TIM6_DAC_IRQHandler -
    - -

    ReinitAudioFilters (Thumb, 2120 bytes, Stack size 56 bytes, lto-llvm-ea22a7.o(.text.ReinitAudioFilters)) -

    [Stack]

    • Max Depth = 280 + Unknown Stack Size -
    • Call Chain = ReinitAudioFilters ⇒ sendToDebug_uint16 ⇒ __2sprintf ⇒ _printf_char_common ⇒ __printf -
    -
    [Calls]
    • >>   __aeabi_memclr4 -
    • >>   sendToDebug_uint16 -
    • >>   sendToDebug_strln -
    • >>   exp2f -
    -
    [Called By]
    • >>   main -
    • >>   TIM6_DAC_IRQHandler -
    - -

    SPI_Transmit (Thumb, 2048 bytes, Stack size 64 bytes, lto-llvm-ea22a7.o(.text.SPI_Transmit)) -

    [Stack]

    • Max Depth = 120
    • Call Chain = SPI_Transmit ⇒ DEBUG_Transmit_FIFO_Events ⇒ USB_EPStartXfer -
    -
    [Calls]
    • >>   __aeabi_memclr -
    • >>   DEBUG_Transmit_FIFO_Events -
    • >>   HAL_SPI_TransmitReceive -
    • >>   HAL_GPIO_Init -
    -
    [Called By]
    • >>   EEPROM_Write_Data -
    • >>   EEPROM_WaitWrite -
    • >>   EEPROM_Read_Data -
    • >>   SaveSettingsToEEPROM -
    • >>   SaveCalibration -
    • >>   LoadCalibration -
    • >>   FRONTPANEL_Process -
    • >>   main -
    - -

    SWR_DrawGraphCol (Thumb, 508 bytes, Stack size 32 bytes, lto-llvm-ea22a7.o(.text.SWR_DrawGraphCol)) -

    [Stack]

    • Max Depth = 100
    • Call Chain = SWR_DrawGraphCol ⇒ LCDDriver_Fill_RectXY ⇒ HAL_DMA_PollForTransfer -
    -
    [Calls]
    • >>   LCDDriver_drawLine -
    • >>   LCDDriver_Fill_RectXY -
    -
    [Called By]
    • >>   SYSMENU_drawSystemMenu -
    • >>   SWR_EncRotate -
    - -

    SWR_EncRotate (Thumb, 458 bytes, Stack size 112 bytes, lto-llvm-ea22a7.o(.text.SWR_EncRotate)) -

    [Stack]

    • Max Depth = 248 + Unknown Stack Size -
    • Call Chain = SWR_EncRotate ⇒ __2sprintf ⇒ _printf_char_common ⇒ __printf -
    -
    [Calls]
    • >>   __2sprintf -
    • >>   __aeabi_memclr4 -
    • >>   SWR_DrawGraphCol -
    • >>   LCDDriver_drawChar -
    • >>   LCDDriver_Fill_RectXY -
    • >>   __aeabi_f2d -
    -
    [Called By]
    • >>   SYSMENU_HANDL_SWR_HF_START -
    • >>   SYSMENU_HANDL_SWR_BAND_START -
    - -

    SWR_Start (Thumb, 2264 bytes, Stack size 184 bytes, lto-llvm-ea22a7.o(.text.SWR_Start)) -

    [Stack]

    • Max Depth = 492 + Unknown Stack Size -
    • Call Chain = SWR_Start ⇒ TRX_Restart_Mode ⇒ TRX_setFrequency ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   __2sprintf -
    • >>   __aeabi_memclr4 -
    • >>   TRX_setFrequency -
    • >>   TRX_Restart_Mode -
    • >>   LCDDriver_drawChar -
    • >>   LCDDriver_Fill_RectXY -
    • >>   LCDDriver_Fill -
    • >>   __aeabi_f2d -
    -
    [Called By]
    • >>   SYSMENU_HANDL_SWR_HF_START -
    • >>   SYSMENU_HANDL_SWR_BAND_START -
    - -

    SYSMENU_HANDL_ADCMENU (Thumb, 72 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADCMENU)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) -
    -

    SYSMENU_HANDL_ADC_DRIVER (Thumb, 80 bytes, Stack size 16 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_DRIVER)) -

    [Stack]

    • Max Depth = 48
    • Call Chain = SYSMENU_HANDL_ADC_DRIVER ⇒ getBandFromFreq -
    -
    [Calls]
    • >>   getBandFromFreq -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.rodata.sysmenu_adc_handlers) -
    -

    SYSMENU_HANDL_ADC_SHDN (Thumb, 34 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_SHDN)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_adc_handlers) -
    -

    SYSMENU_HANDL_AUDIOMENU (Thumb, 72 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIOMENU)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) -
    -

    SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET (Thumb, 44 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    -

    SYSMENU_HANDL_AUDIO_AM_LPF_pass (Thumb, 782 bytes, Stack size 24 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass)) -

    [Stack]

    • Max Depth = 236
    • Call Chain = SYSMENU_HANDL_AUDIO_AM_LPF_pass ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   TRX_setMode -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    -

    SYSMENU_HANDL_AUDIO_Beeper (Thumb, 26 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_Beeper)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    -

    SYSMENU_HANDL_AUDIO_CW_HPF_pass (Thumb, 130 bytes, Stack size 24 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass)) -

    [Stack]

    • Max Depth = 236
    • Call Chain = SYSMENU_HANDL_AUDIO_CW_HPF_pass ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   TRX_setMode -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    -

    SYSMENU_HANDL_AUDIO_CW_LPF_pass (Thumb, 748 bytes, Stack size 24 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass)) -

    [Stack]

    • Max Depth = 236
    • Call Chain = SYSMENU_HANDL_AUDIO_CW_LPF_pass ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   TRX_setMode -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    -

    SYSMENU_HANDL_AUDIO_DNR_AVERAGE (Thumb, 34 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    -

    SYSMENU_HANDL_AUDIO_DNR_MINMAL (Thumb, 34 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    -

    SYSMENU_HANDL_AUDIO_DNR_THRES (Thumb, 34 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_THRES)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    -

    SYSMENU_HANDL_AUDIO_FMSquelch (Thumb, 82 bytes, Stack size 16 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FMSquelch)) -

    [Stack]

    • Max Depth = 48
    • Call Chain = SYSMENU_HANDL_AUDIO_FMSquelch ⇒ getBandFromFreq -
    -
    [Calls]
    • >>   getBandFromFreq -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    -

    SYSMENU_HANDL_AUDIO_FM_LPF_pass (Thumb, 358 bytes, Stack size 24 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass)) -

    [Stack]

    • Max Depth = 236
    • Call Chain = SYSMENU_HANDL_AUDIO_FM_LPF_pass ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   TRX_setMode -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    -

    SYSMENU_HANDL_AUDIO_IFGain (Thumb, 34 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_IFGain)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    -

    SYSMENU_HANDL_AUDIO_MIC_EQ_HIG (Thumb, 52 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    -

    SYSMENU_HANDL_AUDIO_MIC_EQ_LOW (Thumb, 52 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    -

    SYSMENU_HANDL_AUDIO_MIC_EQ_MID (Thumb, 52 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    -

    SYSMENU_HANDL_AUDIO_MIC_Gain (Thumb, 34 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_Gain)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    -

    SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed (Thumb, 34 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    -

    SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed (Thumb, 34 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    -

    SYSMENU_HANDL_AUDIO_RX_EQ_HIG (Thumb, 52 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    -

    SYSMENU_HANDL_AUDIO_RX_EQ_LOW (Thumb, 52 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    -

    SYSMENU_HANDL_AUDIO_RX_EQ_MID (Thumb, 52 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    -

    SYSMENU_HANDL_AUDIO_SSB_HPF_pass (Thumb, 252 bytes, Stack size 24 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass)) -

    [Stack]

    • Max Depth = 236
    • Call Chain = SYSMENU_HANDL_AUDIO_SSB_HPF_pass ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   TRX_setMode -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    -

    SYSMENU_HANDL_AUDIO_SSB_LPF_pass (Thumb, 484 bytes, Stack size 24 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass)) -

    [Stack]

    • Max Depth = 236
    • Call Chain = SYSMENU_HANDL_AUDIO_SSB_LPF_pass ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   TRX_setMode -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    -

    SYSMENU_HANDL_AUDIO_TX_AGCSpeed (Thumb, 34 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    -

    SYSMENU_HANDL_AUDIO_VOLUME (Thumb, 34 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_VOLUME)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) -
    -

    SYSMENU_HANDL_Bootloader (Thumb, 144 bytes, Stack size 8 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_Bootloader)) -

    [Stack]

    • Max Depth = 12
    • Call Chain = SYSMENU_HANDL_Bootloader ⇒ __aeabi_memclr4 -
    -
    [Calls]
    • >>   __aeabi_memclr4 -
    • >>   __aeabi_memclr -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) -
    -

    SYSMENU_HANDL_CALIBRATIONMENU (Thumb, 88 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIBRATIONMENU)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) -
    -

    SYSMENU_HANDL_CALIB_CICCOMP_SHIFT (Thumb, 32 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) -
    -

    SYSMENU_HANDL_CALIB_CIC_SHIFT (Thumb, 32 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CIC_SHIFT)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) -
    -

    SYSMENU_HANDL_CALIB_DAC_SHIFT (Thumb, 32 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_DAC_SHIFT)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) -
    -

    SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE (Thumb, 36 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) -
    -

    SYSMENU_HANDL_CALIB_ENCODER2_INVERT (Thumb, 24 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) -
    -

    SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE (Thumb, 36 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) -
    -

    SYSMENU_HANDL_CALIB_ENCODER_INVERT (Thumb, 24 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) -
    -

    SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING (Thumb, 24 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) -
    -

    SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE (Thumb, 30 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) -
    -

    SYSMENU_HANDL_CALIB_RF_GAIN_HF (Thumb, 212 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) -
    -

    SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH (Thumb, 212 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) -
    -

    SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW (Thumb, 212 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) -
    -

    SYSMENU_HANDL_CALIB_RF_GAIN_LF (Thumb, 212 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) -
    -

    SYSMENU_HANDL_CALIB_SWR_TRANS_RATE (Thumb, 84 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) -
    -

    SYSMENU_HANDL_CALIB_S_METER (Thumb, 36 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_S_METER)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) -
    -

    SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT (Thumb, 32 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) -
    -

    SYSMENU_HANDL_CALIB_VOLT (Thumb, 84 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_VOLT)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) -
    -

    SYSMENU_HANDL_CWMENU (Thumb, 170 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) -
    -

    SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ (Thumb, 44 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) -
    -

    SYSMENU_HANDL_CW_GaussFilter (Thumb, 34 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GaussFilter)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) -
    -

    SYSMENU_HANDL_CW_Key_timeout (Thumb, 44 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Key_timeout)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) -
    -

    SYSMENU_HANDL_CW_Keyer (Thumb, 26 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) -
    -

    SYSMENU_HANDL_CW_Keyer_WPM (Thumb, 34 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer_WPM)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) -
    -

    SYSMENU_HANDL_CW_SelfHear (Thumb, 26 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_SelfHear)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) -
    -

    SYSMENU_HANDL_LCDMENU (Thumb, 72 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_LCDMENU)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) -
    -

    SYSMENU_HANDL_SCREEN_COLOR_THEME (Thumb, 180 bytes, Stack size 8 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME)) -

    [Stack]

    • Max Depth = 60
    • Call Chain = SYSMENU_HANDL_SCREEN_COLOR_THEME ⇒ FFT_Init ⇒ __aeabi_memclr4 -
    -
    [Calls]
    • >>   FFT_Init -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) -
    -

    SYSMENU_HANDL_SCREEN_FFT_Averaging (Thumb, 34 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Averaging)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) -
    -

    SYSMENU_HANDL_SCREEN_FFT_Background (Thumb, 26 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Background)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) -
    -

    SYSMENU_HANDL_SCREEN_FFT_Color (Thumb, 36 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Color)) -

    [Stack]

    • Max Depth = 52
    • Call Chain = SYSMENU_HANDL_SCREEN_FFT_Color ⇒ FFT_Init ⇒ __aeabi_memclr4 -
    -
    [Calls]
    • >>   FFT_Init -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) -
    -

    SYSMENU_HANDL_SCREEN_FFT_Compressor (Thumb, 26 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Compressor)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) -
    -

    SYSMENU_HANDL_SCREEN_FFT_Enabled (Thumb, 26 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Enabled)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) -
    -

    SYSMENU_HANDL_SCREEN_FFT_Grid (Thumb, 38 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Grid)) -

    [Stack]

    • Max Depth = 52
    • Call Chain = SYSMENU_HANDL_SCREEN_FFT_Grid ⇒ FFT_Init ⇒ __aeabi_memclr4 -
    -
    [Calls]
    • >>   FFT_Init -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) -
    -

    SYSMENU_HANDL_SCREEN_FFT_Window (Thumb, 46 bytes, Stack size 8 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Window)) -

    [Stack]

    • Max Depth = 352
    • Call Chain = SYSMENU_HANDL_SCREEN_FFT_Window ⇒ FFT_PreInit ⇒ __hardfp_acosh ⇒ log ⇒ __hardfp_log ⇒ __kernel_poly ⇒ __aeabi_dmul -
    -
    [Calls]
    • >>   FFT_PreInit -
    • >>   FFT_Init -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) -
    -

    SYSMENU_HANDL_SCREEN_FFT_Zoom (Thumb, 122 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Zoom)) -

    [Stack]

    • Max Depth = 52
    • Call Chain = SYSMENU_HANDL_SCREEN_FFT_Zoom ⇒ FFT_Init ⇒ __aeabi_memclr4 -
    -
    [Calls]
    • >>   FFT_Init -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) -
    -

    SYSMENU_HANDL_SERVICESMENU (Thumb, 180 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) -
    -

    SYSMENU_HANDL_SETTIME (Thumb, 1718 bytes, Stack size 96 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME)) -

    [Stack]

    • Max Depth = 236 + Unknown Stack Size -
    • Call Chain = SYSMENU_HANDL_SETTIME ⇒ addSymbols ⇒ strcpy -
    -
    [Calls]
    • >>   __2sprintf -
    • >>   addSymbols -
    • >>   LCDDriver_drawChar -
    • >>   LCDDriver_Fill -
    -
    [Called By]
    • >>   SYSMENU_drawSystemMenu -
    • >>   FRONTPANEL_ENCODER_Rotated -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) -
    -

    SYSMENU_HANDL_SWR_BAND_START (Thumb, 108 bytes, Stack size 8 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_BAND_START)) -

    [Stack]

    • Max Depth = 500 + Unknown Stack Size -
    • Call Chain = SYSMENU_HANDL_SWR_BAND_START ⇒ SWR_Start ⇒ TRX_Restart_Mode ⇒ TRX_setFrequency ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   getBandFromFreq -
    • >>   SWR_Start -
    • >>   SWR_EncRotate -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.rodata.sysmenu_services_handlers) -
    -

    SYSMENU_HANDL_SWR_HF_START (Thumb, 60 bytes, Stack size 8 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_HF_START)) -

    [Stack]

    • Max Depth = 500 + Unknown Stack Size -
    • Call Chain = SYSMENU_HANDL_SWR_HF_START ⇒ SWR_Start ⇒ TRX_Restart_Mode ⇒ TRX_setFrequency ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   SWR_Start -
    • >>   SWR_EncRotate -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.rodata.sysmenu_services_handlers) -
    -

    SYSMENU_HANDL_TRXMENU (Thumb, 72 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRXMENU)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) -
    -

    SYSMENU_HANDL_TRX_ATT_STEP (Thumb, 34 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ATT_STEP)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) -
    -

    SYSMENU_HANDL_TRX_AutoGain (Thumb, 34 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_AutoGain)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) -
    -

    SYSMENU_HANDL_TRX_BandMap (Thumb, 26 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_BandMap)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) -
    -

    SYSMENU_HANDL_TRX_DEBUG_CONSOLE (Thumb, 26 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) -
    -

    SYSMENU_HANDL_TRX_ENC_ACCELERATE (Thumb, 26 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) -
    -

    SYSMENU_HANDL_TRX_FRQ_FAST_STEP (Thumb, 168 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) -
    -

    SYSMENU_HANDL_TRX_FRQ_STEP (Thumb, 148 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_STEP)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) -
    -

    SYSMENU_HANDL_TRX_LINEIN (Thumb, 46 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_LINEIN)) -

    [Stack]

    • Max Depth = 308
    • Call Chain = SYSMENU_HANDL_TRX_LINEIN ⇒ TRX_Restart_Mode ⇒ TRX_setFrequency ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   TRX_Restart_Mode -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) -
    -

    SYSMENU_HANDL_TRX_MICIN (Thumb, 42 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_MICIN)) -

    [Stack]

    • Max Depth = 308
    • Call Chain = SYSMENU_HANDL_TRX_MICIN ⇒ TRX_Restart_Mode ⇒ TRX_setFrequency ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   TRX_Restart_Mode -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) -
    -

    SYSMENU_HANDL_TRX_RFPower (Thumb, 34 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_RFPower)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) -
    -

    SYSMENU_HANDL_TRX_SHIFT_INTERVAL (Thumb, 44 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) -
    -

    SYSMENU_HANDL_TRX_SetCallsign (Thumb, 376 bytes, Stack size 48 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SetCallsign)) -

    [Stack]

    • Max Depth = 116
    • Call Chain = SYSMENU_HANDL_TRX_SetCallsign ⇒ LCDDriver_Fill_RectXY ⇒ HAL_DMA_PollForTransfer -
    -
    [Calls]
    • >>   LCDDriver_drawChar -
    • >>   LCDDriver_Fill_RectXY -
    • >>   LCDDriver_Fill -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) -
    -

    SYSMENU_HANDL_TRX_TRANSV_ENABLE (Thumb, 26 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) -
    -

    SYSMENU_HANDL_TRX_TRANSV_OFFSET (Thumb, 38 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) -
    -

    SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE (Thumb, 26 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) -
    -

    SYSMENU_HANDL_TRX_USBIN (Thumb, 42 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_USBIN)) -

    [Stack]

    • Max Depth = 308
    • Call Chain = SYSMENU_HANDL_TRX_USBIN ⇒ TRX_Restart_Mode ⇒ TRX_setFrequency ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   TRX_Restart_Mode -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) -
    -

    SYSMENU_drawSystemMenu (Thumb, 1268 bytes, Stack size 112 bytes, lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu)) -

    [Stack]

    • Max Depth = 364 + Unknown Stack Size -
    • Call Chain = SYSMENU_drawSystemMenu ⇒ TRX_setFrequency ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   __2sprintf -
    • >>   __aeabi_memclr4 -
    • >>   drawSystemMenuElement -
    • >>   TRX_setFrequency -
    • >>   SYSMENU_HANDL_SETTIME -
    • >>   SWR_DrawGraphCol -
    • >>   RF_UNIT_ProcessSensors -
    • >>   LCDDriver_drawChar -
    • >>   LCDDriver_Fill_RectXY -
    • >>   LCDDriver_Fill -
    • >>   __aeabi_f2d -
    -
    [Called By]
    • >>   eventSecRotateSystemMenu -
    • >>   LCD_doEvents -
    • >>   FRONTPANEL_Process -
    • >>   TIM4_IRQHandler -
    - -

    SaveCalibration (Thumb, 636 bytes, Stack size 48 bytes, lto-llvm-ea22a7.o(.text.SaveCalibration)) -

    [Stack]

    • Max Depth = 644 + Unknown Stack Size -
    • Call Chain = SaveCalibration ⇒ EEPROM_Write_Data ⇒ EEPROM_Read_Data ⇒ EEPROM_Read_Data (Cycle) -
    -
    [Calls]
    • >>   EEPROM_Write_Data -
    • >>   EEPROM_WaitWrite -
    • >>   sendToDebug_strln -
    • >>   SPI_Transmit -
    -
    [Called By]
    • >>   LoadCalibration -
    • >>   TIM8_UP_TIM13_IRQHandler -
    - -

    SaveSettings (Thumb, 324 bytes, Stack size 24 bytes, lto-llvm-ea22a7.o(.text.SaveSettings)) -

    [Stack]

    • Max Depth = 32
    • Call Chain = SaveSettings ⇒ __aeabi_memcpy4 -
    -
    [Calls]
    • >>   __aeabi_memclr8 -
    • >>   __aeabi_memcpy4 -
    -
    [Called By]
    • >>   TIM6_DAC_IRQHandler -
    - -

    SaveSettingsToEEPROM (Thumb, 632 bytes, Stack size 48 bytes, lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM)) -

    [Stack]

    • Max Depth = 644 + Unknown Stack Size -
    • Call Chain = SaveSettingsToEEPROM ⇒ EEPROM_Write_Data ⇒ EEPROM_Read_Data ⇒ EEPROM_Read_Data (Cycle) -
    -
    [Calls]
    • >>   EEPROM_Write_Data -
    • >>   EEPROM_WaitWrite -
    • >>   DEBUG_Transmit_FIFO_Events -
    • >>   sendToDebug_strln -
    • >>   SPI_Transmit -
    -
    [Called By]
    • >>   main -
    • >>   TIM6_DAC_IRQHandler -
    - -

    TRX_Restart_Mode (Thumb, 2438 bytes, Stack size 56 bytes, lto-llvm-ea22a7.o(.text.TRX_Restart_Mode)) -

    [Stack]

    • Max Depth = 308
    • Call Chain = TRX_Restart_Mode ⇒ TRX_setFrequency ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   __aeabi_memclr4 -
    • >>   __aeabi_memclr -
    • >>   i2c_endTransmission -
    • >>   WM8731_start_i2s_and_dma -
    • >>   WM8731_TXRX_mode -
    • >>   WM8731_RX_mode -
    • >>   TRX_setMode -
    • >>   TRX_setFrequency -
    • >>   HAL_GPIO_Init -
    -
    [Called By]
    • >>   TRX_key_change -
    • >>   SYSMENU_HANDL_TRX_USBIN -
    • >>   SYSMENU_HANDL_TRX_MICIN -
    • >>   SYSMENU_HANDL_TRX_LINEIN -
    • >>   SWR_Start -
    • >>   HAL_GPIO_EXTI_IRQHandler -
    • >>   FRONTPANEL_Process -
    • >>   FRONTPANEL_BUTTONHANDLER_TUNE -
    • >>   TIM6_DAC_IRQHandler -
    - -

    TRX_key_change (Thumb, 310 bytes, Stack size 16 bytes, lto-llvm-ea22a7.o(.text.TRX_key_change)) -

    [Stack]

    • Max Depth = 324
    • Call Chain = TRX_key_change ⇒ TRX_Restart_Mode ⇒ TRX_setFrequency ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   TRX_Restart_Mode -
    -
    [Called By]
    • >>   HAL_GPIO_EXTI_IRQHandler -
    • >>   TIM6_DAC_IRQHandler -
    • >>   EXTI1_IRQHandler -
    • >>   EXTI0_IRQHandler -
    - -

    TRX_setFrequency (Thumb, 1040 bytes, Stack size 40 bytes, lto-llvm-ea22a7.o(.text.TRX_setFrequency)) -

    [Stack]

    • Max Depth = 252
    • Call Chain = TRX_setFrequency ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   __aeabi_i2d -
    • >>   __aeabi_dmul -
    • >>   getModeFromFreq -
    • >>   TRX_setMode -
    • >>   round -
    • >>   __aeabi_d2uiz -
    -
    [Called By]
    • >>   TRX_Restart_Mode -
    • >>   SYSMENU_drawSystemMenu -
    • >>   SWR_Start -
    • >>   FRONTPANEL_Process -
    • >>   FRONTPANEL_ENCODER_Rotated -
    • >>   FRONTPANEL_BUTTONHANDLER_BAND_P -
    • >>   FRONTPANEL_BUTTONHANDLER_BAND_N -
    • >>   FRONTPANEL_BUTTONHANDLER_AsB -
    • >>   main -
    • >>   TIM4_IRQHandler -
    - -

    TRX_setMode (Thumb, 308 bytes, Stack size 16 bytes, lto-llvm-ea22a7.o(.text.TRX_setMode)) -

    [Stack]

    • Max Depth = 212
    • Call Chain = TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   __aeabi_memclr4 -
    • >>   __aeabi_memclr -
    • >>   WM8731_start_i2s_and_dma -
    • >>   WM8731_TXRX_mode -
    -
    [Called By]
    • >>   TRX_setFrequency -
    • >>   TRX_Restart_Mode -
    • >>   SYSMENU_HANDL_AUDIO_SSB_LPF_pass -
    • >>   SYSMENU_HANDL_AUDIO_SSB_HPF_pass -
    • >>   SYSMENU_HANDL_AUDIO_FM_LPF_pass -
    • >>   SYSMENU_HANDL_AUDIO_CW_LPF_pass -
    • >>   SYSMENU_HANDL_AUDIO_CW_HPF_pass -
    • >>   SYSMENU_HANDL_AUDIO_AM_LPF_pass -
    • >>   FRONTPANEL_BUTTONHANDLER_MODE_P -
    • >>   FRONTPANEL_BUTTONHANDLER_MODE_N -
    • >>   FRONTPANEL_BUTTONHANDLER_BAND_P -
    • >>   FRONTPANEL_BUTTONHANDLER_BAND_N -
    • >>   FRONTPANEL_BUTTONHANDLER_AsB -
    • >>   main -
    • >>   TIM4_IRQHandler -
    - -

    UA3REO_I2SEx_DMAErr (Thumb, 228 bytes, Stack size 24 bytes, lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_DMAErr)) -

    [Stack]

    • Max Depth = 80
    • Call Chain = UA3REO_I2SEx_DMAErr ⇒ DEBUG_Transmit_FIFO_Events ⇒ USB_EPStartXfer -
    -
    [Calls]
    • >>   DEBUG_Transmit_FIFO_Events -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) -
    -

    UA3REO_I2SEx_TxRxDMACplt (Thumb, 114 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMACplt)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) -
    -

    UA3REO_I2SEx_TxRxDMAHalfCplt (Thumb, 112 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMAHalfCplt)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) -
    -

    USBD_AUDIO_StartTransmit (Thumb, 228 bytes, Stack size 16 bytes, lto-llvm-ea22a7.o(.text.USBD_AUDIO_StartTransmit)) -

    [Stack]

    • Max Depth = 32
    • Call Chain = USBD_AUDIO_StartTransmit ⇒ USB_EPStartXfer -
    -
    [Calls]
    • >>   USB_EPStartXfer -
    -
    [Called By]
    • >>   AUDIO_Init_FS -
    - -

    USBD_FS_ConfigStrDescriptor (Thumb, 48 bytes, Stack size 8 bytes, lto-llvm-ea22a7.o(.text.USBD_FS_ConfigStrDescriptor)) -

    [Stack]

    • Max Depth = 16
    • Call Chain = USBD_FS_ConfigStrDescriptor ⇒ USBD_GetString -
    -
    [Calls]
    • >>   USBD_GetString -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.data.FS_Desc) -
    -

    USBD_FS_DeviceDescriptor (Thumb, 16 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.USBD_FS_DeviceDescriptor)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.data.FS_Desc) -
    -

    USBD_FS_Interface1StrDescriptor (Thumb, 56 bytes, Stack size 8 bytes, lto-llvm-ea22a7.o(.text.USBD_FS_Interface1StrDescriptor)) -

    [Stack]

    • Max Depth = 16
    • Call Chain = USBD_FS_Interface1StrDescriptor ⇒ USBD_GetString -
    -
    [Calls]
    • >>   USBD_GetString -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.data.FS_Desc) -
    -

    USBD_FS_Interface2StrDescriptor (Thumb, 48 bytes, Stack size 8 bytes, lto-llvm-ea22a7.o(.text.USBD_FS_Interface2StrDescriptor)) -

    [Stack]

    • Max Depth = 16
    • Call Chain = USBD_FS_Interface2StrDescriptor ⇒ USBD_GetString -
    -
    [Calls]
    • >>   USBD_GetString -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.data.FS_Desc) -
    -

    USBD_FS_Interface3StrDescriptor (Thumb, 48 bytes, Stack size 8 bytes, lto-llvm-ea22a7.o(.text.USBD_FS_Interface3StrDescriptor)) -

    [Stack]

    • Max Depth = 16
    • Call Chain = USBD_FS_Interface3StrDescriptor ⇒ USBD_GetString -
    -
    [Calls]
    • >>   USBD_GetString -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.data.FS_Desc) -
    -

    USBD_FS_LangIDStrDescriptor (Thumb, 16 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.USBD_FS_LangIDStrDescriptor)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.data.FS_Desc) -
    -

    USBD_FS_ManufacturerStrDescriptor (Thumb, 28 bytes, Stack size 8 bytes, lto-llvm-ea22a7.o(.text.USBD_FS_ManufacturerStrDescriptor)) -

    [Stack]

    • Max Depth = 16
    • Call Chain = USBD_FS_ManufacturerStrDescriptor ⇒ USBD_GetString -
    -
    [Calls]
    • >>   USBD_GetString -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.data.FS_Desc) -
    -

    USBD_FS_ProductStrDescriptor (Thumb, 40 bytes, Stack size 8 bytes, lto-llvm-ea22a7.o(.text.USBD_FS_ProductStrDescriptor)) -

    [Stack]

    • Max Depth = 16
    • Call Chain = USBD_FS_ProductStrDescriptor ⇒ USBD_GetString -
    -
    [Calls]
    • >>   USBD_GetString -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.data.FS_Desc) -
    -

    USBD_FS_SerialStrDescriptor (Thumb, 364 bytes, Stack size 16 bytes, lto-llvm-ea22a7.o(.text.USBD_FS_SerialStrDescriptor)) -

    [Stack]

    • Max Depth = 16
    • Call Chain = USBD_FS_SerialStrDescriptor -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.data.FS_Desc) -
    -

    USBD_GetString (Thumb, 206 bytes, Stack size 8 bytes, lto-llvm-ea22a7.o(.text.USBD_GetString)) -

    [Stack]

    • Max Depth = 8
    • Call Chain = USBD_GetString -
    -
    [Called By]
    • >>   USBD_FS_ProductStrDescriptor -
    • >>   USBD_FS_ManufacturerStrDescriptor -
    • >>   USBD_FS_Interface3StrDescriptor -
    • >>   USBD_FS_Interface2StrDescriptor -
    • >>   USBD_FS_Interface1StrDescriptor -
    • >>   USBD_FS_ConfigStrDescriptor -
    - -

    USBD_LL_CloseEP (Thumb, 220 bytes, Stack size 8 bytes, lto-llvm-ea22a7.o(.text.USBD_LL_CloseEP)) -

    [Stack]

    • Max Depth = 8
    • Call Chain = USBD_LL_CloseEP -
    -
    [Called By]
    • >>   USBD_UA3REO_DeInit -
    - -

    USBD_LL_OpenEP (Thumb, 216 bytes, Stack size 8 bytes, lto-llvm-ea22a7.o(.text.USBD_LL_OpenEP)) -

    [Stack]

    • Max Depth = 8
    • Call Chain = USBD_LL_OpenEP -
    -
    [Called By]
    • >>   USBD_UA3REO_Init -
    • >>   OTG_FS_IRQHandler -
    - -

    USBD_LL_StallEP (Thumb, 264 bytes, Stack size 8 bytes, lto-llvm-ea22a7.o(.text.USBD_LL_StallEP)) -

    [Stack]

    • Max Depth = 8
    • Call Chain = USBD_LL_StallEP -
    -
    [Called By]
    • >>   USBD_UA3REO_Setup -
    • >>   OTG_FS_IRQHandler -
    - -

    USBD_Restart (Thumb, 1926 bytes, Stack size 104 bytes, lto-llvm-ea22a7.o(.text.USBD_Restart)) -

    [Stack]

    • Max Depth = 148
    • Call Chain = USBD_Restart ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   __aeabi_memclr4 -
    • >>   MX_USB_DevDisconnect -
    • >>   HAL_GPIO_Init -
    -
    [Called By]
    • >>   main -
    • >>   TIM6_DAC_IRQHandler -
    - -

    USBD_UA3REO_DataIn (Thumb, 384 bytes, Stack size 16 bytes, lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataIn)) -

    [Stack]

    • Max Depth = 32
    • Call Chain = USBD_UA3REO_DataIn ⇒ USB_EPStartXfer -
    -
    [Calls]
    • >>   USB_EPStartXfer -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.data.USBD_UA3REO) -
    -

    USBD_UA3REO_DataOut (Thumb, 234 bytes, Stack size 8 bytes, lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataOut)) -

    [Stack]

    • Max Depth = 24
    • Call Chain = USBD_UA3REO_DataOut ⇒ USB_EPStartXfer -
    -
    [Calls]
    • >>   USB_EPStartXfer -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.data.USBD_UA3REO) -
    -

    USBD_UA3REO_DeInit (Thumb, 174 bytes, Stack size 16 bytes, lto-llvm-ea22a7.o(.text.USBD_UA3REO_DeInit)) -

    [Stack]

    • Max Depth = 32
    • Call Chain = USBD_UA3REO_DeInit ⇒ free -
    -
    [Calls]
    • >>   free -
    • >>   USBD_LL_CloseEP -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.data.USBD_UA3REO) -
    -

    USBD_UA3REO_EP0_RxReady (Thumb, 104 bytes, Stack size 16 bytes, lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_RxReady)) -

    [Stack]

    • Max Depth = 16
    • Call Chain = USBD_UA3REO_EP0_RxReady -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.data.USBD_UA3REO) -
    -

    USBD_UA3REO_EP0_TxReady (Thumb, 4 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_TxReady)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.data.USBD_UA3REO) -
    -

    USBD_UA3REO_GetDeviceQualifierDescriptor (Thumb, 16 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetDeviceQualifierDescriptor)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.data.USBD_UA3REO) -
    -

    USBD_UA3REO_GetFSCfgDesc (Thumb, 18 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetFSCfgDesc)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.data.USBD_UA3REO) -
    -

    USBD_UA3REO_Init (Thumb, 358 bytes, Stack size 24 bytes, lto-llvm-ea22a7.o(.text.USBD_UA3REO_Init)) -

    [Stack]

    • Max Depth = 72
    • Call Chain = USBD_UA3REO_Init ⇒ malloc ⇒ __Heap_Full ⇒ __Heap_ProvideMemory ⇒ free -
    -
    [Calls]
    • >>   malloc -
    • >>   __aeabi_memclr -
    • >>   USB_EPStartXfer -
    • >>   USBD_LL_OpenEP -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.data.USBD_UA3REO) -
    -

    USBD_UA3REO_IsoINIncomplete (Thumb, 4 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoINIncomplete)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.data.USBD_UA3REO) -
    -

    USBD_UA3REO_IsoOutIncomplete (Thumb, 4 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoOutIncomplete)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.data.USBD_UA3REO) -
    -

    USBD_UA3REO_SOF (Thumb, 24 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.USBD_UA3REO_SOF)) -
    [Address Reference Count : 1]

    • lto-llvm-ea22a7.o(.data.USBD_UA3REO) -
    -

    USBD_UA3REO_Setup (Thumb, 1108 bytes, Stack size 32 bytes, lto-llvm-ea22a7.o(.text.USBD_UA3REO_Setup)) -

    [Stack]

    • Max Depth = 48
    • Call Chain = USBD_UA3REO_Setup ⇒ USB_EP0StartXfer -
    -
    [Calls]
    • >>   USB_EP0StartXfer -
    • >>   USBD_LL_StallEP -
    -
    [Address Reference Count : 1]
    • lto-llvm-ea22a7.o(.data.USBD_UA3REO) -
    -

    USB_EP0StartXfer (Thumb, 248 bytes, Stack size 16 bytes, lto-llvm-ea22a7.o(.text.USB_EP0StartXfer)) -

    [Stack]

    • Max Depth = 16
    • Call Chain = USB_EP0StartXfer -
    -
    [Called By]
    • >>   USBD_UA3REO_Setup -
    • >>   HAL_PCD_DataOutStageCallback -
    • >>   OTG_FS_IRQHandler -
    - -

    USB_EPStartXfer (Thumb, 512 bytes, Stack size 16 bytes, lto-llvm-ea22a7.o(.text.USB_EPStartXfer)) -

    [Stack]

    • Max Depth = 16
    • Call Chain = USB_EPStartXfer -
    -
    [Called By]
    • >>   DEBUG_Transmit_FIFO_Events -
    • >>   DEBUG_Receive_FS -
    • >>   AUDIO_Init_FS -
    • >>   USBD_UA3REO_Init -
    • >>   USBD_UA3REO_DataOut -
    • >>   USBD_UA3REO_DataIn -
    • >>   USBD_AUDIO_StartTransmit -
    • >>   TIM4_IRQHandler -
    - -

    WM8731_RX_mode (Thumb, 1010 bytes, Stack size 56 bytes, lto-llvm-ea22a7.o(.text.WM8731_RX_mode)) -

    [Stack]

    • Max Depth = 196
    • Call Chain = WM8731_RX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   i2c_endTransmission -
    • >>   HAL_GPIO_Init -
    -
    [Called By]
    • >>   TRX_Restart_Mode -
    • >>   main -
    - -

    WM8731_TXRX_mode (Thumb, 1610 bytes, Stack size 56 bytes, lto-llvm-ea22a7.o(.text.WM8731_TXRX_mode)) -

    [Stack]

    • Max Depth = 196
    • Call Chain = WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   i2c_endTransmission -
    • >>   HAL_GPIO_Init -
    -
    [Called By]
    • >>   TRX_setMode -
    • >>   TRX_Restart_Mode -
    - -

    WM8731_start_i2s_and_dma (Thumb, 1014 bytes, Stack size 56 bytes, lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma)) -

    [Stack]

    • Max Depth = 60
    • Call Chain = WM8731_start_i2s_and_dma ⇒ __aeabi_memclr4 -
    -
    [Calls]
    • >>   __aeabi_memclr4 -
    • >>   __aeabi_memclr -
    -
    [Called By]
    • >>   TRX_setMode -
    • >>   TRX_Restart_Mode -
    • >>   main -
    - -

    addSymbols (Thumb, 124 bytes, Stack size 128 bytes, lto-llvm-ea22a7.o(.text.addSymbols)) -

    [Stack]

    • Max Depth = 140
    • Call Chain = addSymbols ⇒ strcpy -
    -
    [Calls]
    • >>   __aeabi_memclr4 -
    • >>   strcat -
    • >>   strlen -
    • >>   strcpy -
    -
    [Called By]
    • >>   SYSMENU_HANDL_SETTIME -
    • >>   LCD_displayStatusInfoBar -
    • >>   LCD_displayFreqInfo -
    - -

    arm_add_f32 (Thumb, 296 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.arm_add_f32)) -

    [Called By]

    • >>   TIM5_IRQHandler -
    - -

    arm_biquad_cascade_df2T_f32 (Thumb, 1240 bytes, Stack size 40 bytes, lto-llvm-ea22a7.o(.text.arm_biquad_cascade_df2T_f32)) -

    [Stack]

    • Max Depth = 40
    • Call Chain = arm_biquad_cascade_df2T_f32 -
    -
    [Called By]
    • >>   TIM5_IRQHandler -
    • >>   TIM4_IRQHandler -
    - -

    arm_fir_decimate_f32 (Thumb, 2916 bytes, Stack size 112 bytes, lto-llvm-ea22a7.o(.text.arm_fir_decimate_f32)) -

    [Stack]

    • Max Depth = 112
    • Call Chain = arm_fir_decimate_f32 -
    -
    [Called By]
    • >>   TIM4_IRQHandler -
    - -

    arm_fir_f32 (Thumb, 1688 bytes, Stack size 64 bytes, lto-llvm-ea22a7.o(.text.arm_fir_f32)) -

    [Stack]

    • Max Depth = 64
    • Call Chain = arm_fir_f32 -
    -
    [Called By]
    • >>   TIM5_IRQHandler -
    - -

    arm_max_f32 (Thumb, 914 bytes, Stack size 72 bytes, lto-llvm-ea22a7.o(.text.arm_max_f32)) -

    [Stack]

    • Max Depth = 72
    • Call Chain = arm_max_f32 -
    -
    [Called By]
    • >>   TIM5_IRQHandler -
    - -

    arm_min_f32 (Thumb, 814 bytes, Stack size 32 bytes, lto-llvm-ea22a7.o(.text.arm_min_f32)) -

    [Stack]

    • Max Depth = 32
    • Call Chain = arm_min_f32 -
    -
    [Called By]
    • >>   processAutoNotchReduction -
    • >>   TIM5_IRQHandler -
    • >>   TIM4_IRQHandler -
    - -

    arm_mult_f32 (Thumb, 288 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.arm_mult_f32)) -

    [Called By]

    • >>   TIM5_IRQHandler -
    - -

    arm_quick_sort_core_f32 (Thumb, 584 bytes, Stack size 32 bytes, lto-llvm-ea22a7.o(.text.arm_quick_sort_core_f32)) -

    [Stack]

    • Max Depth = 32 + In Cycle -
    • Call Chain = arm_quick_sort_core_f32 ⇒ arm_quick_sort_core_f32 (Cycle) -
    -
    [Calls]
    • >>   arm_quick_sort_core_f32 -
    -
    [Called By]
    • >>   arm_quick_sort_core_f32 -
    • >>   TIM4_IRQHandler -
    - -

    arm_rms_f32 (Thumb, 264 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.arm_rms_f32)) -

    [Called By]

    • >>   DoRxAGC -
    • >>   TIM5_IRQHandler -
    - -

    arm_sub_f32 (Thumb, 296 bytes, Stack size 0 bytes, lto-llvm-ea22a7.o(.text.arm_sub_f32)) -

    [Called By]

    • >>   TIM5_IRQHandler -
    - -

    drawSystemMenuElement (Thumb, 532 bytes, Stack size 56 bytes, lto-llvm-ea22a7.o(.text.drawSystemMenuElement)) -

    [Stack]

    • Max Depth = 192 + Unknown Stack Size -
    • Call Chain = drawSystemMenuElement ⇒ __2sprintf ⇒ _printf_char_common ⇒ __printf -
    -
    [Calls]
    • >>   __2sprintf -
    • >>   LCDDriver_printText -
    • >>   LCDDriver_Fill_RectXY -
    • >>   __aeabi_f2d -
    -
    [Called By]
    • >>   eventSecRotateSystemMenu -
    • >>   SYSMENU_drawSystemMenu -
    • >>   LCD_doEvents -
    - -

    eventSecRotateSystemMenu (Thumb, 1106 bytes, Stack size 48 bytes, lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu)) -

    [Stack]

    • Max Depth = 412 + Unknown Stack Size -
    • Call Chain = eventSecRotateSystemMenu ⇒ SYSMENU_drawSystemMenu ⇒ TRX_setFrequency ⇒ TRX_setMode ⇒ WM8731_TXRX_mode ⇒ i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   drawSystemMenuElement -
    • >>   SYSMENU_drawSystemMenu -
    • >>   LCDDriver_drawChar -
    • >>   LCDDriver_Fill_RectXY -
    • >>   LCDDriver_Fill -
    -
    [Called By]
    • >>   TIM3_IRQHandler -
    - -

    getBandFromFreq (Thumb, 674 bytes, Stack size 32 bytes, lto-llvm-ea22a7.o(.text.getBandFromFreq)) -

    [Stack]

    • Max Depth = 32
    • Call Chain = getBandFromFreq -
    -
    [Called By]
    • >>   FFT_afterPrintFFT -
    • >>   SYSMENU_HANDL_SWR_BAND_START -
    • >>   SYSMENU_HANDL_AUDIO_FMSquelch -
    • >>   SYSMENU_HANDL_ADC_DRIVER -
    • >>   FRONTPANEL_BUTTONHANDLER_PRE -
    • >>   FRONTPANEL_BUTTONHANDLER_MODE_P -
    • >>   FRONTPANEL_BUTTONHANDLER_MODE_N -
    • >>   FRONTPANEL_BUTTONHANDLER_BAND_P -
    • >>   FRONTPANEL_BUTTONHANDLER_BAND_N -
    • >>   FRONTPANEL_BUTTONHANDLER_ATTHOLD -
    • >>   FRONTPANEL_BUTTONHANDLER_ATT -
    • >>   FRONTPANEL_BUTTONHANDLER_AGC -
    • >>   TIM6_DAC_IRQHandler -
    - -

    getModeFromFreq (Thumb, 326 bytes, Stack size 16 bytes, lto-llvm-ea22a7.o(.text.getModeFromFreq)) -

    [Stack]

    • Max Depth = 16
    • Call Chain = getModeFromFreq -
    -
    [Called By]
    • >>   TRX_setFrequency -
    • >>   main -
    - -

    i2c_endTransmission (Thumb, 272 bytes, Stack size 40 bytes, lto-llvm-ea22a7.o(.text.i2c_endTransmission)) -

    [Stack]

    • Max Depth = 140
    • Call Chain = i2c_endTransmission ⇒ i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   i2c_shift_out -
    • >>   i2c_get_ack -
    • >>   HAL_GPIO_Init -
    -
    [Called By]
    • >>   WM8731_TXRX_mode -
    • >>   WM8731_RX_mode -
    • >>   TRX_Restart_Mode -
    • >>   main -
    - -

    i2c_get_ack (Thumb, 260 bytes, Stack size 32 bytes, lto-llvm-ea22a7.o(.text.i2c_get_ack)) -

    [Stack]

    • Max Depth = 76
    • Call Chain = i2c_get_ack ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   HAL_GPIO_Init -
    -
    [Called By]
    • >>   i2c_endTransmission -
    - -

    i2c_shift_out (Thumb, 158 bytes, Stack size 56 bytes, lto-llvm-ea22a7.o(.text.i2c_shift_out)) -

    [Stack]

    • Max Depth = 100
    • Call Chain = i2c_shift_out ⇒ HAL_GPIO_Init -
    -
    [Calls]
    • >>   HAL_GPIO_Init -
    -
    [Called By]
    • >>   i2c_endTransmission -
    - -

    processAutoNotchReduction (Thumb, 1676 bytes, Stack size 64 bytes, lto-llvm-ea22a7.o(.text.processAutoNotchReduction)) -

    [Stack]

    • Max Depth = 96
    • Call Chain = processAutoNotchReduction ⇒ arm_min_f32 -
    -
    [Calls]
    • >>   __aeabi_memclr4 -
    • >>   __aeabi_memcpy4 -
    • >>   arm_min_f32 -
    -
    [Called By]
    • >>   TIM5_IRQHandler -
    - -

    readFromCircleBuffer32 (Thumb, 326 bytes, Stack size 24 bytes, lto-llvm-ea22a7.o(.text.readFromCircleBuffer32)) -

    [Stack]

    • Max Depth = 52
    • Call Chain = readFromCircleBuffer32 ⇒ HAL_DMA_PollForTransfer -
    -
    [Calls]
    • >>   HAL_DMA_PollForTransfer -
    -
    [Called By]
    • >>   TIM5_IRQHandler -
    - -

    sendToDebug_float32 (Thumb, 224 bytes, Stack size 104 bytes, lto-llvm-ea22a7.o(.text.sendToDebug_float32)) -

    [Stack]

    • Max Depth = 240 + Unknown Stack Size -
    • Call Chain = sendToDebug_float32 ⇒ __2sprintf ⇒ _printf_char_common ⇒ __printf -
    -
    [Calls]
    • >>   __2sprintf -
    • >>   __aeabi_memclr4 -
    • >>   strlen -
    • >>   DEBUG_Transmit_FIFO_Events -
    • >>   __aeabi_f2d -
    -
    [Called By]
    • >>   TIM6_DAC_IRQHandler -
    - -

    sendToDebug_int16 (Thumb, 202 bytes, Stack size 88 bytes, lto-llvm-ea22a7.o(.text.sendToDebug_int16)) -

    [Stack]

    • Max Depth = 224 + Unknown Stack Size -
    • Call Chain = sendToDebug_int16 ⇒ __2sprintf ⇒ _printf_char_common ⇒ __printf -
    -
    [Calls]
    • >>   __2sprintf -
    • >>   __aeabi_memclr4 -
    • >>   strlen -
    • >>   DEBUG_Transmit_FIFO_Events -
    -
    [Called By]
    • >>   TIM6_DAC_IRQHandler -
    - -

    sendToDebug_str3 (Thumb, 438 bytes, Stack size 40 bytes, lto-llvm-ea22a7.o(.text.sendToDebug_str3)) -

    [Stack]

    • Max Depth = 96
    • Call Chain = sendToDebug_str3 ⇒ DEBUG_Transmit_FIFO_Events ⇒ USB_EPStartXfer -
    -
    [Calls]
    • >>   strlen -
    • >>   DEBUG_Transmit_FIFO_Events -
    -
    [Called By]
    • >>   setFT450Mode -
    • >>   TIM4_IRQHandler -
    - -

    sendToDebug_strln (Thumb, 234 bytes, Stack size 40 bytes, lto-llvm-ea22a7.o(.text.sendToDebug_strln)) -

    [Stack]

    • Max Depth = 96
    • Call Chain = sendToDebug_strln ⇒ DEBUG_Transmit_FIFO_Events ⇒ USB_EPStartXfer -
    -
    [Calls]
    • >>   strlen -
    • >>   DEBUG_Transmit_FIFO_Events -
    -
    [Called By]
    • >>   EEPROM_WaitWrite -
    • >>   EEPROM_Read_Data -
    • >>   SaveSettingsToEEPROM -
    • >>   SaveCalibration -
    • >>   ReinitAudioFilters -
    • >>   LoadCalibration -
    • >>   main -
    • >>   TIM6_DAC_IRQHandler -
    - -

    sendToDebug_uint16 (Thumb, 186 bytes, Stack size 88 bytes, lto-llvm-ea22a7.o(.text.sendToDebug_uint16)) -

    [Stack]

    • Max Depth = 224 + Unknown Stack Size -
    • Call Chain = sendToDebug_uint16 ⇒ __2sprintf ⇒ _printf_char_common ⇒ __printf -
    -
    [Calls]
    • >>   __2sprintf -
    • >>   __aeabi_memclr4 -
    • >>   strlen -
    • >>   DEBUG_Transmit_FIFO_Events -
    -
    [Called By]
    • >>   ReinitAudioFilters -
    - -

    sendToDebug_uint32 (Thumb, 200 bytes, Stack size 88 bytes, lto-llvm-ea22a7.o(.text.sendToDebug_uint32)) -

    [Stack]

    • Max Depth = 224 + Unknown Stack Size -
    • Call Chain = sendToDebug_uint32 ⇒ __2sprintf ⇒ _printf_char_common ⇒ __printf -
    -
    [Calls]
    • >>   __2sprintf -
    • >>   __aeabi_memclr4 -
    • >>   strlen -
    • >>   DEBUG_Transmit_FIFO_Events -
    -
    [Called By]
    • >>   TIM6_DAC_IRQHandler -
    - -

    sendToDebug_uint8 (Thumb, 202 bytes, Stack size 88 bytes, lto-llvm-ea22a7.o(.text.sendToDebug_uint8)) -

    [Stack]

    • Max Depth = 224 + Unknown Stack Size -
    • Call Chain = sendToDebug_uint8 ⇒ __2sprintf ⇒ _printf_char_common ⇒ __printf -
    -
    [Calls]
    • >>   __2sprintf -
    • >>   __aeabi_memclr4 -
    • >>   strlen -
    • >>   DEBUG_Transmit_FIFO_Events -
    -
    [Called By]
    • >>   EEPROM_Read_Data -
    • >>   LoadCalibration -
    • >>   TIM6_DAC_IRQHandler -
    - -

    setFT450Mode (Thumb, 188 bytes, Stack size 8 bytes, lto-llvm-ea22a7.o(.text.setFT450Mode)) -

    [Stack]

    • Max Depth = 104
    • Call Chain = setFT450Mode ⇒ sendToDebug_str3 ⇒ DEBUG_Transmit_FIFO_Events ⇒ USB_EPStartXfer -
    -
    [Calls]
    • >>   strcmp -
    • >>   sendToDebug_str3 -
    -
    [Called By]
    • >>   TIM4_IRQHandler -
    -

    -

    -Undefined Global Symbols -


    diff --git a/STM32/MDK-ARM/WOLF-Lite/WOLF-Lite.map b/STM32/MDK-ARM/WOLF-Lite/WOLF-Lite.map deleted file mode 100644 index 6f1d1f2..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/WOLF-Lite.map +++ /dev/null @@ -1,30672 +0,0 @@ -Component: ARM Compiler 6.15 Tool: armlink [5dd79300] - -============================================================================== - -Section Cross References - - startup_stm32f407xx.o(STACK) refers (Special) to heapauxi.o(.text) for __use_two_region_memory - startup_stm32f407xx.o(HEAP) refers (Special) to heapauxi.o(.text) for __use_two_region_memory - startup_stm32f407xx.o(RESET) refers (Special) to heapauxi.o(.text) for __use_two_region_memory - startup_stm32f407xx.o(RESET) refers to startup_stm32f407xx.o(STACK) for __initial_sp - startup_stm32f407xx.o(RESET) refers to startup_stm32f407xx.o(.text) for Reset_Handler - startup_stm32f407xx.o(RESET) refers to lto-llvm-ea22a7.o(.text.NMI_Handler) for NMI_Handler - startup_stm32f407xx.o(RESET) refers to lto-llvm-ea22a7.o(.text.HardFault_Handler) for HardFault_Handler - startup_stm32f407xx.o(RESET) refers to lto-llvm-ea22a7.o(.text.MemManage_Handler) for MemManage_Handler - startup_stm32f407xx.o(RESET) refers to lto-llvm-ea22a7.o(.text.BusFault_Handler) for BusFault_Handler - startup_stm32f407xx.o(RESET) refers to lto-llvm-ea22a7.o(.text.UsageFault_Handler) for UsageFault_Handler - startup_stm32f407xx.o(RESET) refers to lto-llvm-ea22a7.o(.text.SVC_Handler) for SVC_Handler - startup_stm32f407xx.o(RESET) refers to lto-llvm-ea22a7.o(.text.DebugMon_Handler) for DebugMon_Handler - startup_stm32f407xx.o(RESET) refers to lto-llvm-ea22a7.o(.text.PendSV_Handler) for PendSV_Handler - startup_stm32f407xx.o(RESET) refers to lto-llvm-ea22a7.o(.text.SysTick_Handler) for SysTick_Handler - startup_stm32f407xx.o(RESET) refers to lto-llvm-ea22a7.o(.text.EXTI0_IRQHandler) for EXTI0_IRQHandler - startup_stm32f407xx.o(RESET) refers to lto-llvm-ea22a7.o(.text.EXTI1_IRQHandler) for EXTI1_IRQHandler - startup_stm32f407xx.o(RESET) refers to lto-llvm-ea22a7.o(.text.EXTI2_IRQHandler) for EXTI2_IRQHandler - startup_stm32f407xx.o(RESET) refers to lto-llvm-ea22a7.o(.text.EXTI3_IRQHandler) for EXTI3_IRQHandler - startup_stm32f407xx.o(RESET) refers to lto-llvm-ea22a7.o(.text.DMA1_Stream0_IRQHandler) for DMA1_Stream0_IRQHandler - startup_stm32f407xx.o(RESET) refers to lto-llvm-ea22a7.o(.text.DMA1_Stream5_IRQHandler) for DMA1_Stream5_IRQHandler - startup_stm32f407xx.o(RESET) refers to lto-llvm-ea22a7.o(.text.EXTI9_5_IRQHandler) for EXTI9_5_IRQHandler - startup_stm32f407xx.o(RESET) refers to lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) for TIM3_IRQHandler - startup_stm32f407xx.o(RESET) refers to lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) for TIM4_IRQHandler - startup_stm32f407xx.o(RESET) refers to lto-llvm-ea22a7.o(.text.EXTI15_10_IRQHandler) for EXTI15_10_IRQHandler - startup_stm32f407xx.o(RESET) refers to lto-llvm-ea22a7.o(.text.TIM8_UP_TIM13_IRQHandler) for TIM8_UP_TIM13_IRQHandler - startup_stm32f407xx.o(RESET) refers to lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) for TIM5_IRQHandler - startup_stm32f407xx.o(RESET) refers to lto-llvm-ea22a7.o(.text.SPI3_IRQHandler) for SPI3_IRQHandler - startup_stm32f407xx.o(RESET) refers to lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) for TIM6_DAC_IRQHandler - startup_stm32f407xx.o(RESET) refers to lto-llvm-ea22a7.o(.text.TIM7_IRQHandler) for TIM7_IRQHandler - startup_stm32f407xx.o(RESET) refers to lto-llvm-ea22a7.o(.text.DMA2_Stream1_IRQHandler) for DMA2_Stream1_IRQHandler - startup_stm32f407xx.o(RESET) refers to lto-llvm-ea22a7.o(.text.DMA2_Stream2_IRQHandler) for DMA2_Stream2_IRQHandler - startup_stm32f407xx.o(RESET) refers to lto-llvm-ea22a7.o(.text.OTG_FS_IRQHandler) for OTG_FS_IRQHandler - startup_stm32f407xx.o(RESET) refers to lto-llvm-ea22a7.o(.text.DMA2_Stream5_IRQHandler) for DMA2_Stream5_IRQHandler - startup_stm32f407xx.o(RESET) refers to lto-llvm-ea22a7.o(.text.DMA2_Stream6_IRQHandler) for DMA2_Stream6_IRQHandler - startup_stm32f407xx.o(.text) refers (Special) to heapauxi.o(.text) for __use_two_region_memory - startup_stm32f407xx.o(.text) refers to lto-llvm-ea22a7.o(.text.SystemInit) for SystemInit - startup_stm32f407xx.o(.text) refers to __main.o(!!!main) for __main - startup_stm32f407xx.o(.text) refers to startup_stm32f407xx.o(HEAP) for Heap_Mem - startup_stm32f407xx.o(.text) refers to startup_stm32f407xx.o(STACK) for Stack_Mem - malloc.o(.text) refers (Special) to hguard.o(.text) for __heap$guard - malloc.o(.text) refers (Special) to init_alloc.o(.text) for _init_alloc - malloc.o(.text) refers to rt_heap_descriptor_intlibspace.o(.text) for __rt_heap_descriptor - malloc.o(.text) refers to heapstubs.o(.text) for __Heap_Alloc - free.o(.text) refers (Special) to hguard.o(.text) for __heap$guard - free.o(.text) refers to rt_heap_descriptor_intlibspace.o(.text) for __rt_heap_descriptor - free.o(.text) refers to heapstubs.o(.text) for __Heap_Free - h1_alloc.o(.text) refers (Special) to h1_init.o(.text) for __Heap_Initialize - h1_alloc.o(.text) refers to rt_heap_descriptor_intlibspace.o(.text) for __rt_heap_descriptor - h1_alloc.o(.text) refers to init_alloc.o(.text) for __Heap_Full - h1_free.o(.text) refers to rt_heap_descriptor_intlibspace.o(.text) for __rt_heap_descriptor - h1_alloc_threads.o(.text) refers (Special) to h1_init.o(.text) for __Heap_Initialize - h1_alloc_threads.o(.text) refers to init_alloc.o(.text) for __Heap_Full - h1_alloc_threads.o(.text) refers to rt_heap_descriptor_intlibspace.o(.text) for __rt_heap_descriptor - h1_free_threads.o(.text) refers to rt_heap_descriptor_intlibspace.o(.text) for __rt_heap_descriptor - heap2.o(i._FDIterate) refers to heap2.o(.conststring) for .conststring - heap2.o(i.__Heap_ProvideMemory$realtime) refers to fdtree.o(i._FDTree_Delete) for _FDTree_Delete - heap2.o(i.__Heap_ProvideMemory$realtime) refers to fdtree.o(i._FDTree_Insert) for _FDTree_Insert - heap2.o(i.__Heap_Stats$realtime) refers to heap2.o(i._Heap2_StatsIterate) for _Heap2_StatsIterate - heap2.o(i.__Heap_Valid$realtime) refers to heap2.o(i._FDIterate) for _FDIterate - heap2.o(i.__Heap_Valid$realtime) refers to heap2.o(.conststring) for .conststring - heap2.o(i.free$realtime) refers to rt_heap_descriptor_intlibspace.o(.text) for __rt_heap_descriptor - heap2.o(i.free$realtime) refers to fdtree.o(i._FDTree_Delete) for _FDTree_Delete - heap2.o(i.free$realtime) refers to fdtree.o(i._FDTree_Insert) for _FDTree_Insert - heap2.o(i.malloc$realtime) refers to rt_heap_descriptor_intlibspace.o(.text) for __rt_heap_descriptor - heap2.o(i.malloc$realtime) refers to fdtree.o(i._FDTree_FindFirst) for _FDTree_FindFirst - heap2.o(i.malloc$realtime) refers to init_alloc.o(.text) for __Heap_Full - heap2.o(i.malloc$realtime) refers to fdtree.o(i._FDTree_RemoveNode) for _FDTree_RemoveNode - heap2.o(i.malloc$realtime) refers to fdtree.o(i._FDTree_Insert) for _FDTree_Insert - heap2.o(i.posix_memalign$realtime) refers to rt_heap_descriptor_intlibspace.o(.text) for __rt_heap_descriptor - heap2.o(i.posix_memalign$realtime) refers to fdtree.o(i._FDTree_FindFirst) for _FDTree_FindFirst - heap2.o(i.posix_memalign$realtime) refers to init_alloc.o(.text) for __Heap_Full - heap2.o(i.posix_memalign$realtime) refers to fdtree.o(i._FDTree_RemoveNode) for _FDTree_RemoveNode - heap2.o(i.posix_memalign$realtime) refers to fdtree.o(i._FDTree_Insert) for _FDTree_Insert - heap2.o(i.realloc$realtime) refers to rt_heap_descriptor_intlibspace.o(.text) for __rt_heap_descriptor - heap2.o(i.realloc$realtime) refers to fdtree.o(i._FDTree_Insert) for _FDTree_Insert - heap2.o(i.realloc$realtime) refers to h1_free.o(.text) for free - heap2.o(i.realloc$realtime) refers to h1_alloc.o(.text) for malloc - heap2.o(i.realloc$realtime) refers to fdtree.o(i._FDTree_Delete) for _FDTree_Delete - heap2.o(i.realloc$realtime) refers to rt_memcpy_w.o(.text) for __aeabi_memcpy4 - heap2_threads.o(i._FDIterate) refers to heap2_threads.o(.conststring) for .conststring - heap2_threads.o(i.__Heap_Initialize$realtime$concurrent) refers to mutex_dummy.o(.text) for _mutex_initialize - heap2_threads.o(i.__Heap_ProvideMemory$realtime$concurrent) refers to fdtree.o(i._FDTree_Delete) for _FDTree_Delete - heap2_threads.o(i.__Heap_ProvideMemory$realtime$concurrent) refers to fdtree.o(i._FDTree_Insert) for _FDTree_Insert - heap2_threads.o(i.__Heap_Stats$realtime$concurrent) refers to heap2_threads.o(i._Heap2_StatsIterate) for _Heap2_StatsIterate - heap2_threads.o(i.__Heap_Valid$realtime$concurrent) refers to heap2_threads.o(i._FDIterate) for _FDIterate - heap2_threads.o(i.__Heap_Valid$realtime$concurrent) refers to heap2_threads.o(.conststring) for .conststring - heap2_threads.o(i.free$realtime$concurrent) refers to rt_heap_descriptor_intlibspace.o(.text) for __rt_heap_descriptor - heap2_threads.o(i.free$realtime$concurrent) refers to fdtree.o(i._FDTree_Delete) for _FDTree_Delete - heap2_threads.o(i.free$realtime$concurrent) refers to fdtree.o(i._FDTree_Insert) for _FDTree_Insert - heap2_threads.o(i.malloc$realtime$concurrent) refers to rt_heap_descriptor_intlibspace.o(.text) for __rt_heap_descriptor - heap2_threads.o(i.malloc$realtime$concurrent) refers to fdtree.o(i._FDTree_FindFirst) for _FDTree_FindFirst - heap2_threads.o(i.malloc$realtime$concurrent) refers to init_alloc.o(.text) for __Heap_Full - heap2_threads.o(i.malloc$realtime$concurrent) refers to fdtree.o(i._FDTree_RemoveNode) for _FDTree_RemoveNode - heap2_threads.o(i.malloc$realtime$concurrent) refers to fdtree.o(i._FDTree_Insert) for _FDTree_Insert - heap2_threads.o(i.posix_memalign$realtime$concurrent) refers to rt_heap_descriptor_intlibspace.o(.text) for __rt_heap_descriptor - heap2_threads.o(i.posix_memalign$realtime$concurrent) refers to fdtree.o(i._FDTree_FindFirst) for _FDTree_FindFirst - heap2_threads.o(i.posix_memalign$realtime$concurrent) refers to init_alloc.o(.text) for __Heap_Full - heap2_threads.o(i.posix_memalign$realtime$concurrent) refers to fdtree.o(i._FDTree_RemoveNode) for _FDTree_RemoveNode - heap2_threads.o(i.posix_memalign$realtime$concurrent) refers to fdtree.o(i._FDTree_Insert) for _FDTree_Insert - heap2_threads.o(i.realloc$realtime$concurrent) refers to rt_heap_descriptor_intlibspace.o(.text) for __rt_heap_descriptor - heap2_threads.o(i.realloc$realtime$concurrent) refers to fdtree.o(i._FDTree_Insert) for _FDTree_Insert - heap2_threads.o(i.realloc$realtime$concurrent) refers to h1_free.o(.text) for free - heap2_threads.o(i.realloc$realtime$concurrent) refers to h1_alloc.o(.text) for malloc - heap2_threads.o(i.realloc$realtime$concurrent) refers to fdtree.o(i._FDTree_Delete) for _FDTree_Delete - heap2_threads.o(i.realloc$realtime$concurrent) refers to rt_memcpy_w.o(.text) for __aeabi_memcpy4 - __2sprintf.o(.text) refers to _printf_char_common.o(.text) for _printf_char_common - __2sprintf.o(.text) refers to _sputc.o(.text) for _sputc - noretval__2sprintf.o(.text) refers to _printf_char_common.o(.text) for _printf_char_common - noretval__2sprintf.o(.text) refers to _sputc.o(.text) for _sputc - __printf.o(.text) refers to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - _printf_str.o(.text) refers (Special) to _printf_char.o(.text) for _printf_cs_common - _printf_str.o(.text) refers (Weak) to _printf_pad.o(.text) for _printf_pre_padding - _printf_str.o(.text) refers (Weak) to _printf_pad.o(.text) for _printf_post_padding - _printf_dec.o(.text) refers (Weak) to _printf_truncate.o(.text) for _printf_truncate_signed - _printf_dec.o(.text) refers (Weak) to _printf_truncate.o(.text) for _printf_truncate_unsigned - _printf_dec.o(.text) refers to _printf_intcommon.o(.text) for _printf_int_common - _printf_wctomb.o(.text) refers (Special) to _printf_wchar.o(.text) for _printf_lcs_common - _printf_wctomb.o(.text) refers to _c16rtomb.o(.text) for _wcrtomb - _printf_wctomb.o(.text) refers (Weak) to _printf_pad.o(.text) for _printf_pre_padding - _printf_wctomb.o(.text) refers (Weak) to _printf_pad.o(.text) for _printf_post_padding - _printf_wctomb.o(.text) refers to _printf_wctomb.o(.constdata) for .constdata - _printf_wctomb.o(.constdata) refers (Special) to _printf_wchar.o(.text) for _printf_lcs_common - _printf_oct_ll.o(.text) refers to _printf_intcommon.o(.text) for _printf_int_common - _printf_oct_int.o(.text) refers (Weak) to _printf_truncate.o(.text) for _printf_truncate_unsigned - _printf_oct_int.o(.text) refers to _printf_intcommon.o(.text) for _printf_int_common - _printf_oct_int_ll.o(.text) refers to _printf_intcommon.o(.text) for _printf_int_common - _printf_oct_int_ll.o(.text) refers (Weak) to _printf_truncate.o(.text) for _printf_truncate_unsigned - _printf_hex_ll.o(.text) refers to _printf_intcommon.o(.text) for _printf_int_common - _printf_hex_ll.o(.text) refers to _printf_hex_ll.o(.constdata) for .constdata - _printf_hex_int.o(.text) refers (Weak) to _printf_truncate.o(.text) for _printf_truncate_unsigned - _printf_hex_int.o(.text) refers to _printf_intcommon.o(.text) for _printf_int_common - _printf_hex_int.o(.text) refers to _printf_hex_int.o(.constdata) for .constdata - _printf_hex_int_ll.o(.text) refers to _printf_intcommon.o(.text) for _printf_int_common - _printf_hex_int_ll.o(.text) refers (Weak) to _printf_truncate.o(.text) for _printf_truncate_unsigned - _printf_hex_int_ll.o(.text) refers to _printf_hex_int_ll.o(.constdata) for .constdata - _printf_hex_ptr.o(.text) refers to _printf_intcommon.o(.text) for _printf_int_common - _printf_hex_ptr.o(.text) refers to _printf_hex_ptr.o(.constdata) for .constdata - _printf_hex_int_ptr.o(.text) refers to _printf_intcommon.o(.text) for _printf_int_common - _printf_hex_int_ptr.o(.text) refers (Weak) to _printf_truncate.o(.text) for _printf_truncate_unsigned - _printf_hex_int_ptr.o(.text) refers to _printf_hex_int_ptr.o(.constdata) for .constdata - _printf_hex_ll_ptr.o(.text) refers to _printf_intcommon.o(.text) for _printf_int_common - _printf_hex_ll_ptr.o(.text) refers to _printf_hex_ll_ptr.o(.constdata) for .constdata - _printf_hex_int_ll_ptr.o(.text) refers to _printf_intcommon.o(.text) for _printf_int_common - _printf_hex_int_ll_ptr.o(.text) refers (Weak) to _printf_truncate.o(.text) for _printf_truncate_unsigned - _printf_hex_int_ll_ptr.o(.text) refers to _printf_hex_int_ll_ptr.o(.constdata) for .constdata - __printf_flags.o(.text) refers to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - __printf_flags.o(.text) refers to __printf_flags.o(.constdata) for .constdata - __printf_ss.o(.text) refers to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - __printf_flags_ss.o(.text) refers to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - __printf_flags_ss.o(.text) refers to __printf_flags_ss.o(.constdata) for .constdata - __printf_wp.o(.text) refers to __printf_wp.o(i._is_digit) for _is_digit - __printf_wp.o(.text) refers to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - __printf_flags_wp.o(.text) refers to __printf_wp.o(i._is_digit) for _is_digit - __printf_flags_wp.o(.text) refers to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - __printf_flags_wp.o(.text) refers to __printf_flags_wp.o(.constdata) for .constdata - __printf_ss_wp.o(.text) refers to __printf_wp.o(i._is_digit) for _is_digit - __printf_ss_wp.o(.text) refers to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - __printf_flags_ss_wp.o(.text) refers to __printf_wp.o(i._is_digit) for _is_digit - __printf_flags_ss_wp.o(.text) refers to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - __printf_flags_ss_wp.o(.text) refers to __printf_flags_ss_wp.o(.constdata) for .constdata - _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) refers (Weak) to _printf_char.o(.text) for _printf_char - _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) refers (Weak) to _printf_hex_int.o(.text) for _printf_int_hex - _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) refers (Weak) to _printf_oct_int.o(.text) for _printf_int_oct - _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) refers (Weak) to _printf_dec.o(.text) for _printf_int_dec - _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) refers (Weak) to _printf_dec.o(.text) for _printf_int_dec - _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) refers (Weak) to _printf_dec.o(.text) for _printf_int_dec - _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) refers (Weak) to printf1.o(x$fpl$printf1) for _printf_fp_dec - _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) refers (Weak) to printf1.o(x$fpl$printf1) for _printf_fp_dec - _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) refers (Weak) to printf1.o(x$fpl$printf1) for _printf_fp_dec - _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) refers (Weak) to printf2.o(x$fpl$printf2) for _printf_fp_hex - _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) refers (Special) to _printf_percent_end.o(.ARM.Collect$$_printf_percent$$00000017) for _printf_percent_end - _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) refers (Special) to _printf_l.o(.ARM.Collect$$_printf_percent$$00000012) for _printf_l - _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) refers (Weak) to _printf_wchar.o(.text) for _printf_wchar - atoi.o(.text) refers to rt_errno_addr_intlibspace.o(.text) for __aeabi_errno_addr - atoi.o(.text) refers to strtol.o(.text) for strtol - strncpy.o(.text) refers to rt_memclr.o(.text) for __aeabi_memclr - __main.o(!!!main) refers to __rtentry.o(.ARM.Collect$$rtentry$$00000000) for __rt_entry - printf1.o(x$fpl$printf1) refers to _printf_fp_dec.o(.text) for _printf_fp_dec_real - printf2.o(x$fpl$printf2) refers to _printf_fp_hex.o(.text) for _printf_fp_hex_real - printf2b.o(x$fpl$printf2) refers to _printf_fp_hex.o(.text) for _printf_fp_hex_real - acos.o(i.__hardfp_acos) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - acos.o(i.__hardfp_acos) refers to dunder.o(i.__mathlib_dbl_infnan) for __mathlib_dbl_infnan - acos.o(i.__hardfp_acos) refers to _rserrno.o(.text) for __set_errno - acos.o(i.__hardfp_acos) refers to dunder.o(i.__mathlib_dbl_invalid) for __mathlib_dbl_invalid - acos.o(i.__hardfp_acos) refers to dmul.o(x$fpl$dmul) for __aeabi_dmul - acos.o(i.__hardfp_acos) refers to poly.o(i.__kernel_poly) for __kernel_poly - acos.o(i.__hardfp_acos) refers to daddsub_clz.o(x$fpl$dadd) for __aeabi_dadd - acos.o(i.__hardfp_acos) refers to ddiv.o(x$fpl$ddiv) for __aeabi_ddiv - acos.o(i.__hardfp_acos) refers to daddsub_clz.o(x$fpl$drsb) for __aeabi_drsub - acos.o(i.__hardfp_acos) refers to sqrt.o(i.sqrt) for sqrt - acos.o(i.__hardfp_acos) refers to daddsub_clz.o(x$fpl$dsub) for __aeabi_dsub - acos.o(i.__hardfp_acos) refers to acos.o(.constdata) for .constdata - acos.o(i.__softfp_acos) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - acos.o(i.__softfp_acos) refers to acos.o(i.__hardfp_acos) for __hardfp_acos - acos.o(i.acos) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - acos.o(i.acos) refers to acos.o(i.__hardfp_acos) for __hardfp_acos - acos.o(.constdata) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - acosh.o(i.__hardfp_acosh) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - acosh.o(i.__hardfp_acosh) refers to _rserrno.o(.text) for __set_errno - acosh.o(i.__hardfp_acosh) refers to dunder.o(i.__mathlib_dbl_invalid) for __mathlib_dbl_invalid - acosh.o(i.__hardfp_acosh) refers to dunder.o(i.__mathlib_dbl_infnan) for __mathlib_dbl_infnan - acosh.o(i.__hardfp_acosh) refers to log.o(i.log) for log - acosh.o(i.__hardfp_acosh) refers to daddsub_clz.o(x$fpl$dadd) for __aeabi_dadd - acosh.o(i.__hardfp_acosh) refers to dmul.o(x$fpl$dmul) for __aeabi_dmul - acosh.o(i.__hardfp_acosh) refers to daddsub_clz.o(x$fpl$dsub) for __aeabi_dsub - acosh.o(i.__hardfp_acosh) refers to sqrt.o(i.sqrt) for sqrt - acosh.o(i.__hardfp_acosh) refers to ddiv.o(x$fpl$ddiv) for __aeabi_ddiv - acosh.o(i.__hardfp_acosh) refers to log1p_i.o(i.__mathlib_log1p) for __mathlib_log1p - acosh.o(i.__softfp_acosh) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - acosh.o(i.__softfp_acosh) refers to acosh.o(i.__hardfp_acosh) for __hardfp_acosh - acosh.o(i.acosh) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - acosh.o(i.acosh) refers to acosh.o(i.__hardfp_acosh) for __hardfp_acosh - asinf.o(i.__hardfp_asinf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - asinf.o(i.__hardfp_asinf) refers to sqrtf.o(i.sqrtf) for sqrtf - asinf.o(i.__hardfp_asinf) refers to fpclassifyf.o(i.__ARM_fpclassifyf) for __ARM_fpclassifyf - asinf.o(i.__hardfp_asinf) refers to funder.o(i.__mathlib_flt_underflow) for __mathlib_flt_underflow - asinf.o(i.__hardfp_asinf) refers to funder.o(i.__mathlib_flt_infnan) for __mathlib_flt_infnan - asinf.o(i.__hardfp_asinf) refers to _rserrno.o(.text) for __set_errno - asinf.o(i.__hardfp_asinf) refers to funder.o(i.__mathlib_flt_invalid) for __mathlib_flt_invalid - asinf.o(i.__softfp_asinf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - asinf.o(i.__softfp_asinf) refers to asinf.o(i.__hardfp_asinf) for __hardfp_asinf - asinf.o(i.asinf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - asinf.o(i.asinf) refers to asinf.o(i.__hardfp_asinf) for __hardfp_asinf - atan2f.o(i.__hardfp_atan2f) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - atan2f.o(i.__hardfp_atan2f) refers to fpclassifyf.o(i.__ARM_fpclassifyf) for __ARM_fpclassifyf - atan2f.o(i.__hardfp_atan2f) refers to funder.o(i.__mathlib_flt_underflow) for __mathlib_flt_underflow - atan2f.o(i.__hardfp_atan2f) refers to _rserrno.o(.text) for __set_errno - atan2f.o(i.__hardfp_atan2f) refers to funder.o(i.__mathlib_flt_infnan2) for __mathlib_flt_infnan2 - atan2f.o(i.__softfp_atan2f) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - atan2f.o(i.__softfp_atan2f) refers to atan2f.o(i.__hardfp_atan2f) for __hardfp_atan2f - atan2f.o(i.atan2f) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - atan2f.o(i.atan2f) refers to atan2f.o(i.__hardfp_atan2f) for __hardfp_atan2f - cosh.o(i.__hardfp_cosh) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - cosh.o(i.__hardfp_cosh) refers to dunder.o(i.__mathlib_dbl_posinfnan) for __mathlib_dbl_posinfnan - cosh.o(i.__hardfp_cosh) refers to fabs.o(i.fabs) for fabs - cosh.o(i.__hardfp_cosh) refers to expm1_i.o(i.__mathlib_expm1) for __mathlib_expm1 - cosh.o(i.__hardfp_cosh) refers to daddsub_clz.o(x$fpl$dadd) for __aeabi_dadd - cosh.o(i.__hardfp_cosh) refers to dmul.o(x$fpl$dmul) for __aeabi_dmul - cosh.o(i.__hardfp_cosh) refers to ddiv.o(x$fpl$ddiv) for __aeabi_ddiv - cosh.o(i.__hardfp_cosh) refers to exp.o(i.exp) for exp - cosh.o(i.__hardfp_cosh) refers to _rserrno.o(.text) for __set_errno - cosh.o(i.__hardfp_cosh) refers to dunder.o(i.__mathlib_dbl_overflow) for __mathlib_dbl_overflow - cosh.o(i.__hardfp_cosh) refers to cosh.o(.constdata) for .constdata - cosh.o(i.__softfp_cosh) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - cosh.o(i.__softfp_cosh) refers to cosh.o(i.__hardfp_cosh) for __hardfp_cosh - cosh.o(i.cosh) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - cosh.o(i.cosh) refers to cosh.o(i.__hardfp_cosh) for __hardfp_cosh - cosh.o(.constdata) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - frexpf.o(i.__hardfp_frexpf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - frexpf.o(i.__softfp_frexpf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - frexpf.o(i.frexpf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - tanhf.o(i.__hardfp_tanhf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - tanhf.o(i.__hardfp_tanhf) refers to expf.o(i.__hardfp_expf) for __hardfp_expf - tanhf.o(i.__hardfp_tanhf) refers to fpclassifyf.o(i.__ARM_fpclassifyf) for __ARM_fpclassifyf - tanhf.o(i.__hardfp_tanhf) refers to funder.o(i.__mathlib_flt_underflow) for __mathlib_flt_underflow - tanhf.o(i.__hardfp_tanhf) refers to funder.o(i.__mathlib_flt_infnan) for __mathlib_flt_infnan - tanhf.o(i.__softfp_tanhf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - tanhf.o(i.__softfp_tanhf) refers to tanhf.o(i.__hardfp_tanhf) for __hardfp_tanhf - tanhf.o(i.tanhf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - tanhf.o(i.tanhf) refers to tanhf.o(i.__hardfp_tanhf) for __hardfp_tanhf - __rtentry.o(.ARM.Collect$$rtentry$$00000000) refers (Special) to __rtentry2.o(.ARM.Collect$$rtentry$$0000000A) for __rt_entry_li - __rtentry.o(.ARM.Collect$$rtentry$$00000000) refers (Special) to __rtentry2.o(.ARM.Collect$$rtentry$$0000000D) for __rt_entry_main - __rtentry.o(.ARM.Collect$$rtentry$$00000000) refers (Special) to __rtentry2.o(.ARM.Collect$$rtentry$$0000000C) for __rt_entry_postli_1 - __rtentry.o(.ARM.Collect$$rtentry$$00000000) refers (Special) to __rtentry2.o(.ARM.Collect$$rtentry$$00000009) for __rt_entry_postsh_1 - __rtentry.o(.ARM.Collect$$rtentry$$00000000) refers (Special) to __rtentry2.o(.ARM.Collect$$rtentry$$00000002) for __rt_entry_presh_1 - __rtentry.o(.ARM.Collect$$rtentry$$00000000) refers (Special) to __rtentry4.o(.ARM.Collect$$rtentry$$00000004) for __rt_entry_sh - rt_heap_descriptor.o(.text) refers to rt_heap_descriptor.o(.bss) for __rt_heap_descriptor_data - rt_heap_descriptor_intlibspace.o(.text) refers to libspace.o(.bss) for __libspace_start - rt_errno_addr.o(.text) refers to rt_errno_addr.o(.bss) for __aeabi_errno_addr_data - rt_errno_addr_intlibspace.o(.text) refers to libspace.o(.bss) for __libspace_start - init_alloc.o(.text) refers (Special) to hguard.o(.text) for __heap$guard - init_alloc.o(.text) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000005) for __rt_lib_init_heap_2 - init_alloc.o(.text) refers (Special) to maybetermalloc1.o(.text) for _maybe_terminate_alloc - init_alloc.o(.text) refers to h1_extend.o(.text) for __Heap_ProvideMemory - init_alloc.o(.text) refers to defsig_rtmem_outer.o(.text) for __rt_SIGRTMEM - init_alloc.o(.text) refers to rt_heap_descriptor_intlibspace.o(.text) for __rt_heap_descriptor - init_alloc.o(.text) refers to h1_init.o(.text) for __Heap_Initialize - h1_init_threads.o(.text) refers to mutex_dummy.o(.text) for _mutex_initialize - _rserrno.o(.text) refers to rt_errno_addr_intlibspace.o(.text) for __aeabi_errno_addr - _printf_intcommon.o(.text) refers (Weak) to _printf_pad.o(.text) for _printf_pre_padding - _printf_intcommon.o(.text) refers (Weak) to _printf_pad.o(.text) for _printf_pre_padding - _printf_intcommon.o(.text) refers (Weak) to _printf_pad.o(.text) for _printf_post_padding - _printf_fp_dec.o(.text) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - _printf_fp_dec.o(.text) refers (Special) to lc_numeric_c.o(locale$$code) for _get_lc_numeric - _printf_fp_dec.o(.text) refers to bigflt0.o(.text) for _btod_etento - _printf_fp_dec.o(.text) refers to btod.o(CL$$btod_d2e) for _btod_d2e - _printf_fp_dec.o(.text) refers to btod.o(CL$$btod_ediv) for _btod_ediv - _printf_fp_dec.o(.text) refers to btod.o(CL$$btod_emul) for _btod_emul - _printf_fp_dec.o(.text) refers to lludiv10.o(.text) for _ll_udiv10 - _printf_fp_dec.o(.text) refers to fpclassify.o(i.__ARM_fpclassify) for __ARM_fpclassify - _printf_fp_dec.o(.text) refers to _printf_fp_infnan.o(.text) for _printf_fp_infnan - _printf_fp_dec.o(.text) refers (Weak) to _printf_pad.o(.text) for _printf_pre_padding - _printf_fp_dec.o(.text) refers (Weak) to _printf_pad.o(.text) for _printf_pre_padding - _printf_fp_dec.o(.text) refers to rt_locale_intlibspace.o(.text) for __rt_locale - _printf_fp_dec.o(.text) refers (Weak) to _printf_pad.o(.text) for _printf_post_padding - _printf_fp_dec_accurate.o(.text) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - _printf_fp_dec_accurate.o(.text) refers (Special) to lc_numeric_c.o(locale$$code) for _get_lc_numeric - _printf_fp_dec_accurate.o(.text) refers to btod_accurate.o(.text) for _btod_main - _printf_fp_dec_accurate.o(.text) refers to fpclassify.o(i.__ARM_fpclassify) for __ARM_fpclassify - _printf_fp_dec_accurate.o(.text) refers to _printf_fp_infnan.o(.text) for _printf_fp_infnan - _printf_fp_dec_accurate.o(.text) refers (Weak) to _printf_pad.o(.text) for _printf_pre_padding - _printf_fp_dec_accurate.o(.text) refers (Weak) to _printf_pad.o(.text) for _printf_pre_padding - _printf_fp_dec_accurate.o(.text) refers to rt_locale_intlibspace.o(.text) for __rt_locale - _printf_fp_dec_accurate.o(.text) refers (Weak) to _printf_pad.o(.text) for _printf_post_padding - _printf_fp_hex.o(.text) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - _printf_fp_hex.o(.text) refers to fpclassify.o(i.__ARM_fpclassify) for __ARM_fpclassify - _printf_fp_hex.o(.text) refers to _printf_fp_infnan.o(.text) for _printf_fp_infnan - _printf_fp_hex.o(.text) refers (Weak) to _printf_pad.o(.text) for _printf_pre_padding - _printf_fp_hex.o(.text) refers (Weak) to _printf_pad.o(.text) for _printf_pre_padding - _printf_fp_hex.o(.text) refers (Weak) to _printf_pad.o(.text) for _printf_post_padding - _printf_fp_hex.o(.text) refers to _printf_fp_hex.o(.constdata) for .constdata - _printf_fp_hex.o(.constdata) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - _printf_char_common.o(.text) refers to __printf_flags_ss_wp.o(.text) for __printf - _printf_char.o(.text) refers (Weak) to _printf_str.o(.text) for _printf_str - _printf_wchar.o(.text) refers (Weak) to _printf_wctomb.o(.text) for _printf_wctomb - strtol.o(.text) refers to rt_ctype_table.o(.text) for __rt_ctype_table - strtol.o(.text) refers to _strtoul.o(.text) for _strtoul - strtol.o(.text) refers to rt_errno_addr_intlibspace.o(.text) for __aeabi_errno_addr - _c16rtomb.o(.text) refers to rt_ctype_table.o(.text) for __rt_ctype_table - rt_memclr.o(.text) refers to rt_memclr_w.o(.text) for _memset_w - _get_argv.o(.text) refers (Special) to hrguard.o(.text) for __heap_region$guard - _get_argv.o(.text) refers to h1_alloc.o(.text) for malloc - _get_argv.o(.text) refers to defsig_rtmem_outer.o(.text) for __rt_SIGRTMEM - _get_argv.o(.text) refers to sys_command.o(.text) for _sys_command_string - libinit2.o(.ARM.Collect$$libinit$$00000001) refers to fpinit.o(x$fpl$fpinit) for _fp_init - libinit2.o(.ARM.Collect$$libinit$$00000005) refers (Weak) to init_alloc.o(.text) for _init_alloc - libinit2.o(.ARM.Collect$$libinit$$0000000F) refers (Weak) to rt_locale_intlibspace.o(.text) for __rt_locale - libinit2.o(.ARM.Collect$$libinit$$00000010) refers to libinit2.o(.ARM.Collect$$libinit$$0000000F) for .ARM.Collect$$libinit$$0000000F - libinit2.o(.ARM.Collect$$libinit$$00000012) refers to libinit2.o(.ARM.Collect$$libinit$$0000000F) for .ARM.Collect$$libinit$$0000000F - libinit2.o(.ARM.Collect$$libinit$$00000012) refers (Weak) to lc_ctype_c.o(locale$$code) for _get_lc_ctype - libinit2.o(.ARM.Collect$$libinit$$00000014) refers to libinit2.o(.ARM.Collect$$libinit$$0000000F) for .ARM.Collect$$libinit$$0000000F - libinit2.o(.ARM.Collect$$libinit$$00000016) refers to libinit2.o(.ARM.Collect$$libinit$$0000000F) for .ARM.Collect$$libinit$$0000000F - libinit2.o(.ARM.Collect$$libinit$$00000016) refers (Weak) to lc_numeric_c.o(locale$$code) for _get_lc_numeric - libinit2.o(.ARM.Collect$$libinit$$00000018) refers to libinit2.o(.ARM.Collect$$libinit$$0000000F) for .ARM.Collect$$libinit$$0000000F - libinit2.o(.ARM.Collect$$libinit$$00000026) refers to argv_veneer.o(.emb_text) for __ARM_argv_veneer - libinit2.o(.ARM.Collect$$libinit$$00000027) refers to argv_veneer.o(.emb_text) for __ARM_argv_veneer - daddsub_clz.o(x$fpl$dadd) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - daddsub_clz.o(x$fpl$dadd) refers to daddsub_clz.o(x$fpl$dsub) for _dsub1 - daddsub_clz.o(x$fpl$dadd) refers to dretinf.o(x$fpl$dretinf) for __fpl_dretinf - daddsub_clz.o(x$fpl$dadd) refers to dnaninf.o(x$fpl$dnaninf) for __fpl_dnaninf - daddsub_clz.o(x$fpl$drsb) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - daddsub_clz.o(x$fpl$drsb) refers to daddsub_clz.o(x$fpl$dadd) for _dadd1 - daddsub_clz.o(x$fpl$drsb) refers to daddsub_clz.o(x$fpl$dsub) for _dsub1 - daddsub_clz.o(x$fpl$dsub) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - daddsub_clz.o(x$fpl$dsub) refers to daddsub_clz.o(x$fpl$dadd) for _dadd1 - daddsub_clz.o(x$fpl$dsub) refers to dnaninf.o(x$fpl$dnaninf) for __fpl_dnaninf - ddiv.o(x$fpl$drdiv) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - ddiv.o(x$fpl$drdiv) refers to ddiv.o(x$fpl$ddiv) for ddiv_entry - ddiv.o(x$fpl$ddiv) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - ddiv.o(x$fpl$ddiv) refers to dretinf.o(x$fpl$dretinf) for __fpl_dretinf - ddiv.o(x$fpl$ddiv) refers to dnaninf.o(x$fpl$dnaninf) for __fpl_dnaninf - dmul.o(x$fpl$dmul) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - dmul.o(x$fpl$dmul) refers to dretinf.o(x$fpl$dretinf) for __fpl_dretinf - dmul.o(x$fpl$dmul) refers to dnaninf.o(x$fpl$dnaninf) for __fpl_dnaninf - dunder.o(i.__mathlib_dbl_divzero) refers to ddiv.o(x$fpl$ddiv) for __aeabi_ddiv - dunder.o(i.__mathlib_dbl_infnan) refers to daddsub_clz.o(x$fpl$dadd) for __aeabi_dadd - dunder.o(i.__mathlib_dbl_infnan2) refers to daddsub_clz.o(x$fpl$dadd) for __aeabi_dadd - dunder.o(i.__mathlib_dbl_invalid) refers to ddiv.o(x$fpl$ddiv) for __aeabi_ddiv - dunder.o(i.__mathlib_dbl_overflow) refers to dmul.o(x$fpl$dmul) for __aeabi_dmul - dunder.o(i.__mathlib_dbl_posinfnan) refers to dmul.o(x$fpl$dmul) for __aeabi_dmul - dunder.o(i.__mathlib_dbl_underflow) refers to dmul.o(x$fpl$dmul) for __aeabi_dmul - exp.o(i.__hardfp_exp) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - exp.o(i.__hardfp_exp) refers to dunder.o(i.__mathlib_dbl_infnan) for __mathlib_dbl_infnan - exp.o(i.__hardfp_exp) refers to drleqf.o(x$fpl$drleqf) for __aeabi_cdrcmple - exp.o(i.__hardfp_exp) refers to _rserrno.o(.text) for __set_errno - exp.o(i.__hardfp_exp) refers to dunder.o(i.__mathlib_dbl_overflow) for __mathlib_dbl_overflow - exp.o(i.__hardfp_exp) refers to dleqf.o(x$fpl$dleqf) for __aeabi_cdcmple - exp.o(i.__hardfp_exp) refers to dunder.o(i.__mathlib_dbl_underflow) for __mathlib_dbl_underflow - exp.o(i.__hardfp_exp) refers to daddsub_clz.o(x$fpl$dsub) for __aeabi_dsub - exp.o(i.__hardfp_exp) refers to dmul.o(x$fpl$dmul) for __aeabi_dmul - exp.o(i.__hardfp_exp) refers to daddsub_clz.o(x$fpl$dadd) for __aeabi_dadd - exp.o(i.__hardfp_exp) refers to dfix.o(x$fpl$dfix) for __aeabi_d2iz - exp.o(i.__hardfp_exp) refers to dflt_clz.o(x$fpl$dflt) for __aeabi_i2d - exp.o(i.__hardfp_exp) refers to daddsub_clz.o(x$fpl$drsb) for __aeabi_drsub - exp.o(i.__hardfp_exp) refers to poly.o(i.__kernel_poly) for __kernel_poly - exp.o(i.__hardfp_exp) refers to ddiv.o(x$fpl$ddiv) for __aeabi_ddiv - exp.o(i.__hardfp_exp) refers to fpclassify.o(i.__ARM_fpclassify) for __ARM_fpclassify - exp.o(i.__hardfp_exp) refers to exp.o(.constdata) for .constdata - exp.o(i.__softfp_exp) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - exp.o(i.__softfp_exp) refers to exp.o(i.__hardfp_exp) for __hardfp_exp - exp.o(i.exp) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - exp.o(i.exp) refers to exp.o(i.__hardfp_exp) for __hardfp_exp - exp.o(.constdata) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - expf.o(i.__hardfp_expf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - expf.o(i.__hardfp_expf) refers to _rserrno.o(.text) for __set_errno - expf.o(i.__hardfp_expf) refers to funder.o(i.__mathlib_flt_underflow) for __mathlib_flt_underflow - expf.o(i.__hardfp_expf) refers to funder.o(i.__mathlib_flt_infnan) for __mathlib_flt_infnan - expf.o(i.__hardfp_expf) refers to funder.o(i.__mathlib_flt_overflow) for __mathlib_flt_overflow - expf.o(i.__hardfp_expf) refers to fpclassifyf.o(i.__ARM_fpclassifyf) for __ARM_fpclassifyf - expf.o(i.__hardfp_expf) refers to expf.o(.constdata) for .constdata - expf.o(i.__softfp_expf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - expf.o(i.__softfp_expf) refers to expf.o(i.__hardfp_expf) for __hardfp_expf - expf.o(i.expf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - expf.o(i.expf) refers to expf.o(i.__hardfp_expf) for __hardfp_expf - expf.o(.constdata) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - expm1_i.o(i.__mathlib_expm1) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - expm1_i.o(i.__mathlib_expm1) refers to basic.o(x$fpl$basic) for __aeabi_dneg - expm1_i.o(i.__mathlib_expm1) refers to dunder.o(i.__mathlib_dbl_infnan) for __mathlib_dbl_infnan - expm1_i.o(i.__mathlib_expm1) refers to drleqf.o(x$fpl$drleqf) for __aeabi_cdrcmple - expm1_i.o(i.__mathlib_expm1) refers to _rserrno.o(.text) for __set_errno - expm1_i.o(i.__mathlib_expm1) refers to dunder.o(i.__mathlib_dbl_overflow) for __mathlib_dbl_overflow - expm1_i.o(i.__mathlib_expm1) refers to daddsub_clz.o(x$fpl$dadd) for __aeabi_dadd - expm1_i.o(i.__mathlib_expm1) refers to dleqf.o(x$fpl$dleqf) for __aeabi_cdcmple - expm1_i.o(i.__mathlib_expm1) refers to daddsub_clz.o(x$fpl$dsub) for __aeabi_dsub - expm1_i.o(i.__mathlib_expm1) refers to dmul.o(x$fpl$dmul) for __aeabi_dmul - expm1_i.o(i.__mathlib_expm1) refers to dfix.o(x$fpl$dfix) for __aeabi_d2iz - expm1_i.o(i.__mathlib_expm1) refers to dflt_clz.o(x$fpl$dflt) for __aeabi_i2d - expm1_i.o(i.__mathlib_expm1) refers to daddsub_clz.o(x$fpl$drsb) for __aeabi_drsub - expm1_i.o(i.__mathlib_expm1) refers to poly.o(i.__kernel_poly) for __kernel_poly - expm1_i.o(i.__mathlib_expm1) refers to ddiv.o(x$fpl$ddiv) for __aeabi_ddiv - expm1_i.o(i.__mathlib_expm1) refers to fpclassify.o(i.__ARM_fpclassify) for __ARM_fpclassify - expm1_i.o(i.__mathlib_expm1) refers to dunder.o(i.__mathlib_dbl_underflow) for __mathlib_dbl_underflow - expm1_i.o(i.__mathlib_expm1) refers to expm1_i.o(.constdata) for .constdata - expm1_i.o(.constdata) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - fabs.o(i.__hardfp_fabs) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - fabs.o(i.__softfp_fabs) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - fabs.o(i.fabs) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - fpclassifyf.o(i.__ARM_fpclassifyf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - log.o(i.__hardfp_log) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - log.o(i.__hardfp_log) refers to dunder.o(i.__mathlib_dbl_infnan) for __mathlib_dbl_infnan - log.o(i.__hardfp_log) refers to _rserrno.o(.text) for __set_errno - log.o(i.__hardfp_log) refers to dunder.o(i.__mathlib_dbl_invalid) for __mathlib_dbl_invalid - log.o(i.__hardfp_log) refers to dunder.o(i.__mathlib_dbl_divzero) for __mathlib_dbl_divzero - log.o(i.__hardfp_log) refers to basic.o(x$fpl$basic) for __aeabi_dneg - log.o(i.__hardfp_log) refers to dmul.o(x$fpl$dmul) for __aeabi_dmul - log.o(i.__hardfp_log) refers to daddsub_clz.o(x$fpl$dsub) for __aeabi_dsub - log.o(i.__hardfp_log) refers to deqf.o(x$fpl$deqf) for __aeabi_cdcmpeq - log.o(i.__hardfp_log) refers to dflt_clz.o(x$fpl$dflt) for __aeabi_i2d - log.o(i.__hardfp_log) refers to daddsub_clz.o(x$fpl$dadd) for __aeabi_dadd - log.o(i.__hardfp_log) refers to daddsub_clz.o(x$fpl$drsb) for __aeabi_drsub - log.o(i.__hardfp_log) refers to ddiv.o(x$fpl$ddiv) for __aeabi_ddiv - log.o(i.__hardfp_log) refers to poly.o(i.__kernel_poly) for __kernel_poly - log.o(i.__hardfp_log) refers to qnan.o(.constdata) for __mathlib_zero - log.o(i.__hardfp_log) refers to log.o(.constdata) for .constdata - log.o(i.__softfp_log) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - log.o(i.__softfp_log) refers to log.o(i.__hardfp_log) for __hardfp_log - log.o(i.log) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - log.o(i.log) refers to log.o(i.__hardfp_log) for __hardfp_log - log.o(.constdata) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - log1p_i.o(i.__mathlib_log1p) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - log1p_i.o(i.__mathlib_log1p) refers to deqf.o(x$fpl$deqf) for __aeabi_cdcmpeq - log1p_i.o(i.__mathlib_log1p) refers to _rserrno.o(.text) for __set_errno - log1p_i.o(i.__mathlib_log1p) refers to dunder.o(i.__mathlib_dbl_divzero) for __mathlib_dbl_divzero - log1p_i.o(i.__mathlib_log1p) refers to basic.o(x$fpl$basic) for __aeabi_dneg - log1p_i.o(i.__mathlib_log1p) refers to dunder.o(i.__mathlib_dbl_invalid) for __mathlib_dbl_invalid - log1p_i.o(i.__mathlib_log1p) refers to fpclassify.o(i.__ARM_fpclassify) for __ARM_fpclassify - log1p_i.o(i.__mathlib_log1p) refers to dunder.o(i.__mathlib_dbl_underflow) for __mathlib_dbl_underflow - log1p_i.o(i.__mathlib_log1p) refers to dmul.o(x$fpl$dmul) for __aeabi_dmul - log1p_i.o(i.__mathlib_log1p) refers to daddsub_clz.o(x$fpl$drsb) for __aeabi_drsub - log1p_i.o(i.__mathlib_log1p) refers to dunder.o(i.__mathlib_dbl_infnan) for __mathlib_dbl_infnan - log1p_i.o(i.__mathlib_log1p) refers to daddsub_clz.o(x$fpl$dadd) for __aeabi_dadd - log1p_i.o(i.__mathlib_log1p) refers to daddsub_clz.o(x$fpl$dsub) for __aeabi_dsub - log1p_i.o(i.__mathlib_log1p) refers to ddiv.o(x$fpl$ddiv) for __aeabi_ddiv - log1p_i.o(i.__mathlib_log1p) refers to poly.o(i.__kernel_poly) for __kernel_poly - log1p_i.o(i.__mathlib_log1p) refers to dflt_clz.o(x$fpl$dflt) for __aeabi_i2d - log1p_i.o(i.__mathlib_log1p) refers to qnan.o(.constdata) for __mathlib_zero - log1p_i.o(i.__mathlib_log1p) refers to log1p_i.o(.constdata) for .constdata - log1p_i.o(.constdata) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - poly.o(i.__kernel_poly) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - poly.o(i.__kernel_poly) refers to dmul.o(x$fpl$dmul) for __aeabi_dmul - poly.o(i.__kernel_poly) refers to daddsub_clz.o(x$fpl$dadd) for __aeabi_dadd - sqrt.o(i.__hardfp_sqrt) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - sqrt.o(i.__hardfp_sqrt) refers to dsqrt_umaal.o(x$fpl$dsqrt) for _dsqrt - sqrt.o(i.__hardfp_sqrt) refers to _rserrno.o(.text) for __set_errno - sqrt.o(i.__softfp_sqrt) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - sqrt.o(i.__softfp_sqrt) refers to dsqrt_umaal.o(x$fpl$dsqrt) for _dsqrt - sqrt.o(i.__softfp_sqrt) refers to _rserrno.o(.text) for __set_errno - sqrt.o(i.sqrt) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - sqrt.o(i.sqrt) refers to dsqrt_umaal.o(x$fpl$dsqrt) for _dsqrt - sqrt.o(i.sqrt) refers to _rserrno.o(.text) for __set_errno - sqrtf.o(i.__hardfp_sqrtf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - sqrtf.o(i.__hardfp_sqrtf) refers to _rserrno.o(.text) for __set_errno - sqrtf.o(i.__softfp_sqrtf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - sqrtf.o(i.__softfp_sqrtf) refers to _rserrno.o(.text) for __set_errno - sqrtf.o(i.sqrtf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - sqrtf.o(i.sqrtf) refers to _rserrno.o(.text) for __set_errno - sys_command.o(.text) refers (Special) to use_no_semi.o(.text) for __I$use$semihosting - sys_command.o(.text) refers (Special) to indicate_semi.o(.text) for __semihosting_library_function - sys_command_hlt.o(.text) refers (Special) to use_no_semi.o(.text) for __I$use$semihosting - sys_command_hlt.o(.text) refers (Special) to indicate_semi.o(.text) for __semihosting_library_function - libspace.o(.text) refers to libspace.o(.bss) for __libspace_start - __rtentry2.o(.ARM.Collect$$rtentry$$00000008) refers to boardinit2.o(.text) for _platform_post_stackheap_init - __rtentry2.o(.ARM.Collect$$rtentry$$0000000A) refers to libinit.o(.ARM.Collect$$libinit$$00000000) for __rt_lib_init - __rtentry2.o(.ARM.Collect$$rtentry$$0000000B) refers to boardinit3.o(.text) for _platform_post_lib_init - __rtentry2.o(.ARM.Collect$$rtentry$$0000000D) refers to lto-llvm-ea22a7.o(.text.main) for main - __rtentry2.o(.ARM.Collect$$rtentry$$0000000D) refers to exit.o(.text) for exit - __rtentry2.o(.ARM.exidx) refers to __rtentry2.o(.ARM.Collect$$rtentry$$00000001) for .ARM.Collect$$rtentry$$00000001 - __rtentry2.o(.ARM.exidx) refers to __rtentry2.o(.ARM.Collect$$rtentry$$00000008) for .ARM.Collect$$rtentry$$00000008 - __rtentry2.o(.ARM.exidx) refers to __rtentry2.o(.ARM.Collect$$rtentry$$0000000A) for .ARM.Collect$$rtentry$$0000000A - __rtentry2.o(.ARM.exidx) refers to __rtentry2.o(.ARM.Collect$$rtentry$$0000000B) for .ARM.Collect$$rtentry$$0000000B - __rtentry2.o(.ARM.exidx) refers to __rtentry2.o(.ARM.Collect$$rtentry$$0000000D) for .ARM.Collect$$rtentry$$0000000D - __rtentry4.o(.ARM.Collect$$rtentry$$00000004) refers to sys_stackheap_outer.o(.text) for __user_setup_stackheap - __rtentry4.o(.ARM.exidx) refers to __rtentry4.o(.ARM.Collect$$rtentry$$00000004) for .ARM.Collect$$rtentry$$00000004 - rt_ctype_table.o(.text) refers to rt_locale_intlibspace.o(.text) for __rt_locale - rt_ctype_table.o(.text) refers to lc_ctype_c.o(locale$$code) for _get_lc_ctype - rt_locale.o(.text) refers to rt_locale.o(.bss) for __rt_locale_data - rt_locale_intlibspace.o(.text) refers to libspace.o(.bss) for __libspace_start - maybetermalloc2.o(.text) refers (Special) to term_alloc.o(.text) for _terminate_alloc - h1_extend.o(.text) refers to h1_free.o(.text) for free - h1_extend_threads.o(.text) refers to h1_free_threads.o(.text) for free_internal$concurrent - _printf_fp_infnan.o(.text) refers (Weak) to _printf_pad.o(.text) for _printf_pre_padding - _printf_fp_infnan.o(.text) refers (Weak) to _printf_pad.o(.text) for _printf_post_padding - _strtoul.o(.text) refers to _chval.o(.text) for _chval - _strtoul.o(.text) refers to rt_errno_addr_intlibspace.o(.text) for __aeabi_errno_addr - bigflt0.o(.text) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - bigflt0.o(.text) refers to btod.o(CL$$btod_emul) for _btod_emul - bigflt0.o(.text) refers to btod.o(CL$$btod_ediv) for _btod_ediv - bigflt0.o(.text) refers to bigflt0.o(.constdata) for .constdata - bigflt0.o(.constdata) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - btod.o(CL$$btod_d2e) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - btod.o(CL$$btod_d2e) refers to btod.o(CL$$btod_d2e_norm_op1) for _d2e_norm_op1 - btod.o(CL$$btod_d2e_norm_op1) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - btod.o(CL$$btod_d2e_norm_op1) refers to btod.o(CL$$btod_d2e_denorm_low) for _d2e_denorm_low - btod.o(CL$$btod_d2e_denorm_low) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - btod.o(CL$$btod_emul) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - btod.o(CL$$btod_emul) refers to btod.o(CL$$btod_mult_common) for __btod_mult_common - btod.o(CL$$btod_emul) refers to btod.o(CL$$btod_e2e) for _e2e - btod.o(CL$$btod_ediv) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - btod.o(CL$$btod_ediv) refers to btod.o(CL$$btod_div_common) for __btod_div_common - btod.o(CL$$btod_ediv) refers to btod.o(CL$$btod_e2e) for _e2e - btod.o(CL$$btod_emuld) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - btod.o(CL$$btod_emuld) refers to btod.o(CL$$btod_mult_common) for __btod_mult_common - btod.o(CL$$btod_emuld) refers to btod.o(CL$$btod_e2d) for _e2d - btod.o(CL$$btod_edivd) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - btod.o(CL$$btod_edivd) refers to btod.o(CL$$btod_div_common) for __btod_div_common - btod.o(CL$$btod_edivd) refers to btod.o(CL$$btod_e2d) for _e2d - btod.o(CL$$btod_e2e) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - btod.o(CL$$btod_e2d) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - btod.o(CL$$btod_e2d) refers to btod.o(CL$$btod_e2e) for _e2e - btod.o(CL$$btod_mult_common) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - btod.o(CL$$btod_div_common) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - btod_accurate.o(.text) refers to btod_accurate_common.o(.text) for _btod_common - lc_numeric_c.o(locale$$data) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000016) for __rt_lib_init_lc_numeric_2 - lc_numeric_c.o(locale$$code) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000016) for __rt_lib_init_lc_numeric_2 - lc_numeric_c.o(locale$$code) refers to strcmpv7em.o(.text) for strcmp - lc_numeric_c.o(locale$$code) refers to lc_numeric_c.o(locale$$data) for __lcnum_c_name - defsig_rtmem_outer.o(.text) refers to defsig_rtmem_inner.o(.text) for __rt_SIGRTMEM_inner - defsig_rtmem_outer.o(.text) refers to defsig_exit.o(.text) for __sig_exit - defsig_rtmem_formal.o(.text) refers to rt_raise.o(.text) for __rt_raise - heapauxa.o(.text) refers to heapauxa.o(.data) for .data - argv_veneer.o(.emb_text) refers to no_argv.o(.text) for __ARM_get_argv - basic.o(x$fpl$basic) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - deqf.o(x$fpl$deqf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - deqf.o(x$fpl$deqf) refers to dnaninf.o(x$fpl$dnaninf) for __fpl_dnaninf - deqf.o(x$fpl$deqf) refers to dcmpi.o(x$fpl$dcmpinf) for __fpl_dcmp_Inf - dfix.o(x$fpl$dfix) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - dfix.o(x$fpl$dfix) refers to dnaninf.o(x$fpl$dnaninf) for __fpl_dnaninf - dfix.o(x$fpl$dfixr) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - dfix.o(x$fpl$dfixr) refers to dnaninf.o(x$fpl$dnaninf) for __fpl_dnaninf - dflt_clz.o(x$fpl$dfltu) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - dflt_clz.o(x$fpl$dflt) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - dflt_clz.o(x$fpl$dfltn) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - dleqf.o(x$fpl$dleqf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - dleqf.o(x$fpl$dleqf) refers to dnaninf.o(x$fpl$dnaninf) for __fpl_dnaninf - dleqf.o(x$fpl$dleqf) refers to dcmpi.o(x$fpl$dcmpinf) for __fpl_dcmp_Inf - dnaninf.o(x$fpl$dnaninf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - dretinf.o(x$fpl$dretinf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - drleqf.o(x$fpl$drleqf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - drleqf.o(x$fpl$drleqf) refers to dleqf.o(x$fpl$dleqf) for __fpl_dcmple_InfNaN - dsqrt_umaal.o(x$fpl$dsqrt) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - dsqrt_umaal.o(x$fpl$dsqrt) refers to dnaninf.o(x$fpl$dnaninf) for __fpl_dnaninf - istatus.o(x$fpl$ieeestatus) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - fpclassify.o(i.__ARM_fpclassify) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - qnan.o(.constdata) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - sys_stackheap_outer.o(.text) refers to libspace.o(.text) for __user_perproc_libspace - sys_stackheap_outer.o(.text) refers to startup_stm32f407xx.o(.text) for __user_initial_stackheap - rt_raise.o(.text) refers to __raise.o(.text) for __raise - rt_raise.o(.text) refers to sys_exit.o(.text) for _sys_exit - term_alloc.o(.text) refers (Special) to libshutdown2.o(.ARM.Collect$$libshutdown$$0000000E) for __rt_lib_shutdown_heap_2 - term_alloc.o(.text) refers to rt_heap_descriptor_intlibspace.o(.text) for __rt_heap_descriptor - term_alloc.o(.text) refers to h1_final.o(.text) for __Heap_Finalize - btod_accurate_common.o(.text) refers to llushr.o(.text) for __aeabi_llsr - lc_ctype_c.o(locale$$data) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000012) for __rt_lib_init_lc_ctype_2 - lc_ctype_c.o(locale$$code) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000012) for __rt_lib_init_lc_ctype_2 - lc_ctype_c.o(locale$$code) refers to strcmpv7em.o(.text) for strcmp - lc_ctype_c.o(locale$$code) refers to lc_ctype_c.o(locale$$data) for __lcctype_c_name - exit.o(.text) refers to rtexit.o(.ARM.Collect$$rtexit$$00000000) for __rt_exit - defsig_exit.o(.text) refers to sys_exit.o(.text) for _sys_exit - defsig_rtmem_inner.o(.text) refers to defsig_general.o(.text) for __default_signal_display - _get_argv_nomalloc.o(.text) refers (Special) to hrguard.o(.text) for __heap_region$guard - _get_argv_nomalloc.o(.text) refers to defsig_rtmem_outer.o(.text) for __rt_SIGRTMEM - _get_argv_nomalloc.o(.text) refers to sys_command.o(.text) for _sys_command_string - libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$0000002E) for __rt_lib_init_alloca_1 - libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$0000002C) for __rt_lib_init_argv_1 - libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$0000001B) for __rt_lib_init_atexit_1 - libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000021) for __rt_lib_init_clock_1 - libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000032) for __rt_lib_init_cpp_1 - libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000030) for __rt_lib_init_exceptions_1 - libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000001) for __rt_lib_init_fp_1 - libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$0000001F) for __rt_lib_init_fp_trap_1 - libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000023) for __rt_lib_init_getenv_1 - libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$0000000A) for __rt_lib_init_heap_1 - libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000011) for __rt_lib_init_lc_collate_1 - libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000013) for __rt_lib_init_lc_ctype_1 - libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000015) for __rt_lib_init_lc_monetary_1 - libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000017) for __rt_lib_init_lc_numeric_1 - libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000019) for __rt_lib_init_lc_time_1 - libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000004) for __rt_lib_init_preinit_1 - libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$0000000E) for __rt_lib_init_rand_1 - libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000033) for __rt_lib_init_return - libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$0000001D) for __rt_lib_init_signal_1 - libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000025) for __rt_lib_init_stdio_1 - libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$0000000C) for __rt_lib_init_user_alloc_1 - dcmpi.o(x$fpl$dcmpinf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - sys_exit.o(.text) refers (Special) to use_no_semi.o(.text) for __I$use$semihosting - sys_exit.o(.text) refers (Special) to indicate_semi.o(.text) for __semihosting_library_function - sys_exit_hlt.o(.text) refers (Special) to use_no_semi.o(.text) for __I$use$semihosting - sys_exit_hlt.o(.text) refers (Special) to indicate_semi.o(.text) for __semihosting_library_function - rtexit.o(.ARM.Collect$$rtexit$$00000000) refers (Special) to rtexit2.o(.ARM.Collect$$rtexit$$00000004) for __rt_exit_exit - rtexit.o(.ARM.Collect$$rtexit$$00000000) refers (Special) to rtexit2.o(.ARM.Collect$$rtexit$$00000003) for __rt_exit_ls - rtexit.o(.ARM.Collect$$rtexit$$00000000) refers (Special) to rtexit2.o(.ARM.Collect$$rtexit$$00000002) for __rt_exit_prels_1 - rtexit.o(.ARM.exidx) refers (Special) to rtexit2.o(.ARM.Collect$$rtexit$$00000004) for __rt_exit_exit - rtexit.o(.ARM.exidx) refers (Special) to rtexit2.o(.ARM.Collect$$rtexit$$00000003) for __rt_exit_ls - rtexit.o(.ARM.exidx) refers (Special) to rtexit2.o(.ARM.Collect$$rtexit$$00000002) for __rt_exit_prels_1 - rtexit.o(.ARM.exidx) refers to rtexit.o(.ARM.Collect$$rtexit$$00000000) for .ARM.Collect$$rtexit$$00000000 - __raise.o(.text) refers to defsig.o(CL$$defsig) for __default_signal_handler - defsig_general.o(.text) refers to sys_wrch.o(.text) for _ttywrch - libshutdown2.o(.ARM.Collect$$libshutdown$$0000000D) refers (Weak) to term_alloc.o(.text) for _terminate_alloc - sys_wrch.o(.text) refers (Special) to use_no_semi.o(.text) for __I$use$semihosting - sys_wrch.o(.text) refers (Special) to indicate_semi.o(.text) for __semihosting_library_function - sys_wrch_hlt.o(.text) refers (Special) to use_no_semi.o(.text) for __I$use$semihosting - sys_wrch_hlt.o(.text) refers (Special) to indicate_semi.o(.text) for __semihosting_library_function - rtexit2.o(.ARM.Collect$$rtexit$$00000003) refers to libshutdown.o(.ARM.Collect$$libshutdown$$00000000) for __rt_lib_shutdown - rtexit2.o(.ARM.Collect$$rtexit$$00000004) refers to sys_exit.o(.text) for _sys_exit - rtexit2.o(.ARM.exidx) refers to rtexit2.o(.ARM.Collect$$rtexit$$00000001) for .ARM.Collect$$rtexit$$00000001 - rtexit2.o(.ARM.exidx) refers to rtexit2.o(.ARM.Collect$$rtexit$$00000003) for .ARM.Collect$$rtexit$$00000003 - rtexit2.o(.ARM.exidx) refers to rtexit2.o(.ARM.Collect$$rtexit$$00000004) for .ARM.Collect$$rtexit$$00000004 - defsig.o(CL$$defsig) refers to defsig_fpe_inner.o(.text) for __rt_SIGFPE_inner - defsig.o(CL$$defsig) refers to defsig_rtmem_inner.o(.text) for __rt_SIGRTMEM_inner - defsig_abrt_inner.o(.text) refers to defsig_general.o(.text) for __default_signal_display - defsig_fpe_inner.o(.text) refers to defsig_general.o(.text) for __default_signal_display - defsig_rtred_inner.o(.text) refers to defsig_general.o(.text) for __default_signal_display - defsig_stak_inner.o(.text) refers to defsig_general.o(.text) for __default_signal_display - defsig_pvfn_inner.o(.text) refers to defsig_general.o(.text) for __default_signal_display - defsig_cppl_inner.o(.text) refers to defsig_general.o(.text) for __default_signal_display - defsig_segv_inner.o(.text) refers to defsig_general.o(.text) for __default_signal_display - defsig_other.o(.text) refers to defsig_general.o(.text) for __default_signal_display - libshutdown.o(.ARM.Collect$$libshutdown$$00000000) refers (Special) to libshutdown2.o(.ARM.Collect$$libshutdown$$00000002) for __rt_lib_shutdown_cpp_1 - libshutdown.o(.ARM.Collect$$libshutdown$$00000000) refers (Special) to libshutdown2.o(.ARM.Collect$$libshutdown$$00000007) for __rt_lib_shutdown_fp_trap_1 - libshutdown.o(.ARM.Collect$$libshutdown$$00000000) refers (Special) to libshutdown2.o(.ARM.Collect$$libshutdown$$0000000F) for __rt_lib_shutdown_heap_1 - libshutdown.o(.ARM.Collect$$libshutdown$$00000000) refers (Special) to libshutdown2.o(.ARM.Collect$$libshutdown$$00000010) for __rt_lib_shutdown_return - libshutdown.o(.ARM.Collect$$libshutdown$$00000000) refers (Special) to libshutdown2.o(.ARM.Collect$$libshutdown$$0000000A) for __rt_lib_shutdown_signal_1 - libshutdown.o(.ARM.Collect$$libshutdown$$00000000) refers (Special) to libshutdown2.o(.ARM.Collect$$libshutdown$$00000004) for __rt_lib_shutdown_stdio_1 - libshutdown.o(.ARM.Collect$$libshutdown$$00000000) refers (Special) to libshutdown2.o(.ARM.Collect$$libshutdown$$0000000C) for __rt_lib_shutdown_user_alloc_1 - lto-llvm-ea22a7.o(.text) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.DoRxAGC) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.DoRxAGC) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.DoRxAGC) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.DoRxAGC) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.DoRxAGC) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.DoRxAGC) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.DoRxAGC) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.DoRxAGC) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.DoRxAGC) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.DoRxAGC) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.DoRxAGC) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.DoRxAGC) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.DoRxAGC) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.DoRxAGC) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.DoRxAGC) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.DoRxAGC) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.DoRxAGC) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.DoRxAGC) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.DoRxAGC) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.DoRxAGC) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.DoRxAGC) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.DoRxAGC) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.DoRxAGC) refers to lto-llvm-ea22a7.o(.bss.DoRxAGC.ring_position) for DoRxAGC.ring_position - lto-llvm-ea22a7.o(.text.DoRxAGC) refers to lto-llvm-ea22a7.o(.IRAM2) for AGC_RX_ringbuffer - lto-llvm-ea22a7.o(.text.DoRxAGC) refers to lto-llvm-ea22a7.o(.IRAM1) for FPGA_Audio_Buffer_RX_I_tmp - lto-llvm-ea22a7.o(.text.DoRxAGC) refers to rt_memcpy_w.o(.text) for __aeabi_memcpy4 - lto-llvm-ea22a7.o(.text.DoRxAGC) refers to lto-llvm-ea22a7.o(.text.arm_rms_f32) for arm_rms_f32 - lto-llvm-ea22a7.o(.text.DoRxAGC) refers to frexpf.o(i.__hardfp_frexpf) for __hardfp_frexpf - lto-llvm-ea22a7.o(.text.DoRxAGC) refers to lto-llvm-ea22a7.o(.bss.WM8731_Muting) for WM8731_Muting - lto-llvm-ea22a7.o(.text.DoRxAGC) refers to lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db) for AGC_RX_need_gain_db - lto-llvm-ea22a7.o(.text.DoRxAGC) refers to lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db_old) for AGC_RX_need_gain_db_old - lto-llvm-ea22a7.o(.text.DoRxAGC) refers to exp2f.o(i.exp2f) for exp2f - lto-llvm-ea22a7.o(.ARM.exidx.text.DoRxAGC) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.DoRxAGC) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.DoRxAGC) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.DoRxAGC) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.DoRxAGC) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.DoRxAGC) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.DoRxAGC) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.DoRxAGC) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.DoRxAGC) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.DoRxAGC) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.DoRxAGC) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.DoRxAGC) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.DoRxAGC) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.DoRxAGC) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.DoRxAGC) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.DoRxAGC) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.DoRxAGC) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.DoRxAGC) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.DoRxAGC) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.DoRxAGC) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.DoRxAGC) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.DoRxAGC) refers to lto-llvm-ea22a7.o(.text.DoRxAGC) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers to lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) for IIR_Biquad_Filters - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers to lto-llvm-ea22a7.o(.rodata.str1.1) for .L.str - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers to lto-llvm-ea22a7.o(.text.sendToDebug_strln) for sendToDebug_strln - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers to lto-llvm-ea22a7.o(.text.sendToDebug_uint16) for sendToDebug_uint16 - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers to lto-llvm-ea22a7.o(.bss.NeedReinitAudioFiltersClean) for NeedReinitAudioFiltersClean - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers to lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I) for IIR_RX_LPF_I - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers to lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I_State) for IIR_RX_LPF_I_State - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers to rt_memclr_w.o(.text) for __aeabi_memclr4 - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers to lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q) for IIR_RX_LPF_Q - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers to lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q_State) for IIR_RX_LPF_Q_State - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers to lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I) for IIR_TX_LPF_I - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers to lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I_State) for IIR_TX_LPF_I_State - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers to lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS) for IIR_RX_GAUSS - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers to lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS_State) for IIR_RX_GAUSS_State - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers to lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I) for IIR_RX_HPF_I - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers to lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I_State) for IIR_RX_HPF_I_State - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers to lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I) for IIR_TX_HPF_I - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers to lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I_State) for IIR_TX_HPF_I_State - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers to lto-llvm-ea22a7.o(.bss.IIR_RX_Squelch_HPF) for IIR_RX_Squelch_HPF - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers to lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_SQL_State) for IIR_RX_HPF_SQL_State - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers to exp2f.o(i.exp2f) for exp2f - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers to lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_Coeffs) for EQ_RX_LOW_FILTER_Coeffs - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers to lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_Coeffs) for EQ_RX_MID_FILTER_Coeffs - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers to lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_Coeffs) for EQ_RX_HIG_FILTER_Coeffs - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers to lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_Coeffs) for EQ_MIC_LOW_FILTER_Coeffs - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers to lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_Coeffs) for EQ_MIC_MID_FILTER_Coeffs - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers to lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_Coeffs) for EQ_MIC_HIG_FILTER_Coeffs - lto-llvm-ea22a7.o(.text.ReinitAudioFilters) refers to lto-llvm-ea22a7.o(.bss.NeedReinitAudioFilters) for NeedReinitAudioFilters - lto-llvm-ea22a7.o(.ARM.exidx.text.ReinitAudioFilters) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.ReinitAudioFilters) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.ReinitAudioFilters) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.ReinitAudioFilters) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.ReinitAudioFilters) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.ReinitAudioFilters) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.ReinitAudioFilters) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.ReinitAudioFilters) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.ReinitAudioFilters) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.ReinitAudioFilters) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.ReinitAudioFilters) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.ReinitAudioFilters) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.ReinitAudioFilters) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.ReinitAudioFilters) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.ReinitAudioFilters) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.ReinitAudioFilters) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.ReinitAudioFilters) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.ReinitAudioFilters) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.ReinitAudioFilters) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.ReinitAudioFilters) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.ReinitAudioFilters) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.ReinitAudioFilters) refers to lto-llvm-ea22a7.o(.text.ReinitAudioFilters) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.ModulateFM) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.ModulateFM) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.ModulateFM) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.ModulateFM) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.ModulateFM) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.ModulateFM) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.ModulateFM) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.ModulateFM) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.ModulateFM) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.ModulateFM) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.ModulateFM) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.ModulateFM) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.ModulateFM) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.ModulateFM) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.ModulateFM) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.ModulateFM) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.ModulateFM) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.ModulateFM) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.ModulateFM) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.ModulateFM) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.ModulateFM) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.ModulateFM) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.ModulateFM) refers to lto-llvm-ea22a7.o(.data.ModulateFM.modulation_index) for ModulateFM.modulation_index - lto-llvm-ea22a7.o(.text.ModulateFM) refers to lto-llvm-ea22a7.o(.bss.Processor_selected_RFpower_amplitude) for Processor_selected_RFpower_amplitude - lto-llvm-ea22a7.o(.text.ModulateFM) refers to lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_b) for ModulateFM.hpf_prev_b - lto-llvm-ea22a7.o(.text.ModulateFM) refers to lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_a) for ModulateFM.hpf_prev_a - lto-llvm-ea22a7.o(.text.ModulateFM) refers to lto-llvm-ea22a7.o(.bss.ModulateFM.fm_mod_accum) for ModulateFM.fm_mod_accum - lto-llvm-ea22a7.o(.text.ModulateFM) refers to lto-llvm-ea22a7.o(.IRAM1) for FPGA_Audio_Buffer_TX_I_tmp - lto-llvm-ea22a7.o(.text.ModulateFM) refers to lto-llvm-ea22a7.o(.rodata.sinTable_f32) for sinTable_f32 - lto-llvm-ea22a7.o(.ARM.exidx.text.ModulateFM) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.ModulateFM) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.ModulateFM) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.ModulateFM) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.ModulateFM) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.ModulateFM) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.ModulateFM) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.ModulateFM) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.ModulateFM) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.ModulateFM) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.ModulateFM) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.ModulateFM) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.ModulateFM) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.ModulateFM) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.ModulateFM) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.ModulateFM) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.ModulateFM) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.ModulateFM) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.ModulateFM) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.ModulateFM) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.ModulateFM) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.ModulateFM) refers to lto-llvm-ea22a7.o(.text.ModulateFM) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.processAutoNotchReduction) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.processAutoNotchReduction) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.processAutoNotchReduction) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.processAutoNotchReduction) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.processAutoNotchReduction) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.processAutoNotchReduction) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.processAutoNotchReduction) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.processAutoNotchReduction) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.processAutoNotchReduction) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.processAutoNotchReduction) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.processAutoNotchReduction) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.processAutoNotchReduction) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.processAutoNotchReduction) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.processAutoNotchReduction) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.processAutoNotchReduction) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.processAutoNotchReduction) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.processAutoNotchReduction) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.processAutoNotchReduction) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.processAutoNotchReduction) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.processAutoNotchReduction) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.processAutoNotchReduction) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.processAutoNotchReduction) refers to lto-llvm-ea22a7.o(.bss.processAutoNotchReduction.temporary_stop) for processAutoNotchReduction.temporary_stop - lto-llvm-ea22a7.o(.text.processAutoNotchReduction) refers to lto-llvm-ea22a7.o(.bss.reference_index_new) for reference_index_new - lto-llvm-ea22a7.o(.text.processAutoNotchReduction) refers to lto-llvm-ea22a7.o(.bss.lms2_reference) for lms2_reference - lto-llvm-ea22a7.o(.text.processAutoNotchReduction) refers to rt_memcpy_w.o(.text) for __aeabi_memcpy4 - lto-llvm-ea22a7.o(.text.processAutoNotchReduction) refers to lto-llvm-ea22a7.o(.bss.reference_index_old) for reference_index_old - lto-llvm-ea22a7.o(.text.processAutoNotchReduction) refers to lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.0) for lms2_Norm_instance.0 - lto-llvm-ea22a7.o(.text.processAutoNotchReduction) refers to lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.1) for lms2_Norm_instance.1 - lto-llvm-ea22a7.o(.text.processAutoNotchReduction) refers to lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.3) for lms2_Norm_instance.3 - lto-llvm-ea22a7.o(.text.processAutoNotchReduction) refers to lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.2) for lms2_Norm_instance.2 - lto-llvm-ea22a7.o(.text.processAutoNotchReduction) refers to lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.5) for lms2_Norm_instance.5 - lto-llvm-ea22a7.o(.text.processAutoNotchReduction) refers to lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.4) for lms2_Norm_instance.4 - lto-llvm-ea22a7.o(.text.processAutoNotchReduction) refers to lto-llvm-ea22a7.o(.bss.lms2_errsig2) for lms2_errsig2 - lto-llvm-ea22a7.o(.text.processAutoNotchReduction) refers to lto-llvm-ea22a7.o(.bss.lms2_stateF32) for lms2_stateF32 - lto-llvm-ea22a7.o(.text.processAutoNotchReduction) refers to lto-llvm-ea22a7.o(.text.arm_min_f32) for arm_min_f32 - lto-llvm-ea22a7.o(.text.processAutoNotchReduction) refers to lto-llvm-ea22a7.o(.bss.lms2_normCoeff_f32) for lms2_normCoeff_f32 - lto-llvm-ea22a7.o(.text.processAutoNotchReduction) refers to rt_memclr_w.o(.text) for __aeabi_memclr4 - lto-llvm-ea22a7.o(.ARM.exidx.text.processAutoNotchReduction) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.processAutoNotchReduction) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.processAutoNotchReduction) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.processAutoNotchReduction) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.processAutoNotchReduction) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.processAutoNotchReduction) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.processAutoNotchReduction) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.processAutoNotchReduction) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.processAutoNotchReduction) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.processAutoNotchReduction) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.processAutoNotchReduction) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.processAutoNotchReduction) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.processAutoNotchReduction) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.processAutoNotchReduction) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.processAutoNotchReduction) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.processAutoNotchReduction) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.processAutoNotchReduction) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.processAutoNotchReduction) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.processAutoNotchReduction) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.processAutoNotchReduction) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.processAutoNotchReduction) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.processAutoNotchReduction) refers to lto-llvm-ea22a7.o(.text.processAutoNotchReduction) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.getBandFromFreq) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.getBandFromFreq) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.getBandFromFreq) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.getBandFromFreq) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.getBandFromFreq) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.getBandFromFreq) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.getBandFromFreq) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.getBandFromFreq) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.getBandFromFreq) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.getBandFromFreq) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.getBandFromFreq) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.getBandFromFreq) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.getBandFromFreq) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.getBandFromFreq) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.getBandFromFreq) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.getBandFromFreq) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.getBandFromFreq) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.getBandFromFreq) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.getBandFromFreq) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.getBandFromFreq) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.getBandFromFreq) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.getBandFromFreq) refers to lto-llvm-ea22a7.o(.rodata.BANDS) for BANDS - lto-llvm-ea22a7.o(.ARM.exidx.text.getBandFromFreq) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.getBandFromFreq) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.getBandFromFreq) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.getBandFromFreq) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.getBandFromFreq) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.getBandFromFreq) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.getBandFromFreq) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.getBandFromFreq) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.getBandFromFreq) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.getBandFromFreq) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.getBandFromFreq) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.getBandFromFreq) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.getBandFromFreq) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.getBandFromFreq) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.getBandFromFreq) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.getBandFromFreq) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.getBandFromFreq) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.getBandFromFreq) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.getBandFromFreq) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.getBandFromFreq) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.getBandFromFreq) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.getBandFromFreq) refers to lto-llvm-ea22a7.o(.text.getBandFromFreq) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.getModeFromFreq) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.getModeFromFreq) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.getModeFromFreq) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.getModeFromFreq) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.getModeFromFreq) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.getModeFromFreq) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.getModeFromFreq) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.getModeFromFreq) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.getModeFromFreq) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.getModeFromFreq) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.getModeFromFreq) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.getModeFromFreq) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.getModeFromFreq) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.getModeFromFreq) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.getModeFromFreq) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.getModeFromFreq) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.getModeFromFreq) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.getModeFromFreq) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.getModeFromFreq) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.getModeFromFreq) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.getModeFromFreq) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.getModeFromFreq) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.getModeFromFreq) refers to lto-llvm-ea22a7.o(.rodata.BANDS) for BANDS - lto-llvm-ea22a7.o(.ARM.exidx.text.getModeFromFreq) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.getModeFromFreq) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.getModeFromFreq) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.getModeFromFreq) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.getModeFromFreq) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.getModeFromFreq) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.getModeFromFreq) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.getModeFromFreq) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.getModeFromFreq) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.getModeFromFreq) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.getModeFromFreq) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.getModeFromFreq) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.getModeFromFreq) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.getModeFromFreq) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.getModeFromFreq) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.getModeFromFreq) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.getModeFromFreq) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.getModeFromFreq) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.getModeFromFreq) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.getModeFromFreq) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.getModeFromFreq) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.getModeFromFreq) refers to lto-llvm-ea22a7.o(.text.getModeFromFreq) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.JumpToBootloader) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.JumpToBootloader) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.JumpToBootloader) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.JumpToBootloader) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.JumpToBootloader) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.JumpToBootloader) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.JumpToBootloader) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.JumpToBootloader) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.JumpToBootloader) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.JumpToBootloader) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.JumpToBootloader) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.JumpToBootloader) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.JumpToBootloader) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.JumpToBootloader) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.JumpToBootloader) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.JumpToBootloader) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.JumpToBootloader) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.JumpToBootloader) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.JumpToBootloader) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.JumpToBootloader) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.JumpToBootloader) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.JumpToBootloader) refers to lto-llvm-ea22a7.o(.bss.LCD_busy) for LCD_busy - lto-llvm-ea22a7.o(.text.JumpToBootloader) refers to lto-llvm-ea22a7.o(.bss.TRX_Inited) for TRX_Inited - lto-llvm-ea22a7.o(.text.JumpToBootloader) refers to lto-llvm-ea22a7.o(.text.LCD_showError) for LCD_showError - lto-llvm-ea22a7.o(.text.JumpToBootloader) refers to lto-llvm-ea22a7.o(.text.MX_USB_DevDisconnect) for MX_USB_DevDisconnect - lto-llvm-ea22a7.o(.text.JumpToBootloader) refers to lto-llvm-ea22a7.o(.bss.uwTick) for uwTick - lto-llvm-ea22a7.o(.text.JumpToBootloader) refers to lto-llvm-ea22a7.o(.data.SystemCoreClock) for SystemCoreClock - lto-llvm-ea22a7.o(.text.JumpToBootloader) refers to lto-llvm-ea22a7.o(.bss.uwTickPrio) for uwTickPrio - lto-llvm-ea22a7.o(.ARM.exidx.text.JumpToBootloader) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.JumpToBootloader) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.JumpToBootloader) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.JumpToBootloader) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.JumpToBootloader) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.JumpToBootloader) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.JumpToBootloader) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.JumpToBootloader) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.JumpToBootloader) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.JumpToBootloader) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.JumpToBootloader) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.JumpToBootloader) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.JumpToBootloader) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.JumpToBootloader) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.JumpToBootloader) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.JumpToBootloader) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.JumpToBootloader) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.JumpToBootloader) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.JumpToBootloader) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.JumpToBootloader) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.JumpToBootloader) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.JumpToBootloader) refers to lto-llvm-ea22a7.o(.text.JumpToBootloader) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.FFT_PreInit) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.FFT_PreInit) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.FFT_PreInit) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.FFT_PreInit) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.FFT_PreInit) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.FFT_PreInit) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.FFT_PreInit) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.FFT_PreInit) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.FFT_PreInit) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.FFT_PreInit) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.FFT_PreInit) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.FFT_PreInit) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.FFT_PreInit) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.FFT_PreInit) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.FFT_PreInit) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.FFT_PreInit) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.FFT_PreInit) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.FFT_PreInit) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.FFT_PreInit) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.FFT_PreInit) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.FFT_PreInit) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.FFT_PreInit) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.FFT_PreInit) refers to acosh.o(i.__hardfp_acosh) for __hardfp_acosh - lto-llvm-ea22a7.o(.text.FFT_PreInit) refers to dmul.o(x$fpl$dmul) for __aeabi_dmul - lto-llvm-ea22a7.o(.text.FFT_PreInit) refers to cosh.o(i.__hardfp_cosh) for __hardfp_cosh - lto-llvm-ea22a7.o(.text.FFT_PreInit) refers to daddsub_clz.o(x$fpl$dadd) for __aeabi_dadd - lto-llvm-ea22a7.o(.text.FFT_PreInit) refers to d2f.o(x$fpl$d2f) for __aeabi_d2f - lto-llvm-ea22a7.o(.text.FFT_PreInit) refers to lto-llvm-ea22a7.o(.bss.window_multipliers) for window_multipliers - lto-llvm-ea22a7.o(.text.FFT_PreInit) refers to f2d.o(x$fpl$f2d) for __aeabi_f2d - lto-llvm-ea22a7.o(.text.FFT_PreInit) refers to dcmp.o(x$fpl$fcmp) for __aeabi_dcmplt - lto-llvm-ea22a7.o(.text.FFT_PreInit) refers to cos.o(i.cos) for cos - lto-llvm-ea22a7.o(.text.FFT_PreInit) refers to dflt_clz.o(x$fpl$dfltu) for __aeabi_ui2d - lto-llvm-ea22a7.o(.text.FFT_PreInit) refers to acos.o(i.__hardfp_acos) for __hardfp_acos - lto-llvm-ea22a7.o(.text.FFT_PreInit) refers to ddiv.o(x$fpl$ddiv) for __aeabi_ddiv - lto-llvm-ea22a7.o(.text.FFT_PreInit) refers to lto-llvm-ea22a7.o(.rodata.sinTable_f32) for sinTable_f32 - lto-llvm-ea22a7.o(.text.FFT_PreInit) refers to lto-llvm-ea22a7.o(.bss.FFT_sortInstance.0) for FFT_sortInstance.0 - lto-llvm-ea22a7.o(.text.FFT_PreInit) refers to lto-llvm-ea22a7.o(.bss.FFT_sortInstance.1) for FFT_sortInstance.1 - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_PreInit) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_PreInit) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_PreInit) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_PreInit) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_PreInit) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_PreInit) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_PreInit) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_PreInit) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_PreInit) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_PreInit) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_PreInit) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_PreInit) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_PreInit) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_PreInit) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_PreInit) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_PreInit) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_PreInit) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_PreInit) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_PreInit) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_PreInit) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_PreInit) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_PreInit) refers to lto-llvm-ea22a7.o(.text.FFT_PreInit) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.FFT_Init) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.FFT_Init) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.FFT_Init) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.FFT_Init) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.FFT_Init) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.FFT_Init) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.FFT_Init) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.FFT_Init) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.FFT_Init) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.FFT_Init) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.FFT_Init) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.FFT_Init) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.FFT_Init) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.FFT_Init) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.FFT_Init) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.FFT_Init) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.FFT_Init) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.FFT_Init) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.FFT_Init) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.FFT_Init) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.FFT_Init) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.FFT_Init) refers to lto-llvm-ea22a7.o(.data.COLOR) for COLOR - lto-llvm-ea22a7.o(.text.FFT_Init) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.FFT_Init) refers to lto-llvm-ea22a7.o(.bss.palette_fft) for palette_fft - lto-llvm-ea22a7.o(.text.FFT_Init) refers to lto-llvm-ea22a7.o(.bss.palette_bg_gradient) for palette_bg_gradient - lto-llvm-ea22a7.o(.text.FFT_Init) refers to lto-llvm-ea22a7.o(.bss.palette_bw_fft_colors) for palette_bw_fft_colors - lto-llvm-ea22a7.o(.text.FFT_Init) refers to lto-llvm-ea22a7.o(.bss.palette_bw_bg_colors) for palette_bw_bg_colors - lto-llvm-ea22a7.o(.text.FFT_Init) refers to lto-llvm-ea22a7.o(.rodata.mag_coeffs) for mag_coeffs - lto-llvm-ea22a7.o(.text.FFT_Init) refers to lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_I) for IIR_biquad_Zoom_FFT_I - lto-llvm-ea22a7.o(.text.FFT_Init) refers to lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_Q) for IIR_biquad_Zoom_FFT_Q - lto-llvm-ea22a7.o(.text.FFT_Init) refers to rt_memclr_w.o(.text) for __aeabi_memclr4 - lto-llvm-ea22a7.o(.text.FFT_Init) refers to lto-llvm-ea22a7.o(.rodata.FirZoomFFTDecimate) for FirZoomFFTDecimate - lto-llvm-ea22a7.o(.text.FFT_Init) refers to lto-llvm-ea22a7.o(.bss.decimZoomFFTIState) for decimZoomFFTIState - lto-llvm-ea22a7.o(.text.FFT_Init) refers to lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_I) for DECIMATE_ZOOM_FFT_I - lto-llvm-ea22a7.o(.text.FFT_Init) refers to lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_Q) for DECIMATE_ZOOM_FFT_Q - lto-llvm-ea22a7.o(.text.FFT_Init) refers to lto-llvm-ea22a7.o(.bss.decimZoomFFTQState) for decimZoomFFTQState - lto-llvm-ea22a7.o(.text.FFT_Init) refers to lto-llvm-ea22a7.o(.bss.zoomed_width) for zoomed_width - lto-llvm-ea22a7.o(.text.FFT_Init) refers to lto-llvm-ea22a7.o(.IRAM1) for fft_output_buffer - lto-llvm-ea22a7.o(.text.FFT_Init) refers to rt_memclr.o(.text) for __aeabi_memclr - lto-llvm-ea22a7.o(.text.FFT_Init) refers to aeabi_memset4.o(.text) for __aeabi_memset8 - lto-llvm-ea22a7.o(.text.FFT_Init) refers to lto-llvm-ea22a7.o(.bss.FFTInputCharge) for FFTInputCharge - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_Init) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_Init) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_Init) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_Init) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_Init) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_Init) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_Init) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_Init) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_Init) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_Init) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_Init) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_Init) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_Init) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_Init) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_Init) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_Init) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_Init) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_Init) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_Init) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_Init) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_Init) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_Init) refers to lto-llvm-ea22a7.o(.text.FFT_Init) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.FFT_afterPrintFFT) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.FFT_afterPrintFFT) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.FFT_afterPrintFFT) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.FFT_afterPrintFFT) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.FFT_afterPrintFFT) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.FFT_afterPrintFFT) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.FFT_afterPrintFFT) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.FFT_afterPrintFFT) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.FFT_afterPrintFFT) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.FFT_afterPrintFFT) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.FFT_afterPrintFFT) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.FFT_afterPrintFFT) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.FFT_afterPrintFFT) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.FFT_afterPrintFFT) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.FFT_afterPrintFFT) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.FFT_afterPrintFFT) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.FFT_afterPrintFFT) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.FFT_afterPrintFFT) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.FFT_afterPrintFFT) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.FFT_afterPrintFFT) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.FFT_afterPrintFFT) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.FFT_afterPrintFFT) refers to lto-llvm-ea22a7.o(.bss.print_fft_dma_estimated_size) for print_fft_dma_estimated_size - lto-llvm-ea22a7.o(.text.FFT_afterPrintFFT) refers to lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream5) for hdma_memtomem_dma2_stream5 - lto-llvm-ea22a7.o(.text.FFT_afterPrintFFT) refers to lto-llvm-ea22a7.o(.IRAM1) for fft_output_buffer - lto-llvm-ea22a7.o(.text.FFT_afterPrintFFT) refers to lto-llvm-ea22a7.o(.bss.bandmap_line_tmp) for bandmap_line_tmp - lto-llvm-ea22a7.o(.text.FFT_afterPrintFFT) refers to rt_memclr_w.o(.text) for __aeabi_memclr4 - lto-llvm-ea22a7.o(.text.FFT_afterPrintFFT) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.FFT_afterPrintFFT) refers to lto-llvm-ea22a7.o(.text.getBandFromFreq) for getBandFromFreq - lto-llvm-ea22a7.o(.text.FFT_afterPrintFFT) refers to lto-llvm-ea22a7.o(.bss.print_wtf_yindex) for print_wtf_yindex - lto-llvm-ea22a7.o(.text.FFT_afterPrintFFT) refers to lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) for FFT_printWaterfallDMA - lto-llvm-ea22a7.o(.text.FFT_afterPrintFFT) refers to lto-llvm-ea22a7.o(.data.COLOR) for COLOR - lto-llvm-ea22a7.o(.text.FFT_afterPrintFFT) refers to lto-llvm-ea22a7.o(.rodata.BANDS) for BANDS - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_afterPrintFFT) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_afterPrintFFT) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_afterPrintFFT) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_afterPrintFFT) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_afterPrintFFT) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_afterPrintFFT) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_afterPrintFFT) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_afterPrintFFT) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_afterPrintFFT) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_afterPrintFFT) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_afterPrintFFT) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_afterPrintFFT) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_afterPrintFFT) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_afterPrintFFT) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_afterPrintFFT) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_afterPrintFFT) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_afterPrintFFT) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_afterPrintFFT) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_afterPrintFFT) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_afterPrintFFT) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_afterPrintFFT) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_afterPrintFFT) refers to lto-llvm-ea22a7.o(.text.FFT_afterPrintFFT) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers to lto-llvm-ea22a7.o(.bss.print_wtf_yindex) for print_wtf_yindex - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers to lto-llvm-ea22a7.o(.bss.currentFFTFreq) for currentFFTFreq - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers to lto-llvm-ea22a7.o(.bss.wtf_buffer_freqs) for wtf_buffer_freqs - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers to floorf.o(i.floorf) for floorf - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers to ceilf.o(i.ceilf) for ceilf - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers to lto-llvm-ea22a7.o(.IRAM1) for wtf_output_line - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers to lto-llvm-ea22a7.o(.bss.palette_fft) for palette_fft - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers to lto-llvm-ea22a7.o(.data.COLOR) for COLOR - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers to aeabi_memset.o(.text) for __aeabi_memset - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers to lto-llvm-ea22a7.o(.bss.bw_line_start) for bw_line_start - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers to lto-llvm-ea22a7.o(.bss.bw_line_end) for bw_line_end - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers to lto-llvm-ea22a7.o(.bss.palette_bw_fft_colors) for palette_bw_fft_colors - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers to lto-llvm-ea22a7.o(.bss.FFT_FPS) for FFT_FPS - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers to lto-llvm-ea22a7.o(.bss.FFT_need_fft) for FFT_need_fft - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers to lto-llvm-ea22a7.o(.bss.LCD_busy) for LCD_busy - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers to lto-llvm-ea22a7.o(.data.grid_lines_pos) for grid_lines_pos - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers to lto-llvm-ea22a7.o(.bss.bw_line_center) for bw_line_center - lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) refers to lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream6) for hdma_memtomem_dma2_stream6 - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_printWaterfallDMA) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_printWaterfallDMA) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_printWaterfallDMA) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_printWaterfallDMA) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_printWaterfallDMA) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_printWaterfallDMA) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_printWaterfallDMA) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_printWaterfallDMA) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_printWaterfallDMA) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_printWaterfallDMA) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_printWaterfallDMA) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_printWaterfallDMA) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_printWaterfallDMA) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_printWaterfallDMA) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_printWaterfallDMA) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_printWaterfallDMA) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_printWaterfallDMA) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_printWaterfallDMA) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_printWaterfallDMA) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_printWaterfallDMA) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_printWaterfallDMA) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_printWaterfallDMA) refers to lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.readFromCircleBuffer32) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.readFromCircleBuffer32) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.readFromCircleBuffer32) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.readFromCircleBuffer32) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.readFromCircleBuffer32) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.readFromCircleBuffer32) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.readFromCircleBuffer32) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.readFromCircleBuffer32) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.readFromCircleBuffer32) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.readFromCircleBuffer32) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.readFromCircleBuffer32) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.readFromCircleBuffer32) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.readFromCircleBuffer32) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.readFromCircleBuffer32) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.readFromCircleBuffer32) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.readFromCircleBuffer32) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.readFromCircleBuffer32) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.readFromCircleBuffer32) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.readFromCircleBuffer32) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.readFromCircleBuffer32) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.readFromCircleBuffer32) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.readFromCircleBuffer32) refers to lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream0) for hdma_memtomem_dma2_stream0 - lto-llvm-ea22a7.o(.text.readFromCircleBuffer32) refers to lto-llvm-ea22a7.o(.text.HAL_DMA_PollForTransfer) for HAL_DMA_PollForTransfer - lto-llvm-ea22a7.o(.ARM.exidx.text.readFromCircleBuffer32) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.readFromCircleBuffer32) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.readFromCircleBuffer32) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.readFromCircleBuffer32) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.readFromCircleBuffer32) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.readFromCircleBuffer32) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.readFromCircleBuffer32) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.readFromCircleBuffer32) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.readFromCircleBuffer32) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.readFromCircleBuffer32) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.readFromCircleBuffer32) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.readFromCircleBuffer32) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.readFromCircleBuffer32) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.readFromCircleBuffer32) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.readFromCircleBuffer32) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.readFromCircleBuffer32) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.readFromCircleBuffer32) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.readFromCircleBuffer32) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.readFromCircleBuffer32) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.readFromCircleBuffer32) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.readFromCircleBuffer32) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.readFromCircleBuffer32) refers to lto-llvm-ea22a7.o(.text.readFromCircleBuffer32) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.sendToDebug_strln) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.sendToDebug_strln) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.sendToDebug_strln) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.sendToDebug_strln) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.sendToDebug_strln) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.sendToDebug_strln) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.sendToDebug_strln) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.sendToDebug_strln) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.sendToDebug_strln) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.sendToDebug_strln) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.sendToDebug_strln) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.sendToDebug_strln) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.sendToDebug_strln) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.sendToDebug_strln) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.sendToDebug_strln) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.sendToDebug_strln) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.sendToDebug_strln) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.sendToDebug_strln) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.sendToDebug_strln) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.sendToDebug_strln) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.sendToDebug_strln) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.sendToDebug_strln) refers to strlen.o(.text) for strlen - lto-llvm-ea22a7.o(.text.sendToDebug_strln) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo_head) for debug_tx_fifo_head - lto-llvm-ea22a7.o(.text.sendToDebug_strln) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo) for debug_tx_fifo - lto-llvm-ea22a7.o(.text.sendToDebug_strln) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo_tail) for debug_tx_fifo_tail - lto-llvm-ea22a7.o(.text.sendToDebug_strln) refers to lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) for DEBUG_Transmit_FIFO_Events - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_strln) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_strln) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_strln) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_strln) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_strln) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_strln) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_strln) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_strln) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_strln) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_strln) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_strln) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_strln) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_strln) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_strln) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_strln) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_strln) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_strln) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_strln) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_strln) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_strln) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_strln) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_strln) refers to lto-llvm-ea22a7.o(.text.sendToDebug_strln) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.sendToDebug_str3) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.sendToDebug_str3) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.sendToDebug_str3) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.sendToDebug_str3) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.sendToDebug_str3) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.sendToDebug_str3) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.sendToDebug_str3) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.sendToDebug_str3) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.sendToDebug_str3) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.sendToDebug_str3) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.sendToDebug_str3) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.sendToDebug_str3) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.sendToDebug_str3) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.sendToDebug_str3) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.sendToDebug_str3) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.sendToDebug_str3) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.sendToDebug_str3) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.sendToDebug_str3) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.sendToDebug_str3) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.sendToDebug_str3) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.sendToDebug_str3) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.sendToDebug_str3) refers to strlen.o(.text) for strlen - lto-llvm-ea22a7.o(.text.sendToDebug_str3) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo_head) for debug_tx_fifo_head - lto-llvm-ea22a7.o(.text.sendToDebug_str3) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo_tail) for debug_tx_fifo_tail - lto-llvm-ea22a7.o(.text.sendToDebug_str3) refers to lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) for DEBUG_Transmit_FIFO_Events - lto-llvm-ea22a7.o(.text.sendToDebug_str3) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo) for debug_tx_fifo - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_str3) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_str3) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_str3) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_str3) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_str3) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_str3) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_str3) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_str3) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_str3) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_str3) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_str3) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_str3) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_str3) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_str3) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_str3) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_str3) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_str3) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_str3) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_str3) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_str3) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_str3) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_str3) refers to lto-llvm-ea22a7.o(.text.sendToDebug_str3) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.sendToDebug_uint8) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.sendToDebug_uint8) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.sendToDebug_uint8) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.sendToDebug_uint8) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.sendToDebug_uint8) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.sendToDebug_uint8) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.sendToDebug_uint8) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.sendToDebug_uint8) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.sendToDebug_uint8) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.sendToDebug_uint8) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.sendToDebug_uint8) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.sendToDebug_uint8) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.sendToDebug_uint8) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.sendToDebug_uint8) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.sendToDebug_uint8) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.sendToDebug_uint8) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.sendToDebug_uint8) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.sendToDebug_uint8) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.sendToDebug_uint8) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.sendToDebug_uint8) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.sendToDebug_uint8) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.sendToDebug_uint8) refers to rt_memclr_w.o(.text) for __aeabi_memclr4 - lto-llvm-ea22a7.o(.text.sendToDebug_uint8) refers to lto-llvm-ea22a7.o(.rodata.str1.1) for .L.str.18.475 - lto-llvm-ea22a7.o(.text.sendToDebug_uint8) refers to noretval__2sprintf.o(.text) for __2sprintf - lto-llvm-ea22a7.o(.text.sendToDebug_uint8) refers to strlen.o(.text) for strlen - lto-llvm-ea22a7.o(.text.sendToDebug_uint8) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo_head) for debug_tx_fifo_head - lto-llvm-ea22a7.o(.text.sendToDebug_uint8) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo) for debug_tx_fifo - lto-llvm-ea22a7.o(.text.sendToDebug_uint8) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo_tail) for debug_tx_fifo_tail - lto-llvm-ea22a7.o(.text.sendToDebug_uint8) refers to lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) for DEBUG_Transmit_FIFO_Events - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint8) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint8) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint8) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint8) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint8) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint8) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint8) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint8) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint8) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint8) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint8) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint8) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint8) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint8) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint8) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint8) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint8) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint8) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint8) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint8) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint8) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint8) refers to lto-llvm-ea22a7.o(.text.sendToDebug_uint8) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.sendToDebug_uint16) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.sendToDebug_uint16) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.sendToDebug_uint16) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.sendToDebug_uint16) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.sendToDebug_uint16) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.sendToDebug_uint16) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.sendToDebug_uint16) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.sendToDebug_uint16) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.sendToDebug_uint16) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.sendToDebug_uint16) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.sendToDebug_uint16) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.sendToDebug_uint16) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.sendToDebug_uint16) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.sendToDebug_uint16) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.sendToDebug_uint16) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.sendToDebug_uint16) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.sendToDebug_uint16) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.sendToDebug_uint16) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.sendToDebug_uint16) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.sendToDebug_uint16) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.sendToDebug_uint16) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.sendToDebug_uint16) refers to rt_memclr_w.o(.text) for __aeabi_memclr4 - lto-llvm-ea22a7.o(.text.sendToDebug_uint16) refers to lto-llvm-ea22a7.o(.rodata.str1.1) for .L.str.2 - lto-llvm-ea22a7.o(.text.sendToDebug_uint16) refers to noretval__2sprintf.o(.text) for __2sprintf - lto-llvm-ea22a7.o(.text.sendToDebug_uint16) refers to strlen.o(.text) for strlen - lto-llvm-ea22a7.o(.text.sendToDebug_uint16) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo_head) for debug_tx_fifo_head - lto-llvm-ea22a7.o(.text.sendToDebug_uint16) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo) for debug_tx_fifo - lto-llvm-ea22a7.o(.text.sendToDebug_uint16) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo_tail) for debug_tx_fifo_tail - lto-llvm-ea22a7.o(.text.sendToDebug_uint16) refers to lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) for DEBUG_Transmit_FIFO_Events - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint16) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint16) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint16) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint16) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint16) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint16) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint16) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint16) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint16) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint16) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint16) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint16) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint16) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint16) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint16) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint16) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint16) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint16) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint16) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint16) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint16) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint16) refers to lto-llvm-ea22a7.o(.text.sendToDebug_uint16) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.sendToDebug_uint32) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.sendToDebug_uint32) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.sendToDebug_uint32) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.sendToDebug_uint32) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.sendToDebug_uint32) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.sendToDebug_uint32) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.sendToDebug_uint32) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.sendToDebug_uint32) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.sendToDebug_uint32) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.sendToDebug_uint32) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.sendToDebug_uint32) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.sendToDebug_uint32) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.sendToDebug_uint32) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.sendToDebug_uint32) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.sendToDebug_uint32) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.sendToDebug_uint32) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.sendToDebug_uint32) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.sendToDebug_uint32) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.sendToDebug_uint32) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.sendToDebug_uint32) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.sendToDebug_uint32) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.sendToDebug_uint32) refers to rt_memclr_w.o(.text) for __aeabi_memclr4 - lto-llvm-ea22a7.o(.text.sendToDebug_uint32) refers to lto-llvm-ea22a7.o(.rodata.str1.1) for .L.str.16.473 - lto-llvm-ea22a7.o(.text.sendToDebug_uint32) refers to noretval__2sprintf.o(.text) for __2sprintf - lto-llvm-ea22a7.o(.text.sendToDebug_uint32) refers to strlen.o(.text) for strlen - lto-llvm-ea22a7.o(.text.sendToDebug_uint32) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo_head) for debug_tx_fifo_head - lto-llvm-ea22a7.o(.text.sendToDebug_uint32) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo) for debug_tx_fifo - lto-llvm-ea22a7.o(.text.sendToDebug_uint32) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo_tail) for debug_tx_fifo_tail - lto-llvm-ea22a7.o(.text.sendToDebug_uint32) refers to lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) for DEBUG_Transmit_FIFO_Events - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint32) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint32) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint32) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint32) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint32) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint32) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint32) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint32) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint32) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint32) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint32) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint32) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint32) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint32) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint32) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint32) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint32) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint32) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint32) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint32) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint32) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint32) refers to lto-llvm-ea22a7.o(.text.sendToDebug_uint32) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.sendToDebug_int16) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.sendToDebug_int16) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.sendToDebug_int16) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.sendToDebug_int16) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.sendToDebug_int16) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.sendToDebug_int16) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.sendToDebug_int16) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.sendToDebug_int16) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.sendToDebug_int16) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.sendToDebug_int16) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.sendToDebug_int16) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.sendToDebug_int16) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.sendToDebug_int16) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.sendToDebug_int16) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.sendToDebug_int16) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.sendToDebug_int16) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.sendToDebug_int16) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.sendToDebug_int16) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.sendToDebug_int16) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.sendToDebug_int16) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.sendToDebug_int16) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.sendToDebug_int16) refers to rt_memclr_w.o(.text) for __aeabi_memclr4 - lto-llvm-ea22a7.o(.text.sendToDebug_int16) refers to lto-llvm-ea22a7.o(.rodata.str1.1) for .L.str.18.475 - lto-llvm-ea22a7.o(.text.sendToDebug_int16) refers to noretval__2sprintf.o(.text) for __2sprintf - lto-llvm-ea22a7.o(.text.sendToDebug_int16) refers to strlen.o(.text) for strlen - lto-llvm-ea22a7.o(.text.sendToDebug_int16) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo_head) for debug_tx_fifo_head - lto-llvm-ea22a7.o(.text.sendToDebug_int16) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo) for debug_tx_fifo - lto-llvm-ea22a7.o(.text.sendToDebug_int16) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo_tail) for debug_tx_fifo_tail - lto-llvm-ea22a7.o(.text.sendToDebug_int16) refers to lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) for DEBUG_Transmit_FIFO_Events - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_int16) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_int16) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_int16) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_int16) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_int16) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_int16) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_int16) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_int16) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_int16) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_int16) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_int16) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_int16) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_int16) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_int16) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_int16) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_int16) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_int16) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_int16) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_int16) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_int16) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_int16) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_int16) refers to lto-llvm-ea22a7.o(.text.sendToDebug_int16) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.sendToDebug_float32) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.sendToDebug_float32) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.sendToDebug_float32) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.sendToDebug_float32) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.sendToDebug_float32) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.sendToDebug_float32) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.sendToDebug_float32) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.sendToDebug_float32) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.sendToDebug_float32) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.sendToDebug_float32) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.sendToDebug_float32) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.sendToDebug_float32) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.sendToDebug_float32) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.sendToDebug_float32) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.sendToDebug_float32) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.sendToDebug_float32) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.sendToDebug_float32) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.sendToDebug_float32) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.sendToDebug_float32) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.sendToDebug_float32) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.sendToDebug_float32) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.sendToDebug_float32) refers to rt_memclr_w.o(.text) for __aeabi_memclr4 - lto-llvm-ea22a7.o(.text.sendToDebug_float32) refers to f2d.o(x$fpl$f2d) for __aeabi_f2d - lto-llvm-ea22a7.o(.text.sendToDebug_float32) refers to noretval__2sprintf.o(.text) for __2sprintf - lto-llvm-ea22a7.o(.text.sendToDebug_float32) refers to strlen.o(.text) for strlen - lto-llvm-ea22a7.o(.text.sendToDebug_float32) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo_head) for debug_tx_fifo_head - lto-llvm-ea22a7.o(.text.sendToDebug_float32) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo) for debug_tx_fifo - lto-llvm-ea22a7.o(.text.sendToDebug_float32) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo_tail) for debug_tx_fifo_tail - lto-llvm-ea22a7.o(.text.sendToDebug_float32) refers to lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) for DEBUG_Transmit_FIFO_Events - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_float32) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_float32) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_float32) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_float32) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_float32) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_float32) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_float32) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_float32) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_float32) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_float32) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_float32) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_float32) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_float32) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_float32) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_float32) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_float32) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_float32) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_float32) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_float32) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_float32) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_float32) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_float32) refers to lto-llvm-ea22a7.o(.text.sendToDebug_float32) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.addSymbols) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.addSymbols) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.addSymbols) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.addSymbols) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.addSymbols) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.addSymbols) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.addSymbols) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.addSymbols) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.addSymbols) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.addSymbols) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.addSymbols) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.addSymbols) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.addSymbols) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.addSymbols) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.addSymbols) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.addSymbols) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.addSymbols) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.addSymbols) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.addSymbols) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.addSymbols) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.addSymbols) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.addSymbols) refers to rt_memclr_w.o(.text) for __aeabi_memclr4 - lto-llvm-ea22a7.o(.text.addSymbols) refers to strcpy.o(.text) for strcpy - lto-llvm-ea22a7.o(.text.addSymbols) refers to strlen.o(.text) for strlen - lto-llvm-ea22a7.o(.text.addSymbols) refers to strcat.o(.text) for strcat - lto-llvm-ea22a7.o(.ARM.exidx.text.addSymbols) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.addSymbols) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.addSymbols) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.addSymbols) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.addSymbols) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.addSymbols) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.addSymbols) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.addSymbols) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.addSymbols) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.addSymbols) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.addSymbols) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.addSymbols) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.addSymbols) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.addSymbols) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.addSymbols) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.addSymbols) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.addSymbols) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.addSymbols) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.addSymbols) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.addSymbols) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.addSymbols) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.addSymbols) refers to lto-llvm-ea22a7.o(.text.addSymbols) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SPI_Transmit) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SPI_Transmit) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SPI_Transmit) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SPI_Transmit) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SPI_Transmit) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SPI_Transmit) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SPI_Transmit) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SPI_Transmit) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SPI_Transmit) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SPI_Transmit) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SPI_Transmit) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SPI_Transmit) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SPI_Transmit) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SPI_Transmit) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SPI_Transmit) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SPI_Transmit) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SPI_Transmit) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SPI_Transmit) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SPI_Transmit) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SPI_Transmit) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SPI_Transmit) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SPI_Transmit) refers to lto-llvm-ea22a7.o(.bss.SPI_busy) for SPI_busy - lto-llvm-ea22a7.o(.text.SPI_Transmit) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo_head) for debug_tx_fifo_head - lto-llvm-ea22a7.o(.text.SPI_Transmit) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo) for debug_tx_fifo - lto-llvm-ea22a7.o(.text.SPI_Transmit) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo_tail) for debug_tx_fifo_tail - lto-llvm-ea22a7.o(.text.SPI_Transmit) refers to lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) for DEBUG_Transmit_FIFO_Events - lto-llvm-ea22a7.o(.text.SPI_Transmit) refers to lto-llvm-ea22a7.o(.bss.hspi2) for hspi2 - lto-llvm-ea22a7.o(.text.SPI_Transmit) refers to lto-llvm-ea22a7.o(.text.HAL_GPIO_Init) for HAL_GPIO_Init - lto-llvm-ea22a7.o(.text.SPI_Transmit) refers to rt_memclr.o(.text) for __aeabi_memclr - lto-llvm-ea22a7.o(.text.SPI_Transmit) refers to lto-llvm-ea22a7.o(.bss.uwTick) for uwTick - lto-llvm-ea22a7.o(.text.SPI_Transmit) refers to lto-llvm-ea22a7.o(.text.HAL_SPI_TransmitReceive) for HAL_SPI_TransmitReceive - lto-llvm-ea22a7.o(.text.SPI_Transmit) refers to lto-llvm-ea22a7.o(.data.SystemCoreClock) for SystemCoreClock - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI_Transmit) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI_Transmit) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI_Transmit) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI_Transmit) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI_Transmit) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI_Transmit) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI_Transmit) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI_Transmit) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI_Transmit) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI_Transmit) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI_Transmit) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI_Transmit) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI_Transmit) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI_Transmit) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI_Transmit) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI_Transmit) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI_Transmit) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI_Transmit) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI_Transmit) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI_Transmit) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI_Transmit) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI_Transmit) refers to lto-llvm-ea22a7.o(.text.SPI_Transmit) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_PRE) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_PRE) refers to lto-llvm-ea22a7.o(.text.getBandFromFreq) for getBandFromFreq - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_PRE) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_PRE) refers to lto-llvm-ea22a7.o(.bss.NeedSaveSettings) for NeedSaveSettings - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_PRE) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_PRE) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_PRE) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATT) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATT) refers to lto-llvm-ea22a7.o(.text.getBandFromFreq) for getBandFromFreq - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATT) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATT) refers to lto-llvm-ea22a7.o(.bss.NeedSaveSettings) for NeedSaveSettings - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATT) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATT) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATT) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers to lto-llvm-ea22a7.o(.text.getBandFromFreq) for getBandFromFreq - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers to lto-llvm-ea22a7.o(.bss.NeedSaveSettings) for NeedSaveSettings - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers to lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) for LCD_systemMenuOpened - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers to lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) for sysmenu_handlers_selected - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) for sysmenu_handlers - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers to lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) for sysmenu_item_count_selected - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_item_count) for sysmenu_item_count - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers to lto-llvm-ea22a7.o(.bss.sysmenu_onroot) for sysmenu_onroot - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers to lto-llvm-ea22a7.o(.bss.systemMenuRootIndex) for systemMenuRootIndex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers to lto-llvm-ea22a7.o(.bss.systemMenuIndex) for systemMenuIndex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers to lto-llvm-ea22a7.o(.data.LCD_last_s_meter) for LCD_last_s_meter - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers to lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) for LCD_last_showed_freq - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers to lto-llvm-ea22a7.o(.data.Last_showed_Hours) for Last_showed_Hours - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers to lto-llvm-ea22a7.o(.data.Last_showed_Minutes) for Last_showed_Minutes - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers to lto-llvm-ea22a7.o(.data.Last_showed_Seconds) for Last_showed_Seconds - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) for LCD_last_showed_freq_mhz - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) for LCD_last_showed_freq_khz - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) for LCD_last_showed_freq_hz - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) for sysmenu_audio_handlers - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_audio_item_count) for sysmenu_audio_item_count - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BW) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BW) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers to lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) for LCD_systemMenuOpened - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers to lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) for sysmenu_handlers_selected - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) for sysmenu_handlers - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers to lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) for sysmenu_item_count_selected - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_item_count) for sysmenu_item_count - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers to lto-llvm-ea22a7.o(.bss.sysmenu_onroot) for sysmenu_onroot - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers to lto-llvm-ea22a7.o(.bss.systemMenuRootIndex) for systemMenuRootIndex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers to lto-llvm-ea22a7.o(.bss.systemMenuIndex) for systemMenuIndex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) for sysmenu_audio_handlers - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_audio_item_count) for sysmenu_audio_item_count - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers to lto-llvm-ea22a7.o(.data.LCD_last_s_meter) for LCD_last_s_meter - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers to lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) for LCD_last_showed_freq - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers to lto-llvm-ea22a7.o(.data.Last_showed_Hours) for Last_showed_Hours - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers to lto-llvm-ea22a7.o(.data.Last_showed_Minutes) for Last_showed_Minutes - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers to lto-llvm-ea22a7.o(.data.Last_showed_Seconds) for Last_showed_Seconds - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) for LCD_last_showed_freq_mhz - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) for LCD_last_showed_freq_khz - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) for LCD_last_showed_freq_hz - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_HPF) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_HPF) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) refers to lto-llvm-ea22a7.o(.bss.WM8731_Muting) for WM8731_Muting - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) refers to lto-llvm-ea22a7.o(.bss.uwTick) for uwTick - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) refers to lto-llvm-ea22a7.o(.bss.TRX_Temporary_Mute_StartTime) for TRX_Temporary_Mute_StartTime - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) refers to lto-llvm-ea22a7.o(.text.TRX_setFrequency) for TRX_setFrequency - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) refers to lto-llvm-ea22a7.o(.text.TRX_setMode) for TRX_setMode - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) refers to lto-llvm-ea22a7.o(.bss.NeedSaveSettings) for NeedSaveSettings - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) refers to lto-llvm-ea22a7.o(.bss.NeedReinitAudioFilters) for NeedReinitAudioFilters - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) refers to lto-llvm-ea22a7.o(.data.LCD_last_s_meter) for LCD_last_s_meter - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) refers to lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) for LCD_last_showed_freq - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) refers to lto-llvm-ea22a7.o(.data.Last_showed_Hours) for Last_showed_Hours - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) refers to lto-llvm-ea22a7.o(.data.Last_showed_Minutes) for Last_showed_Minutes - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) refers to lto-llvm-ea22a7.o(.data.Last_showed_Seconds) for Last_showed_Seconds - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) for LCD_last_showed_freq_mhz - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) for LCD_last_showed_freq_khz - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) for LCD_last_showed_freq_hz - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AsB) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AsB) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ArB) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ArB) refers to lto-llvm-ea22a7.o(.bss.uwTick) for uwTick - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ArB) refers to lto-llvm-ea22a7.o(.bss.Tooltip_DiplayStartTime) for Tooltip_DiplayStartTime - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ArB) refers to lto-llvm-ea22a7.o(.bss.Tooltip_string) for Tooltip_string - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ArB) refers to lto-llvm-ea22a7.o(.bss.Tooltip_first_draw) for Tooltip_first_draw - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ArB) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ArB) refers to lto-llvm-ea22a7.o(.bss.NeedSaveSettings) for NeedSaveSettings - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ArB) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ArB) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ArB) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers to lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) for LCD_systemMenuOpened - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers to lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) for sysmenu_handlers_selected - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) for sysmenu_handlers - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers to lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) for sysmenu_item_count_selected - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_item_count) for sysmenu_item_count - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers to lto-llvm-ea22a7.o(.bss.sysmenu_onroot) for sysmenu_onroot - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers to lto-llvm-ea22a7.o(.bss.systemMenuRootIndex) for systemMenuRootIndex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers to lto-llvm-ea22a7.o(.bss.systemMenuIndex) for systemMenuIndex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) for sysmenu_trx_handlers - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_trx_item_count) for sysmenu_trx_item_count - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers to lto-llvm-ea22a7.o(.data.LCD_last_s_meter) for LCD_last_s_meter - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers to lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) for LCD_last_showed_freq - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers to lto-llvm-ea22a7.o(.data.Last_showed_Hours) for Last_showed_Hours - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers to lto-llvm-ea22a7.o(.data.Last_showed_Minutes) for Last_showed_Minutes - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers to lto-llvm-ea22a7.o(.data.Last_showed_Seconds) for Last_showed_Seconds - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) for LCD_last_showed_freq_mhz - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) for LCD_last_showed_freq_khz - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) for LCD_last_showed_freq_hz - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers to lto-llvm-ea22a7.o(.bss.TRX_Tune) for TRX_Tune - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers to lto-llvm-ea22a7.o(.bss.TRX_ptt_hard) for TRX_ptt_hard - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers to lto-llvm-ea22a7.o(.bss.NeedSaveSettings) for NeedSaveSettings - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers to lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) for TRX_Restart_Mode - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_TUNE) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_TUNE) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers to lto-llvm-ea22a7.o(.bss.WM8731_Muting) for WM8731_Muting - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers to lto-llvm-ea22a7.o(.bss.uwTick) for uwTick - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers to lto-llvm-ea22a7.o(.bss.TRX_Temporary_Mute_StartTime) for TRX_Temporary_Mute_StartTime - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers to lto-llvm-ea22a7.o(.bss.lms2_normCoeff_f32) for lms2_normCoeff_f32 - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers to rt_memclr_w.o(.text) for __aeabi_memclr4 - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers to lto-llvm-ea22a7.o(.bss.lms2_reference) for lms2_reference - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers to lto-llvm-ea22a7.o(.bss.reference_index_old) for reference_index_old - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers to lto-llvm-ea22a7.o(.bss.reference_index_new) for reference_index_new - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers to lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.0) for lms2_Norm_instance.0 - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers to lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.2) for lms2_Norm_instance.2 - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers to lto-llvm-ea22a7.o(.bss.lms2_stateF32) for lms2_stateF32 - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers to lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.1) for lms2_Norm_instance.1 - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers to lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.3) for lms2_Norm_instance.3 - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers to lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.4) for lms2_Norm_instance.4 - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers to lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.5) for lms2_Norm_instance.5 - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers to lto-llvm-ea22a7.o(.bss.NeedSaveSettings) for NeedSaveSettings - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_NOTCH) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_FAST) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_FAST) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_FAST) refers to lto-llvm-ea22a7.o(.bss.NeedSaveSettings) for NeedSaveSettings - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_FAST) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_FAST) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_FAST) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC) refers to lto-llvm-ea22a7.o(.text.getBandFromFreq) for getBandFromFreq - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC) refers to lto-llvm-ea22a7.o(.bss.NeedSaveSettings) for NeedSaveSettings - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers to lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) for LCD_systemMenuOpened - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers to lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) for sysmenu_handlers_selected - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) for sysmenu_handlers - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers to lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) for sysmenu_item_count_selected - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_item_count) for sysmenu_item_count - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers to lto-llvm-ea22a7.o(.bss.sysmenu_onroot) for sysmenu_onroot - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers to lto-llvm-ea22a7.o(.bss.systemMenuRootIndex) for systemMenuRootIndex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers to lto-llvm-ea22a7.o(.bss.systemMenuIndex) for systemMenuIndex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) for sysmenu_audio_handlers - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_audio_item_count) for sysmenu_audio_item_count - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers to lto-llvm-ea22a7.o(.data.LCD_last_s_meter) for LCD_last_s_meter - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers to lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) for LCD_last_showed_freq - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers to lto-llvm-ea22a7.o(.data.Last_showed_Hours) for Last_showed_Hours - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers to lto-llvm-ea22a7.o(.data.Last_showed_Minutes) for Last_showed_Minutes - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers to lto-llvm-ea22a7.o(.data.Last_showed_Seconds) for Last_showed_Seconds - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) for LCD_last_showed_freq_mhz - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) for LCD_last_showed_freq_khz - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) for LCD_last_showed_freq_hz - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers to lto-llvm-ea22a7.o(.bss.NeedSaveSettings) for NeedSaveSettings - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_CLAR) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_CLAR) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers to lto-llvm-ea22a7.o(.bss.TRX_Mute) for TRX_Mute - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers to lto-llvm-ea22a7.o(.bss.NeedSaveSettings) for NeedSaveSettings - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MUTE) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MUTE) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers to lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) for LCD_systemMenuOpened - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers to lto-llvm-ea22a7.o(.bss.NeedSaveSettings) for NeedSaveSettings - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_LOCK) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_LOCK) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers to lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) for LCD_systemMenuOpened - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers to lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) for sysmenu_handlers_selected - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) for sysmenu_handlers - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers to lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) for sysmenu_item_count_selected - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_item_count) for sysmenu_item_count - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers to lto-llvm-ea22a7.o(.bss.sysmenu_onroot) for sysmenu_onroot - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers to lto-llvm-ea22a7.o(.bss.systemMenuRootIndex) for systemMenuRootIndex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers to lto-llvm-ea22a7.o(.bss.systemMenuIndex) for systemMenuIndex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) for sysmenu_audio_handlers - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_audio_item_count) for sysmenu_audio_item_count - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers to lto-llvm-ea22a7.o(.data.LCD_last_s_meter) for LCD_last_s_meter - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers to lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) for LCD_last_showed_freq - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers to lto-llvm-ea22a7.o(.data.Last_showed_Hours) for Last_showed_Hours - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers to lto-llvm-ea22a7.o(.data.Last_showed_Minutes) for Last_showed_Minutes - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers to lto-llvm-ea22a7.o(.data.Last_showed_Seconds) for Last_showed_Seconds - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) for LCD_last_showed_freq_mhz - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) for LCD_last_showed_freq_khz - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) for LCD_last_showed_freq_hz - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_VOLUME) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers to lto-llvm-ea22a7.o(.bss.uwTick) for uwTick - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers to lto-llvm-ea22a7.o(.bss.Tooltip_DiplayStartTime) for Tooltip_DiplayStartTime - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers to lto-llvm-ea22a7.o(.bss.Tooltip_string) for Tooltip_string - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers to strcpy.o(.text) for strcpy - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers to lto-llvm-ea22a7.o(.bss.Tooltip_first_draw) for Tooltip_first_draw - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers to lto-llvm-ea22a7.o(.bss.NeedSaveSettings) for NeedSaveSettings - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers to lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) for LCD_systemMenuOpened - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers to lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) for sysmenu_handlers_selected - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) for sysmenu_handlers - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers to lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) for sysmenu_item_count_selected - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_item_count) for sysmenu_item_count - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers to lto-llvm-ea22a7.o(.bss.sysmenu_onroot) for sysmenu_onroot - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers to lto-llvm-ea22a7.o(.bss.systemMenuRootIndex) for systemMenuRootIndex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers to lto-llvm-ea22a7.o(.bss.systemMenuIndex) for systemMenuIndex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) for sysmenu_cw_handlers - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_cw_item_count) for sysmenu_cw_item_count - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers to lto-llvm-ea22a7.o(.data.LCD_last_s_meter) for LCD_last_s_meter - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers to lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) for LCD_last_showed_freq - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers to lto-llvm-ea22a7.o(.data.Last_showed_Hours) for Last_showed_Hours - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers to lto-llvm-ea22a7.o(.data.Last_showed_Minutes) for Last_showed_Minutes - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers to lto-llvm-ea22a7.o(.data.Last_showed_Seconds) for Last_showed_Seconds - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) for LCD_last_showed_freq_mhz - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) for LCD_last_showed_freq_khz - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) for LCD_last_showed_freq_hz - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_WPM) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_WPM) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers to lto-llvm-ea22a7.o(.bss.uwTick) for uwTick - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers to lto-llvm-ea22a7.o(.bss.Tooltip_DiplayStartTime) for Tooltip_DiplayStartTime - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers to lto-llvm-ea22a7.o(.bss.Tooltip_string) for Tooltip_string - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers to strcpy.o(.text) for strcpy - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers to lto-llvm-ea22a7.o(.bss.Tooltip_first_draw) for Tooltip_first_draw - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_KEYER) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_KEYER) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.FRONTPANEL_ENCODER_Rotated) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.FRONTPANEL_ENCODER_Rotated) refers to lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) for LCD_systemMenuOpened - lto-llvm-ea22a7.o(.text.FRONTPANEL_ENCODER_Rotated) refers to lto-llvm-ea22a7.o(.bss.sysmenu_trx_setCallsign_menu_opened) for sysmenu_trx_setCallsign_menu_opened - lto-llvm-ea22a7.o(.text.FRONTPANEL_ENCODER_Rotated) refers to lto-llvm-ea22a7.o(.bss.sysmenu_trx_selected_callsign_char_index) for sysmenu_trx_selected_callsign_char_index - lto-llvm-ea22a7.o(.text.FRONTPANEL_ENCODER_Rotated) refers to lto-llvm-ea22a7.o(.text.TRX_setFrequency) for TRX_setFrequency - lto-llvm-ea22a7.o(.text.FRONTPANEL_ENCODER_Rotated) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.FRONTPANEL_ENCODER_Rotated) refers to lto-llvm-ea22a7.o(.bss.NeedSaveSettings) for NeedSaveSettings - lto-llvm-ea22a7.o(.text.FRONTPANEL_ENCODER_Rotated) refers to lto-llvm-ea22a7.o(.bss.sysmenu_timeMenuOpened) for sysmenu_timeMenuOpened - lto-llvm-ea22a7.o(.text.FRONTPANEL_ENCODER_Rotated) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) for SYSMENU_HANDL_SETTIME - lto-llvm-ea22a7.o(.text.FRONTPANEL_ENCODER_Rotated) refers to lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) for sysmenu_handlers_selected - lto-llvm-ea22a7.o(.text.FRONTPANEL_ENCODER_Rotated) refers to lto-llvm-ea22a7.o(.bss.systemMenuIndex) for systemMenuIndex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_ENCODER_Rotated) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_ENCODER_Rotated) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_ENCODER_Rotated) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.bss.SPI_process) for SPI_process - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.data.FRONTPANEL_check_ENC2SW.ENC2SW_Last) for FRONTPANEL_check_ENC2SW.ENC2SW_Last - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) for LCD_systemMenuOpened - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.data.LCD_last_s_meter) for LCD_last_s_meter - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) for LCD_last_showed_freq - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.data.Last_showed_Hours) for Last_showed_Hours - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.data.Last_showed_Minutes) for Last_showed_Minutes - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.data.Last_showed_Seconds) for Last_showed_Seconds - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) for LCD_last_showed_freq_mhz - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) for LCD_last_showed_freq_hz - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.bss.sysmenu_trx_setCallsign_menu_opened) for sysmenu_trx_setCallsign_menu_opened - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.bss.systemMenuIndex) for systemMenuIndex - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) for SYSMENU_drawSystemMenu - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.bss.sysmenu_timeMenuOpened) for sysmenu_timeMenuOpened - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.bss.sysmenu_swr_opened) for sysmenu_swr_opened - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.bss.Lastfreq) for Lastfreq - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.text.TRX_setFrequency) for TRX_setFrequency - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.bss.LastMute) for LastMute - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.bss.TRX_Mute) for TRX_Mute - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.bss.TRX_Tune) for TRX_Tune - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.bss.TRX_ptt_hard) for TRX_ptt_hard - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.bss.TRX_ptt_soft) for TRX_ptt_soft - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) for TRX_Restart_Mode - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.bss.sysmenu_services_opened) for sysmenu_services_opened - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.bss.sysmenu_onroot) for sysmenu_onroot - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) for sysmenu_handlers_selected - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) for sysmenu_handlers - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) for sysmenu_item_count_selected - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_item_count) for sysmenu_item_count - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.bss.systemMenuRootIndex) for systemMenuRootIndex - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) for LCD_last_showed_freq_khz - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.bss.NeedSaveSettings) for NeedSaveSettings - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.bss.sysmenu_hiddenmenu_enabled) for sysmenu_hiddenmenu_enabled - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.bss.NeedSaveCalibration) for NeedSaveCalibration - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_Static_Buttons) for PERIPH_FrontPanel_Static_Buttons - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.bss.uwTick) for uwTick - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.bss.FRONTPanel_MCP3008_1_Enabled) for FRONTPanel_MCP3008_1_Enabled - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.text.SPI_Transmit) for SPI_Transmit - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons_Active) for PERIPH_FrontPanel_BottomScroll_Buttons_Active - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_LOCK) for FRONTPANEL_BUTTONHANDLER_LOCK - lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.bss.WM8731_Beeping) for WM8731_Beeping - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_Process) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_Process) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_Process) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_Process) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_Process) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_Process) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_Process) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_Process) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_Process) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_Process) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_Process) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_Process) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_Process) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_Process) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_Process) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_Process) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_Process) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_Process) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_Process) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_Process) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_Process) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_Process) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers to lto-llvm-ea22a7.o(.text.TRX_setMode) for TRX_setMode - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers to lto-llvm-ea22a7.o(.text.getBandFromFreq) for getBandFromFreq - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers to lto-llvm-ea22a7.o(.bss.TRX_Temporary_Stop_BandMap) for TRX_Temporary_Stop_BandMap - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_N) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_N) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers to lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) for LCD_systemMenuOpened - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers to lto-llvm-ea22a7.o(.bss.sysmenu_hiddenmenu_enabled) for sysmenu_hiddenmenu_enabled - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers to lto-llvm-ea22a7.o(.data.LCD_last_s_meter) for LCD_last_s_meter - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers to lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) for LCD_last_showed_freq - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers to lto-llvm-ea22a7.o(.data.Last_showed_Hours) for Last_showed_Hours - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers to lto-llvm-ea22a7.o(.data.Last_showed_Minutes) for Last_showed_Minutes - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers to lto-llvm-ea22a7.o(.data.Last_showed_Seconds) for Last_showed_Seconds - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) for LCD_last_showed_freq_mhz - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) for LCD_last_showed_freq_khz - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) for LCD_last_showed_freq_hz - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers to lto-llvm-ea22a7.o(.bss.NeedSaveSettings) for NeedSaveSettings - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers to lto-llvm-ea22a7.o(.text.TRX_setMode) for TRX_setMode - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers to lto-llvm-ea22a7.o(.text.getBandFromFreq) for getBandFromFreq - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers to lto-llvm-ea22a7.o(.bss.TRX_Temporary_Stop_BandMap) for TRX_Temporary_Stop_BandMap - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_P) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers to lto-llvm-ea22a7.o(.text.getBandFromFreq) for getBandFromFreq - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers to lto-llvm-ea22a7.o(.text.TRX_setFrequency) for TRX_setFrequency - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers to lto-llvm-ea22a7.o(.text.TRX_setMode) for TRX_setMode - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers to lto-llvm-ea22a7.o(.bss.TRX_AutoGain_Stage) for TRX_AutoGain_Stage - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers to lto-llvm-ea22a7.o(.bss.TRX_Temporary_Stop_BandMap) for TRX_Temporary_Stop_BandMap - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_P) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_P) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers to lto-llvm-ea22a7.o(.text.getBandFromFreq) for getBandFromFreq - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers to lto-llvm-ea22a7.o(.text.TRX_setFrequency) for TRX_setFrequency - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers to lto-llvm-ea22a7.o(.text.TRX_setMode) for TRX_setMode - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers to lto-llvm-ea22a7.o(.bss.TRX_AutoGain_Stage) for TRX_AutoGain_Stage - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers to lto-llvm-ea22a7.o(.bss.TRX_Temporary_Stop_BandMap) for TRX_Temporary_Stop_BandMap - lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_N) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_N) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.i2c_get_ack) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.i2c_get_ack) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.i2c_get_ack) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.i2c_get_ack) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.i2c_get_ack) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.i2c_get_ack) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.i2c_get_ack) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.i2c_get_ack) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.i2c_get_ack) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.i2c_get_ack) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.i2c_get_ack) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.i2c_get_ack) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.i2c_get_ack) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.i2c_get_ack) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.i2c_get_ack) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.i2c_get_ack) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.i2c_get_ack) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.i2c_get_ack) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.i2c_get_ack) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.i2c_get_ack) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.i2c_get_ack) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.i2c_get_ack) refers to lto-llvm-ea22a7.o(.data.I2C_WM8731) for I2C_WM8731 - lto-llvm-ea22a7.o(.text.i2c_get_ack) refers to lto-llvm-ea22a7.o(.text.HAL_GPIO_Init) for HAL_GPIO_Init - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_get_ack) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_get_ack) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_get_ack) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_get_ack) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_get_ack) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_get_ack) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_get_ack) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_get_ack) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_get_ack) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_get_ack) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_get_ack) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_get_ack) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_get_ack) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_get_ack) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_get_ack) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_get_ack) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_get_ack) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_get_ack) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_get_ack) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_get_ack) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_get_ack) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_get_ack) refers to lto-llvm-ea22a7.o(.text.i2c_get_ack) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.i2c_shift_out) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.i2c_shift_out) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.i2c_shift_out) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.i2c_shift_out) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.i2c_shift_out) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.i2c_shift_out) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.i2c_shift_out) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.i2c_shift_out) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.i2c_shift_out) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.i2c_shift_out) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.i2c_shift_out) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.i2c_shift_out) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.i2c_shift_out) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.i2c_shift_out) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.i2c_shift_out) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.i2c_shift_out) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.i2c_shift_out) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.i2c_shift_out) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.i2c_shift_out) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.i2c_shift_out) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.i2c_shift_out) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.i2c_shift_out) refers to lto-llvm-ea22a7.o(.data.I2C_WM8731) for I2C_WM8731 - lto-llvm-ea22a7.o(.text.i2c_shift_out) refers to lto-llvm-ea22a7.o(.text.HAL_GPIO_Init) for HAL_GPIO_Init - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_shift_out) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_shift_out) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_shift_out) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_shift_out) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_shift_out) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_shift_out) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_shift_out) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_shift_out) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_shift_out) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_shift_out) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_shift_out) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_shift_out) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_shift_out) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_shift_out) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_shift_out) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_shift_out) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_shift_out) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_shift_out) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_shift_out) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_shift_out) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_shift_out) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_shift_out) refers to lto-llvm-ea22a7.o(.text.i2c_shift_out) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.i2c_endTransmission) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.i2c_endTransmission) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.i2c_endTransmission) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.i2c_endTransmission) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.i2c_endTransmission) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.i2c_endTransmission) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.i2c_endTransmission) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.i2c_endTransmission) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.i2c_endTransmission) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.i2c_endTransmission) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.i2c_endTransmission) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.i2c_endTransmission) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.i2c_endTransmission) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.i2c_endTransmission) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.i2c_endTransmission) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.i2c_endTransmission) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.i2c_endTransmission) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.i2c_endTransmission) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.i2c_endTransmission) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.i2c_endTransmission) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.i2c_endTransmission) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.i2c_endTransmission) refers to lto-llvm-ea22a7.o(.data.I2C_WM8731) for I2C_WM8731 - lto-llvm-ea22a7.o(.text.i2c_endTransmission) refers to lto-llvm-ea22a7.o(.text.i2c_shift_out) for i2c_shift_out - lto-llvm-ea22a7.o(.text.i2c_endTransmission) refers to lto-llvm-ea22a7.o(.text.i2c_get_ack) for i2c_get_ack - lto-llvm-ea22a7.o(.text.i2c_endTransmission) refers to lto-llvm-ea22a7.o(.text.HAL_GPIO_Init) for HAL_GPIO_Init - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_endTransmission) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_endTransmission) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_endTransmission) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_endTransmission) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_endTransmission) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_endTransmission) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_endTransmission) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_endTransmission) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_endTransmission) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_endTransmission) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_endTransmission) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_endTransmission) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_endTransmission) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_endTransmission) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_endTransmission) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_endTransmission) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_endTransmission) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_endTransmission) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_endTransmission) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_endTransmission) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_endTransmission) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_endTransmission) refers to lto-llvm-ea22a7.o(.text.i2c_endTransmission) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers to lto-llvm-ea22a7.o(.bss.LCD_busy) for LCD_busy - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers to lto-llvm-ea22a7.o(.data.COLOR) for COLOR - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers to lto-llvm-ea22a7.o(.text.LCDDriver_Fill) for LCDDriver_Fill - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers to lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) for LCD_displayTopButtons - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers to lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) for LCD_systemMenuOpened - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers to lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) for LCD_displayFreqInfo - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers to lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) for LCD_displayStatusInfoGUI - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers to lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) for LCD_displayStatusInfoBar - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers to lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) for SYSMENU_drawSystemMenu - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers to lto-llvm-ea22a7.o(.bss.systemMenuIndex) for systemMenuIndex - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers to lto-llvm-ea22a7.o(.bss.sysmenu_i) for sysmenu_i - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers to lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) for sysmenu_handlers_selected - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers to lto-llvm-ea22a7.o(.data.sysmenu_y) for sysmenu_y - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers to lto-llvm-ea22a7.o(.text.drawSystemMenuElement) for drawSystemMenuElement - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers to lto-llvm-ea22a7.o(.rodata.FreeSans12pt7b) for FreeSans12pt7b - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers to lto-llvm-ea22a7.o(.bss.Tooltip_string) for Tooltip_string - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers to lto-llvm-ea22a7.o(.text.LCDDriver_getTextBounds) for LCDDriver_getTextBounds - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers to lto-llvm-ea22a7.o(.bss.Tooltip_first_draw) for Tooltip_first_draw - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers to lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) for LCDDriver_Fill_RectXY - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers to lto-llvm-ea22a7.o(.text.LCDDriver_drawRectXY) for LCDDriver_drawRectXY - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers to lto-llvm-ea22a7.o(.text.LCDDriver_printTextFont) for LCDDriver_printTextFont - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers to lto-llvm-ea22a7.o(.bss.uwTick) for uwTick - lto-llvm-ea22a7.o(.text.LCD_doEvents) refers to lto-llvm-ea22a7.o(.bss.Tooltip_DiplayStartTime) for Tooltip_DiplayStartTime - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_doEvents) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_doEvents) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_doEvents) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_doEvents) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_doEvents) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_doEvents) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_doEvents) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_doEvents) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_doEvents) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_doEvents) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_doEvents) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_doEvents) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_doEvents) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_doEvents) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_doEvents) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_doEvents) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_doEvents) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_doEvents) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_doEvents) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_doEvents) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_doEvents) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_doEvents) refers to lto-llvm-ea22a7.o(.text.LCD_doEvents) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) refers to lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) for LCD_systemMenuOpened - lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) refers to lto-llvm-ea22a7.o(.bss.LCD_busy) for LCD_busy - lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) refers to lto-llvm-ea22a7.o(.data.COLOR) for COLOR - lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) refers to lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) for LCDDriver_Fill_RectXY - lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) refers to lto-llvm-ea22a7.o(.rodata.str1.1) for .L.str.176 - lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) refers to lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b) for FreeSans9pt7b - lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) refers to lto-llvm-ea22a7.o(.text.LCDDriver_getTextBounds) for LCDDriver_getTextBounds - lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) refers to lto-llvm-ea22a7.o(.text.LCDDriver_printTextFont) for LCDDriver_printTextFont - lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) refers to rt_memclr_w.o(.text) for __aeabi_memclr4 - lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) refers to noretval__2sprintf.o(.text) for __2sprintf - lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) refers to lto-llvm-ea22a7.o(.bss.TRX_Mute) for TRX_Mute - lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) refers to lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons_Active) for PERIPH_FrontPanel_BottomScroll_Buttons_Active - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayTopButtons) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayTopButtons) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayTopButtons) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayTopButtons) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayTopButtons) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayTopButtons) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayTopButtons) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayTopButtons) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayTopButtons) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayTopButtons) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayTopButtons) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayTopButtons) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayTopButtons) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayTopButtons) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayTopButtons) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayTopButtons) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayTopButtons) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayTopButtons) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayTopButtons) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayTopButtons) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayTopButtons) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayTopButtons) refers to lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers to lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) for LCD_systemMenuOpened - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers to lto-llvm-ea22a7.o(.bss.LCD_busy) for LCD_busy - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers to lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) for LCD_last_showed_freq - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers to lto-llvm-ea22a7.o(.data.COLOR) for COLOR - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers to lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) for LCDDriver_Fill_RectXY - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers to lto-llvm-ea22a7.o(.rodata.str1.1) for .L.str.18.475 - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers to lto-llvm-ea22a7.o(.bss.LCD_freq_string_hz) for LCD_freq_string_hz - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers to noretval__2sprintf.o(.text) for __2sprintf - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers to lto-llvm-ea22a7.o(.bss.LCD_freq_string_khz) for LCD_freq_string_khz - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers to lto-llvm-ea22a7.o(.bss.LCD_freq_string_mhz) for LCD_freq_string_mhz - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) for LCD_last_showed_freq_khz - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers to lto-llvm-ea22a7.o(.rodata.FreeSans36pt7b) for FreeSans36pt7b - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) for LCD_last_showed_freq_mhz - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers to rt_memclr_w.o(.text) for __aeabi_memclr4 - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers to lto-llvm-ea22a7.o(.text.LCDDriver_printTextFont) for LCDDriver_printTextFont - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers to lto-llvm-ea22a7.o(.text.addSymbols) for addSymbols - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) for LCD_last_showed_freq_hz - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers to lto-llvm-ea22a7.o(.bss.NeedSaveSettings) for NeedSaveSettings - lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) refers to lto-llvm-ea22a7.o(.rodata.Quito32pt7b) for Quito32pt7b - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayFreqInfo) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayFreqInfo) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayFreqInfo) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayFreqInfo) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayFreqInfo) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayFreqInfo) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayFreqInfo) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayFreqInfo) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayFreqInfo) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayFreqInfo) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayFreqInfo) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayFreqInfo) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayFreqInfo) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayFreqInfo) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayFreqInfo) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayFreqInfo) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayFreqInfo) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayFreqInfo) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayFreqInfo) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayFreqInfo) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayFreqInfo) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayFreqInfo) refers to lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers to lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) for LCD_systemMenuOpened - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers to lto-llvm-ea22a7.o(.bss.LCD_busy) for LCD_busy - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers to lto-llvm-ea22a7.o(.data.COLOR) for COLOR - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers to lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) for LCDDriver_Fill_RectXY - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers to lto-llvm-ea22a7.o(.bss.TRX_ptt_hard) for TRX_ptt_hard - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers to lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b) for FreeSans9pt7b - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers to lto-llvm-ea22a7.o(.bss.TRX_Tune) for TRX_Tune - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers to lto-llvm-ea22a7.o(.bss.TRX_ptt_soft) for TRX_ptt_soft - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers to lto-llvm-ea22a7.o(.bss.TRX_Key_Timeout_est) for TRX_Key_Timeout_est - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers to lto-llvm-ea22a7.o(.rodata.str1.1) for .L.str.62.517 - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers to lto-llvm-ea22a7.o(.text.LCDDriver_printTextFont) for LCDDriver_printTextFont - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers to lto-llvm-ea22a7.o(.text.LCDDriver_drawRectXY) for LCDDriver_drawRectXY - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers to lto-llvm-ea22a7.o(.bss.text_cursor_x) for text_cursor_x - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers to lto-llvm-ea22a7.o(.text.LCDDriver_drawChar) for LCDDriver_drawChar - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers to lto-llvm-ea22a7.o(.text.LCDDriver_getTextBounds) for LCDDriver_getTextBounds - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers to lto-llvm-ea22a7.o(.text.LCDDriver_drawLine) for LCDDriver_drawLine - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers to lto-llvm-ea22a7.o(.text.LCDDriver_Fill_Triangle) for LCDDriver_Fill_Triangle - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers to lto-llvm-ea22a7.o(.bss.LCD_bw_trapez_stripe_pos) for LCD_bw_trapez_stripe_pos - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) refers to lto-llvm-ea22a7.o(.rodata.FreeSans36pt7b) for FreeSans36pt7b - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoGUI) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoGUI) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoGUI) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoGUI) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoGUI) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoGUI) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoGUI) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoGUI) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoGUI) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoGUI) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoGUI) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoGUI) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoGUI) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoGUI) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoGUI) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoGUI) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoGUI) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoGUI) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoGUI) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoGUI) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoGUI) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoGUI) refers to lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) for LCD_systemMenuOpened - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.bss.LCD_busy) for LCD_busy - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.bss.TRX_ptt_hard) for TRX_ptt_hard - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.bss.TRX_ptt_soft) for TRX_ptt_soft - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.bss.TRX_Tune) for TRX_Tune - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.bss.TRX_Key_Timeout_est) for TRX_Key_Timeout_est - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.data.COLOR) for COLOR - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) for LCDDriver_Fill_RectXY - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.bss.TRX_SWR) for TRX_SWR - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to f2d.o(x$fpl$f2d) for __aeabi_f2d - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.rodata.str1.1) for .L.str.1.269 - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to noretval__2sprintf.o(.text) for __2sprintf - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.text.LCDDriver_drawChar) for LCDDriver_drawChar - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.bss.text_cursor_x) for text_cursor_x - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.bss.TRX_PWR_Forward) for TRX_PWR_Forward - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.bss.TRX_PWR_Backward) for TRX_PWR_Backward - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.bss.TRX_ALC) for TRX_ALC - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to rt_memclr_w.o(.text) for __aeabi_memclr4 - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to strcpy.o(.text) for strcpy - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to strlen.o(.text) for strlen - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.bss.TRX_SHIFT) for TRX_SHIFT - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.data.TRX_InVoltage) for TRX_InVoltage - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.bss.CPU_LOAD.0) for CPU_LOAD.0 - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.bss.TRX_ADC_OTR) for TRX_ADC_OTR - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.bss.TRX_DAC_OTR) for TRX_DAC_OTR - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.bss.WM8731_Buffer_underrun) for WM8731_Buffer_underrun - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.bss.FPGA_Buffer_underrun) for FPGA_Buffer_underrun - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_underrun) for RX_USB_AUDIO_underrun - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.data.Last_showed_Hours) for Last_showed_Hours - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.bss.Hours) for Hours - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.bss.Minutes) for Minutes - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.bss.Seconds) for Seconds - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b) for FreeSans9pt7b - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.data.Last_showed_Minutes) for Last_showed_Minutes - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.text.addSymbols) for addSymbols - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.text.LCDDriver_printTextFont) for LCDDriver_printTextFont - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.data.Last_showed_Seconds) for Last_showed_Seconds - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.data.TRX_RX_dBm) for TRX_RX_dBm - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.data.LCD_last_s_meter) for LCD_last_s_meter - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_x) for LCD_displayStatusInfoBar.smeter_peak_x - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.bss.uwTick) for uwTick - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_settime) for LCD_displayStatusInfoBar.smeter_peak_settime - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.text.LCDDriver_drawRectXY) for LCDDriver_drawRectXY - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.data.LCD_displayStatusInfoBar.TRX_RX_dBm_averaging) for LCD_displayStatusInfoBar.TRX_RX_dBm_averaging - lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.rodata.FreeSans7pt7b) for FreeSans7pt7b - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoBar) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoBar) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoBar) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoBar) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoBar) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoBar) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoBar) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoBar) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoBar) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoBar) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoBar) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoBar) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoBar) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoBar) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoBar) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoBar) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoBar) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoBar) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoBar) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoBar) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoBar) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoBar) refers to lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.LCD_showError) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.LCD_showError) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.LCD_showError) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.LCD_showError) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.LCD_showError) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.LCD_showError) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.LCD_showError) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.LCD_showError) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.LCD_showError) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.LCD_showError) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.LCD_showError) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.LCD_showError) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.LCD_showError) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.LCD_showError) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.LCD_showError) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.LCD_showError) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.LCD_showError) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.LCD_showError) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.LCD_showError) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.LCD_showError) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.LCD_showError) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.LCD_showError) refers to lto-llvm-ea22a7.o(.bss.LCD_inited) for LCD_inited - lto-llvm-ea22a7.o(.text.LCD_showError) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.LCD_showError) refers to lto-llvm-ea22a7.o(.rodata.COLOR_THEMES) for COLOR_THEMES - lto-llvm-ea22a7.o(.text.LCD_showError) refers to lto-llvm-ea22a7.o(.data.COLOR) for COLOR - lto-llvm-ea22a7.o(.text.LCD_showError) refers to lto-llvm-ea22a7.o(.text.LCDDriver_Init) for LCDDriver_Init - lto-llvm-ea22a7.o(.text.LCD_showError) refers to lto-llvm-ea22a7.o(.bss.uwTick) for uwTick - lto-llvm-ea22a7.o(.text.LCD_showError) refers to lto-llvm-ea22a7.o(.text.LCDDriver_Fill) for LCDDriver_Fill - lto-llvm-ea22a7.o(.text.LCD_showError) refers to lto-llvm-ea22a7.o(.bss.LCD_busy) for LCD_busy - lto-llvm-ea22a7.o(.text.LCD_showError) refers to lto-llvm-ea22a7.o(.rodata.FreeSans12pt7b) for FreeSans12pt7b - lto-llvm-ea22a7.o(.text.LCD_showError) refers to lto-llvm-ea22a7.o(.text.LCDDriver_getTextBounds) for LCDDriver_getTextBounds - lto-llvm-ea22a7.o(.text.LCD_showError) refers to lto-llvm-ea22a7.o(.text.LCDDriver_printTextFont) for LCDDriver_printTextFont - lto-llvm-ea22a7.o(.text.LCD_showError) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.LCD_showError) refers to lto-llvm-ea22a7.o(.data.LCD_last_s_meter) for LCD_last_s_meter - lto-llvm-ea22a7.o(.text.LCD_showError) refers to lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) for LCD_last_showed_freq - lto-llvm-ea22a7.o(.text.LCD_showError) refers to lto-llvm-ea22a7.o(.data.Last_showed_Hours) for Last_showed_Hours - lto-llvm-ea22a7.o(.text.LCD_showError) refers to lto-llvm-ea22a7.o(.data.Last_showed_Minutes) for Last_showed_Minutes - lto-llvm-ea22a7.o(.text.LCD_showError) refers to lto-llvm-ea22a7.o(.data.Last_showed_Seconds) for Last_showed_Seconds - lto-llvm-ea22a7.o(.text.LCD_showError) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) for LCD_last_showed_freq_mhz - lto-llvm-ea22a7.o(.text.LCD_showError) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) for LCD_last_showed_freq_khz - lto-llvm-ea22a7.o(.text.LCD_showError) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) for LCD_last_showed_freq_hz - lto-llvm-ea22a7.o(.text.LCD_showError) refers to lto-llvm-ea22a7.o(.text.LCD_doEvents) for LCD_doEvents - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_showError) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_showError) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_showError) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_showError) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_showError) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_showError) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_showError) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_showError) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_showError) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_showError) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_showError) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_showError) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_showError) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_showError) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_showError) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_showError) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_showError) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_showError) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_showError) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_showError) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_showError) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_showError) refers to lto-llvm-ea22a7.o(.text.LCD_showError) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.LCDDriver_drawChar) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.LCDDriver_drawChar) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.LCDDriver_drawChar) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.LCDDriver_drawChar) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.LCDDriver_drawChar) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.LCDDriver_drawChar) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.LCDDriver_drawChar) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.LCDDriver_drawChar) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.LCDDriver_drawChar) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.LCDDriver_drawChar) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.LCDDriver_drawChar) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.LCDDriver_drawChar) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.LCDDriver_drawChar) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.LCDDriver_drawChar) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.LCDDriver_drawChar) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.LCDDriver_drawChar) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.LCDDriver_drawChar) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.LCDDriver_drawChar) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.LCDDriver_drawChar) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.LCDDriver_drawChar) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.LCDDriver_drawChar) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.LCDDriver_drawChar) refers to lto-llvm-ea22a7.o(.rodata.rastr_font) for rastr_font - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawChar) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawChar) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawChar) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawChar) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawChar) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawChar) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawChar) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawChar) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawChar) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawChar) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawChar) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawChar) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawChar) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawChar) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawChar) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawChar) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawChar) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawChar) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawChar) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawChar) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawChar) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawChar) refers to lto-llvm-ea22a7.o(.text.LCDDriver_drawChar) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.LCDDriver_printText) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.LCDDriver_printText) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.LCDDriver_printText) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.LCDDriver_printText) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.LCDDriver_printText) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.LCDDriver_printText) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.LCDDriver_printText) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.LCDDriver_printText) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.LCDDriver_printText) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.LCDDriver_printText) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.LCDDriver_printText) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.LCDDriver_printText) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.LCDDriver_printText) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.LCDDriver_printText) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.LCDDriver_printText) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.LCDDriver_printText) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.LCDDriver_printText) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.LCDDriver_printText) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.LCDDriver_printText) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.LCDDriver_printText) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.LCDDriver_printText) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.LCDDriver_printText) refers to lto-llvm-ea22a7.o(.text.LCDDriver_drawChar) for LCDDriver_drawChar - lto-llvm-ea22a7.o(.text.LCDDriver_printText) refers to lto-llvm-ea22a7.o(.bss.text_cursor_x) for text_cursor_x - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printText) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printText) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printText) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printText) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printText) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printText) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printText) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printText) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printText) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printText) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printText) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printText) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printText) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printText) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printText) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printText) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printText) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printText) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printText) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printText) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printText) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printText) refers to lto-llvm-ea22a7.o(.text.LCDDriver_printText) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.LCDDriver_printTextFont) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.LCDDriver_printTextFont) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.LCDDriver_printTextFont) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.LCDDriver_printTextFont) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.LCDDriver_printTextFont) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.LCDDriver_printTextFont) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.LCDDriver_printTextFont) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.LCDDriver_printTextFont) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.LCDDriver_printTextFont) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.LCDDriver_printTextFont) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.LCDDriver_printTextFont) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.LCDDriver_printTextFont) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.LCDDriver_printTextFont) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.LCDDriver_printTextFont) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.LCDDriver_printTextFont) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.LCDDriver_printTextFont) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.LCDDriver_printTextFont) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.LCDDriver_printTextFont) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.LCDDriver_printTextFont) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.LCDDriver_printTextFont) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.LCDDriver_printTextFont) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.LCDDriver_printTextFont) refers to lto-llvm-ea22a7.o(.bss.text_cursor_x) for text_cursor_x - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printTextFont) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printTextFont) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printTextFont) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printTextFont) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printTextFont) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printTextFont) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printTextFont) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printTextFont) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printTextFont) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printTextFont) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printTextFont) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printTextFont) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printTextFont) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printTextFont) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printTextFont) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printTextFont) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printTextFont) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printTextFont) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printTextFont) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printTextFont) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printTextFont) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printTextFont) refers to lto-llvm-ea22a7.o(.text.LCDDriver_printTextFont) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.LCDDriver_getTextBounds) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.LCDDriver_getTextBounds) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.LCDDriver_getTextBounds) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.LCDDriver_getTextBounds) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.LCDDriver_getTextBounds) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.LCDDriver_getTextBounds) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.LCDDriver_getTextBounds) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.LCDDriver_getTextBounds) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.LCDDriver_getTextBounds) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.LCDDriver_getTextBounds) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.LCDDriver_getTextBounds) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.LCDDriver_getTextBounds) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.LCDDriver_getTextBounds) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.LCDDriver_getTextBounds) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.LCDDriver_getTextBounds) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.LCDDriver_getTextBounds) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.LCDDriver_getTextBounds) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.LCDDriver_getTextBounds) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.LCDDriver_getTextBounds) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.LCDDriver_getTextBounds) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.LCDDriver_getTextBounds) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_getTextBounds) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_getTextBounds) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_getTextBounds) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_getTextBounds) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_getTextBounds) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_getTextBounds) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_getTextBounds) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_getTextBounds) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_getTextBounds) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_getTextBounds) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_getTextBounds) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_getTextBounds) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_getTextBounds) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_getTextBounds) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_getTextBounds) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_getTextBounds) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_getTextBounds) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_getTextBounds) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_getTextBounds) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_getTextBounds) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_getTextBounds) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_getTextBounds) refers to lto-llvm-ea22a7.o(.text.LCDDriver_getTextBounds) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.LCDDriver_Init) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.LCDDriver_Init) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.LCDDriver_Init) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.LCDDriver_Init) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.LCDDriver_Init) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.LCDDriver_Init) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.LCDDriver_Init) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.LCDDriver_Init) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.LCDDriver_Init) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.LCDDriver_Init) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.LCDDriver_Init) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.LCDDriver_Init) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.LCDDriver_Init) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.LCDDriver_Init) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.LCDDriver_Init) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.LCDDriver_Init) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.LCDDriver_Init) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.LCDDriver_Init) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.LCDDriver_Init) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.LCDDriver_Init) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.LCDDriver_Init) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.LCDDriver_Init) refers to lto-llvm-ea22a7.o(.bss.uwTick) for uwTick - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Init) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Init) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Init) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Init) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Init) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Init) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Init) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Init) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Init) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Init) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Init) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Init) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Init) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Init) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Init) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Init) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Init) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Init) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Init) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Init) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Init) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Init) refers to lto-llvm-ea22a7.o(.text.LCDDriver_Init) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.LCDDriver_Fill) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.LCDDriver_Fill) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.LCDDriver_Fill) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.LCDDriver_Fill) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.LCDDriver_Fill) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.LCDDriver_Fill) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.LCDDriver_Fill) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.LCDDriver_Fill) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.LCDDriver_Fill) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.LCDDriver_Fill) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.LCDDriver_Fill) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.LCDDriver_Fill) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.LCDDriver_Fill) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.LCDDriver_Fill) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.LCDDriver_Fill) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.LCDDriver_Fill) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.LCDDriver_Fill) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.LCDDriver_Fill) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.LCDDriver_Fill) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.LCDDriver_Fill) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.LCDDriver_Fill) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.LCDDriver_Fill) refers to lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream3) for hdma_memtomem_dma2_stream3 - lto-llvm-ea22a7.o(.text.LCDDriver_Fill) refers to lto-llvm-ea22a7.o(.IRAM1) for fillxy_color - lto-llvm-ea22a7.o(.text.LCDDriver_Fill) refers to lto-llvm-ea22a7.o(.text.HAL_DMA_PollForTransfer) for HAL_DMA_PollForTransfer - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill) refers to lto-llvm-ea22a7.o(.text.LCDDriver_Fill) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) refers to lto-llvm-ea22a7.o(.IRAM1) for fillxy_color - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) refers to lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream3) for hdma_memtomem_dma2_stream3 - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) refers to lto-llvm-ea22a7.o(.text.HAL_DMA_PollForTransfer) for HAL_DMA_PollForTransfer - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_RectXY) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_RectXY) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_RectXY) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_RectXY) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_RectXY) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_RectXY) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_RectXY) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_RectXY) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_RectXY) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_RectXY) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_RectXY) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_RectXY) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_RectXY) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_RectXY) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_RectXY) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_RectXY) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_RectXY) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_RectXY) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_RectXY) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_RectXY) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_RectXY) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_RectXY) refers to lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.LCDDriver_drawLine) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.LCDDriver_drawLine) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.LCDDriver_drawLine) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.LCDDriver_drawLine) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.LCDDriver_drawLine) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.LCDDriver_drawLine) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.LCDDriver_drawLine) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.LCDDriver_drawLine) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.LCDDriver_drawLine) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.LCDDriver_drawLine) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.LCDDriver_drawLine) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.LCDDriver_drawLine) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.LCDDriver_drawLine) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.LCDDriver_drawLine) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.LCDDriver_drawLine) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.LCDDriver_drawLine) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.LCDDriver_drawLine) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.LCDDriver_drawLine) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.LCDDriver_drawLine) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.LCDDriver_drawLine) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.LCDDriver_drawLine) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawLine) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawLine) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawLine) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawLine) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawLine) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawLine) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawLine) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawLine) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawLine) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawLine) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawLine) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawLine) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawLine) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawLine) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawLine) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawLine) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawLine) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawLine) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawLine) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawLine) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawLine) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawLine) refers to lto-llvm-ea22a7.o(.text.LCDDriver_drawLine) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.LCDDriver_drawRectXY) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.LCDDriver_drawRectXY) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.LCDDriver_drawRectXY) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.LCDDriver_drawRectXY) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.LCDDriver_drawRectXY) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.LCDDriver_drawRectXY) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.LCDDriver_drawRectXY) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.LCDDriver_drawRectXY) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.LCDDriver_drawRectXY) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.LCDDriver_drawRectXY) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.LCDDriver_drawRectXY) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.LCDDriver_drawRectXY) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.LCDDriver_drawRectXY) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.LCDDriver_drawRectXY) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.LCDDriver_drawRectXY) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.LCDDriver_drawRectXY) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.LCDDriver_drawRectXY) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.LCDDriver_drawRectXY) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.LCDDriver_drawRectXY) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.LCDDriver_drawRectXY) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.LCDDriver_drawRectXY) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.LCDDriver_drawRectXY) refers to lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) for LCDDriver_Fill_RectXY - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawRectXY) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawRectXY) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawRectXY) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawRectXY) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawRectXY) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawRectXY) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawRectXY) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawRectXY) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawRectXY) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawRectXY) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawRectXY) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawRectXY) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawRectXY) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawRectXY) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawRectXY) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawRectXY) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawRectXY) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawRectXY) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawRectXY) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawRectXY) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawRectXY) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawRectXY) refers to lto-llvm-ea22a7.o(.text.LCDDriver_drawRectXY) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_Triangle) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_Triangle) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_Triangle) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_Triangle) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_Triangle) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_Triangle) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_Triangle) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_Triangle) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_Triangle) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_Triangle) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_Triangle) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_Triangle) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_Triangle) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_Triangle) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_Triangle) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_Triangle) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_Triangle) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_Triangle) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_Triangle) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_Triangle) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_Triangle) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.LCDDriver_Fill_Triangle) refers to lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) for LCDDriver_Fill_RectXY - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_Triangle) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_Triangle) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_Triangle) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_Triangle) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_Triangle) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_Triangle) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_Triangle) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_Triangle) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_Triangle) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_Triangle) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_Triangle) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_Triangle) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_Triangle) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_Triangle) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_Triangle) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_Triangle) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_Triangle) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_Triangle) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_Triangle) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_Triangle) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_Triangle) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_Triangle) refers to lto-llvm-ea22a7.o(.text.LCDDriver_Fill_Triangle) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.RF_UNIT_ProcessSensors) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.RF_UNIT_ProcessSensors) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.RF_UNIT_ProcessSensors) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.RF_UNIT_ProcessSensors) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.RF_UNIT_ProcessSensors) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.RF_UNIT_ProcessSensors) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.RF_UNIT_ProcessSensors) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.RF_UNIT_ProcessSensors) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.RF_UNIT_ProcessSensors) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.RF_UNIT_ProcessSensors) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.RF_UNIT_ProcessSensors) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.RF_UNIT_ProcessSensors) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.RF_UNIT_ProcessSensors) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.RF_UNIT_ProcessSensors) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.RF_UNIT_ProcessSensors) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.RF_UNIT_ProcessSensors) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.RF_UNIT_ProcessSensors) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.RF_UNIT_ProcessSensors) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.RF_UNIT_ProcessSensors) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.RF_UNIT_ProcessSensors) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.RF_UNIT_ProcessSensors) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.RF_UNIT_ProcessSensors) refers to lto-llvm-ea22a7.o(.bss.hadc1) for hadc1 - lto-llvm-ea22a7.o(.text.RF_UNIT_ProcessSensors) refers to lto-llvm-ea22a7.o(.bss.hadc2) for hadc2 - lto-llvm-ea22a7.o(.text.RF_UNIT_ProcessSensors) refers to lto-llvm-ea22a7.o(.data.TRX_InVoltage) for TRX_InVoltage - lto-llvm-ea22a7.o(.text.RF_UNIT_ProcessSensors) refers to lto-llvm-ea22a7.o(.bss.CALIBRATE) for CALIBRATE - lto-llvm-ea22a7.o(.text.RF_UNIT_ProcessSensors) refers to lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_forward) for RF_UNIT_ProcessSensors.TRX_VLT_forward - lto-llvm-ea22a7.o(.text.RF_UNIT_ProcessSensors) refers to lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_backward) for RF_UNIT_ProcessSensors.TRX_VLT_backward - lto-llvm-ea22a7.o(.text.RF_UNIT_ProcessSensors) refers to lto-llvm-ea22a7.o(.bss.TRX_SWR) for TRX_SWR - lto-llvm-ea22a7.o(.text.RF_UNIT_ProcessSensors) refers to lto-llvm-ea22a7.o(.bss.TRX_PWR_Forward) for TRX_PWR_Forward - lto-llvm-ea22a7.o(.text.RF_UNIT_ProcessSensors) refers to lto-llvm-ea22a7.o(.bss.TRX_PWR_Backward) for TRX_PWR_Backward - lto-llvm-ea22a7.o(.ARM.exidx.text.RF_UNIT_ProcessSensors) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.RF_UNIT_ProcessSensors) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.RF_UNIT_ProcessSensors) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.RF_UNIT_ProcessSensors) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.RF_UNIT_ProcessSensors) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.RF_UNIT_ProcessSensors) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.RF_UNIT_ProcessSensors) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.RF_UNIT_ProcessSensors) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.RF_UNIT_ProcessSensors) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.RF_UNIT_ProcessSensors) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.RF_UNIT_ProcessSensors) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.RF_UNIT_ProcessSensors) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.RF_UNIT_ProcessSensors) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.RF_UNIT_ProcessSensors) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.RF_UNIT_ProcessSensors) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.RF_UNIT_ProcessSensors) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.RF_UNIT_ProcessSensors) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.RF_UNIT_ProcessSensors) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.RF_UNIT_ProcessSensors) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.RF_UNIT_ProcessSensors) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.RF_UNIT_ProcessSensors) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.RF_UNIT_ProcessSensors) refers to lto-llvm-ea22a7.o(.text.RF_UNIT_ProcessSensors) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.EEPROM_WaitWrite) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.EEPROM_WaitWrite) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.EEPROM_WaitWrite) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.EEPROM_WaitWrite) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.EEPROM_WaitWrite) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.EEPROM_WaitWrite) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.EEPROM_WaitWrite) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.EEPROM_WaitWrite) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.EEPROM_WaitWrite) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.EEPROM_WaitWrite) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.EEPROM_WaitWrite) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.EEPROM_WaitWrite) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.EEPROM_WaitWrite) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.EEPROM_WaitWrite) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.EEPROM_WaitWrite) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.EEPROM_WaitWrite) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.EEPROM_WaitWrite) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.EEPROM_WaitWrite) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.EEPROM_WaitWrite) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.EEPROM_WaitWrite) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.EEPROM_WaitWrite) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.EEPROM_WaitWrite) refers to lto-llvm-ea22a7.o(.bss.EEPROM_Enabled) for EEPROM_Enabled - lto-llvm-ea22a7.o(.text.EEPROM_WaitWrite) refers to lto-llvm-ea22a7.o(.data.Get_Status) for Get_Status - lto-llvm-ea22a7.o(.text.EEPROM_WaitWrite) refers to lto-llvm-ea22a7.o(.bss.uwTick) for uwTick - lto-llvm-ea22a7.o(.text.EEPROM_WaitWrite) refers to lto-llvm-ea22a7.o(.text.SPI_Transmit) for SPI_Transmit - lto-llvm-ea22a7.o(.text.EEPROM_WaitWrite) refers to lto-llvm-ea22a7.o(.text.sendToDebug_strln) for sendToDebug_strln - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_WaitWrite) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_WaitWrite) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_WaitWrite) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_WaitWrite) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_WaitWrite) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_WaitWrite) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_WaitWrite) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_WaitWrite) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_WaitWrite) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_WaitWrite) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_WaitWrite) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_WaitWrite) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_WaitWrite) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_WaitWrite) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_WaitWrite) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_WaitWrite) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_WaitWrite) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_WaitWrite) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_WaitWrite) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_WaitWrite) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_WaitWrite) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_WaitWrite) refers to lto-llvm-ea22a7.o(.text.EEPROM_WaitWrite) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.EEPROM_Read_Data) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.EEPROM_Read_Data) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.EEPROM_Read_Data) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.EEPROM_Read_Data) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.EEPROM_Read_Data) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.EEPROM_Read_Data) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.EEPROM_Read_Data) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.EEPROM_Read_Data) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.EEPROM_Read_Data) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.EEPROM_Read_Data) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.EEPROM_Read_Data) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.EEPROM_Read_Data) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.EEPROM_Read_Data) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.EEPROM_Read_Data) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.EEPROM_Read_Data) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.EEPROM_Read_Data) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.EEPROM_Read_Data) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.EEPROM_Read_Data) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.EEPROM_Read_Data) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.EEPROM_Read_Data) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.EEPROM_Read_Data) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.EEPROM_Read_Data) refers to lto-llvm-ea22a7.o(.bss.SPI_process) for SPI_process - lto-llvm-ea22a7.o(.text.EEPROM_Read_Data) refers to lto-llvm-ea22a7.o(.bss.Address) for Address - lto-llvm-ea22a7.o(.text.EEPROM_Read_Data) refers to lto-llvm-ea22a7.o(.data.Read_Data) for Read_Data - lto-llvm-ea22a7.o(.text.EEPROM_Read_Data) refers to lto-llvm-ea22a7.o(.text.SPI_Transmit) for SPI_Transmit - lto-llvm-ea22a7.o(.text.EEPROM_Read_Data) refers to lto-llvm-ea22a7.o(.bss.read_clone) for read_clone - lto-llvm-ea22a7.o(.text.EEPROM_Read_Data) refers to lto-llvm-ea22a7.o(.bss.EEPROM_Enabled) for EEPROM_Enabled - lto-llvm-ea22a7.o(.text.EEPROM_Read_Data) refers to lto-llvm-ea22a7.o(.text.sendToDebug_strln) for sendToDebug_strln - lto-llvm-ea22a7.o(.text.EEPROM_Read_Data) refers to lto-llvm-ea22a7.o(.text.LCD_showError) for LCD_showError - lto-llvm-ea22a7.o(.text.EEPROM_Read_Data) refers to lto-llvm-ea22a7.o(.text.sendToDebug_uint8) for sendToDebug_uint8 - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Read_Data) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Read_Data) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Read_Data) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Read_Data) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Read_Data) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Read_Data) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Read_Data) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Read_Data) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Read_Data) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Read_Data) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Read_Data) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Read_Data) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Read_Data) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Read_Data) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Read_Data) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Read_Data) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Read_Data) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Read_Data) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Read_Data) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Read_Data) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Read_Data) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Read_Data) refers to lto-llvm-ea22a7.o(.text.EEPROM_Read_Data) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) refers to lto-llvm-ea22a7.o(.bss.EEPROM_Busy) for EEPROM_Busy - lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) refers to lto-llvm-ea22a7.o(.bss.EEPROM_Enabled) for EEPROM_Enabled - lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) refers to lto-llvm-ea22a7.o(.data.Power_Up) for Power_Up - lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) refers to lto-llvm-ea22a7.o(.text.SPI_Transmit) for SPI_Transmit - lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) refers to lto-llvm-ea22a7.o(.text.EEPROM_WaitWrite) for EEPROM_WaitWrite - lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) refers to lto-llvm-ea22a7.o(.bss.SPI_process) for SPI_process - lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) refers to lto-llvm-ea22a7.o(.text.sendToDebug_strln) for sendToDebug_strln - lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) refers to lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) for DEBUG_Transmit_FIFO_Events - lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) refers to lto-llvm-ea22a7.o(.bss.Address) for Address - lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) refers to lto-llvm-ea22a7.o(.data.Write_Enable) for Write_Enable - lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) refers to lto-llvm-ea22a7.o(.data.Sector_Erase) for Sector_Erase - lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) refers to lto-llvm-ea22a7.o(.text.EEPROM_Write_Data) for EEPROM_Write_Data - lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) refers to lto-llvm-ea22a7.o(.data.Power_Down) for Power_Down - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettingsToEEPROM) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettingsToEEPROM) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettingsToEEPROM) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettingsToEEPROM) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettingsToEEPROM) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettingsToEEPROM) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettingsToEEPROM) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettingsToEEPROM) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettingsToEEPROM) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettingsToEEPROM) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettingsToEEPROM) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettingsToEEPROM) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettingsToEEPROM) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettingsToEEPROM) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettingsToEEPROM) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettingsToEEPROM) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettingsToEEPROM) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettingsToEEPROM) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettingsToEEPROM) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettingsToEEPROM) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettingsToEEPROM) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettingsToEEPROM) refers to lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.EEPROM_Write_Data) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.EEPROM_Write_Data) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.EEPROM_Write_Data) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.EEPROM_Write_Data) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.EEPROM_Write_Data) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.EEPROM_Write_Data) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.EEPROM_Write_Data) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.EEPROM_Write_Data) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.EEPROM_Write_Data) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.EEPROM_Write_Data) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.EEPROM_Write_Data) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.EEPROM_Write_Data) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.EEPROM_Write_Data) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.EEPROM_Write_Data) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.EEPROM_Write_Data) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.EEPROM_Write_Data) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.EEPROM_Write_Data) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.EEPROM_Write_Data) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.EEPROM_Write_Data) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.EEPROM_Write_Data) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.EEPROM_Write_Data) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.EEPROM_Write_Data) refers to lto-llvm-ea22a7.o(.bss.EEPROM_Enabled) for EEPROM_Enabled - lto-llvm-ea22a7.o(.text.EEPROM_Write_Data) refers to lto-llvm-ea22a7.o(.bss.SPI_process) for SPI_process - lto-llvm-ea22a7.o(.text.EEPROM_Write_Data) refers to lto-llvm-ea22a7.o(.bss.write_clone) for write_clone - lto-llvm-ea22a7.o(.text.EEPROM_Write_Data) refers to rt_memcpy_v6.o(.text) for __aeabi_memcpy - lto-llvm-ea22a7.o(.text.EEPROM_Write_Data) refers to lto-llvm-ea22a7.o(.bss.Address) for Address - lto-llvm-ea22a7.o(.text.EEPROM_Write_Data) refers to lto-llvm-ea22a7.o(.data.Write_Enable) for Write_Enable - lto-llvm-ea22a7.o(.text.EEPROM_Write_Data) refers to lto-llvm-ea22a7.o(.text.SPI_Transmit) for SPI_Transmit - lto-llvm-ea22a7.o(.text.EEPROM_Write_Data) refers to lto-llvm-ea22a7.o(.data.Page_Program) for Page_Program - lto-llvm-ea22a7.o(.text.EEPROM_Write_Data) refers to lto-llvm-ea22a7.o(.text.EEPROM_WaitWrite) for EEPROM_WaitWrite - lto-llvm-ea22a7.o(.text.EEPROM_Write_Data) refers to lto-llvm-ea22a7.o(.bss.verify_clone) for verify_clone - lto-llvm-ea22a7.o(.text.EEPROM_Write_Data) refers to lto-llvm-ea22a7.o(.text.EEPROM_Read_Data) for EEPROM_Read_Data - lto-llvm-ea22a7.o(.text.EEPROM_Write_Data) refers to lto-llvm-ea22a7.o(.data.Sector_Erase) for Sector_Erase - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Write_Data) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Write_Data) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Write_Data) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Write_Data) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Write_Data) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Write_Data) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Write_Data) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Write_Data) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Write_Data) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Write_Data) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Write_Data) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Write_Data) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Write_Data) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Write_Data) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Write_Data) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Write_Data) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Write_Data) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Write_Data) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Write_Data) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Write_Data) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Write_Data) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Write_Data) refers to lto-llvm-ea22a7.o(.text.EEPROM_Write_Data) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SaveSettings) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SaveSettings) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SaveSettings) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SaveSettings) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SaveSettings) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SaveSettings) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SaveSettings) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SaveSettings) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SaveSettings) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SaveSettings) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SaveSettings) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SaveSettings) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SaveSettings) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SaveSettings) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SaveSettings) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SaveSettings) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SaveSettings) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SaveSettings) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SaveSettings) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SaveSettings) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SaveSettings) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SaveSettings) refers to lto-llvm-ea22a7.o(.bss.uwTick) for uwTick - lto-llvm-ea22a7.o(.text.SaveSettings) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.SaveSettings) refers to lto-llvm-ea22a7.o(.bss.settings_bank) for settings_bank - lto-llvm-ea22a7.o(.text.SaveSettings) refers to rt_memcpy_w.o(.text) for __aeabi_memcpy4 - lto-llvm-ea22a7.o(.text.SaveSettings) refers to rt_memclr_w.o(.text) for __aeabi_memclr8 - lto-llvm-ea22a7.o(.text.SaveSettings) refers to lto-llvm-ea22a7.o(.bss.NeedSaveSettings) for NeedSaveSettings - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettings) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettings) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettings) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettings) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettings) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettings) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettings) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettings) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettings) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettings) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettings) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettings) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettings) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettings) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettings) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettings) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettings) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettings) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettings) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettings) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettings) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettings) refers to lto-llvm-ea22a7.o(.text.SaveSettings) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.LoadCalibration) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.LoadCalibration) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.LoadCalibration) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.LoadCalibration) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.LoadCalibration) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.LoadCalibration) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.LoadCalibration) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.LoadCalibration) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.LoadCalibration) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.LoadCalibration) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.LoadCalibration) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.LoadCalibration) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.LoadCalibration) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.LoadCalibration) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.LoadCalibration) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.LoadCalibration) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.LoadCalibration) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.LoadCalibration) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.LoadCalibration) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.LoadCalibration) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.LoadCalibration) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.LoadCalibration) refers to lto-llvm-ea22a7.o(.bss.EEPROM_Enabled) for EEPROM_Enabled - lto-llvm-ea22a7.o(.text.LoadCalibration) refers to lto-llvm-ea22a7.o(.data.Power_Up) for Power_Up - lto-llvm-ea22a7.o(.text.LoadCalibration) refers to lto-llvm-ea22a7.o(.text.SPI_Transmit) for SPI_Transmit - lto-llvm-ea22a7.o(.text.LoadCalibration) refers to lto-llvm-ea22a7.o(.text.EEPROM_WaitWrite) for EEPROM_WaitWrite - lto-llvm-ea22a7.o(.text.LoadCalibration) refers to lto-llvm-ea22a7.o(.bss.CALIBRATE) for CALIBRATE - lto-llvm-ea22a7.o(.text.LoadCalibration) refers to lto-llvm-ea22a7.o(.text.EEPROM_Read_Data) for EEPROM_Read_Data - lto-llvm-ea22a7.o(.text.LoadCalibration) refers to lto-llvm-ea22a7.o(.text.sendToDebug_strln) for sendToDebug_strln - lto-llvm-ea22a7.o(.text.LoadCalibration) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo_head) for debug_tx_fifo_head - lto-llvm-ea22a7.o(.text.LoadCalibration) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo) for debug_tx_fifo - lto-llvm-ea22a7.o(.text.LoadCalibration) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo_tail) for debug_tx_fifo_tail - lto-llvm-ea22a7.o(.text.LoadCalibration) refers to lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) for DEBUG_Transmit_FIFO_Events - lto-llvm-ea22a7.o(.text.LoadCalibration) refers to lto-llvm-ea22a7.o(.text.sendToDebug_uint8) for sendToDebug_uint8 - lto-llvm-ea22a7.o(.text.LoadCalibration) refers to lto-llvm-ea22a7.o(.text.SaveCalibration) for SaveCalibration - lto-llvm-ea22a7.o(.text.LoadCalibration) refers to lto-llvm-ea22a7.o(.data.Power_Down) for Power_Down - lto-llvm-ea22a7.o(.ARM.exidx.text.LoadCalibration) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.LoadCalibration) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.LoadCalibration) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.LoadCalibration) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.LoadCalibration) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.LoadCalibration) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.LoadCalibration) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.LoadCalibration) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.LoadCalibration) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.LoadCalibration) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.LoadCalibration) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.LoadCalibration) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.LoadCalibration) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.LoadCalibration) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.LoadCalibration) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.LoadCalibration) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.LoadCalibration) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.LoadCalibration) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.LoadCalibration) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.LoadCalibration) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.LoadCalibration) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.LoadCalibration) refers to lto-llvm-ea22a7.o(.text.LoadCalibration) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SaveCalibration) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SaveCalibration) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SaveCalibration) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SaveCalibration) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SaveCalibration) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SaveCalibration) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SaveCalibration) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SaveCalibration) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SaveCalibration) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SaveCalibration) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SaveCalibration) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SaveCalibration) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SaveCalibration) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SaveCalibration) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SaveCalibration) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SaveCalibration) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SaveCalibration) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SaveCalibration) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SaveCalibration) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SaveCalibration) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SaveCalibration) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SaveCalibration) refers to lto-llvm-ea22a7.o(.bss.EEPROM_Busy) for EEPROM_Busy - lto-llvm-ea22a7.o(.text.SaveCalibration) refers to lto-llvm-ea22a7.o(.bss.EEPROM_Enabled) for EEPROM_Enabled - lto-llvm-ea22a7.o(.text.SaveCalibration) refers to lto-llvm-ea22a7.o(.data.Power_Up) for Power_Up - lto-llvm-ea22a7.o(.text.SaveCalibration) refers to lto-llvm-ea22a7.o(.text.SPI_Transmit) for SPI_Transmit - lto-llvm-ea22a7.o(.text.SaveCalibration) refers to lto-llvm-ea22a7.o(.text.EEPROM_WaitWrite) for EEPROM_WaitWrite - lto-llvm-ea22a7.o(.text.SaveCalibration) refers to lto-llvm-ea22a7.o(.bss.CALIBRATE) for CALIBRATE - lto-llvm-ea22a7.o(.text.SaveCalibration) refers to lto-llvm-ea22a7.o(.bss.SPI_process) for SPI_process - lto-llvm-ea22a7.o(.text.SaveCalibration) refers to lto-llvm-ea22a7.o(.bss.Address) for Address - lto-llvm-ea22a7.o(.text.SaveCalibration) refers to lto-llvm-ea22a7.o(.data.Write_Enable) for Write_Enable - lto-llvm-ea22a7.o(.text.SaveCalibration) refers to lto-llvm-ea22a7.o(.data.Sector_Erase) for Sector_Erase - lto-llvm-ea22a7.o(.text.SaveCalibration) refers to lto-llvm-ea22a7.o(.text.EEPROM_Write_Data) for EEPROM_Write_Data - lto-llvm-ea22a7.o(.text.SaveCalibration) refers to lto-llvm-ea22a7.o(.data.Power_Down) for Power_Down - lto-llvm-ea22a7.o(.text.SaveCalibration) refers to lto-llvm-ea22a7.o(.bss.NeedSaveCalibration) for NeedSaveCalibration - lto-llvm-ea22a7.o(.text.SaveCalibration) refers to lto-llvm-ea22a7.o(.rodata.str1.1) for .L.str.31.263 - lto-llvm-ea22a7.o(.text.SaveCalibration) refers to lto-llvm-ea22a7.o(.text.sendToDebug_strln) for sendToDebug_strln - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveCalibration) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveCalibration) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveCalibration) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveCalibration) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveCalibration) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveCalibration) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveCalibration) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveCalibration) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveCalibration) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveCalibration) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveCalibration) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveCalibration) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveCalibration) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveCalibration) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveCalibration) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveCalibration) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveCalibration) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveCalibration) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveCalibration) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveCalibration) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveCalibration) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SaveCalibration) refers to lto-llvm-ea22a7.o(.text.SaveCalibration) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SWR_Start) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SWR_Start) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SWR_Start) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SWR_Start) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SWR_Start) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SWR_Start) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SWR_Start) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SWR_Start) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SWR_Start) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SWR_Start) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SWR_Start) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SWR_Start) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SWR_Start) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SWR_Start) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SWR_Start) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SWR_Start) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SWR_Start) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SWR_Start) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SWR_Start) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SWR_Start) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SWR_Start) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SWR_Start) refers to lto-llvm-ea22a7.o(.bss.LCD_busy) for LCD_busy - lto-llvm-ea22a7.o(.text.SWR_Start) refers to lto-llvm-ea22a7.o(.bss.startFreq) for startFreq - lto-llvm-ea22a7.o(.text.SWR_Start) refers to lto-llvm-ea22a7.o(.bss.endFreq) for endFreq - lto-llvm-ea22a7.o(.text.SWR_Start) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.SWR_Start) refers to lto-llvm-ea22a7.o(.bss.Lastfreq) for Lastfreq - lto-llvm-ea22a7.o(.text.SWR_Start) refers to lto-llvm-ea22a7.o(.bss.TRX_Mute) for TRX_Mute - lto-llvm-ea22a7.o(.text.SWR_Start) refers to lto-llvm-ea22a7.o(.bss.LastMute) for LastMute - lto-llvm-ea22a7.o(.text.SWR_Start) refers to lto-llvm-ea22a7.o(.text.LCDDriver_Fill) for LCDDriver_Fill - lto-llvm-ea22a7.o(.text.SWR_Start) refers to lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) for LCDDriver_Fill_RectXY - lto-llvm-ea22a7.o(.text.SWR_Start) refers to rt_memclr_w.o(.text) for __aeabi_memclr4 - lto-llvm-ea22a7.o(.text.SWR_Start) refers to lto-llvm-ea22a7.o(.rodata.str1.1) for .L.str.16.473 - lto-llvm-ea22a7.o(.text.SWR_Start) refers to noretval__2sprintf.o(.text) for __2sprintf - lto-llvm-ea22a7.o(.text.SWR_Start) refers to lto-llvm-ea22a7.o(.bss.text_cursor_x) for text_cursor_x - lto-llvm-ea22a7.o(.text.SWR_Start) refers to lto-llvm-ea22a7.o(.text.LCDDriver_drawChar) for LCDDriver_drawChar - lto-llvm-ea22a7.o(.text.SWR_Start) refers to lto-llvm-ea22a7.o(.data.graph_selected_x) for graph_selected_x - lto-llvm-ea22a7.o(.text.SWR_Start) refers to lto-llvm-ea22a7.o(.bss.data) for data - lto-llvm-ea22a7.o(.text.SWR_Start) refers to f2d.o(x$fpl$f2d) for __aeabi_f2d - lto-llvm-ea22a7.o(.text.SWR_Start) refers to lto-llvm-ea22a7.o(.text.TRX_setFrequency) for TRX_setFrequency - lto-llvm-ea22a7.o(.text.SWR_Start) refers to lto-llvm-ea22a7.o(.bss.TRX_Tune) for TRX_Tune - lto-llvm-ea22a7.o(.text.SWR_Start) refers to lto-llvm-ea22a7.o(.bss.TRX_ptt_hard) for TRX_ptt_hard - lto-llvm-ea22a7.o(.text.SWR_Start) refers to lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) for TRX_Restart_Mode - lto-llvm-ea22a7.o(.text.SWR_Start) refers to lto-llvm-ea22a7.o(.bss.FPGA_NeedSendParams) for FPGA_NeedSendParams - lto-llvm-ea22a7.o(.text.SWR_Start) refers to lto-llvm-ea22a7.o(.bss.now_freq) for now_freq - lto-llvm-ea22a7.o(.text.SWR_Start) refers to lto-llvm-ea22a7.o(.bss.freq_step) for freq_step - lto-llvm-ea22a7.o(.text.SWR_Start) refers to lto-llvm-ea22a7.o(.bss.graph_sweep_x) for graph_sweep_x - lto-llvm-ea22a7.o(.text.SWR_Start) refers to lto-llvm-ea22a7.o(.bss.uwTick) for uwTick - lto-llvm-ea22a7.o(.text.SWR_Start) refers to lto-llvm-ea22a7.o(.bss.tick_start_time) for tick_start_time - lto-llvm-ea22a7.o(.text.SWR_Start) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_Start) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_Start) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_Start) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_Start) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_Start) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_Start) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_Start) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_Start) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_Start) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_Start) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_Start) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_Start) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_Start) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_Start) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_Start) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_Start) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_Start) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_Start) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_Start) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_Start) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_Start) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_Start) refers to lto-llvm-ea22a7.o(.text.SWR_Start) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SWR_DrawGraphCol) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SWR_DrawGraphCol) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SWR_DrawGraphCol) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SWR_DrawGraphCol) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SWR_DrawGraphCol) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SWR_DrawGraphCol) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SWR_DrawGraphCol) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SWR_DrawGraphCol) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SWR_DrawGraphCol) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SWR_DrawGraphCol) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SWR_DrawGraphCol) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SWR_DrawGraphCol) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SWR_DrawGraphCol) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SWR_DrawGraphCol) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SWR_DrawGraphCol) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SWR_DrawGraphCol) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SWR_DrawGraphCol) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SWR_DrawGraphCol) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SWR_DrawGraphCol) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SWR_DrawGraphCol) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SWR_DrawGraphCol) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SWR_DrawGraphCol) refers to lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) for LCDDriver_Fill_RectXY - lto-llvm-ea22a7.o(.text.SWR_DrawGraphCol) refers to lto-llvm-ea22a7.o(.bss.data) for data - lto-llvm-ea22a7.o(.text.SWR_DrawGraphCol) refers to lto-llvm-ea22a7.o(.text.LCDDriver_drawLine) for LCDDriver_drawLine - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_DrawGraphCol) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_DrawGraphCol) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_DrawGraphCol) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_DrawGraphCol) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_DrawGraphCol) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_DrawGraphCol) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_DrawGraphCol) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_DrawGraphCol) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_DrawGraphCol) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_DrawGraphCol) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_DrawGraphCol) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_DrawGraphCol) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_DrawGraphCol) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_DrawGraphCol) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_DrawGraphCol) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_DrawGraphCol) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_DrawGraphCol) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_DrawGraphCol) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_DrawGraphCol) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_DrawGraphCol) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_DrawGraphCol) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_DrawGraphCol) refers to lto-llvm-ea22a7.o(.text.SWR_DrawGraphCol) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SWR_EncRotate) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SWR_EncRotate) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SWR_EncRotate) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SWR_EncRotate) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SWR_EncRotate) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SWR_EncRotate) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SWR_EncRotate) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SWR_EncRotate) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SWR_EncRotate) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SWR_EncRotate) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SWR_EncRotate) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SWR_EncRotate) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SWR_EncRotate) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SWR_EncRotate) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SWR_EncRotate) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SWR_EncRotate) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SWR_EncRotate) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SWR_EncRotate) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SWR_EncRotate) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SWR_EncRotate) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SWR_EncRotate) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SWR_EncRotate) refers to lto-llvm-ea22a7.o(.bss.LCD_busy) for LCD_busy - lto-llvm-ea22a7.o(.text.SWR_EncRotate) refers to lto-llvm-ea22a7.o(.data.graph_selected_x) for graph_selected_x - lto-llvm-ea22a7.o(.text.SWR_EncRotate) refers to lto-llvm-ea22a7.o(.text.SWR_DrawGraphCol) for SWR_DrawGraphCol - lto-llvm-ea22a7.o(.text.SWR_EncRotate) refers to rt_memclr_w.o(.text) for __aeabi_memclr4 - lto-llvm-ea22a7.o(.text.SWR_EncRotate) refers to lto-llvm-ea22a7.o(.bss.startFreq) for startFreq - lto-llvm-ea22a7.o(.text.SWR_EncRotate) refers to lto-llvm-ea22a7.o(.bss.endFreq) for endFreq - lto-llvm-ea22a7.o(.text.SWR_EncRotate) refers to lto-llvm-ea22a7.o(.bss.data) for data - lto-llvm-ea22a7.o(.text.SWR_EncRotate) refers to f2d.o(x$fpl$f2d) for __aeabi_f2d - lto-llvm-ea22a7.o(.text.SWR_EncRotate) refers to lto-llvm-ea22a7.o(.rodata.str1.1) for .L.str.2.268 - lto-llvm-ea22a7.o(.text.SWR_EncRotate) refers to noretval__2sprintf.o(.text) for __2sprintf - lto-llvm-ea22a7.o(.text.SWR_EncRotate) refers to lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) for LCDDriver_Fill_RectXY - lto-llvm-ea22a7.o(.text.SWR_EncRotate) refers to lto-llvm-ea22a7.o(.bss.text_cursor_x) for text_cursor_x - lto-llvm-ea22a7.o(.text.SWR_EncRotate) refers to lto-llvm-ea22a7.o(.text.LCDDriver_drawChar) for LCDDriver_drawChar - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_EncRotate) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_EncRotate) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_EncRotate) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_EncRotate) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_EncRotate) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_EncRotate) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_EncRotate) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_EncRotate) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_EncRotate) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_EncRotate) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_EncRotate) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_EncRotate) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_EncRotate) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_EncRotate) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_EncRotate) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_EncRotate) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_EncRotate) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_EncRotate) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_EncRotate) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_EncRotate) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_EncRotate) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_EncRotate) refers to lto-llvm-ea22a7.o(.text.SWR_EncRotate) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRXMENU) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRXMENU) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRXMENU) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRXMENU) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRXMENU) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRXMENU) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRXMENU) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRXMENU) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRXMENU) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRXMENU) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRXMENU) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRXMENU) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRXMENU) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRXMENU) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRXMENU) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRXMENU) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRXMENU) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRXMENU) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRXMENU) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRXMENU) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRXMENU) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRXMENU) refers to lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) for sysmenu_handlers_selected - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRXMENU) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) for sysmenu_trx_handlers - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRXMENU) refers to lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) for sysmenu_item_count_selected - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRXMENU) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_trx_item_count) for sysmenu_trx_item_count - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRXMENU) refers to lto-llvm-ea22a7.o(.bss.sysmenu_onroot) for sysmenu_onroot - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRXMENU) refers to lto-llvm-ea22a7.o(.bss.systemMenuIndex) for systemMenuIndex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRXMENU) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRXMENU) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRXMENU) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRXMENU) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRXMENU) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRXMENU) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRXMENU) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRXMENU) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRXMENU) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRXMENU) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRXMENU) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRXMENU) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRXMENU) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRXMENU) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRXMENU) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRXMENU) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRXMENU) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRXMENU) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRXMENU) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRXMENU) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRXMENU) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRXMENU) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRXMENU) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRXMENU) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIOMENU) refers to lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) for sysmenu_handlers_selected - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIOMENU) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) for sysmenu_audio_handlers - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIOMENU) refers to lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) for sysmenu_item_count_selected - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIOMENU) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_audio_item_count) for sysmenu_audio_item_count - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIOMENU) refers to lto-llvm-ea22a7.o(.bss.sysmenu_onroot) for sysmenu_onroot - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIOMENU) refers to lto-llvm-ea22a7.o(.bss.systemMenuIndex) for systemMenuIndex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIOMENU) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIOMENU) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIOMENU) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIOMENU) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) refers to lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) for sysmenu_handlers_selected - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) for sysmenu_cw_handlers - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) refers to lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) for sysmenu_item_count_selected - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_cw_item_count) for sysmenu_cw_item_count - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) refers to lto-llvm-ea22a7.o(.bss.sysmenu_onroot) for sysmenu_onroot - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) refers to lto-llvm-ea22a7.o(.bss.systemMenuIndex) for systemMenuIndex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) refers to lto-llvm-ea22a7.o(.data.LCD_last_s_meter) for LCD_last_s_meter - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) refers to lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) for LCD_last_showed_freq - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) refers to lto-llvm-ea22a7.o(.data.Last_showed_Hours) for Last_showed_Hours - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) refers to lto-llvm-ea22a7.o(.data.Last_showed_Minutes) for Last_showed_Minutes - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) refers to lto-llvm-ea22a7.o(.data.Last_showed_Seconds) for Last_showed_Seconds - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) for LCD_last_showed_freq_mhz - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) for LCD_last_showed_freq_khz - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) for LCD_last_showed_freq_hz - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CWMENU) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CWMENU) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CWMENU) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CWMENU) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CWMENU) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CWMENU) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CWMENU) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CWMENU) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CWMENU) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CWMENU) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CWMENU) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CWMENU) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CWMENU) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CWMENU) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CWMENU) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CWMENU) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CWMENU) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CWMENU) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CWMENU) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CWMENU) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CWMENU) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CWMENU) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_LCDMENU) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_LCDMENU) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_LCDMENU) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_LCDMENU) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_LCDMENU) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_LCDMENU) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_LCDMENU) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_LCDMENU) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_LCDMENU) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_LCDMENU) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_LCDMENU) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_LCDMENU) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_LCDMENU) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_LCDMENU) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_LCDMENU) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_LCDMENU) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_LCDMENU) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_LCDMENU) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_LCDMENU) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_LCDMENU) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_LCDMENU) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_LCDMENU) refers to lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) for sysmenu_handlers_selected - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_LCDMENU) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) for sysmenu_screen_handlers - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_LCDMENU) refers to lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) for sysmenu_item_count_selected - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_LCDMENU) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_screen_item_count) for sysmenu_screen_item_count - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_LCDMENU) refers to lto-llvm-ea22a7.o(.bss.sysmenu_onroot) for sysmenu_onroot - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_LCDMENU) refers to lto-llvm-ea22a7.o(.bss.systemMenuIndex) for systemMenuIndex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_LCDMENU) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_LCDMENU) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_LCDMENU) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_LCDMENU) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_LCDMENU) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_LCDMENU) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_LCDMENU) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_LCDMENU) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_LCDMENU) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_LCDMENU) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_LCDMENU) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_LCDMENU) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_LCDMENU) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_LCDMENU) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_LCDMENU) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_LCDMENU) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_LCDMENU) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_LCDMENU) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_LCDMENU) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_LCDMENU) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_LCDMENU) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_LCDMENU) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_LCDMENU) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_LCDMENU) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADCMENU) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADCMENU) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADCMENU) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADCMENU) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADCMENU) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADCMENU) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADCMENU) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADCMENU) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADCMENU) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADCMENU) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADCMENU) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADCMENU) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADCMENU) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADCMENU) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADCMENU) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADCMENU) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADCMENU) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADCMENU) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADCMENU) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADCMENU) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADCMENU) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADCMENU) refers to lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) for sysmenu_handlers_selected - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADCMENU) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_adc_handlers) for sysmenu_adc_handlers - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADCMENU) refers to lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) for sysmenu_item_count_selected - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADCMENU) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_adc_item_count) for sysmenu_adc_item_count - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADCMENU) refers to lto-llvm-ea22a7.o(.bss.sysmenu_onroot) for sysmenu_onroot - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADCMENU) refers to lto-llvm-ea22a7.o(.bss.systemMenuIndex) for systemMenuIndex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADCMENU) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADCMENU) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADCMENU) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADCMENU) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADCMENU) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADCMENU) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADCMENU) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADCMENU) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADCMENU) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADCMENU) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADCMENU) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADCMENU) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADCMENU) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADCMENU) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADCMENU) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADCMENU) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADCMENU) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADCMENU) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADCMENU) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADCMENU) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADCMENU) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADCMENU) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADCMENU) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADCMENU) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) refers to lto-llvm-ea22a7.o(.bss.sysmenu_services_opened) for sysmenu_services_opened - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) refers to lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) for sysmenu_handlers_selected - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_services_handlers) for sysmenu_services_handlers - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) refers to lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) for sysmenu_item_count_selected - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_services_item_count) for sysmenu_services_item_count - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) refers to lto-llvm-ea22a7.o(.bss.sysmenu_onroot) for sysmenu_onroot - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) refers to lto-llvm-ea22a7.o(.bss.systemMenuIndex) for systemMenuIndex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) refers to lto-llvm-ea22a7.o(.data.LCD_last_s_meter) for LCD_last_s_meter - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) refers to lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) for LCD_last_showed_freq - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) refers to lto-llvm-ea22a7.o(.data.Last_showed_Hours) for Last_showed_Hours - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) refers to lto-llvm-ea22a7.o(.data.Last_showed_Minutes) for Last_showed_Minutes - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) refers to lto-llvm-ea22a7.o(.data.Last_showed_Seconds) for Last_showed_Seconds - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) for LCD_last_showed_freq_mhz - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) for LCD_last_showed_freq_khz - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) for LCD_last_showed_freq_hz - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SERVICESMENU) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SERVICESMENU) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) refers to lto-llvm-ea22a7.o(.bss.sysmenu_timeMenuOpened) for sysmenu_timeMenuOpened - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) refers to lto-llvm-ea22a7.o(.data.COLOR) for COLOR - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) refers to lto-llvm-ea22a7.o(.text.LCDDriver_Fill) for LCDDriver_Fill - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) refers to lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Hours) for SYSMENU_HANDL_SETTIME.Hours - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) refers to lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Minutes) for SYSMENU_HANDL_SETTIME.Minutes - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) refers to lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Seconds) for SYSMENU_HANDL_SETTIME.Seconds - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) refers to lto-llvm-ea22a7.o(.bss.TimeMenuSelection) for TimeMenuSelection - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) refers to lto-llvm-ea22a7.o(.bss.uwTick) for uwTick - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) refers to lto-llvm-ea22a7.o(.bss.hrtc) for hrtc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) refers to lto-llvm-ea22a7.o(.rodata.str1.1) for .L.str.18.475 - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) refers to noretval__2sprintf.o(.text) for __2sprintf - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) refers to lto-llvm-ea22a7.o(.text.addSymbols) for addSymbols - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) refers to lto-llvm-ea22a7.o(.text.LCDDriver_drawChar) for LCDDriver_drawChar - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) refers to lto-llvm-ea22a7.o(.bss.text_cursor_x) for text_cursor_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SETTIME) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SETTIME) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SETTIME) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SETTIME) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SETTIME) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SETTIME) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SETTIME) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SETTIME) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SETTIME) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SETTIME) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SETTIME) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SETTIME) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SETTIME) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SETTIME) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SETTIME) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SETTIME) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SETTIME) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SETTIME) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SETTIME) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SETTIME) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SETTIME) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SETTIME) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_Bootloader) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_Bootloader) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_Bootloader) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_Bootloader) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_Bootloader) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_Bootloader) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_Bootloader) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_Bootloader) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_Bootloader) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_Bootloader) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_Bootloader) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_Bootloader) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_Bootloader) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_Bootloader) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_Bootloader) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_Bootloader) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_Bootloader) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_Bootloader) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_Bootloader) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_Bootloader) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_Bootloader) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_Bootloader) refers to lto-llvm-ea22a7.o(.IRAM1) for CODEC_Audio_Buffer_RX - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_Bootloader) refers to rt_memclr_w.o(.text) for __aeabi_memclr4 - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_Bootloader) refers to rt_memclr.o(.text) for __aeabi_memclr - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_Bootloader) refers to lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db) for AGC_RX_need_gain_db - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_Bootloader) refers to lto-llvm-ea22a7.o(.IRAM2) for AGC_RX_ringbuffer - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_Bootloader) refers to lto-llvm-ea22a7.o(.bss.TRX_NeedGoToBootloader) for TRX_NeedGoToBootloader - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_Bootloader) refers to lto-llvm-ea22a7.o(.bss.TRX_Inited) for TRX_Inited - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_Bootloader) refers to lto-llvm-ea22a7.o(.bss.LCD_busy) for LCD_busy - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_Bootloader) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_Bootloader) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_Bootloader) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_Bootloader) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_Bootloader) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_Bootloader) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_Bootloader) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_Bootloader) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_Bootloader) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_Bootloader) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_Bootloader) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_Bootloader) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_Bootloader) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_Bootloader) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_Bootloader) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_Bootloader) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_Bootloader) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_Bootloader) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_Bootloader) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_Bootloader) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_Bootloader) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_Bootloader) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_Bootloader) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIBRATIONMENU) refers to lto-llvm-ea22a7.o(.bss.sysmenu_hiddenmenu_enabled) for sysmenu_hiddenmenu_enabled - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIBRATIONMENU) refers to lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) for sysmenu_handlers_selected - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIBRATIONMENU) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) for sysmenu_calibration_handlers - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIBRATIONMENU) refers to lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) for sysmenu_item_count_selected - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIBRATIONMENU) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_item_count) for sysmenu_calibration_item_count - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIBRATIONMENU) refers to lto-llvm-ea22a7.o(.bss.sysmenu_onroot) for sysmenu_onroot - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIBRATIONMENU) refers to lto-llvm-ea22a7.o(.bss.systemMenuIndex) for systemMenuIndex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIBRATIONMENU) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIBRATIONMENU) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIBRATIONMENU) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIBRATIONMENU) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers to lto-llvm-ea22a7.o(.bss.CALIBRATE) for CALIBRATE - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers to lto-llvm-ea22a7.o(.bss.CALIBRATE) for CALIBRATE - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers to lto-llvm-ea22a7.o(.bss.CALIBRATE) for CALIBRATE - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers to lto-llvm-ea22a7.o(.bss.CALIBRATE) for CALIBRATE - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers to lto-llvm-ea22a7.o(.bss.CALIBRATE) for CALIBRATE - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers to lto-llvm-ea22a7.o(.bss.CALIBRATE) for CALIBRATE - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers to lto-llvm-ea22a7.o(.bss.CALIBRATE) for CALIBRATE - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers to lto-llvm-ea22a7.o(.bss.CALIBRATE) for CALIBRATE - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers to lto-llvm-ea22a7.o(.bss.CALIBRATE) for CALIBRATE - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers to lto-llvm-ea22a7.o(.bss.CALIBRATE) for CALIBRATE - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers to lto-llvm-ea22a7.o(.bss.CALIBRATE) for CALIBRATE - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers to lto-llvm-ea22a7.o(.data.TRX_MAX_TX_Amplitude) for TRX_MAX_TX_Amplitude - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers to lto-llvm-ea22a7.o(.bss.CALIBRATE) for CALIBRATE - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers to lto-llvm-ea22a7.o(.data.TRX_MAX_TX_Amplitude) for TRX_MAX_TX_Amplitude - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers to lto-llvm-ea22a7.o(.bss.CALIBRATE) for CALIBRATE - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers to lto-llvm-ea22a7.o(.data.TRX_MAX_TX_Amplitude) for TRX_MAX_TX_Amplitude - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers to lto-llvm-ea22a7.o(.bss.CALIBRATE) for CALIBRATE - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers to lto-llvm-ea22a7.o(.data.TRX_MAX_TX_Amplitude) for TRX_MAX_TX_Amplitude - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_S_METER) refers to lto-llvm-ea22a7.o(.bss.CALIBRATE) for CALIBRATE - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_S_METER) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_S_METER) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_S_METER) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers to lto-llvm-ea22a7.o(.bss.CALIBRATE) for CALIBRATE - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_VOLT) refers to lto-llvm-ea22a7.o(.bss.CALIBRATE) for CALIBRATE - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_VOLT) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_VOLT) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_VOLT) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_BAND_START) refers to lto-llvm-ea22a7.o(.bss.sysmenu_swr_opened) for sysmenu_swr_opened - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_BAND_START) refers to lto-llvm-ea22a7.o(.text.SWR_EncRotate) for SWR_EncRotate - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_BAND_START) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_BAND_START) refers to lto-llvm-ea22a7.o(.text.getBandFromFreq) for getBandFromFreq - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_BAND_START) refers to lto-llvm-ea22a7.o(.rodata.BANDS) for BANDS - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_BAND_START) refers to lto-llvm-ea22a7.o(.text.SWR_Start) for SWR_Start - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_BAND_START) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_BAND_START) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_BAND_START) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_BAND_START) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_HF_START) refers to lto-llvm-ea22a7.o(.bss.sysmenu_swr_opened) for sysmenu_swr_opened - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_HF_START) refers to lto-llvm-ea22a7.o(.text.SWR_EncRotate) for SWR_EncRotate - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_HF_START) refers to lto-llvm-ea22a7.o(.text.SWR_Start) for SWR_Start - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_HF_START) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_HF_START) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_HF_START) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_HF_START) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_DRIVER) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_DRIVER) refers to lto-llvm-ea22a7.o(.text.getBandFromFreq) for getBandFromFreq - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_DRIVER) refers to lto-llvm-ea22a7.o(.bss.FPGA_NeedSendParams) for FPGA_NeedSendParams - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_DRIVER) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_DRIVER) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_DRIVER) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_SHDN) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_SHDN) refers to lto-llvm-ea22a7.o(.bss.FPGA_NeedSendParams) for FPGA_NeedSendParams - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_SHDN) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_SHDN) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_SHDN) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers to lto-llvm-ea22a7.o(.text.FFT_Init) for FFT_Init - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers to lto-llvm-ea22a7.o(.rodata.COLOR_THEMES.296) for COLOR_THEMES.296 - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers to lto-llvm-ea22a7.o(.data.COLOR) for COLOR - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers to lto-llvm-ea22a7.o(.text.FFT_Init) for FFT_Init - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers to lto-llvm-ea22a7.o(.data.LCD_last_s_meter) for LCD_last_s_meter - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers to lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) for LCD_last_showed_freq - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers to lto-llvm-ea22a7.o(.data.Last_showed_Hours) for Last_showed_Hours - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers to lto-llvm-ea22a7.o(.data.Last_showed_Minutes) for Last_showed_Minutes - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers to lto-llvm-ea22a7.o(.data.Last_showed_Seconds) for Last_showed_Seconds - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) for LCD_last_showed_freq_mhz - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) for LCD_last_showed_freq_khz - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) for LCD_last_showed_freq_hz - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers to lto-llvm-ea22a7.o(.text.FFT_Init) for FFT_Init - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Color) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Color) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers to lto-llvm-ea22a7.o(.text.FFT_Init) for FFT_Init - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Grid) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Grid) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Background) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Background) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers to lto-llvm-ea22a7.o(.text.FFT_PreInit) for FFT_PreInit - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers to lto-llvm-ea22a7.o(.text.FFT_Init) for FFT_Init - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Window) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Window) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Key_timeout) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Key_timeout) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Key_timeout) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Key_timeout) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_SelfHear) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_SelfHear) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_SelfHear) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_SelfHear) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer_WPM) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer_WPM) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer_WPM) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer_WPM) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GaussFilter) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GaussFilter) refers to lto-llvm-ea22a7.o(.bss.NeedReinitAudioFilters) for NeedReinitAudioFilters - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GaussFilter) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GaussFilter) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GaussFilter) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_VOLUME) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_VOLUME) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_VOLUME) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_VOLUME) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_IFGain) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_IFGain) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_IFGain) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_IFGain) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_Gain) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_Gain) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_THRES) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_THRES) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers to lto-llvm-ea22a7.o(.text.TRX_setMode) for TRX_setMode - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers to lto-llvm-ea22a7.o(.text.TRX_setMode) for TRX_setMode - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers to lto-llvm-ea22a7.o(.text.TRX_setMode) for TRX_setMode - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers to lto-llvm-ea22a7.o(.text.TRX_setMode) for TRX_setMode - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers to lto-llvm-ea22a7.o(.text.TRX_setMode) for TRX_setMode - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers to lto-llvm-ea22a7.o(.text.TRX_setMode) for TRX_setMode - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers to lto-llvm-ea22a7.o(.text.getBandFromFreq) for getBandFromFreq - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FMSquelch) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FMSquelch) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers to lto-llvm-ea22a7.o(.bss.NeedReinitAudioFilters) for NeedReinitAudioFilters - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers to lto-llvm-ea22a7.o(.bss.NeedReinitAudioFilters) for NeedReinitAudioFilters - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers to lto-llvm-ea22a7.o(.bss.NeedReinitAudioFilters) for NeedReinitAudioFilters - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers to lto-llvm-ea22a7.o(.bss.NeedReinitAudioFilters) for NeedReinitAudioFilters - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers to lto-llvm-ea22a7.o(.bss.NeedReinitAudioFilters) for NeedReinitAudioFilters - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers to lto-llvm-ea22a7.o(.bss.NeedReinitAudioFilters) for NeedReinitAudioFilters - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_Beeper) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_Beeper) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_Beeper) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_Beeper) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_RFPower) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_RFPower) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_RFPower) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_RFPower) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_BandMap) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_BandMap) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_BandMap) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_BandMap) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_AutoGain) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_AutoGain) refers to lto-llvm-ea22a7.o(.bss.FPGA_NeedSendParams) for FPGA_NeedSendParams - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_AutoGain) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_AutoGain) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_AutoGain) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers to lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_STEP.freq_steps) for .L__const.SYSMENU_HANDL_TRX_FRQ_STEP.freq_steps - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_STEP) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_STEP) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers to lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_FAST_STEP.freq_steps) for .L__const.SYSMENU_HANDL_TRX_FRQ_FAST_STEP.freq_steps - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ATT_STEP) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ATT_STEP) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ATT_STEP) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ATT_STEP) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_MICIN) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_MICIN) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_MICIN) refers to lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) for TRX_Restart_Mode - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_MICIN) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_MICIN) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_MICIN) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_LINEIN) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_LINEIN) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_LINEIN) refers to lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) for TRX_Restart_Mode - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_LINEIN) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_LINEIN) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_LINEIN) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_USBIN) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_USBIN) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_USBIN) refers to lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) for TRX_Restart_Mode - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_USBIN) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_USBIN) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_USBIN) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SetCallsign) refers to lto-llvm-ea22a7.o(.data.COLOR) for COLOR - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SetCallsign) refers to lto-llvm-ea22a7.o(.bss.sysmenu_trx_setCallsign_menu_opened) for sysmenu_trx_setCallsign_menu_opened - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SetCallsign) refers to lto-llvm-ea22a7.o(.text.LCDDriver_Fill) for LCDDriver_Fill - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SetCallsign) refers to lto-llvm-ea22a7.o(.rodata.str1.1) for .L.str.49.341 - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SetCallsign) refers to lto-llvm-ea22a7.o(.text.LCDDriver_drawChar) for LCDDriver_drawChar - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SetCallsign) refers to lto-llvm-ea22a7.o(.bss.text_cursor_x) for text_cursor_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SetCallsign) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SetCallsign) refers to lto-llvm-ea22a7.o(.bss.sysmenu_trx_selected_callsign_char_index) for sysmenu_trx_selected_callsign_char_index - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SetCallsign) refers to lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) for LCDDriver_Fill_RectXY - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SetCallsign) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SetCallsign) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SetCallsign) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SetCallsign) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers to lto-llvm-ea22a7.o(.bss.LCD_busy) for LCD_busy - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers to lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) for LCD_systemMenuOpened - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers to lto-llvm-ea22a7.o(.bss.sysmenu_timeMenuOpened) for sysmenu_timeMenuOpened - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) for SYSMENU_HANDL_SETTIME - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers to lto-llvm-ea22a7.o(.bss.sysmenu_trx_setCallsign_menu_opened) for sysmenu_trx_setCallsign_menu_opened - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers to lto-llvm-ea22a7.o(.data.COLOR) for COLOR - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers to lto-llvm-ea22a7.o(.text.LCDDriver_Fill) for LCDDriver_Fill - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers to lto-llvm-ea22a7.o(.bss.text_cursor_x) for text_cursor_x - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers to lto-llvm-ea22a7.o(.rodata.str1.1) for .L.str.49.341 - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers to lto-llvm-ea22a7.o(.text.LCDDriver_drawChar) for LCDDriver_drawChar - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers to lto-llvm-ea22a7.o(.bss.sysmenu_swr_opened) for sysmenu_swr_opened - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers to lto-llvm-ea22a7.o(.bss.uwTick) for uwTick - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers to lto-llvm-ea22a7.o(.bss.tick_start_time) for tick_start_time - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers to lto-llvm-ea22a7.o(.bss.sysmenu_trx_selected_callsign_char_index) for sysmenu_trx_selected_callsign_char_index - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers to lto-llvm-ea22a7.o(.bss.sysmenu_i) for sysmenu_i - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers to lto-llvm-ea22a7.o(.data.sysmenu_y) for sysmenu_y - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers to lto-llvm-ea22a7.o(.bss.systemMenuIndex) for systemMenuIndex - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers to lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) for sysmenu_item_count_selected - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers to lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) for sysmenu_handlers_selected - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers to lto-llvm-ea22a7.o(.text.drawSystemMenuElement) for drawSystemMenuElement - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers to lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) for LCDDriver_Fill_RectXY - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers to lto-llvm-ea22a7.o(.bss.TRX_SWR) for TRX_SWR - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers to lto-llvm-ea22a7.o(.text.RF_UNIT_ProcessSensors) for RF_UNIT_ProcessSensors - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers to lto-llvm-ea22a7.o(.bss.graph_sweep_x) for graph_sweep_x - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers to lto-llvm-ea22a7.o(.bss.data) for data - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers to lto-llvm-ea22a7.o(.text.SWR_DrawGraphCol) for SWR_DrawGraphCol - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers to lto-llvm-ea22a7.o(.data.graph_selected_x) for graph_selected_x - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers to lto-llvm-ea22a7.o(.bss.startFreq) for startFreq - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers to lto-llvm-ea22a7.o(.bss.endFreq) for endFreq - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers to rt_memclr_w.o(.text) for __aeabi_memclr4 - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers to f2d.o(x$fpl$f2d) for __aeabi_f2d - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers to noretval__2sprintf.o(.text) for __2sprintf - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers to lto-llvm-ea22a7.o(.bss.now_freq) for now_freq - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers to lto-llvm-ea22a7.o(.bss.freq_step) for freq_step - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers to lto-llvm-ea22a7.o(.text.TRX_setFrequency) for TRX_setFrequency - lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) refers to lto-llvm-ea22a7.o(.bss.FPGA_NeedSendParams) for FPGA_NeedSendParams - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_drawSystemMenu) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_drawSystemMenu) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_drawSystemMenu) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_drawSystemMenu) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_drawSystemMenu) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_drawSystemMenu) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_drawSystemMenu) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_drawSystemMenu) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_drawSystemMenu) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_drawSystemMenu) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_drawSystemMenu) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_drawSystemMenu) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_drawSystemMenu) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_drawSystemMenu) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_drawSystemMenu) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_drawSystemMenu) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_drawSystemMenu) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_drawSystemMenu) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_drawSystemMenu) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_drawSystemMenu) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_drawSystemMenu) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_drawSystemMenu) refers to lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.drawSystemMenuElement) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.drawSystemMenuElement) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.drawSystemMenuElement) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.drawSystemMenuElement) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.drawSystemMenuElement) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.drawSystemMenuElement) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.drawSystemMenuElement) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.drawSystemMenuElement) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.drawSystemMenuElement) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.drawSystemMenuElement) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.drawSystemMenuElement) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.drawSystemMenuElement) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.drawSystemMenuElement) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.drawSystemMenuElement) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.drawSystemMenuElement) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.drawSystemMenuElement) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.drawSystemMenuElement) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.drawSystemMenuElement) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.drawSystemMenuElement) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.drawSystemMenuElement) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.drawSystemMenuElement) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.drawSystemMenuElement) refers to lto-llvm-ea22a7.o(.bss.sysmenu_hiddenmenu_enabled) for sysmenu_hiddenmenu_enabled - lto-llvm-ea22a7.o(.text.drawSystemMenuElement) refers to lto-llvm-ea22a7.o(.data.sysmenu_y) for sysmenu_y - lto-llvm-ea22a7.o(.text.drawSystemMenuElement) refers to lto-llvm-ea22a7.o(.data.COLOR) for COLOR - lto-llvm-ea22a7.o(.text.drawSystemMenuElement) refers to lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) for LCDDriver_Fill_RectXY - lto-llvm-ea22a7.o(.text.drawSystemMenuElement) refers to lto-llvm-ea22a7.o(.text.LCDDriver_printText) for LCDDriver_printText - lto-llvm-ea22a7.o(.text.drawSystemMenuElement) refers to lto-llvm-ea22a7.o(.rodata.str1.1) for .L.str.18.475 - lto-llvm-ea22a7.o(.text.drawSystemMenuElement) refers to noretval__2sprintf.o(.text) for __2sprintf - lto-llvm-ea22a7.o(.text.drawSystemMenuElement) refers to f2d.o(x$fpl$f2d) for __aeabi_f2d - lto-llvm-ea22a7.o(.text.drawSystemMenuElement) refers to lto-llvm-ea22a7.o(.bss.systemMenuIndex) for systemMenuIndex - lto-llvm-ea22a7.o(.text.drawSystemMenuElement) refers to lto-llvm-ea22a7.o(.bss.sysmenu_i) for sysmenu_i - lto-llvm-ea22a7.o(.ARM.exidx.text.drawSystemMenuElement) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.drawSystemMenuElement) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.drawSystemMenuElement) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.drawSystemMenuElement) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.drawSystemMenuElement) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.drawSystemMenuElement) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.drawSystemMenuElement) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.drawSystemMenuElement) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.drawSystemMenuElement) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.drawSystemMenuElement) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.drawSystemMenuElement) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.drawSystemMenuElement) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.drawSystemMenuElement) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.drawSystemMenuElement) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.drawSystemMenuElement) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.drawSystemMenuElement) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.drawSystemMenuElement) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.drawSystemMenuElement) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.drawSystemMenuElement) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.drawSystemMenuElement) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.drawSystemMenuElement) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.drawSystemMenuElement) refers to lto-llvm-ea22a7.o(.text.drawSystemMenuElement) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers to lto-llvm-ea22a7.o(.bss.sysmenu_trx_setCallsign_menu_opened) for sysmenu_trx_setCallsign_menu_opened - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers to lto-llvm-ea22a7.o(.bss.sysmenu_trx_selected_callsign_char_index) for sysmenu_trx_selected_callsign_char_index - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers to lto-llvm-ea22a7.o(.bss.sysmenu_swr_opened) for sysmenu_swr_opened - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers to lto-llvm-ea22a7.o(.bss.sysmenu_timeMenuOpened) for sysmenu_timeMenuOpened - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers to lto-llvm-ea22a7.o(.data.COLOR) for COLOR - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers to lto-llvm-ea22a7.o(.text.LCDDriver_Fill) for LCDDriver_Fill - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers to lto-llvm-ea22a7.o(.bss.TimeMenuSelection) for TimeMenuSelection - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers to lto-llvm-ea22a7.o(.rodata.str1.1) for .L.str.49.341 - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers to lto-llvm-ea22a7.o(.text.LCDDriver_drawChar) for LCDDriver_drawChar - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers to lto-llvm-ea22a7.o(.bss.text_cursor_x) for text_cursor_x - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers to lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) for LCDDriver_Fill_RectXY - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers to lto-llvm-ea22a7.o(.bss.systemMenuIndex) for systemMenuIndex - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers to lto-llvm-ea22a7.o(.bss.sysmenu_hiddenmenu_enabled) for sysmenu_hiddenmenu_enabled - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers to lto-llvm-ea22a7.o(.bss.sysmenu_onroot) for sysmenu_onroot - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers to lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) for sysmenu_item_count_selected - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers to lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) for sysmenu_handlers_selected - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers to lto-llvm-ea22a7.o(.bss.sysmenu_i) for sysmenu_i - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers to lto-llvm-ea22a7.o(.data.sysmenu_y) for sysmenu_y - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers to lto-llvm-ea22a7.o(.text.drawSystemMenuElement) for drawSystemMenuElement - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers to lto-llvm-ea22a7.o(.bss.systemMenuRootIndex) for systemMenuRootIndex - lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) refers to lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) for SYSMENU_drawSystemMenu - lto-llvm-ea22a7.o(.ARM.exidx.text.eventSecRotateSystemMenu) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.eventSecRotateSystemMenu) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.eventSecRotateSystemMenu) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.eventSecRotateSystemMenu) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.eventSecRotateSystemMenu) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.eventSecRotateSystemMenu) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.eventSecRotateSystemMenu) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.eventSecRotateSystemMenu) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.eventSecRotateSystemMenu) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.eventSecRotateSystemMenu) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.eventSecRotateSystemMenu) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.eventSecRotateSystemMenu) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.eventSecRotateSystemMenu) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.eventSecRotateSystemMenu) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.eventSecRotateSystemMenu) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.eventSecRotateSystemMenu) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.eventSecRotateSystemMenu) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.eventSecRotateSystemMenu) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.eventSecRotateSystemMenu) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.eventSecRotateSystemMenu) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.eventSecRotateSystemMenu) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.eventSecRotateSystemMenu) refers to lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers to lto-llvm-ea22a7.o(.bss.TRX_Temporary_Stop_BandMap) for TRX_Temporary_Stop_BandMap - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers to lto-llvm-ea22a7.o(.text.getModeFromFreq) for getModeFromFreq - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers to lto-llvm-ea22a7.o(.text.TRX_setMode) for TRX_setMode - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers to lto-llvm-ea22a7.o(.bss.TRX_SHIFT) for TRX_SHIFT - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers to lto-llvm-ea22a7.o(.bss.TRX_RX_IQ_swap) for TRX_RX_IQ_swap - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers to dflt_clz.o(x$fpl$dflt) for __aeabi_i2d - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers to dmul.o(x$fpl$dmul) for __aeabi_dmul - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers to round.o(i.round) for round - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers to dfixu.o(x$fpl$dfixu) for __aeabi_d2uiz - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers to lto-llvm-ea22a7.o(.bss.TRX_freq_phrase) for TRX_freq_phrase - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers to lto-llvm-ea22a7.o(.bss.TRX_TX_IQ_swap) for TRX_TX_IQ_swap - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers to lto-llvm-ea22a7.o(.bss.TRX_freq_phrase_tx) for TRX_freq_phrase_tx - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers to lto-llvm-ea22a7.o(.bss.TRX_ptt_hard) for TRX_ptt_hard - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers to lto-llvm-ea22a7.o(.bss.TRX_ptt_soft) for TRX_ptt_soft - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers to lto-llvm-ea22a7.o(.bss.TRX_Tune) for TRX_Tune - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers to lto-llvm-ea22a7.o(.bss.TRX_Key_Timeout_est) for TRX_Key_Timeout_est - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers to lto-llvm-ea22a7.o(.bss.CALIBRATE) for CALIBRATE - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers to lto-llvm-ea22a7.o(.data.TRX_MAX_TX_Amplitude) for TRX_MAX_TX_Amplitude - lto-llvm-ea22a7.o(.text.TRX_setFrequency) refers to lto-llvm-ea22a7.o(.bss.FPGA_NeedSendParams) for FPGA_NeedSendParams - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setFrequency) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setFrequency) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setFrequency) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setFrequency) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setFrequency) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setFrequency) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setFrequency) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setFrequency) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setFrequency) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setFrequency) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setFrequency) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setFrequency) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setFrequency) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setFrequency) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setFrequency) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setFrequency) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setFrequency) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setFrequency) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setFrequency) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setFrequency) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setFrequency) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setFrequency) refers to lto-llvm-ea22a7.o(.text.TRX_setFrequency) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.TRX_setMode) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.TRX_setMode) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.TRX_setMode) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.TRX_setMode) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.TRX_setMode) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.TRX_setMode) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.TRX_setMode) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.TRX_setMode) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.TRX_setMode) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.TRX_setMode) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.TRX_setMode) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.TRX_setMode) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.TRX_setMode) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.TRX_setMode) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.TRX_setMode) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.TRX_setMode) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.TRX_setMode) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.TRX_setMode) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.TRX_setMode) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.TRX_setMode) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.TRX_setMode) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.TRX_setMode) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.TRX_setMode) refers to lto-llvm-ea22a7.o(.bss.TRX_TXRXMode) for TRX_TXRXMode - lto-llvm-ea22a7.o(.text.TRX_setMode) refers to lto-llvm-ea22a7.o(.IRAM1) for CODEC_Audio_Buffer_RX - lto-llvm-ea22a7.o(.text.TRX_setMode) refers to rt_memclr_w.o(.text) for __aeabi_memclr4 - lto-llvm-ea22a7.o(.text.TRX_setMode) refers to rt_memclr.o(.text) for __aeabi_memclr - lto-llvm-ea22a7.o(.text.TRX_setMode) refers to lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db) for AGC_RX_need_gain_db - lto-llvm-ea22a7.o(.text.TRX_setMode) refers to lto-llvm-ea22a7.o(.IRAM2) for AGC_RX_ringbuffer - lto-llvm-ea22a7.o(.text.TRX_setMode) refers to lto-llvm-ea22a7.o(.text.WM8731_TXRX_mode) for WM8731_TXRX_mode - lto-llvm-ea22a7.o(.text.TRX_setMode) refers to lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) for WM8731_start_i2s_and_dma - lto-llvm-ea22a7.o(.text.TRX_setMode) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.TRX_setMode) refers to lto-llvm-ea22a7.o(.bss.NeedReinitAudioFilters) for NeedReinitAudioFilters - lto-llvm-ea22a7.o(.text.TRX_setMode) refers to lto-llvm-ea22a7.o(.bss.NeedSaveSettings) for NeedSaveSettings - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setMode) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setMode) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setMode) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setMode) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setMode) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setMode) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setMode) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setMode) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setMode) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setMode) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setMode) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setMode) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setMode) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setMode) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setMode) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setMode) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setMode) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setMode) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setMode) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setMode) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setMode) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setMode) refers to lto-llvm-ea22a7.o(.text.TRX_setMode) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers to lto-llvm-ea22a7.o(.text.TRX_setFrequency) for TRX_setFrequency - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers to lto-llvm-ea22a7.o(.text.TRX_setMode) for TRX_setMode - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers to lto-llvm-ea22a7.o(.bss.TRX_ptt_hard) for TRX_ptt_hard - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers to lto-llvm-ea22a7.o(.bss.TRX_ptt_soft) for TRX_ptt_soft - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers to lto-llvm-ea22a7.o(.bss.TRX_Tune) for TRX_Tune - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers to lto-llvm-ea22a7.o(.bss.TRX_Key_Timeout_est) for TRX_Key_Timeout_est - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers to lto-llvm-ea22a7.o(.bss.TRX_TXRXMode) for TRX_TXRXMode - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers to lto-llvm-ea22a7.o(.IRAM1) for CODEC_Audio_Buffer_RX - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers to rt_memclr_w.o(.text) for __aeabi_memclr4 - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers to rt_memclr.o(.text) for __aeabi_memclr - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers to lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db) for AGC_RX_need_gain_db - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers to lto-llvm-ea22a7.o(.IRAM2) for AGC_RX_ringbuffer - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers to lto-llvm-ea22a7.o(.text.WM8731_TXRX_mode) for WM8731_TXRX_mode - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers to lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) for WM8731_start_i2s_and_dma - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers to lto-llvm-ea22a7.o(.bss.uwTick) for uwTick - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers to lto-llvm-ea22a7.o(.data.I2C_WM8731) for I2C_WM8731 - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers to lto-llvm-ea22a7.o(.text.HAL_GPIO_Init) for HAL_GPIO_Init - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers to lto-llvm-ea22a7.o(.text.i2c_endTransmission) for i2c_endTransmission - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers to lto-llvm-ea22a7.o(.bss.FFT_new_buffer_ready) for FFT_new_buffer_ready - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers to lto-llvm-ea22a7.o(.bss.FFTInput_I_A) for FFTInput_I_A - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers to lto-llvm-ea22a7.o(.bss.FFTInput_Q_A) for FFTInput_Q_A - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers to lto-llvm-ea22a7.o(.bss.FFTInput_I_B) for FFTInput_I_B - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers to lto-llvm-ea22a7.o(.bss.FFTInput_Q_B) for FFTInput_Q_B - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers to lto-llvm-ea22a7.o(.bss.FFTInputCharge) for FFTInputCharge - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers to lto-llvm-ea22a7.o(.bss.FFTInput) for FFTInput - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers to lto-llvm-ea22a7.o(.bss.FFTOutput_mean) for FFTOutput_mean - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers to lto-llvm-ea22a7.o(.bss.FFT_buff_index) for FFT_buff_index - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers to lto-llvm-ea22a7.o(.bss.Processor_NeedRXBuffer) for Processor_NeedRXBuffer - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers to lto-llvm-ea22a7.o(.bss.WM8731_Buffer_underrun) for WM8731_Buffer_underrun - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers to lto-llvm-ea22a7.o(.bss.WM8731_DMA_state) for WM8731_DMA_state - lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) refers to lto-llvm-ea22a7.o(.text.WM8731_RX_mode) for WM8731_RX_mode - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_Restart_Mode) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_Restart_Mode) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_Restart_Mode) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_Restart_Mode) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_Restart_Mode) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_Restart_Mode) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_Restart_Mode) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_Restart_Mode) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_Restart_Mode) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_Restart_Mode) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_Restart_Mode) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_Restart_Mode) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_Restart_Mode) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_Restart_Mode) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_Restart_Mode) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_Restart_Mode) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_Restart_Mode) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_Restart_Mode) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_Restart_Mode) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_Restart_Mode) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_Restart_Mode) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_Restart_Mode) refers to lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.TRX_key_change) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.TRX_key_change) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.TRX_key_change) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.TRX_key_change) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.TRX_key_change) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.TRX_key_change) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.TRX_key_change) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.TRX_key_change) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.TRX_key_change) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.TRX_key_change) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.TRX_key_change) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.TRX_key_change) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.TRX_key_change) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.TRX_key_change) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.TRX_key_change) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.TRX_key_change) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.TRX_key_change) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.TRX_key_change) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.TRX_key_change) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.TRX_key_change) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.TRX_key_change) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.TRX_key_change) refers to lto-llvm-ea22a7.o(.bss.TRX_Tune) for TRX_Tune - lto-llvm-ea22a7.o(.text.TRX_key_change) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.TRX_key_change) refers to lto-llvm-ea22a7.o(.bss.TRX_key_dot_hard) for TRX_key_dot_hard - lto-llvm-ea22a7.o(.text.TRX_key_change) refers to lto-llvm-ea22a7.o(.bss.KEYER_symbol_status) for KEYER_symbol_status - lto-llvm-ea22a7.o(.text.TRX_key_change) refers to lto-llvm-ea22a7.o(.bss.TRX_Key_Timeout_est) for TRX_Key_Timeout_est - lto-llvm-ea22a7.o(.text.TRX_key_change) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.TRX_key_change) refers to lto-llvm-ea22a7.o(.bss.FPGA_NeedSendParams) for FPGA_NeedSendParams - lto-llvm-ea22a7.o(.text.TRX_key_change) refers to lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) for TRX_Restart_Mode - lto-llvm-ea22a7.o(.text.TRX_key_change) refers to lto-llvm-ea22a7.o(.bss.TRX_key_dash_hard) for TRX_key_dash_hard - lto-llvm-ea22a7.o(.text.TRX_key_change) refers to lto-llvm-ea22a7.o(.bss.TRX_key_serial) for TRX_key_serial - lto-llvm-ea22a7.o(.text.TRX_key_change) refers to lto-llvm-ea22a7.o(.bss.TRX_old_key_serial) for TRX_old_key_serial - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_key_change) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_key_change) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_key_change) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_key_change) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_key_change) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_key_change) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_key_change) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_key_change) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_key_change) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_key_change) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_key_change) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_key_change) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_key_change) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_key_change) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_key_change) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_key_change) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_key_change) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_key_change) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_key_change) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_key_change) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_key_change) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_key_change) refers to lto-llvm-ea22a7.o(.text.TRX_key_change) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.AUDIO_Init_FS) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.AUDIO_Init_FS) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.AUDIO_Init_FS) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.AUDIO_Init_FS) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.AUDIO_Init_FS) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.AUDIO_Init_FS) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.AUDIO_Init_FS) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.AUDIO_Init_FS) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.AUDIO_Init_FS) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.AUDIO_Init_FS) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.AUDIO_Init_FS) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.AUDIO_Init_FS) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.AUDIO_Init_FS) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.AUDIO_Init_FS) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.AUDIO_Init_FS) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.AUDIO_Init_FS) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.AUDIO_Init_FS) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.AUDIO_Init_FS) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.AUDIO_Init_FS) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.AUDIO_Init_FS) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.AUDIO_Init_FS) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.AUDIO_Init_FS) refers to lto-llvm-ea22a7.o(.bss.hUsbDeviceFS) for hUsbDeviceFS - lto-llvm-ea22a7.o(.text.AUDIO_Init_FS) refers to lto-llvm-ea22a7.o(.IRAM1) for USB_AUDIO_rx_buffer_a - lto-llvm-ea22a7.o(.text.AUDIO_Init_FS) refers to lto-llvm-ea22a7.o(.text.USBD_AUDIO_StartTransmit) for USBD_AUDIO_StartTransmit - lto-llvm-ea22a7.o(.text.AUDIO_Init_FS) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo_head) for debug_tx_fifo_head - lto-llvm-ea22a7.o(.text.AUDIO_Init_FS) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo) for debug_tx_fifo - lto-llvm-ea22a7.o(.text.AUDIO_Init_FS) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo_tail) for debug_tx_fifo_tail - lto-llvm-ea22a7.o(.text.AUDIO_Init_FS) refers to lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) for DEBUG_Transmit_FIFO_Events - lto-llvm-ea22a7.o(.text.AUDIO_Init_FS) refers to lto-llvm-ea22a7.o(.text.USB_EPStartXfer) for USB_EPStartXfer - lto-llvm-ea22a7.o(.text.AUDIO_Init_FS) refers to lto-llvm-ea22a7.o(.bss.USB_AUDIO_Inited) for USB_AUDIO_Inited - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_Init_FS) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_Init_FS) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_Init_FS) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_Init_FS) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_Init_FS) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_Init_FS) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_Init_FS) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_Init_FS) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_Init_FS) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_Init_FS) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_Init_FS) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_Init_FS) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_Init_FS) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_Init_FS) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_Init_FS) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_Init_FS) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_Init_FS) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_Init_FS) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_Init_FS) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_Init_FS) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_Init_FS) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_Init_FS) refers to lto-llvm-ea22a7.o(.text.AUDIO_Init_FS) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.AUDIO_DeInit_FS) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.AUDIO_DeInit_FS) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.AUDIO_DeInit_FS) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.AUDIO_DeInit_FS) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.AUDIO_DeInit_FS) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.AUDIO_DeInit_FS) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.AUDIO_DeInit_FS) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.AUDIO_DeInit_FS) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.AUDIO_DeInit_FS) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.AUDIO_DeInit_FS) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.AUDIO_DeInit_FS) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.AUDIO_DeInit_FS) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.AUDIO_DeInit_FS) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.AUDIO_DeInit_FS) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.AUDIO_DeInit_FS) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.AUDIO_DeInit_FS) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.AUDIO_DeInit_FS) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.AUDIO_DeInit_FS) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.AUDIO_DeInit_FS) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.AUDIO_DeInit_FS) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.AUDIO_DeInit_FS) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_DeInit_FS) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_DeInit_FS) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_DeInit_FS) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_DeInit_FS) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_DeInit_FS) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_DeInit_FS) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_DeInit_FS) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_DeInit_FS) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_DeInit_FS) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_DeInit_FS) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_DeInit_FS) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_DeInit_FS) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_DeInit_FS) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_DeInit_FS) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_DeInit_FS) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_DeInit_FS) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_DeInit_FS) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_DeInit_FS) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_DeInit_FS) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_DeInit_FS) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_DeInit_FS) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_DeInit_FS) refers to lto-llvm-ea22a7.o(.text.AUDIO_DeInit_FS) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.CAT_Init_FS) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.CAT_Init_FS) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.CAT_Init_FS) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.CAT_Init_FS) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.CAT_Init_FS) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.CAT_Init_FS) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.CAT_Init_FS) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.CAT_Init_FS) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.CAT_Init_FS) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.CAT_Init_FS) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.CAT_Init_FS) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.CAT_Init_FS) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.CAT_Init_FS) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.CAT_Init_FS) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.CAT_Init_FS) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.CAT_Init_FS) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.CAT_Init_FS) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.CAT_Init_FS) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.CAT_Init_FS) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.CAT_Init_FS) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.CAT_Init_FS) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.CAT_Init_FS) refers to lto-llvm-ea22a7.o(.bss.hUsbDeviceFS) for hUsbDeviceFS - lto-llvm-ea22a7.o(.text.CAT_Init_FS) refers to lto-llvm-ea22a7.o(.bss.CAT_UserRxBufferFS) for CAT_UserRxBufferFS - lto-llvm-ea22a7.o(.text.CAT_Init_FS) refers to lto-llvm-ea22a7.o(.bss.CAT_UserTxBufferFS) for CAT_UserTxBufferFS - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Init_FS) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Init_FS) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Init_FS) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Init_FS) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Init_FS) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Init_FS) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Init_FS) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Init_FS) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Init_FS) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Init_FS) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Init_FS) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Init_FS) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Init_FS) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Init_FS) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Init_FS) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Init_FS) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Init_FS) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Init_FS) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Init_FS) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Init_FS) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Init_FS) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Init_FS) refers to lto-llvm-ea22a7.o(.text.CAT_Init_FS) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.CAT_DeInit_FS) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.CAT_DeInit_FS) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.CAT_DeInit_FS) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.CAT_DeInit_FS) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.CAT_DeInit_FS) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.CAT_DeInit_FS) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.CAT_DeInit_FS) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.CAT_DeInit_FS) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.CAT_DeInit_FS) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.CAT_DeInit_FS) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.CAT_DeInit_FS) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.CAT_DeInit_FS) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.CAT_DeInit_FS) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.CAT_DeInit_FS) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.CAT_DeInit_FS) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.CAT_DeInit_FS) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.CAT_DeInit_FS) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.CAT_DeInit_FS) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.CAT_DeInit_FS) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.CAT_DeInit_FS) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.CAT_DeInit_FS) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_DeInit_FS) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_DeInit_FS) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_DeInit_FS) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_DeInit_FS) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_DeInit_FS) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_DeInit_FS) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_DeInit_FS) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_DeInit_FS) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_DeInit_FS) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_DeInit_FS) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_DeInit_FS) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_DeInit_FS) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_DeInit_FS) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_DeInit_FS) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_DeInit_FS) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_DeInit_FS) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_DeInit_FS) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_DeInit_FS) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_DeInit_FS) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_DeInit_FS) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_DeInit_FS) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_DeInit_FS) refers to lto-llvm-ea22a7.o(.text.CAT_DeInit_FS) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.CAT_Control_FS) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.CAT_Control_FS) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.CAT_Control_FS) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.CAT_Control_FS) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.CAT_Control_FS) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.CAT_Control_FS) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.CAT_Control_FS) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.CAT_Control_FS) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.CAT_Control_FS) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.CAT_Control_FS) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.CAT_Control_FS) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.CAT_Control_FS) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.CAT_Control_FS) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.CAT_Control_FS) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.CAT_Control_FS) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.CAT_Control_FS) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.CAT_Control_FS) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.CAT_Control_FS) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.CAT_Control_FS) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.CAT_Control_FS) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.CAT_Control_FS) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.CAT_Control_FS) refers to lto-llvm-ea22a7.o(.data.lineCoding) for lineCoding - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Control_FS) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Control_FS) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Control_FS) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Control_FS) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Control_FS) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Control_FS) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Control_FS) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Control_FS) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Control_FS) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Control_FS) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Control_FS) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Control_FS) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Control_FS) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Control_FS) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Control_FS) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Control_FS) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Control_FS) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Control_FS) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Control_FS) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Control_FS) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Control_FS) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Control_FS) refers to lto-llvm-ea22a7.o(.text.CAT_Control_FS) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.CAT_Receive_FS) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.CAT_Receive_FS) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.CAT_Receive_FS) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.CAT_Receive_FS) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.CAT_Receive_FS) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.CAT_Receive_FS) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.CAT_Receive_FS) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.CAT_Receive_FS) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.CAT_Receive_FS) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.CAT_Receive_FS) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.CAT_Receive_FS) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.CAT_Receive_FS) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.CAT_Receive_FS) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.CAT_Receive_FS) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.CAT_Receive_FS) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.CAT_Receive_FS) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.CAT_Receive_FS) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.CAT_Receive_FS) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.CAT_Receive_FS) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.CAT_Receive_FS) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.CAT_Receive_FS) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.CAT_Receive_FS) refers to rt_memclr_w.o(.text) for __aeabi_memclr4 - lto-llvm-ea22a7.o(.text.CAT_Receive_FS) refers to strncpy.o(.text) for strncpy - lto-llvm-ea22a7.o(.text.CAT_Receive_FS) refers to lto-llvm-ea22a7.o(.bss.cat_buffer_head) for cat_buffer_head - lto-llvm-ea22a7.o(.text.CAT_Receive_FS) refers to lto-llvm-ea22a7.o(.bss.cat_buffer) for cat_buffer - lto-llvm-ea22a7.o(.text.CAT_Receive_FS) refers to lto-llvm-ea22a7.o(.bss.command_to_parse) for command_to_parse - lto-llvm-ea22a7.o(.text.CAT_Receive_FS) refers to rt_memclr.o(.text) for __aeabi_memclr - lto-llvm-ea22a7.o(.text.CAT_Receive_FS) refers to rt_memcpy_w.o(.text) for __aeabi_memcpy4 - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Receive_FS) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Receive_FS) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Receive_FS) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Receive_FS) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Receive_FS) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Receive_FS) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Receive_FS) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Receive_FS) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Receive_FS) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Receive_FS) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Receive_FS) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Receive_FS) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Receive_FS) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Receive_FS) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Receive_FS) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Receive_FS) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Receive_FS) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Receive_FS) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Receive_FS) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Receive_FS) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Receive_FS) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Receive_FS) refers to lto-llvm-ea22a7.o(.text.CAT_Receive_FS) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.setFT450Mode) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.setFT450Mode) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.setFT450Mode) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.setFT450Mode) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.setFT450Mode) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.setFT450Mode) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.setFT450Mode) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.setFT450Mode) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.setFT450Mode) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.setFT450Mode) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.setFT450Mode) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.setFT450Mode) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.setFT450Mode) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.setFT450Mode) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.setFT450Mode) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.setFT450Mode) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.setFT450Mode) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.setFT450Mode) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.setFT450Mode) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.setFT450Mode) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.setFT450Mode) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.setFT450Mode) refers to strcmpv7em.o(.text) for strcmp - lto-llvm-ea22a7.o(.text.setFT450Mode) refers to lto-llvm-ea22a7.o(.text.sendToDebug_str3) for sendToDebug_str3 - lto-llvm-ea22a7.o(.ARM.exidx.text.setFT450Mode) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.setFT450Mode) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.setFT450Mode) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.setFT450Mode) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.setFT450Mode) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.setFT450Mode) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.setFT450Mode) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.setFT450Mode) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.setFT450Mode) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.setFT450Mode) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.setFT450Mode) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.setFT450Mode) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.setFT450Mode) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.setFT450Mode) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.setFT450Mode) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.setFT450Mode) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.setFT450Mode) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.setFT450Mode) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.setFT450Mode) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.setFT450Mode) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.setFT450Mode) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.setFT450Mode) refers to lto-llvm-ea22a7.o(.text.setFT450Mode) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.DEBUG_Init_FS) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.DEBUG_Init_FS) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.DEBUG_Init_FS) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.DEBUG_Init_FS) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.DEBUG_Init_FS) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.DEBUG_Init_FS) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.DEBUG_Init_FS) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.DEBUG_Init_FS) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.DEBUG_Init_FS) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.DEBUG_Init_FS) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.DEBUG_Init_FS) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.DEBUG_Init_FS) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.DEBUG_Init_FS) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.DEBUG_Init_FS) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.DEBUG_Init_FS) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.DEBUG_Init_FS) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.DEBUG_Init_FS) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.DEBUG_Init_FS) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.DEBUG_Init_FS) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.DEBUG_Init_FS) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.DEBUG_Init_FS) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.DEBUG_Init_FS) refers to lto-llvm-ea22a7.o(.bss.hUsbDeviceFS) for hUsbDeviceFS - lto-llvm-ea22a7.o(.text.DEBUG_Init_FS) refers to lto-llvm-ea22a7.o(.bss.DEBUG_UserRxBufferFS) for DEBUG_UserRxBufferFS - lto-llvm-ea22a7.o(.text.DEBUG_Init_FS) refers to lto-llvm-ea22a7.o(.bss.DEBUG_UserTxBufferFS) for DEBUG_UserTxBufferFS - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Init_FS) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Init_FS) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Init_FS) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Init_FS) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Init_FS) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Init_FS) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Init_FS) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Init_FS) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Init_FS) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Init_FS) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Init_FS) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Init_FS) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Init_FS) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Init_FS) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Init_FS) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Init_FS) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Init_FS) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Init_FS) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Init_FS) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Init_FS) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Init_FS) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Init_FS) refers to lto-llvm-ea22a7.o(.text.DEBUG_Init_FS) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.DEBUG_DeInit_FS) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.DEBUG_DeInit_FS) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.DEBUG_DeInit_FS) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.DEBUG_DeInit_FS) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.DEBUG_DeInit_FS) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.DEBUG_DeInit_FS) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.DEBUG_DeInit_FS) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.DEBUG_DeInit_FS) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.DEBUG_DeInit_FS) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.DEBUG_DeInit_FS) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.DEBUG_DeInit_FS) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.DEBUG_DeInit_FS) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.DEBUG_DeInit_FS) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.DEBUG_DeInit_FS) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.DEBUG_DeInit_FS) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.DEBUG_DeInit_FS) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.DEBUG_DeInit_FS) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.DEBUG_DeInit_FS) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.DEBUG_DeInit_FS) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.DEBUG_DeInit_FS) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.DEBUG_DeInit_FS) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_DeInit_FS) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_DeInit_FS) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_DeInit_FS) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_DeInit_FS) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_DeInit_FS) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_DeInit_FS) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_DeInit_FS) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_DeInit_FS) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_DeInit_FS) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_DeInit_FS) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_DeInit_FS) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_DeInit_FS) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_DeInit_FS) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_DeInit_FS) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_DeInit_FS) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_DeInit_FS) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_DeInit_FS) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_DeInit_FS) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_DeInit_FS) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_DeInit_FS) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_DeInit_FS) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_DeInit_FS) refers to lto-llvm-ea22a7.o(.text.DEBUG_DeInit_FS) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.DEBUG_Control_FS) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.DEBUG_Control_FS) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.DEBUG_Control_FS) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.DEBUG_Control_FS) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.DEBUG_Control_FS) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.DEBUG_Control_FS) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.DEBUG_Control_FS) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.DEBUG_Control_FS) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.DEBUG_Control_FS) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.DEBUG_Control_FS) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.DEBUG_Control_FS) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.DEBUG_Control_FS) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.DEBUG_Control_FS) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.DEBUG_Control_FS) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.DEBUG_Control_FS) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.DEBUG_Control_FS) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.DEBUG_Control_FS) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.DEBUG_Control_FS) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.DEBUG_Control_FS) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.DEBUG_Control_FS) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.DEBUG_Control_FS) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.DEBUG_Control_FS) refers to lto-llvm-ea22a7.o(.data.lineCoding.532) for lineCoding.532 - lto-llvm-ea22a7.o(.text.DEBUG_Control_FS) refers to lto-llvm-ea22a7.o(.bss.TRX_key_serial) for TRX_key_serial - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Control_FS) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Control_FS) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Control_FS) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Control_FS) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Control_FS) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Control_FS) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Control_FS) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Control_FS) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Control_FS) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Control_FS) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Control_FS) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Control_FS) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Control_FS) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Control_FS) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Control_FS) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Control_FS) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Control_FS) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Control_FS) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Control_FS) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Control_FS) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Control_FS) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Control_FS) refers to lto-llvm-ea22a7.o(.text.DEBUG_Control_FS) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.DEBUG_Receive_FS) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.DEBUG_Receive_FS) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.DEBUG_Receive_FS) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.DEBUG_Receive_FS) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.DEBUG_Receive_FS) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.DEBUG_Receive_FS) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.DEBUG_Receive_FS) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.DEBUG_Receive_FS) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.DEBUG_Receive_FS) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.DEBUG_Receive_FS) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.DEBUG_Receive_FS) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.DEBUG_Receive_FS) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.DEBUG_Receive_FS) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.DEBUG_Receive_FS) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.DEBUG_Receive_FS) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.DEBUG_Receive_FS) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.DEBUG_Receive_FS) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.DEBUG_Receive_FS) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.DEBUG_Receive_FS) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.DEBUG_Receive_FS) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.DEBUG_Receive_FS) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.DEBUG_Receive_FS) refers to lto-llvm-ea22a7.o(.bss.hUsbDeviceFS) for hUsbDeviceFS - lto-llvm-ea22a7.o(.text.DEBUG_Receive_FS) refers to lto-llvm-ea22a7.o(.text.USB_EPStartXfer) for USB_EPStartXfer - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Receive_FS) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Receive_FS) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Receive_FS) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Receive_FS) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Receive_FS) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Receive_FS) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Receive_FS) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Receive_FS) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Receive_FS) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Receive_FS) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Receive_FS) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Receive_FS) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Receive_FS) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Receive_FS) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Receive_FS) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Receive_FS) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Receive_FS) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Receive_FS) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Receive_FS) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Receive_FS) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Receive_FS) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Receive_FS) refers to lto-llvm-ea22a7.o(.text.DEBUG_Receive_FS) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) refers to lto-llvm-ea22a7.o(.bss.FIFO_Events_busy) for FIFO_Events_busy - lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo_head) for debug_tx_fifo_head - lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo_tail) for debug_tx_fifo_tail - lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) refers to lto-llvm-ea22a7.o(.bss.hUsbDeviceFS) for hUsbDeviceFS - lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) refers to lto-llvm-ea22a7.o(.bss.temp_buff) for temp_buff - lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) refers to rt_memclr_w.o(.text) for __aeabi_memclr4 - lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo) for debug_tx_fifo - lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) refers to rt_memcpy_v6.o(.text) for __aeabi_memcpy - lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) refers to lto-llvm-ea22a7.o(.text.USB_EPStartXfer) for USB_EPStartXfer - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Transmit_FIFO_Events) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Transmit_FIFO_Events) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Transmit_FIFO_Events) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Transmit_FIFO_Events) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Transmit_FIFO_Events) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Transmit_FIFO_Events) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Transmit_FIFO_Events) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Transmit_FIFO_Events) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Transmit_FIFO_Events) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Transmit_FIFO_Events) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Transmit_FIFO_Events) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Transmit_FIFO_Events) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Transmit_FIFO_Events) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Transmit_FIFO_Events) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Transmit_FIFO_Events) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Transmit_FIFO_Events) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Transmit_FIFO_Events) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Transmit_FIFO_Events) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Transmit_FIFO_Events) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Transmit_FIFO_Events) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Transmit_FIFO_Events) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Transmit_FIFO_Events) refers to lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Init) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Init) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Init) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Init) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Init) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Init) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Init) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Init) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Init) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Init) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Init) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Init) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Init) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Init) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Init) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Init) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Init) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Init) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Init) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Init) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Init) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Init) refers to lto-llvm-ea22a7.o(.bss.uwTick) for uwTick - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Init) refers to lto-llvm-ea22a7.o(.bss.USB_LastActiveTime) for USB_LastActiveTime - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Init) refers to lto-llvm-ea22a7.o(.text.USBD_LL_OpenEP) for USBD_LL_OpenEP - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Init) refers to h1_alloc.o(.text) for malloc - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Init) refers to rt_memclr.o(.text) for __aeabi_memclr - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Init) refers to lto-llvm-ea22a7.o(.text.USB_EPStartXfer) for USB_EPStartXfer - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Init) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Init) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Init) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Init) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Init) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Init) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Init) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Init) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Init) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Init) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Init) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Init) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Init) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Init) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Init) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Init) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Init) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Init) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Init) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Init) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Init) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Init) refers to lto-llvm-ea22a7.o(.text.USBD_UA3REO_Init) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DeInit) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DeInit) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DeInit) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DeInit) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DeInit) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DeInit) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DeInit) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DeInit) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DeInit) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DeInit) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DeInit) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DeInit) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DeInit) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DeInit) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DeInit) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DeInit) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DeInit) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DeInit) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DeInit) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DeInit) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DeInit) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DeInit) refers to lto-llvm-ea22a7.o(.text.USBD_LL_CloseEP) for USBD_LL_CloseEP - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DeInit) refers to h1_free.o(.text) for free - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DeInit) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DeInit) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DeInit) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DeInit) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DeInit) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DeInit) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DeInit) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DeInit) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DeInit) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DeInit) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DeInit) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DeInit) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DeInit) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DeInit) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DeInit) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DeInit) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DeInit) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DeInit) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DeInit) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DeInit) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DeInit) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DeInit) refers to lto-llvm-ea22a7.o(.text.USBD_UA3REO_DeInit) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Setup) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Setup) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Setup) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Setup) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Setup) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Setup) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Setup) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Setup) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Setup) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Setup) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Setup) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Setup) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Setup) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Setup) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Setup) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Setup) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Setup) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Setup) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Setup) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Setup) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Setup) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Setup) refers to lto-llvm-ea22a7.o(.bss.uwTick) for uwTick - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Setup) refers to lto-llvm-ea22a7.o(.bss.USB_LastActiveTime) for USB_LastActiveTime - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Setup) refers to lto-llvm-ea22a7.o(.text.USB_EP0StartXfer) for USB_EP0StartXfer - lto-llvm-ea22a7.o(.text.USBD_UA3REO_Setup) refers to lto-llvm-ea22a7.o(.text.USBD_LL_StallEP) for USBD_LL_StallEP - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Setup) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Setup) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Setup) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Setup) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Setup) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Setup) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Setup) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Setup) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Setup) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Setup) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Setup) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Setup) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Setup) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Setup) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Setup) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Setup) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Setup) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Setup) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Setup) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Setup) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Setup) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Setup) refers to lto-llvm-ea22a7.o(.text.USBD_UA3REO_Setup) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_TxReady) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_TxReady) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_TxReady) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_TxReady) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_TxReady) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_TxReady) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_TxReady) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_TxReady) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_TxReady) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_TxReady) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_TxReady) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_TxReady) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_TxReady) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_TxReady) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_TxReady) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_TxReady) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_TxReady) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_TxReady) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_TxReady) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_TxReady) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_TxReady) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_TxReady) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_TxReady) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_TxReady) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_TxReady) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_TxReady) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_TxReady) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_TxReady) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_TxReady) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_TxReady) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_TxReady) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_TxReady) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_TxReady) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_TxReady) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_TxReady) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_TxReady) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_TxReady) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_TxReady) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_TxReady) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_TxReady) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_TxReady) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_TxReady) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_TxReady) refers to lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_TxReady) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_RxReady) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_RxReady) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_RxReady) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_RxReady) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_RxReady) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_RxReady) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_RxReady) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_RxReady) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_RxReady) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_RxReady) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_RxReady) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_RxReady) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_RxReady) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_RxReady) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_RxReady) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_RxReady) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_RxReady) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_RxReady) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_RxReady) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_RxReady) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_RxReady) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_RxReady) refers to lto-llvm-ea22a7.o(.bss.uwTick) for uwTick - lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_RxReady) refers to lto-llvm-ea22a7.o(.bss.USB_LastActiveTime) for USB_LastActiveTime - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_RxReady) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_RxReady) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_RxReady) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_RxReady) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_RxReady) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_RxReady) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_RxReady) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_RxReady) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_RxReady) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_RxReady) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_RxReady) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_RxReady) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_RxReady) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_RxReady) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_RxReady) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_RxReady) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_RxReady) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_RxReady) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_RxReady) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_RxReady) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_RxReady) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_RxReady) refers to lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_RxReady) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataIn) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataIn) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataIn) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataIn) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataIn) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataIn) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataIn) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataIn) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataIn) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataIn) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataIn) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataIn) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataIn) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataIn) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataIn) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataIn) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataIn) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataIn) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataIn) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataIn) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataIn) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataIn) refers to lto-llvm-ea22a7.o(.bss.rx_buffer_head) for rx_buffer_head - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataIn) refers to lto-llvm-ea22a7.o(.bss.USB_AUDIO_need_rx_buffer) for USB_AUDIO_need_rx_buffer - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataIn) refers to lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_underrun) for RX_USB_AUDIO_underrun - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataIn) refers to lto-llvm-ea22a7.o(.bss.USB_AUDIO_current_rx_buffer) for USB_AUDIO_current_rx_buffer - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataIn) refers to lto-llvm-ea22a7.o(.IRAM1) for USB_AUDIO_rx_buffer_b - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataIn) refers to lto-llvm-ea22a7.o(.bss.rx_buffer_step) for rx_buffer_step - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataIn) refers to lto-llvm-ea22a7.o(.text.USB_EPStartXfer) for USB_EPStartXfer - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataIn) refers to lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_SAMPLES) for RX_USB_AUDIO_SAMPLES - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataIn) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataIn) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataIn) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataIn) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataIn) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataIn) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataIn) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataIn) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataIn) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataIn) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataIn) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataIn) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataIn) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataIn) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataIn) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataIn) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataIn) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataIn) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataIn) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataIn) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataIn) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataIn) refers to lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataIn) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataOut) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataOut) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataOut) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataOut) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataOut) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataOut) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataOut) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataOut) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataOut) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataOut) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataOut) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataOut) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataOut) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataOut) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataOut) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataOut) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataOut) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataOut) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataOut) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataOut) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataOut) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataOut) refers to lto-llvm-ea22a7.o(.bss.uwTick) for uwTick - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataOut) refers to lto-llvm-ea22a7.o(.bss.USB_LastActiveTime) for USB_LastActiveTime - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataOut) refers to lto-llvm-ea22a7.o(.text.USB_EPStartXfer) for USB_EPStartXfer - lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataOut) refers to lto-llvm-ea22a7.o(.bss.TX_USB_AUDIO_SAMPLES) for TX_USB_AUDIO_SAMPLES - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataOut) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataOut) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataOut) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataOut) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataOut) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataOut) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataOut) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataOut) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataOut) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataOut) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataOut) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataOut) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataOut) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataOut) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataOut) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataOut) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataOut) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataOut) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataOut) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataOut) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataOut) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataOut) refers to lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataOut) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.USBD_UA3REO_SOF) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.USBD_UA3REO_SOF) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.USBD_UA3REO_SOF) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.USBD_UA3REO_SOF) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.USBD_UA3REO_SOF) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.USBD_UA3REO_SOF) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.USBD_UA3REO_SOF) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.USBD_UA3REO_SOF) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.USBD_UA3REO_SOF) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.USBD_UA3REO_SOF) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.USBD_UA3REO_SOF) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.USBD_UA3REO_SOF) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.USBD_UA3REO_SOF) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.USBD_UA3REO_SOF) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.USBD_UA3REO_SOF) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.USBD_UA3REO_SOF) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.USBD_UA3REO_SOF) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.USBD_UA3REO_SOF) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.USBD_UA3REO_SOF) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.USBD_UA3REO_SOF) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.USBD_UA3REO_SOF) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.USBD_UA3REO_SOF) refers to lto-llvm-ea22a7.o(.bss.uwTick) for uwTick - lto-llvm-ea22a7.o(.text.USBD_UA3REO_SOF) refers to lto-llvm-ea22a7.o(.bss.USB_LastActiveTime) for USB_LastActiveTime - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_SOF) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_SOF) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_SOF) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_SOF) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_SOF) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_SOF) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_SOF) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_SOF) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_SOF) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_SOF) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_SOF) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_SOF) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_SOF) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_SOF) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_SOF) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_SOF) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_SOF) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_SOF) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_SOF) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_SOF) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_SOF) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_SOF) refers to lto-llvm-ea22a7.o(.text.USBD_UA3REO_SOF) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoINIncomplete) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoINIncomplete) refers to lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoINIncomplete) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoOutIncomplete) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoOutIncomplete) refers to lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoOutIncomplete) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetFSCfgDesc) refers to lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_CfgFSDesc) for USBD_UA3REO_CfgFSDesc - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetFSCfgDesc) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetFSCfgDesc) refers to lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetFSCfgDesc) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers to lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_DeviceQualifierDesc) for USBD_UA3REO_DeviceQualifierDesc - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetDeviceQualifierDescriptor) refers to lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetDeviceQualifierDescriptor) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.USBD_AUDIO_StartTransmit) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.USBD_AUDIO_StartTransmit) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.USBD_AUDIO_StartTransmit) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.USBD_AUDIO_StartTransmit) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.USBD_AUDIO_StartTransmit) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.USBD_AUDIO_StartTransmit) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.USBD_AUDIO_StartTransmit) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.USBD_AUDIO_StartTransmit) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.USBD_AUDIO_StartTransmit) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.USBD_AUDIO_StartTransmit) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.USBD_AUDIO_StartTransmit) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.USBD_AUDIO_StartTransmit) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.USBD_AUDIO_StartTransmit) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.USBD_AUDIO_StartTransmit) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.USBD_AUDIO_StartTransmit) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.USBD_AUDIO_StartTransmit) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.USBD_AUDIO_StartTransmit) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.USBD_AUDIO_StartTransmit) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.USBD_AUDIO_StartTransmit) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.USBD_AUDIO_StartTransmit) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.USBD_AUDIO_StartTransmit) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.USBD_AUDIO_StartTransmit) refers to lto-llvm-ea22a7.o(.bss.rx_buffer_head) for rx_buffer_head - lto-llvm-ea22a7.o(.text.USBD_AUDIO_StartTransmit) refers to lto-llvm-ea22a7.o(.bss.USB_AUDIO_need_rx_buffer) for USB_AUDIO_need_rx_buffer - lto-llvm-ea22a7.o(.text.USBD_AUDIO_StartTransmit) refers to lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_underrun) for RX_USB_AUDIO_underrun - lto-llvm-ea22a7.o(.text.USBD_AUDIO_StartTransmit) refers to lto-llvm-ea22a7.o(.bss.USB_AUDIO_current_rx_buffer) for USB_AUDIO_current_rx_buffer - lto-llvm-ea22a7.o(.text.USBD_AUDIO_StartTransmit) refers to lto-llvm-ea22a7.o(.IRAM1) for USB_AUDIO_rx_buffer_b - lto-llvm-ea22a7.o(.text.USBD_AUDIO_StartTransmit) refers to lto-llvm-ea22a7.o(.bss.rx_buffer_step) for rx_buffer_step - lto-llvm-ea22a7.o(.text.USBD_AUDIO_StartTransmit) refers to lto-llvm-ea22a7.o(.text.USB_EPStartXfer) for USB_EPStartXfer - lto-llvm-ea22a7.o(.text.USBD_AUDIO_StartTransmit) refers to lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_SAMPLES) for RX_USB_AUDIO_SAMPLES - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_AUDIO_StartTransmit) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_AUDIO_StartTransmit) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_AUDIO_StartTransmit) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_AUDIO_StartTransmit) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_AUDIO_StartTransmit) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_AUDIO_StartTransmit) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_AUDIO_StartTransmit) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_AUDIO_StartTransmit) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_AUDIO_StartTransmit) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_AUDIO_StartTransmit) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_AUDIO_StartTransmit) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_AUDIO_StartTransmit) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_AUDIO_StartTransmit) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_AUDIO_StartTransmit) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_AUDIO_StartTransmit) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_AUDIO_StartTransmit) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_AUDIO_StartTransmit) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_AUDIO_StartTransmit) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_AUDIO_StartTransmit) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_AUDIO_StartTransmit) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_AUDIO_StartTransmit) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_AUDIO_StartTransmit) refers to lto-llvm-ea22a7.o(.text.USBD_AUDIO_StartTransmit) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.USBD_Restart) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.USBD_Restart) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.USBD_Restart) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.USBD_Restart) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.USBD_Restart) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.USBD_Restart) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.USBD_Restart) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.USBD_Restart) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.USBD_Restart) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.USBD_Restart) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.USBD_Restart) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.USBD_Restart) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.USBD_Restart) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.USBD_Restart) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.USBD_Restart) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.USBD_Restart) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.USBD_Restart) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.USBD_Restart) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.USBD_Restart) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.USBD_Restart) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.USBD_Restart) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.USBD_Restart) refers to lto-llvm-ea22a7.o(.text.MX_USB_DevDisconnect) for MX_USB_DevDisconnect - lto-llvm-ea22a7.o(.text.USBD_Restart) refers to lto-llvm-ea22a7.o(.text.HAL_GPIO_Init) for HAL_GPIO_Init - lto-llvm-ea22a7.o(.text.USBD_Restart) refers to lto-llvm-ea22a7.o(.bss.hUsbDeviceFS) for hUsbDeviceFS - lto-llvm-ea22a7.o(.text.USBD_Restart) refers to rt_memclr_w.o(.text) for __aeabi_memclr4 - lto-llvm-ea22a7.o(.text.USBD_Restart) refers to lto-llvm-ea22a7.o(.data.FS_Desc) for FS_Desc - lto-llvm-ea22a7.o(.text.USBD_Restart) refers to lto-llvm-ea22a7.o(.bss.hpcd_USB_OTG_FS) for hpcd_USB_OTG_FS - lto-llvm-ea22a7.o(.text.USBD_Restart) refers to lto-llvm-ea22a7.o(.bss.uwTick) for uwTick - lto-llvm-ea22a7.o(.text.USBD_Restart) refers to lto-llvm-ea22a7.o(.bss.USBD_inited) for USBD_inited - lto-llvm-ea22a7.o(.text.USBD_Restart) refers to lto-llvm-ea22a7.o(.data.USBD_UA3REO) for USBD_UA3REO - lto-llvm-ea22a7.o(.text.USBD_Restart) refers to lto-llvm-ea22a7.o(.data.USBD_DEBUG_fops_FS) for USBD_DEBUG_fops_FS - lto-llvm-ea22a7.o(.text.USBD_Restart) refers to lto-llvm-ea22a7.o(.data.USBD_CAT_fops_FS) for USBD_CAT_fops_FS - lto-llvm-ea22a7.o(.text.USBD_Restart) refers to lto-llvm-ea22a7.o(.data.USBD_AUDIO_fops_FS) for USBD_AUDIO_fops_FS - lto-llvm-ea22a7.o(.text.USBD_Restart) refers to lto-llvm-ea22a7.o(.bss.USB_LastActiveTime) for USB_LastActiveTime - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_Restart) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_Restart) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_Restart) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_Restart) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_Restart) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_Restart) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_Restart) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_Restart) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_Restart) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_Restart) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_Restart) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_Restart) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_Restart) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_Restart) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_Restart) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_Restart) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_Restart) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_Restart) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_Restart) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_Restart) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_Restart) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_Restart) refers to lto-llvm-ea22a7.o(.text.USBD_Restart) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) refers to lto-llvm-ea22a7.o(.IRAM1) for CODEC_Audio_Buffer_RX - lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) refers to rt_memclr_w.o(.text) for __aeabi_memclr4 - lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) refers to rt_memclr.o(.text) for __aeabi_memclr - lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) refers to lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db) for AGC_RX_need_gain_db - lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) refers to lto-llvm-ea22a7.o(.IRAM2) for AGC_RX_ringbuffer - lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) refers to lto-llvm-ea22a7.o(.bss.hi2s3) for hi2s3 - lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) refers to lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAHalfCplt) for I2SEx_TxRxDMAHalfCplt - lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) refers to lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMACplt) for I2SEx_TxRxDMACplt - lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) refers to lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAError) for I2SEx_TxRxDMAError - lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) refers to lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMAHalfCplt) for UA3REO_I2SEx_TxRxDMAHalfCplt - lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) refers to lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMACplt) for UA3REO_I2SEx_TxRxDMACplt - lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) refers to lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_DMAErr) for UA3REO_I2SEx_DMAErr - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_start_i2s_and_dma) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_start_i2s_and_dma) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_start_i2s_and_dma) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_start_i2s_and_dma) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_start_i2s_and_dma) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_start_i2s_and_dma) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_start_i2s_and_dma) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_start_i2s_and_dma) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_start_i2s_and_dma) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_start_i2s_and_dma) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_start_i2s_and_dma) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_start_i2s_and_dma) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_start_i2s_and_dma) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_start_i2s_and_dma) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_start_i2s_and_dma) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_start_i2s_and_dma) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_start_i2s_and_dma) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_start_i2s_and_dma) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_start_i2s_and_dma) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_start_i2s_and_dma) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_start_i2s_and_dma) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_start_i2s_and_dma) refers to lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers to lto-llvm-ea22a7.o(.bss.Processor_NeedRXBuffer) for Processor_NeedRXBuffer - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers to lto-llvm-ea22a7.o(.bss.WM8731_Buffer_underrun) for WM8731_Buffer_underrun - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers to lto-llvm-ea22a7.o(.bss.WM8731_DMA_state) for WM8731_DMA_state - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers to lto-llvm-ea22a7.o(.bss.Processor_NeedTXBuffer) for Processor_NeedTXBuffer - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers to lto-llvm-ea22a7.o(.bss.WM8731_DMA_samples) for WM8731_DMA_samples - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMAHalfCplt) refers to lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMAHalfCplt) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMACplt) refers to lto-llvm-ea22a7.o(.bss.Processor_NeedRXBuffer) for Processor_NeedRXBuffer - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMACplt) refers to lto-llvm-ea22a7.o(.bss.WM8731_Buffer_underrun) for WM8731_Buffer_underrun - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMACplt) refers to lto-llvm-ea22a7.o(.bss.WM8731_DMA_state) for WM8731_DMA_state - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMACplt) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMACplt) refers to lto-llvm-ea22a7.o(.bss.Processor_NeedTXBuffer) for Processor_NeedTXBuffer - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMACplt) refers to lto-llvm-ea22a7.o(.bss.WM8731_DMA_samples) for WM8731_DMA_samples - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMACplt) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMACplt) refers to lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMACplt) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_DMAErr) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_DMAErr) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_DMAErr) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_DMAErr) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_DMAErr) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_DMAErr) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_DMAErr) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_DMAErr) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_DMAErr) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_DMAErr) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_DMAErr) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_DMAErr) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_DMAErr) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_DMAErr) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_DMAErr) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_DMAErr) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_DMAErr) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_DMAErr) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_DMAErr) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_DMAErr) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_DMAErr) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_DMAErr) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo_head) for debug_tx_fifo_head - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_DMAErr) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo) for debug_tx_fifo - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_DMAErr) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo_tail) for debug_tx_fifo_tail - lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_DMAErr) refers to lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) for DEBUG_Transmit_FIFO_Events - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_DMAErr) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_DMAErr) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_DMAErr) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_DMAErr) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_DMAErr) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_DMAErr) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_DMAErr) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_DMAErr) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_DMAErr) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_DMAErr) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_DMAErr) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_DMAErr) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_DMAErr) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_DMAErr) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_DMAErr) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_DMAErr) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_DMAErr) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_DMAErr) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_DMAErr) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_DMAErr) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_DMAErr) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_DMAErr) refers to lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_DMAErr) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.WM8731_RX_mode) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.WM8731_RX_mode) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.WM8731_RX_mode) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.WM8731_RX_mode) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.WM8731_RX_mode) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.WM8731_RX_mode) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.WM8731_RX_mode) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.WM8731_RX_mode) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.WM8731_RX_mode) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.WM8731_RX_mode) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.WM8731_RX_mode) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.WM8731_RX_mode) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.WM8731_RX_mode) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.WM8731_RX_mode) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.WM8731_RX_mode) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.WM8731_RX_mode) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.WM8731_RX_mode) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.WM8731_RX_mode) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.WM8731_RX_mode) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.WM8731_RX_mode) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.WM8731_RX_mode) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.WM8731_RX_mode) refers to lto-llvm-ea22a7.o(.data.I2C_WM8731) for I2C_WM8731 - lto-llvm-ea22a7.o(.text.WM8731_RX_mode) refers to lto-llvm-ea22a7.o(.bss.uwTick) for uwTick - lto-llvm-ea22a7.o(.text.WM8731_RX_mode) refers to lto-llvm-ea22a7.o(.text.HAL_GPIO_Init) for HAL_GPIO_Init - lto-llvm-ea22a7.o(.text.WM8731_RX_mode) refers to lto-llvm-ea22a7.o(.text.i2c_endTransmission) for i2c_endTransmission - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_RX_mode) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_RX_mode) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_RX_mode) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_RX_mode) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_RX_mode) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_RX_mode) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_RX_mode) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_RX_mode) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_RX_mode) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_RX_mode) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_RX_mode) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_RX_mode) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_RX_mode) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_RX_mode) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_RX_mode) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_RX_mode) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_RX_mode) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_RX_mode) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_RX_mode) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_RX_mode) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_RX_mode) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_RX_mode) refers to lto-llvm-ea22a7.o(.text.WM8731_RX_mode) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.WM8731_TXRX_mode) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.WM8731_TXRX_mode) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.WM8731_TXRX_mode) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.WM8731_TXRX_mode) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.WM8731_TXRX_mode) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.WM8731_TXRX_mode) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.WM8731_TXRX_mode) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.WM8731_TXRX_mode) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.WM8731_TXRX_mode) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.WM8731_TXRX_mode) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.WM8731_TXRX_mode) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.WM8731_TXRX_mode) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.WM8731_TXRX_mode) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.WM8731_TXRX_mode) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.WM8731_TXRX_mode) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.WM8731_TXRX_mode) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.WM8731_TXRX_mode) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.WM8731_TXRX_mode) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.WM8731_TXRX_mode) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.WM8731_TXRX_mode) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.WM8731_TXRX_mode) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.WM8731_TXRX_mode) refers to lto-llvm-ea22a7.o(.data.I2C_WM8731) for I2C_WM8731 - lto-llvm-ea22a7.o(.text.WM8731_TXRX_mode) refers to lto-llvm-ea22a7.o(.bss.uwTick) for uwTick - lto-llvm-ea22a7.o(.text.WM8731_TXRX_mode) refers to lto-llvm-ea22a7.o(.text.HAL_GPIO_Init) for HAL_GPIO_Init - lto-llvm-ea22a7.o(.text.WM8731_TXRX_mode) refers to lto-llvm-ea22a7.o(.text.i2c_endTransmission) for i2c_endTransmission - lto-llvm-ea22a7.o(.text.WM8731_TXRX_mode) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_TXRX_mode) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_TXRX_mode) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_TXRX_mode) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_TXRX_mode) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_TXRX_mode) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_TXRX_mode) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_TXRX_mode) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_TXRX_mode) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_TXRX_mode) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_TXRX_mode) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_TXRX_mode) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_TXRX_mode) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_TXRX_mode) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_TXRX_mode) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_TXRX_mode) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_TXRX_mode) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_TXRX_mode) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_TXRX_mode) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_TXRX_mode) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_TXRX_mode) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_TXRX_mode) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_TXRX_mode) refers to lto-llvm-ea22a7.o(.text.WM8731_TXRX_mode) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.main) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.main) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.main) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.main) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.main) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.main) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.main) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.main) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.main) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.main) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.main) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.main) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.main) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.main) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.main) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.main) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.main) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.main) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.main) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.main) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.main) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.data.SystemCoreClock) for SystemCoreClock - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.uwTickPrio) for uwTickPrio - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.uwTick) for uwTick - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.HAL_GPIO_Init) for HAL_GPIO_Init - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream7) for hdma_memtomem_dma2_stream7 - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.HAL_DMA_Init) for HAL_DMA_Init - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream6) for hdma_memtomem_dma2_stream6 - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream4) for hdma_memtomem_dma2_stream4 - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream1) for hdma_memtomem_dma2_stream1 - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream0) for hdma_memtomem_dma2_stream0 - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream2) for hdma_memtomem_dma2_stream2 - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream3) for hdma_memtomem_dma2_stream3 - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream5) for hdma_memtomem_dma2_stream5 - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.hi2s3) for hi2s3 - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.I2S_IRQHandler) for I2S_IRQHandler - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.HAL_I2S_MspInit) for HAL_I2S_MspInit - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.HAL_I2SEx_FullDuplex_IRQHandler) for HAL_I2SEx_FullDuplex_IRQHandler - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.hrtc) for hrtc - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.HAL_RTC_Init) for HAL_RTC_Init - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.hadc1) for hadc1 - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.HAL_ADC_Init) for HAL_ADC_Init - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.HAL_ADC_ConfigChannel) for HAL_ADC_ConfigChannel - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedConfigChannel) for HAL_ADCEx_InjectedConfigChannel - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.hadc2) for hadc2 - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.hsram1) for hsram1 - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.HAL_SRAM_Init) for HAL_SRAM_Init - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.hspi2) for hspi2 - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.htim3) for htim3 - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.HAL_TIM_Base_Init) for HAL_TIM_Base_Init - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.HAL_TIM_ConfigClockSource) for HAL_TIM_ConfigClockSource - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.htim4) for htim4 - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.htim5) for htim5 - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.htim6) for htim6 - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.htim7) for htim7 - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.htim8) for htim8 - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.USBD_Restart) for USBD_Restart - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo_head) for debug_tx_fifo_head - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo) for debug_tx_fifo - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo_tail) for debug_tx_fifo_tail - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) for DEBUG_Transmit_FIFO_Events - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.sendToDebug_strln) for sendToDebug_strln - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.InitSettings.already_inited) for InitSettings.already_inited - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.data.version_string) for version_string - lto-llvm-ea22a7.o(.text.main) refers to strlen.o(.text) for strlen - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.rodata.str1.1) for .L.str.3.617 - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.SPI_Transmit) for SPI_Transmit - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.FRONTPanel_MCP3008_1_Enabled) for FRONTPanel_MCP3008_1_Enabled - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.LCD_showError) for LCD_showError - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) for FRONTPANEL_Process - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons_Active) for PERIPH_FrontPanel_BottomScroll_Buttons_Active - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.main) refers to rt_memcpy_w.o(.text) for __aeabi_memcpy4 - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.EEPROM_Enabled) for EEPROM_Enabled - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.data.Power_Up) for Power_Up - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.EEPROM_WaitWrite) for EEPROM_WaitWrite - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.EEPROM_Read_Data) for EEPROM_Read_Data - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.data.Power_Down) for Power_Down - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.settings_bank) for settings_bank - lto-llvm-ea22a7.o(.text.main) refers to rt_memclr_w.o(.text) for __aeabi_memclr8 - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.NeedSaveSettings) for NeedSaveSettings - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.rodata.BANDS) for BANDS - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.getModeFromFreq) for getModeFromFreq - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) for SaveSettingsToEEPROM - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.LCD_busy) for LCD_busy - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.rodata.COLOR_THEMES) for COLOR_THEMES - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.data.COLOR) for COLOR - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.LCDDriver_Init) for LCDDriver_Init - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.LCDDriver_Fill) for LCDDriver_Fill - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.LCD_inited) for LCD_inited - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.rodata..compoundliteral.637) for .compoundliteral.637 - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.text_cursor_x) for text_cursor_x - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.LCDDriver_drawChar) for LCDDriver_drawChar - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.greetings_buff) for greetings_buff - lto-llvm-ea22a7.o(.text.main) refers to strcat.o(.text) for strcat - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b.622) for FreeSans9pt7b.622 - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.LCDDriver_getTextBounds) for LCDDriver_getTextBounds - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.LCDDriver_printTextFont) for LCDDriver_printTextFont - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.profiles) for profiles - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.LoadCalibration) for LoadCalibration - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.FFT_PreInit) for FFT_PreInit - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.FFT_Init) for FFT_Init - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.data.I2C_WM8731) for I2C_WM8731 - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.i2c_endTransmission) for i2c_endTransmission - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.WM8731_Muting) for WM8731_Muting - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.TRX_TXRXMode) for TRX_TXRXMode - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.IRAM1) for CODEC_Audio_Buffer_RX - lto-llvm-ea22a7.o(.text.main) refers to rt_memclr.o(.text) for __aeabi_memclr - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db) for AGC_RX_need_gain_db - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.IRAM2) for AGC_RX_ringbuffer - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.Processor_NeedRXBuffer) for Processor_NeedRXBuffer - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.WM8731_Buffer_underrun) for WM8731_Buffer_underrun - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.WM8731_DMA_state) for WM8731_DMA_state - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.WM8731_RX_mode) for WM8731_RX_mode - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) for WM8731_start_i2s_and_dma - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.TRX_setFrequency) for TRX_setFrequency - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.TRX_setMode) for TRX_setMode - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedStart) for HAL_ADCEx_InjectedStart - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.FPGA_GPIO_InitStruct) for FPGA_GPIO_InitStruct - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.FPGA_bus_stop) for FPGA_bus_stop - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.data.FPGA_NeedRestart) for FPGA_NeedRestart - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_I) for Fir_RX_Hilbert_State_I - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_I) for FIR_RX_Hilbert_I - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.rodata.FIR_HILB_I_coeffs) for FIR_HILB_I_coeffs - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_Q) for Fir_RX_Hilbert_State_Q - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_Q) for FIR_RX_Hilbert_Q - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.rodata.FIR_HILB_Q_coeffs) for FIR_HILB_Q_coeffs - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_I) for Fir_Tx_Hilbert_State_I - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_I) for FIR_TX_Hilbert_I - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_Q) for Fir_Tx_Hilbert_State_Q - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_Q) for FIR_TX_Hilbert_Q - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.lms2_normCoeff_f32) for lms2_normCoeff_f32 - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.lms2_reference) for lms2_reference - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.reference_index_old) for reference_index_old - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.reference_index_new) for reference_index_new - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.0) for lms2_Norm_instance.0 - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.2) for lms2_Norm_instance.2 - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.lms2_stateF32) for lms2_stateF32 - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.1) for lms2_Norm_instance.1 - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.3) for lms2_Norm_instance.3 - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.4) for lms2_Norm_instance.4 - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.5) for lms2_Norm_instance.5 - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.ReinitAudioFilters) for ReinitAudioFilters - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.data.LCD_last_s_meter) for LCD_last_s_meter - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) for LCD_last_showed_freq - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.data.Last_showed_Hours) for Last_showed_Hours - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.data.Last_showed_Minutes) for Last_showed_Minutes - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.data.Last_showed_Seconds) for Last_showed_Seconds - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) for LCD_last_showed_freq_mhz - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) for LCD_last_showed_freq_khz - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) for LCD_last_showed_freq_hz - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.LCD_doEvents) for LCD_doEvents - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_status) for CPULOAD_status - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.TRX_Inited) for TRX_Inited - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) for CPULOAD_startWorkTime - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_WorkingTime) for CPULOAD_WorkingTime - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_SleepCounter) for CPULOAD_SleepCounter - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) for CPULOAD_startSleepTime - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.bss.TRX_NeedGoToBootloader) for TRX_NeedGoToBootloader - lto-llvm-ea22a7.o(.text.main) refers to lto-llvm-ea22a7.o(.text.JumpToBootloader) for JumpToBootloader - lto-llvm-ea22a7.o(.text.main) refers to lludivv7m.o(.text) for __aeabi_uldivmod - lto-llvm-ea22a7.o(.ARM.exidx.text.main) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.main) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.main) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.main) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.main) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.main) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.main) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.main) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.main) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.main) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.main) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.main) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.main) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.main) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.main) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.main) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.main) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.main) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.main) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.main) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.main) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.main) refers to lto-llvm-ea22a7.o(.text.main) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.NMI_Handler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.NMI_Handler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.NMI_Handler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.NMI_Handler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.NMI_Handler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.NMI_Handler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.NMI_Handler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.NMI_Handler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.NMI_Handler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.NMI_Handler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.NMI_Handler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.NMI_Handler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.NMI_Handler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.NMI_Handler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.NMI_Handler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.NMI_Handler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.NMI_Handler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.NMI_Handler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.NMI_Handler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.NMI_Handler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.NMI_Handler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.NMI_Handler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_status) for CPULOAD_status - lto-llvm-ea22a7.o(.text.NMI_Handler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) for CPULOAD_startSleepTime - lto-llvm-ea22a7.o(.text.NMI_Handler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) for CPULOAD_SleepingTime - lto-llvm-ea22a7.o(.text.NMI_Handler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) for CPULOAD_startWorkTime - lto-llvm-ea22a7.o(.ARM.exidx.text.NMI_Handler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.NMI_Handler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.NMI_Handler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.NMI_Handler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.NMI_Handler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.NMI_Handler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.NMI_Handler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.NMI_Handler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.NMI_Handler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.NMI_Handler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.NMI_Handler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.NMI_Handler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.NMI_Handler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.NMI_Handler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.NMI_Handler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.NMI_Handler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.NMI_Handler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.NMI_Handler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.NMI_Handler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.NMI_Handler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.NMI_Handler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.NMI_Handler) refers to lto-llvm-ea22a7.o(.text.NMI_Handler) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.HardFault_Handler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.HardFault_Handler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.HardFault_Handler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.HardFault_Handler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.HardFault_Handler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.HardFault_Handler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.HardFault_Handler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.HardFault_Handler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.HardFault_Handler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.HardFault_Handler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.HardFault_Handler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.HardFault_Handler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.HardFault_Handler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.HardFault_Handler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.HardFault_Handler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.HardFault_Handler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.HardFault_Handler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.HardFault_Handler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.HardFault_Handler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.HardFault_Handler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.HardFault_Handler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.HardFault_Handler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_status) for CPULOAD_status - lto-llvm-ea22a7.o(.text.HardFault_Handler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) for CPULOAD_startSleepTime - lto-llvm-ea22a7.o(.text.HardFault_Handler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) for CPULOAD_SleepingTime - lto-llvm-ea22a7.o(.text.HardFault_Handler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) for CPULOAD_startWorkTime - lto-llvm-ea22a7.o(.text.HardFault_Handler) refers to lto-llvm-ea22a7.o(.bss.HardFault_Handler.i) for HardFault_Handler.i - lto-llvm-ea22a7.o(.text.HardFault_Handler) refers to lto-llvm-ea22a7.o(.text.LCD_showError) for LCD_showError - lto-llvm-ea22a7.o(.ARM.exidx.text.HardFault_Handler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.HardFault_Handler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.HardFault_Handler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.HardFault_Handler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.HardFault_Handler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.HardFault_Handler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.HardFault_Handler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.HardFault_Handler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.HardFault_Handler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.HardFault_Handler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.HardFault_Handler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.HardFault_Handler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.HardFault_Handler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.HardFault_Handler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.HardFault_Handler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.HardFault_Handler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.HardFault_Handler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.HardFault_Handler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.HardFault_Handler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.HardFault_Handler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.HardFault_Handler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.HardFault_Handler) refers to lto-llvm-ea22a7.o(.text.HardFault_Handler) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.MemManage_Handler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.MemManage_Handler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.MemManage_Handler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.MemManage_Handler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.MemManage_Handler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.MemManage_Handler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.MemManage_Handler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.MemManage_Handler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.MemManage_Handler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.MemManage_Handler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.MemManage_Handler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.MemManage_Handler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.MemManage_Handler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.MemManage_Handler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.MemManage_Handler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.MemManage_Handler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.MemManage_Handler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.MemManage_Handler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.MemManage_Handler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.MemManage_Handler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.MemManage_Handler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.MemManage_Handler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_status) for CPULOAD_status - lto-llvm-ea22a7.o(.text.MemManage_Handler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) for CPULOAD_startSleepTime - lto-llvm-ea22a7.o(.text.MemManage_Handler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) for CPULOAD_SleepingTime - lto-llvm-ea22a7.o(.text.MemManage_Handler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) for CPULOAD_startWorkTime - lto-llvm-ea22a7.o(.text.MemManage_Handler) refers to lto-llvm-ea22a7.o(.bss.MemManage_Handler.i) for MemManage_Handler.i - lto-llvm-ea22a7.o(.text.MemManage_Handler) refers to lto-llvm-ea22a7.o(.text.LCD_showError) for LCD_showError - lto-llvm-ea22a7.o(.ARM.exidx.text.MemManage_Handler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.MemManage_Handler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.MemManage_Handler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.MemManage_Handler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.MemManage_Handler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.MemManage_Handler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.MemManage_Handler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.MemManage_Handler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.MemManage_Handler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.MemManage_Handler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.MemManage_Handler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.MemManage_Handler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.MemManage_Handler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.MemManage_Handler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.MemManage_Handler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.MemManage_Handler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.MemManage_Handler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.MemManage_Handler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.MemManage_Handler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.MemManage_Handler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.MemManage_Handler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.MemManage_Handler) refers to lto-llvm-ea22a7.o(.text.MemManage_Handler) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.BusFault_Handler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.BusFault_Handler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.BusFault_Handler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.BusFault_Handler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.BusFault_Handler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.BusFault_Handler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.BusFault_Handler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.BusFault_Handler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.BusFault_Handler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.BusFault_Handler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.BusFault_Handler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.BusFault_Handler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.BusFault_Handler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.BusFault_Handler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.BusFault_Handler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.BusFault_Handler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.BusFault_Handler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.BusFault_Handler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.BusFault_Handler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.BusFault_Handler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.BusFault_Handler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.BusFault_Handler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_status) for CPULOAD_status - lto-llvm-ea22a7.o(.text.BusFault_Handler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) for CPULOAD_startSleepTime - lto-llvm-ea22a7.o(.text.BusFault_Handler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) for CPULOAD_SleepingTime - lto-llvm-ea22a7.o(.text.BusFault_Handler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) for CPULOAD_startWorkTime - lto-llvm-ea22a7.o(.text.BusFault_Handler) refers to lto-llvm-ea22a7.o(.bss.BusFault_Handler.i) for BusFault_Handler.i - lto-llvm-ea22a7.o(.text.BusFault_Handler) refers to lto-llvm-ea22a7.o(.text.LCD_showError) for LCD_showError - lto-llvm-ea22a7.o(.ARM.exidx.text.BusFault_Handler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.BusFault_Handler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.BusFault_Handler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.BusFault_Handler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.BusFault_Handler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.BusFault_Handler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.BusFault_Handler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.BusFault_Handler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.BusFault_Handler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.BusFault_Handler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.BusFault_Handler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.BusFault_Handler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.BusFault_Handler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.BusFault_Handler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.BusFault_Handler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.BusFault_Handler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.BusFault_Handler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.BusFault_Handler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.BusFault_Handler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.BusFault_Handler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.BusFault_Handler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.BusFault_Handler) refers to lto-llvm-ea22a7.o(.text.BusFault_Handler) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.UsageFault_Handler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.UsageFault_Handler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.UsageFault_Handler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.UsageFault_Handler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.UsageFault_Handler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.UsageFault_Handler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.UsageFault_Handler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.UsageFault_Handler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.UsageFault_Handler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.UsageFault_Handler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.UsageFault_Handler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.UsageFault_Handler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.UsageFault_Handler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.UsageFault_Handler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.UsageFault_Handler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.UsageFault_Handler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.UsageFault_Handler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.UsageFault_Handler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.UsageFault_Handler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.UsageFault_Handler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.UsageFault_Handler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.UsageFault_Handler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_status) for CPULOAD_status - lto-llvm-ea22a7.o(.text.UsageFault_Handler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) for CPULOAD_startSleepTime - lto-llvm-ea22a7.o(.text.UsageFault_Handler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) for CPULOAD_SleepingTime - lto-llvm-ea22a7.o(.text.UsageFault_Handler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) for CPULOAD_startWorkTime - lto-llvm-ea22a7.o(.text.UsageFault_Handler) refers to lto-llvm-ea22a7.o(.bss.UsageFault_Handler.i) for UsageFault_Handler.i - lto-llvm-ea22a7.o(.text.UsageFault_Handler) refers to lto-llvm-ea22a7.o(.text.LCD_showError) for LCD_showError - lto-llvm-ea22a7.o(.ARM.exidx.text.UsageFault_Handler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.UsageFault_Handler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.UsageFault_Handler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.UsageFault_Handler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.UsageFault_Handler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.UsageFault_Handler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.UsageFault_Handler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.UsageFault_Handler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.UsageFault_Handler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.UsageFault_Handler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.UsageFault_Handler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.UsageFault_Handler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.UsageFault_Handler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.UsageFault_Handler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.UsageFault_Handler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.UsageFault_Handler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.UsageFault_Handler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.UsageFault_Handler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.UsageFault_Handler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.UsageFault_Handler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.UsageFault_Handler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.UsageFault_Handler) refers to lto-llvm-ea22a7.o(.text.UsageFault_Handler) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SVC_Handler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SVC_Handler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SVC_Handler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SVC_Handler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SVC_Handler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SVC_Handler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SVC_Handler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SVC_Handler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SVC_Handler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SVC_Handler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SVC_Handler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SVC_Handler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SVC_Handler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SVC_Handler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SVC_Handler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SVC_Handler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SVC_Handler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SVC_Handler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SVC_Handler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SVC_Handler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SVC_Handler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SVC_Handler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_status) for CPULOAD_status - lto-llvm-ea22a7.o(.text.SVC_Handler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) for CPULOAD_startSleepTime - lto-llvm-ea22a7.o(.text.SVC_Handler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) for CPULOAD_SleepingTime - lto-llvm-ea22a7.o(.text.SVC_Handler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) for CPULOAD_startWorkTime - lto-llvm-ea22a7.o(.ARM.exidx.text.SVC_Handler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SVC_Handler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SVC_Handler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SVC_Handler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SVC_Handler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SVC_Handler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SVC_Handler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SVC_Handler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SVC_Handler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SVC_Handler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SVC_Handler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SVC_Handler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SVC_Handler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SVC_Handler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SVC_Handler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SVC_Handler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SVC_Handler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SVC_Handler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SVC_Handler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SVC_Handler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SVC_Handler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SVC_Handler) refers to lto-llvm-ea22a7.o(.text.SVC_Handler) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.DebugMon_Handler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.DebugMon_Handler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.DebugMon_Handler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.DebugMon_Handler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.DebugMon_Handler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.DebugMon_Handler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.DebugMon_Handler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.DebugMon_Handler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.DebugMon_Handler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.DebugMon_Handler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.DebugMon_Handler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.DebugMon_Handler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.DebugMon_Handler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.DebugMon_Handler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.DebugMon_Handler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.DebugMon_Handler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.DebugMon_Handler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.DebugMon_Handler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.DebugMon_Handler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.DebugMon_Handler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.DebugMon_Handler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.DebugMon_Handler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_status) for CPULOAD_status - lto-llvm-ea22a7.o(.text.DebugMon_Handler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) for CPULOAD_startSleepTime - lto-llvm-ea22a7.o(.text.DebugMon_Handler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) for CPULOAD_SleepingTime - lto-llvm-ea22a7.o(.text.DebugMon_Handler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) for CPULOAD_startWorkTime - lto-llvm-ea22a7.o(.ARM.exidx.text.DebugMon_Handler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.DebugMon_Handler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.DebugMon_Handler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.DebugMon_Handler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.DebugMon_Handler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.DebugMon_Handler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.DebugMon_Handler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.DebugMon_Handler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.DebugMon_Handler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.DebugMon_Handler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.DebugMon_Handler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.DebugMon_Handler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.DebugMon_Handler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.DebugMon_Handler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.DebugMon_Handler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.DebugMon_Handler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.DebugMon_Handler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.DebugMon_Handler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.DebugMon_Handler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.DebugMon_Handler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.DebugMon_Handler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.DebugMon_Handler) refers to lto-llvm-ea22a7.o(.text.DebugMon_Handler) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.PendSV_Handler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.PendSV_Handler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.PendSV_Handler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.PendSV_Handler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.PendSV_Handler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.PendSV_Handler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.PendSV_Handler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.PendSV_Handler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.PendSV_Handler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.PendSV_Handler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.PendSV_Handler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.PendSV_Handler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.PendSV_Handler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.PendSV_Handler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.PendSV_Handler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.PendSV_Handler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.PendSV_Handler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.PendSV_Handler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.PendSV_Handler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.PendSV_Handler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.PendSV_Handler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.PendSV_Handler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_status) for CPULOAD_status - lto-llvm-ea22a7.o(.text.PendSV_Handler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) for CPULOAD_startSleepTime - lto-llvm-ea22a7.o(.text.PendSV_Handler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) for CPULOAD_SleepingTime - lto-llvm-ea22a7.o(.text.PendSV_Handler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) for CPULOAD_startWorkTime - lto-llvm-ea22a7.o(.ARM.exidx.text.PendSV_Handler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.PendSV_Handler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.PendSV_Handler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.PendSV_Handler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.PendSV_Handler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.PendSV_Handler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.PendSV_Handler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.PendSV_Handler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.PendSV_Handler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.PendSV_Handler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.PendSV_Handler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.PendSV_Handler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.PendSV_Handler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.PendSV_Handler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.PendSV_Handler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.PendSV_Handler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.PendSV_Handler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.PendSV_Handler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.PendSV_Handler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.PendSV_Handler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.PendSV_Handler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.PendSV_Handler) refers to lto-llvm-ea22a7.o(.text.PendSV_Handler) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SysTick_Handler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SysTick_Handler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SysTick_Handler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SysTick_Handler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SysTick_Handler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SysTick_Handler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SysTick_Handler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SysTick_Handler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SysTick_Handler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SysTick_Handler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SysTick_Handler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SysTick_Handler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SysTick_Handler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SysTick_Handler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SysTick_Handler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SysTick_Handler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SysTick_Handler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SysTick_Handler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SysTick_Handler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SysTick_Handler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SysTick_Handler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SysTick_Handler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_status) for CPULOAD_status - lto-llvm-ea22a7.o(.text.SysTick_Handler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) for CPULOAD_startSleepTime - lto-llvm-ea22a7.o(.text.SysTick_Handler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) for CPULOAD_SleepingTime - lto-llvm-ea22a7.o(.text.SysTick_Handler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) for CPULOAD_startWorkTime - lto-llvm-ea22a7.o(.text.SysTick_Handler) refers to lto-llvm-ea22a7.o(.bss.uwTick) for uwTick - lto-llvm-ea22a7.o(.ARM.exidx.text.SysTick_Handler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SysTick_Handler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SysTick_Handler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SysTick_Handler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SysTick_Handler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SysTick_Handler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SysTick_Handler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SysTick_Handler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SysTick_Handler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SysTick_Handler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SysTick_Handler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SysTick_Handler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SysTick_Handler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SysTick_Handler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SysTick_Handler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SysTick_Handler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SysTick_Handler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SysTick_Handler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SysTick_Handler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SysTick_Handler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SysTick_Handler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SysTick_Handler) refers to lto-llvm-ea22a7.o(.text.SysTick_Handler) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.EXTI0_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.EXTI0_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.EXTI0_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.EXTI0_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.EXTI0_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.EXTI0_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.EXTI0_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.EXTI0_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.EXTI0_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.EXTI0_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.EXTI0_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.EXTI0_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.EXTI0_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.EXTI0_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.EXTI0_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.EXTI0_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.EXTI0_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.EXTI0_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.EXTI0_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.EXTI0_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.EXTI0_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.EXTI0_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_status) for CPULOAD_status - lto-llvm-ea22a7.o(.text.EXTI0_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) for CPULOAD_startSleepTime - lto-llvm-ea22a7.o(.text.EXTI0_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) for CPULOAD_SleepingTime - lto-llvm-ea22a7.o(.text.EXTI0_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) for CPULOAD_startWorkTime - lto-llvm-ea22a7.o(.text.EXTI0_IRQHandler) refers to lto-llvm-ea22a7.o(.text.TRX_key_change) for TRX_key_change - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI0_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI0_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI0_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI0_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI0_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI0_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI0_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI0_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI0_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI0_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI0_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI0_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI0_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI0_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI0_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI0_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI0_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI0_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI0_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI0_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI0_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI0_IRQHandler) refers to lto-llvm-ea22a7.o(.text.EXTI0_IRQHandler) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.EXTI1_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.EXTI1_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.EXTI1_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.EXTI1_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.EXTI1_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.EXTI1_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.EXTI1_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.EXTI1_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.EXTI1_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.EXTI1_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.EXTI1_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.EXTI1_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.EXTI1_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.EXTI1_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.EXTI1_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.EXTI1_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.EXTI1_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.EXTI1_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.EXTI1_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.EXTI1_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.EXTI1_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.EXTI1_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_status) for CPULOAD_status - lto-llvm-ea22a7.o(.text.EXTI1_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) for CPULOAD_startSleepTime - lto-llvm-ea22a7.o(.text.EXTI1_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) for CPULOAD_SleepingTime - lto-llvm-ea22a7.o(.text.EXTI1_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) for CPULOAD_startWorkTime - lto-llvm-ea22a7.o(.text.EXTI1_IRQHandler) refers to lto-llvm-ea22a7.o(.text.TRX_key_change) for TRX_key_change - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI1_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI1_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI1_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI1_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI1_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI1_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI1_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI1_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI1_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI1_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI1_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI1_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI1_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI1_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI1_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI1_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI1_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI1_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI1_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI1_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI1_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI1_IRQHandler) refers to lto-llvm-ea22a7.o(.text.EXTI1_IRQHandler) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.EXTI2_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.EXTI2_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.EXTI2_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.EXTI2_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.EXTI2_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.EXTI2_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.EXTI2_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.EXTI2_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.EXTI2_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.EXTI2_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.EXTI2_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.EXTI2_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.EXTI2_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.EXTI2_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.EXTI2_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.EXTI2_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.EXTI2_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.EXTI2_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.EXTI2_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.EXTI2_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.EXTI2_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.EXTI2_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_status) for CPULOAD_status - lto-llvm-ea22a7.o(.text.EXTI2_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) for CPULOAD_startSleepTime - lto-llvm-ea22a7.o(.text.EXTI2_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) for CPULOAD_SleepingTime - lto-llvm-ea22a7.o(.text.EXTI2_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) for CPULOAD_startWorkTime - lto-llvm-ea22a7.o(.text.EXTI2_IRQHandler) refers to lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) for HAL_GPIO_EXTI_IRQHandler - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI2_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI2_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI2_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI2_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI2_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI2_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI2_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI2_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI2_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI2_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI2_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI2_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI2_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI2_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI2_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI2_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI2_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI2_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI2_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI2_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI2_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI2_IRQHandler) refers to lto-llvm-ea22a7.o(.text.EXTI2_IRQHandler) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.EXTI3_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.EXTI3_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.EXTI3_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.EXTI3_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.EXTI3_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.EXTI3_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.EXTI3_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.EXTI3_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.EXTI3_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.EXTI3_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.EXTI3_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.EXTI3_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.EXTI3_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.EXTI3_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.EXTI3_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.EXTI3_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.EXTI3_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.EXTI3_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.EXTI3_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.EXTI3_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.EXTI3_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.EXTI3_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_status) for CPULOAD_status - lto-llvm-ea22a7.o(.text.EXTI3_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) for CPULOAD_startSleepTime - lto-llvm-ea22a7.o(.text.EXTI3_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) for CPULOAD_SleepingTime - lto-llvm-ea22a7.o(.text.EXTI3_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) for CPULOAD_startWorkTime - lto-llvm-ea22a7.o(.text.EXTI3_IRQHandler) refers to lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) for HAL_GPIO_EXTI_IRQHandler - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI3_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI3_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI3_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI3_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI3_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI3_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI3_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI3_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI3_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI3_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI3_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI3_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI3_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI3_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI3_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI3_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI3_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI3_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI3_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI3_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI3_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI3_IRQHandler) refers to lto-llvm-ea22a7.o(.text.EXTI3_IRQHandler) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.DMA1_Stream0_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.DMA1_Stream0_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.DMA1_Stream0_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.DMA1_Stream0_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.DMA1_Stream0_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.DMA1_Stream0_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.DMA1_Stream0_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.DMA1_Stream0_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.DMA1_Stream0_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.DMA1_Stream0_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.DMA1_Stream0_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.DMA1_Stream0_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.DMA1_Stream0_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.DMA1_Stream0_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.DMA1_Stream0_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.DMA1_Stream0_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.DMA1_Stream0_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.DMA1_Stream0_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.DMA1_Stream0_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.DMA1_Stream0_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.DMA1_Stream0_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.DMA1_Stream0_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_status) for CPULOAD_status - lto-llvm-ea22a7.o(.text.DMA1_Stream0_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) for CPULOAD_startSleepTime - lto-llvm-ea22a7.o(.text.DMA1_Stream0_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) for CPULOAD_SleepingTime - lto-llvm-ea22a7.o(.text.DMA1_Stream0_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) for CPULOAD_startWorkTime - lto-llvm-ea22a7.o(.text.DMA1_Stream0_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.hdma_i2s3_ext_rx) for hdma_i2s3_ext_rx - lto-llvm-ea22a7.o(.text.DMA1_Stream0_IRQHandler) refers to lto-llvm-ea22a7.o(.text.HAL_DMA_IRQHandler) for HAL_DMA_IRQHandler - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream0_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream0_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream0_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream0_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream0_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream0_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream0_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream0_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream0_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream0_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream0_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream0_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream0_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream0_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream0_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream0_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream0_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream0_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream0_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream0_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream0_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream0_IRQHandler) refers to lto-llvm-ea22a7.o(.text.DMA1_Stream0_IRQHandler) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.DMA1_Stream5_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.DMA1_Stream5_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.DMA1_Stream5_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.DMA1_Stream5_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.DMA1_Stream5_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.DMA1_Stream5_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.DMA1_Stream5_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.DMA1_Stream5_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.DMA1_Stream5_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.DMA1_Stream5_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.DMA1_Stream5_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.DMA1_Stream5_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.DMA1_Stream5_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.DMA1_Stream5_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.DMA1_Stream5_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.DMA1_Stream5_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.DMA1_Stream5_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.DMA1_Stream5_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.DMA1_Stream5_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.DMA1_Stream5_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.DMA1_Stream5_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.DMA1_Stream5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_status) for CPULOAD_status - lto-llvm-ea22a7.o(.text.DMA1_Stream5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) for CPULOAD_startSleepTime - lto-llvm-ea22a7.o(.text.DMA1_Stream5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) for CPULOAD_SleepingTime - lto-llvm-ea22a7.o(.text.DMA1_Stream5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) for CPULOAD_startWorkTime - lto-llvm-ea22a7.o(.text.DMA1_Stream5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.hdma_spi3_tx) for hdma_spi3_tx - lto-llvm-ea22a7.o(.text.DMA1_Stream5_IRQHandler) refers to lto-llvm-ea22a7.o(.text.HAL_DMA_IRQHandler) for HAL_DMA_IRQHandler - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream5_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream5_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream5_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream5_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream5_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream5_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream5_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream5_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream5_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream5_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream5_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream5_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream5_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream5_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream5_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream5_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream5_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream5_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream5_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream5_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream5_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream5_IRQHandler) refers to lto-llvm-ea22a7.o(.text.DMA1_Stream5_IRQHandler) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.EXTI9_5_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.EXTI9_5_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.EXTI9_5_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.EXTI9_5_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.EXTI9_5_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.EXTI9_5_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.EXTI9_5_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.EXTI9_5_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.EXTI9_5_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.EXTI9_5_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.EXTI9_5_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.EXTI9_5_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.EXTI9_5_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.EXTI9_5_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.EXTI9_5_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.EXTI9_5_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.EXTI9_5_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.EXTI9_5_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.EXTI9_5_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.EXTI9_5_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.EXTI9_5_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.EXTI9_5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_status) for CPULOAD_status - lto-llvm-ea22a7.o(.text.EXTI9_5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) for CPULOAD_startSleepTime - lto-llvm-ea22a7.o(.text.EXTI9_5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) for CPULOAD_SleepingTime - lto-llvm-ea22a7.o(.text.EXTI9_5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) for CPULOAD_startWorkTime - lto-llvm-ea22a7.o(.text.EXTI9_5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.uwTick) for uwTick - lto-llvm-ea22a7.o(.text.EXTI9_5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.powerdown_start_delay) for powerdown_start_delay - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI9_5_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI9_5_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI9_5_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI9_5_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI9_5_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI9_5_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI9_5_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI9_5_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI9_5_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI9_5_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI9_5_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI9_5_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI9_5_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI9_5_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI9_5_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI9_5_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI9_5_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI9_5_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI9_5_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI9_5_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI9_5_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI9_5_IRQHandler) refers to lto-llvm-ea22a7.o(.text.EXTI9_5_IRQHandler) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_status) for CPULOAD_status - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) for CPULOAD_startSleepTime - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) for CPULOAD_SleepingTime - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) for CPULOAD_startWorkTime - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.htim3) for htim3 - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers to lto-llvm-ea22a7.o(.text.HAL_TIM_IRQHandler) for HAL_TIM_IRQHandler - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2first) for TIM3_IRQHandler.ENC2first - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2lastClkVal) for TIM3_IRQHandler.ENC2lastClkVal - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_Inited) for TRX_Inited - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.uwTick) for uwTick - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.ENCODER2_AValDeb) for ENCODER2_AValDeb - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CALIBRATE) for CALIBRATE - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) for LCD_systemMenuOpened - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers to lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) for eventSecRotateSystemMenu - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.PERIPH_FrontPanel_BottomScroll_index) for PERIPH_FrontPanel_BottomScroll_index - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers to lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) for PERIPH_FrontPanel_BottomScroll_Buttons - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers to lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons_Active) for PERIPH_FrontPanel_BottomScroll_Buttons_Active - lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM3_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM3_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM3_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM3_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM3_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM3_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM3_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM3_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM3_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM3_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM3_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM3_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM3_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM3_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM3_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM3_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM3_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM3_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM3_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM3_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM3_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM3_IRQHandler) refers to lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_status) for CPULOAD_status - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) for CPULOAD_startSleepTime - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) for CPULOAD_SleepingTime - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) for CPULOAD_startWorkTime - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.htim4) for htim4 - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.text.HAL_TIM_IRQHandler) for HAL_TIM_IRQHandler - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.sysmenu_swr_opened) for sysmenu_swr_opened - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) for SYSMENU_drawSystemMenu - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FFT_new_buffer_ready) for FFT_new_buffer_ready - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FFT_buff_current) for FFT_buff_current - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FFTInput_I_A) for FFTInput_I_A - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FFTInput_I_B) for FFTInput_I_B - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_ptt_hard) for TRX_ptt_hard - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FFTInput_Q_B) for FFTInput_Q_B - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FFTInput_Q_A) for FFTInput_Q_A - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_ptt_soft) for TRX_ptt_soft - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_Tune) for TRX_Tune - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_Key_Timeout_est) for TRX_Key_Timeout_est - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.0) for DC_Filter_State.4.0 - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.1) for DC_Filter_State.4.1 - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.0) for DC_Filter_State.5.0 - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.1) for DC_Filter_State.5.1 - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_I) for IIR_biquad_Zoom_FFT_I - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.text.arm_biquad_cascade_df2T_f32) for arm_biquad_cascade_df2T_f32 - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_Q) for IIR_biquad_Zoom_FFT_Q - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_I) for DECIMATE_ZOOM_FFT_I - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.text.arm_fir_decimate_f32) for arm_fir_decimate_f32 - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_Q) for DECIMATE_ZOOM_FFT_Q - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.zoomed_width) for zoomed_width - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FFTInputCharge) for FFTInputCharge - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to rt_memcpy_w.o(.text) for __aeabi_memcpy4 - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.window_multipliers) for window_multipliers - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FFT_need_fft) for FFT_need_fft - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_Inited) for TRX_Inited - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FFTInput) for FFTInput - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to rt_memclr_w.o(.text) for __aeabi_memclr4 - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.rodata.twiddleCoef_512) for twiddleCoef_512 - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.rodata.armBitRevIndexTable512) for armBitRevIndexTable512 - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FFTInput_tmp) for FFTInput_tmp - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.text.arm_min_f32) for arm_min_f32 - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FFT_sortInstance.0) for FFT_sortInstance.0 - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FFT_sortInstance.1) for FFT_sortInstance.1 - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.text.arm_quick_sort_core_f32) for arm_quick_sort_core_f32 - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.maxValueFFT_rx) for maxValueFFT_rx - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.maxValueFFT_tx) for maxValueFFT_tx - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FFTOutput_mean) for FFTOutput_mean - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.hUsbDeviceFS) for hUsbDeviceFS - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.text.USB_EPStartXfer) for USB_EPStartXfer - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.command_to_parse) for command_to_parse - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to strlen.o(.text) for strlen - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to strncpy.o(.text) for strncpy - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.rodata.str1.1) for .L.str.457 - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to memcmp.o(.text) for memcmp - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.text.sendToDebug_str3) for sendToDebug_str3 - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to noretval__2sprintf.o(.text) for __2sprintf - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to strcat.o(.text) for strcat - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.rodata..Lswitch.table.ua3reo_dev_cat_parseCommand.77) for .Lswitch.table.ua3reo_dev_cat_parseCommand.77 - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to atoi.o(.text) for atoi - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.text.TRX_setFrequency) for TRX_setFrequency - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.NeedSaveSettings) for NeedSaveSettings - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.NeedReinitAudioFilters) for NeedReinitAudioFilters - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.data.LCD_last_s_meter) for LCD_last_s_meter - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) for LCD_last_showed_freq - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.data.Last_showed_Hours) for Last_showed_Hours - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.data.Last_showed_Minutes) for Last_showed_Minutes - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.data.Last_showed_Seconds) for Last_showed_Seconds - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) for LCD_last_showed_freq_mhz - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) for LCD_last_showed_freq_khz - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) for LCD_last_showed_freq_hz - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.text.setFT450Mode) for setFT450Mode - lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.text.TRX_setMode) for TRX_setMode - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM4_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM4_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM4_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM4_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM4_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM4_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM4_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM4_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM4_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM4_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM4_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM4_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM4_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM4_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM4_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM4_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM4_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM4_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM4_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM4_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM4_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM4_IRQHandler) refers to lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.EXTI15_10_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.EXTI15_10_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.EXTI15_10_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.EXTI15_10_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.EXTI15_10_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.EXTI15_10_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.EXTI15_10_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.EXTI15_10_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.EXTI15_10_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.EXTI15_10_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.EXTI15_10_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.EXTI15_10_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.EXTI15_10_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.EXTI15_10_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.EXTI15_10_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.EXTI15_10_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.EXTI15_10_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.EXTI15_10_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.EXTI15_10_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.EXTI15_10_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.EXTI15_10_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.EXTI15_10_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_status) for CPULOAD_status - lto-llvm-ea22a7.o(.text.EXTI15_10_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) for CPULOAD_startSleepTime - lto-llvm-ea22a7.o(.text.EXTI15_10_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) for CPULOAD_SleepingTime - lto-llvm-ea22a7.o(.text.EXTI15_10_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) for CPULOAD_startWorkTime - lto-llvm-ea22a7.o(.text.EXTI15_10_IRQHandler) refers to lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) for HAL_GPIO_EXTI_IRQHandler - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI15_10_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI15_10_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI15_10_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI15_10_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI15_10_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI15_10_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI15_10_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI15_10_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI15_10_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI15_10_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI15_10_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI15_10_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI15_10_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI15_10_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI15_10_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI15_10_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI15_10_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI15_10_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI15_10_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI15_10_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI15_10_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI15_10_IRQHandler) refers to lto-llvm-ea22a7.o(.text.EXTI15_10_IRQHandler) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.TIM8_UP_TIM13_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_status) for CPULOAD_status - lto-llvm-ea22a7.o(.text.TIM8_UP_TIM13_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) for CPULOAD_startSleepTime - lto-llvm-ea22a7.o(.text.TIM8_UP_TIM13_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) for CPULOAD_SleepingTime - lto-llvm-ea22a7.o(.text.TIM8_UP_TIM13_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) for CPULOAD_startWorkTime - lto-llvm-ea22a7.o(.text.TIM8_UP_TIM13_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.htim8) for htim8 - lto-llvm-ea22a7.o(.text.TIM8_UP_TIM13_IRQHandler) refers to lto-llvm-ea22a7.o(.text.HAL_TIM_IRQHandler) for HAL_TIM_IRQHandler - lto-llvm-ea22a7.o(.text.TIM8_UP_TIM13_IRQHandler) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) for FRONTPANEL_Process - lto-llvm-ea22a7.o(.text.TIM8_UP_TIM13_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.NeedSaveCalibration) for NeedSaveCalibration - lto-llvm-ea22a7.o(.text.TIM8_UP_TIM13_IRQHandler) refers to lto-llvm-ea22a7.o(.text.SaveCalibration) for SaveCalibration - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM8_UP_TIM13_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM8_UP_TIM13_IRQHandler) refers to lto-llvm-ea22a7.o(.text.TIM8_UP_TIM13_IRQHandler) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_status) for CPULOAD_status - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) for CPULOAD_startSleepTime - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) for CPULOAD_SleepingTime - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) for CPULOAD_startWorkTime - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.htim5) for htim5 - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.text.HAL_TIM_IRQHandler) for HAL_TIM_IRQHandler - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.Processor_NeedTXBuffer) for Processor_NeedTXBuffer - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.Processor_NeedRXBuffer) for Processor_NeedRXBuffer - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_ptt_hard) for TRX_ptt_hard - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_ptt_soft) for TRX_ptt_soft - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_Tune) for TRX_Tune - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_Key_Timeout_est) for TRX_Key_Timeout_est - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.AUDIOPROC_samples) for AUDIOPROC_samples - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to frexpf.o(i.__hardfp_frexpf) for __hardfp_frexpf - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.data.TRX_MAX_TX_Amplitude) for TRX_MAX_TX_Amplitude - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.Processor_selected_RFpower_amplitude) for Processor_selected_RFpower_amplitude - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.USB_AUDIO_Inited) for USB_AUDIO_Inited - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.hUsbDeviceFS) for hUsbDeviceFS - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.hi2s3) for hi2s3 - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.IRAM1) for CODEC_Audio_Buffer_TX - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.text.readFromCircleBuffer32) for readFromCircleBuffer32 - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to rt_memclr_w.o(.text) for __aeabi_memclr4 - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.two_signal_gen_position) for two_signal_gen_position - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.rodata.sinTable_f32) for sinTable_f32 - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_Q) for FIR_TX_Hilbert_Q - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.text.arm_fir_f32) for arm_fir_f32 - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_I) for FIR_TX_Hilbert_I - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.data.processTxAudio.tone_counter) for processTxAudio.tone_counter - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.text.ModulateFM) for ModulateFM - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.data.EQ_MIC_LOW_FILTER) for EQ_MIC_LOW_FILTER - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.text.arm_biquad_cascade_df2T_f32) for arm_biquad_cascade_df2T_f32 - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.data.EQ_MIC_MID_FILTER) for EQ_MIC_MID_FILTER - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.data.EQ_MIC_HIG_FILTER) for EQ_MIC_HIG_FILTER - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.0) for DC_Filter_State.2.0 - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.1) for DC_Filter_State.2.1 - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.0) for DC_Filter_State.3.0 - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.1) for DC_Filter_State.3.1 - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.text.arm_max_f32) for arm_max_f32 - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.text.arm_min_f32) for arm_min_f32 - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.data.ALC_need_gain) for ALC_need_gain - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I) for IIR_TX_HPF_I - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I) for IIR_TX_LPF_I - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to rt_memcpy_w.o(.text) for __aeabi_memcpy4 - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.Processor_TX_MAX_amplitude_OUT) for Processor_TX_MAX_amplitude_OUT - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_ALC) for TRX_ALC - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FFT_buff_current) for FFT_buff_current - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FFTInput_I_A) for FFTInput_I_A - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FFTInput_I_B) for FFTInput_I_B - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FFTInput_Q_A) for FFTInput_Q_A - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FFTInput_Q_B) for FFTInput_Q_B - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FFT_buff_index) for FFT_buff_index - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FFT_new_buffer_ready) for FFT_new_buffer_ready - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_key_serial) for TRX_key_serial - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_key_dot_hard) for TRX_key_dot_hard - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_key_dash_hard) for TRX_key_dash_hard - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FPGA_Audio_Buffer_State) for FPGA_Audio_Buffer_State - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream2) for hdma_memtomem_dma2_stream2 - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream1) for hdma_memtomem_dma2_stream1 - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to exp2f.o(i.exp2f) for exp2f - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to ffixll.o(x$fpl$llsfromf) for __aeabi_f2lz - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.WM8731_DMA_state) for WM8731_DMA_state - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.text.HAL_DMA_PollForTransfer) for HAL_DMA_PollForTransfer - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.USB_AUDIO_need_rx_buffer) for USB_AUDIO_need_rx_buffer - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.current_cw_power) for current_cw_power - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.uwTick) for uwTick - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.KEYER_symbol_status) for KEYER_symbol_status - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.KEYER_symbol_start_time) for KEYER_symbol_start_time - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FPGA_Audio_RXBuffer_Index) for FPGA_Audio_RXBuffer_Index - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.0) for DC_Filter_State.0.0 - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.1) for DC_Filter_State.0.1 - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.0) for DC_Filter_State.1.0 - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.1) for DC_Filter_State.1.1 - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.processRxAudio.teta1) for processRxAudio.teta1 - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.processRxAudio.teta3) for processRxAudio.teta3 - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to asinf.o(i.__hardfp_asinf) for __hardfp_asinf - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_IQ_phase_error) for TRX_IQ_phase_error - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.Processor_RX_Power_value) for Processor_RX_Power_value - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.text.arm_rms_f32) for arm_rms_f32 - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.current_if_gain) for current_if_gain - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_I) for FIR_RX_Hilbert_I - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_Q) for FIR_RX_Hilbert_Q - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.text.arm_sub_f32) for arm_sub_f32 - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.text.arm_add_f32) for arm_add_f32 - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I) for IIR_RX_HPF_I - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I) for IIR_RX_LPF_I - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS) for IIR_RX_GAUSS - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.text.processAutoNotchReduction) for processAutoNotchReduction - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q) for IIR_RX_LPF_Q - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.text.arm_mult_f32) for arm_mult_f32 - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.DFM_RX_Squelched) for DFM_RX_Squelched - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.DFM_RX_i_prev) for DFM_RX_i_prev - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.DFM_RX_q_prev) for DFM_RX_q_prev - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.DFM_RX_lpf_prev) for DFM_RX_lpf_prev - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.DemodulateFM.squelch_buf) for DemodulateFM.squelch_buf - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_b) for DFM_RX_hpf_prev_b - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_a) for DFM_RX_hpf_prev_a - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to atan2f.o(i.__hardfp_atan2f) for __hardfp_atan2f - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.IIR_RX_Squelch_HPF) for IIR_RX_Squelch_HPF - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_avg) for DFM_RX_fm_sql_avg - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_count) for DFM_RX_fm_sql_count - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.text.DoRxAGC) for DoRxAGC - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream0) for hdma_memtomem_dma2_stream0 - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.Processor_AudioBuffer_ReadyBuffer) for Processor_AudioBuffer_ReadyBuffer - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.data.EQ_RX_LOW_FILTER) for EQ_RX_LOW_FILTER - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.data.EQ_RX_MID_FILTER) for EQ_RX_MID_FILTER - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.data.EQ_RX_HIG_FILTER) for EQ_RX_HIG_FILTER - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_Mute) for TRX_Mute - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_Inited) for TRX_Inited - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.USB_AUDIO_current_rx_buffer) for USB_AUDIO_current_rx_buffer - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.volume_gain) for volume_gain - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.WM8731_Beeping) for WM8731_Beeping - lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.WM8731_Muting) for WM8731_Muting - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM5_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM5_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM5_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM5_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM5_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM5_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM5_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM5_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM5_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM5_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM5_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM5_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM5_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM5_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM5_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM5_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM5_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM5_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM5_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM5_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM5_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM5_IRQHandler) refers to lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SPI3_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SPI3_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SPI3_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SPI3_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SPI3_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SPI3_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SPI3_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SPI3_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SPI3_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SPI3_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SPI3_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SPI3_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SPI3_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SPI3_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SPI3_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SPI3_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SPI3_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SPI3_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SPI3_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SPI3_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SPI3_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.SPI3_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_status) for CPULOAD_status - lto-llvm-ea22a7.o(.text.SPI3_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) for CPULOAD_startSleepTime - lto-llvm-ea22a7.o(.text.SPI3_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) for CPULOAD_SleepingTime - lto-llvm-ea22a7.o(.text.SPI3_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) for CPULOAD_startWorkTime - lto-llvm-ea22a7.o(.text.SPI3_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.hi2s3) for hi2s3 - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI3_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI3_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI3_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI3_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI3_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI3_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI3_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI3_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI3_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI3_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI3_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI3_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI3_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI3_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI3_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI3_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI3_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI3_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI3_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI3_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI3_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SPI3_IRQHandler) refers to lto-llvm-ea22a7.o(.text.SPI3_IRQHandler) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_status) for CPULOAD_status - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) for CPULOAD_SleepingTime - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) for CPULOAD_startSleepTime - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) for CPULOAD_startWorkTime - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.htim6) for htim6 - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.text.HAL_TIM_IRQHandler) for HAL_TIM_IRQHandler - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.ms10_counter) for ms10_counter - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_Key_Timeout_est) for TRX_Key_Timeout_est - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_key_serial) for TRX_key_serial - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_key_dot_hard) for TRX_key_dot_hard - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_key_dash_hard) for TRX_key_dash_hard - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FPGA_NeedSendParams) for FPGA_NeedSendParams - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) for TRX_Restart_Mode - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.NeedSaveSettings) for NeedSaveSettings - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.NeedReinitAudioFilters) for NeedReinitAudioFilters - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.text.ReinitAudioFilters) for ReinitAudioFilters - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.text.RF_UNIT_ProcessSensors) for RF_UNIT_ProcessSensors - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_ptt_soft) for TRX_ptt_soft - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_old_ptt_soft) for TRX_old_ptt_soft - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_ptt_hard) for TRX_ptt_hard - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_Tune) for TRX_Tune - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_old_key_serial) for TRX_old_key_serial - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.text.TRX_key_change) for TRX_key_change - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FPGA_NeedGetParams) for FPGA_NeedGetParams - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.Processor_RX_Power_value) for Processor_RX_Power_value - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to frexpf.o(i.__hardfp_frexpf) for __hardfp_frexpf - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.data.TRX_RX_dBm) for TRX_RX_dBm - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CALIBRATE) for CALIBRATE - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.IRAM1) for FPGA_Audio_Buffer_RX_I - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_I) for TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_I - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_Q) for TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_Q - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.fpga_stuck_errors) for TIM6_DAC_IRQHandler.fpga_stuck_errors - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.data.FPGA_NeedRestart) for FPGA_NeedRestart - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_ADC_MAXAMPLITUDE) for TRX_ADC_MAXAMPLITUDE - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_ADC_MINAMPLITUDE) for TRX_ADC_MINAMPLITUDE - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_AutoGain_Stage) for TRX_AutoGain_Stage - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_DoAutoGain.skip_cycles) for TRX_DoAutoGain.skip_cycles - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.autogain_wait_reaction) for autogain_wait_reaction - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_ADC_OTR) for TRX_ADC_OTR - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to exp2f.o(i.exp2f) for exp2f - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.text.sendToDebug_strln) for sendToDebug_strln - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.text.getBandFromFreq) for getBandFromFreq - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.WM8731_Buffer_underrun) for WM8731_Buffer_underrun - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FPGA_Buffer_underrun) for FPGA_Buffer_underrun - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_underrun) for RX_USB_AUDIO_underrun - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_Inited) for TRX_Inited - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.text.LCD_doEvents) for LCD_doEvents - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.needPrintFFT) for TIM6_DAC_IRQHandler.needPrintFFT - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.uwTick) for uwTick - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_WorkingTime) for CPULOAD_WorkingTime - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPU_LOAD.0) for CPU_LOAD.0 - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_SleepCounter) for CPULOAD_SleepCounter - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.tim6_delay) for tim6_delay - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FPGA_samples) for FPGA_samples - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.WM8731_DMA_samples) for WM8731_DMA_samples - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo_head) for debug_tx_fifo_head - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo) for debug_tx_fifo - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.debug_tx_fifo_tail) for debug_tx_fifo_tail - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) for DEBUG_Transmit_FIFO_Events - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.text.sendToDebug_uint32) for sendToDebug_uint32 - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.rodata.str1.1) for .L.str.8.646 - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.text.sendToDebug_float32) for sendToDebug_float32 - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_IQ_phase_error) for TRX_IQ_phase_error - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.text.sendToDebug_int16) for sendToDebug_int16 - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.profiles) for profiles - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.text.sendToDebug_uint8) for sendToDebug_uint8 - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.text.SaveSettings) for SaveSettings - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.AUDIOPROC_samples) for AUDIOPROC_samples - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FFT_FPS) for FFT_FPS - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_SAMPLES) for RX_USB_AUDIO_SAMPLES - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TX_USB_AUDIO_SAMPLES) for TX_USB_AUDIO_SAMPLES - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.HX8357B_BUG_redraw_counter) for TIM6_DAC_IRQHandler.HX8357B_BUG_redraw_counter - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.LCD_busy) for LCD_busy - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FFT_need_fft) for FFT_need_fft - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) for LCD_systemMenuOpened - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.currentFFTFreq) for currentFFTFreq - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.data.grid_lines_pos) for grid_lines_pos - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to rt_memclr_w.o(.text) for __aeabi_memclr4 - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.powerdown_start_delay) for powerdown_start_delay - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.NeedSaveCalibration) for NeedSaveCalibration - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.SPI_process) for SPI_process - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.EEPROM_Busy) for EEPROM_Busy - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_SWR) for TRX_SWR - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.rodata.str1.4) for .L.str.13.651 - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.Tooltip_DiplayStartTime) for Tooltip_DiplayStartTime - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.Tooltip_string) for Tooltip_string - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.Tooltip_first_draw) for Tooltip_first_draw - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.USB_LastActiveTime) for USB_LastActiveTime - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.text.USBD_Restart) for USBD_Restart - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.WM8731_Muting) for WM8731_Muting - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to rt_memclr.o(.text) for __aeabi_memclr - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db) for AGC_RX_need_gain_db - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.IRAM2) for AGC_RX_ringbuffer - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.text.LCDDriver_Fill) for LCDDriver_Fill - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.data.COLOR) for COLOR - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.rodata.FreeSans12pt7b) for FreeSans12pt7b - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.text.LCDDriver_getTextBounds) for LCDDriver_getTextBounds - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.text.LCDDriver_printTextFont) for LCDDriver_printTextFont - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) for SaveSettingsToEEPROM - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FFTOutput_mean) for FFTOutput_mean - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to fmodf.o(i.fmodf) for fmodf - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.data.SystemCoreClock) for SystemCoreClock - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FFTInput_tmp) for FFTInput_tmp - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to floorf.o(i.floorf) for floorf - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to ceilf.o(i.ceilf) for ceilf - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to rt_memcpy_w.o(.text) for __aeabi_memcpy4 - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.zoomed_width) for zoomed_width - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FFTInputCharge) for FFTInputCharge - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream7) for hdma_memtomem_dma2_stream7 - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.wtf_buffer_freqs) for wtf_buffer_freqs - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.text.HAL_DMA_PollForTransfer) for HAL_DMA_PollForTransfer - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.fft_header) for fft_header - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.bw_line_width) for bw_line_width - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.bw_line_start) for bw_line_start - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.bw_line_center) for bw_line_center - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.bw_line_end) for bw_line_end - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.palette_bg_gradient) for palette_bg_gradient - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.palette_bw_fft_colors) for palette_bw_fft_colors - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.palette_bw_bg_colors) for palette_bw_bg_colors - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.palette_fft) for palette_fft - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.print_fft_dma_estimated_size) for print_fft_dma_estimated_size - lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.text.FFT_afterPrintFFT) for FFT_afterPrintFFT - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM6_DAC_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM6_DAC_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM6_DAC_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM6_DAC_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM6_DAC_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM6_DAC_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM6_DAC_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM6_DAC_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM6_DAC_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM6_DAC_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM6_DAC_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM6_DAC_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM6_DAC_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM6_DAC_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM6_DAC_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM6_DAC_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM6_DAC_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM6_DAC_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM6_DAC_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM6_DAC_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM6_DAC_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM6_DAC_IRQHandler) refers to lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.TIM7_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.TIM7_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.TIM7_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.TIM7_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.TIM7_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.TIM7_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.TIM7_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.TIM7_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.TIM7_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.TIM7_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.TIM7_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.TIM7_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.TIM7_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.TIM7_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.TIM7_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.TIM7_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.TIM7_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.TIM7_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.TIM7_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.TIM7_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.TIM7_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.TIM7_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_status) for CPULOAD_status - lto-llvm-ea22a7.o(.text.TIM7_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) for CPULOAD_startSleepTime - lto-llvm-ea22a7.o(.text.TIM7_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) for CPULOAD_SleepingTime - lto-llvm-ea22a7.o(.text.TIM7_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) for CPULOAD_startWorkTime - lto-llvm-ea22a7.o(.text.TIM7_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.htim7) for htim7 - lto-llvm-ea22a7.o(.text.TIM7_IRQHandler) refers to lto-llvm-ea22a7.o(.text.HAL_TIM_IRQHandler) for HAL_TIM_IRQHandler - lto-llvm-ea22a7.o(.text.TIM7_IRQHandler) refers to lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) for DEBUG_Transmit_FIFO_Events - lto-llvm-ea22a7.o(.text.TIM7_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_Temporary_Mute_StartTime) for TRX_Temporary_Mute_StartTime - lto-llvm-ea22a7.o(.text.TIM7_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.uwTick) for uwTick - lto-llvm-ea22a7.o(.text.TIM7_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.WM8731_Muting) for WM8731_Muting - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM7_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM7_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM7_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM7_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM7_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM7_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM7_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM7_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM7_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM7_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM7_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM7_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM7_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM7_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM7_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM7_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM7_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM7_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM7_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM7_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM7_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.TIM7_IRQHandler) refers to lto-llvm-ea22a7.o(.text.TIM7_IRQHandler) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.DMA2_Stream1_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.DMA2_Stream1_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.DMA2_Stream1_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.DMA2_Stream1_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.DMA2_Stream1_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.DMA2_Stream1_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.DMA2_Stream1_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.DMA2_Stream1_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.DMA2_Stream1_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.DMA2_Stream1_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.DMA2_Stream1_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.DMA2_Stream1_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.DMA2_Stream1_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.DMA2_Stream1_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.DMA2_Stream1_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.DMA2_Stream1_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.DMA2_Stream1_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.DMA2_Stream1_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.DMA2_Stream1_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.DMA2_Stream1_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.DMA2_Stream1_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.DMA2_Stream1_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_status) for CPULOAD_status - lto-llvm-ea22a7.o(.text.DMA2_Stream1_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) for CPULOAD_startSleepTime - lto-llvm-ea22a7.o(.text.DMA2_Stream1_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) for CPULOAD_SleepingTime - lto-llvm-ea22a7.o(.text.DMA2_Stream1_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) for CPULOAD_startWorkTime - lto-llvm-ea22a7.o(.text.DMA2_Stream1_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream1) for hdma_memtomem_dma2_stream1 - lto-llvm-ea22a7.o(.text.DMA2_Stream1_IRQHandler) refers to lto-llvm-ea22a7.o(.text.HAL_DMA_IRQHandler) for HAL_DMA_IRQHandler - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream1_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream1_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream1_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream1_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream1_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream1_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream1_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream1_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream1_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream1_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream1_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream1_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream1_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream1_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream1_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream1_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream1_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream1_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream1_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream1_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream1_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream1_IRQHandler) refers to lto-llvm-ea22a7.o(.text.DMA2_Stream1_IRQHandler) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.DMA2_Stream2_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.DMA2_Stream2_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.DMA2_Stream2_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.DMA2_Stream2_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.DMA2_Stream2_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.DMA2_Stream2_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.DMA2_Stream2_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.DMA2_Stream2_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.DMA2_Stream2_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.DMA2_Stream2_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.DMA2_Stream2_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.DMA2_Stream2_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.DMA2_Stream2_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.DMA2_Stream2_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.DMA2_Stream2_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.DMA2_Stream2_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.DMA2_Stream2_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.DMA2_Stream2_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.DMA2_Stream2_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.DMA2_Stream2_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.DMA2_Stream2_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.DMA2_Stream2_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_status) for CPULOAD_status - lto-llvm-ea22a7.o(.text.DMA2_Stream2_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) for CPULOAD_startSleepTime - lto-llvm-ea22a7.o(.text.DMA2_Stream2_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) for CPULOAD_SleepingTime - lto-llvm-ea22a7.o(.text.DMA2_Stream2_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) for CPULOAD_startWorkTime - lto-llvm-ea22a7.o(.text.DMA2_Stream2_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream2) for hdma_memtomem_dma2_stream2 - lto-llvm-ea22a7.o(.text.DMA2_Stream2_IRQHandler) refers to lto-llvm-ea22a7.o(.text.HAL_DMA_IRQHandler) for HAL_DMA_IRQHandler - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream2_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream2_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream2_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream2_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream2_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream2_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream2_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream2_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream2_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream2_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream2_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream2_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream2_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream2_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream2_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream2_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream2_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream2_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream2_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream2_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream2_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream2_IRQHandler) refers to lto-llvm-ea22a7.o(.text.DMA2_Stream2_IRQHandler) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.OTG_FS_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.OTG_FS_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.OTG_FS_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.OTG_FS_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.OTG_FS_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.OTG_FS_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.OTG_FS_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.OTG_FS_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.OTG_FS_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.OTG_FS_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.OTG_FS_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.OTG_FS_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.OTG_FS_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.OTG_FS_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.OTG_FS_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.OTG_FS_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.OTG_FS_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.OTG_FS_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.OTG_FS_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.OTG_FS_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.OTG_FS_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.OTG_FS_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_status) for CPULOAD_status - lto-llvm-ea22a7.o(.text.OTG_FS_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) for CPULOAD_startSleepTime - lto-llvm-ea22a7.o(.text.OTG_FS_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) for CPULOAD_SleepingTime - lto-llvm-ea22a7.o(.text.OTG_FS_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) for CPULOAD_startWorkTime - lto-llvm-ea22a7.o(.text.OTG_FS_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.hpcd_USB_OTG_FS) for hpcd_USB_OTG_FS - lto-llvm-ea22a7.o(.text.OTG_FS_IRQHandler) refers to lto-llvm-ea22a7.o(.text.HAL_PCD_DataOutStageCallback) for HAL_PCD_DataOutStageCallback - lto-llvm-ea22a7.o(.text.OTG_FS_IRQHandler) refers to lto-llvm-ea22a7.o(.text.USB_EP0StartXfer) for USB_EP0StartXfer - lto-llvm-ea22a7.o(.text.OTG_FS_IRQHandler) refers to lto-llvm-ea22a7.o(.text.USBD_LL_StallEP) for USBD_LL_StallEP - lto-llvm-ea22a7.o(.text.OTG_FS_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.USBD_SetConfig.cfgidx) for USBD_SetConfig.cfgidx - lto-llvm-ea22a7.o(.text.OTG_FS_IRQHandler) refers to lto-llvm-ea22a7.o(.text.USBD_LL_OpenEP) for USBD_LL_OpenEP - lto-llvm-ea22a7.o(.text.OTG_FS_IRQHandler) refers to lto-llvm-ea22a7.o(.data.SystemCoreClock) for SystemCoreClock - lto-llvm-ea22a7.o(.ARM.exidx.text.OTG_FS_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.OTG_FS_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.OTG_FS_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.OTG_FS_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.OTG_FS_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.OTG_FS_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.OTG_FS_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.OTG_FS_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.OTG_FS_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.OTG_FS_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.OTG_FS_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.OTG_FS_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.OTG_FS_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.OTG_FS_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.OTG_FS_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.OTG_FS_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.OTG_FS_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.OTG_FS_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.OTG_FS_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.OTG_FS_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.OTG_FS_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.OTG_FS_IRQHandler) refers to lto-llvm-ea22a7.o(.text.OTG_FS_IRQHandler) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.DMA2_Stream5_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.DMA2_Stream5_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.DMA2_Stream5_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.DMA2_Stream5_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.DMA2_Stream5_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.DMA2_Stream5_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.DMA2_Stream5_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.DMA2_Stream5_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.DMA2_Stream5_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.DMA2_Stream5_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.DMA2_Stream5_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.DMA2_Stream5_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.DMA2_Stream5_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.DMA2_Stream5_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.DMA2_Stream5_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.DMA2_Stream5_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.DMA2_Stream5_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.DMA2_Stream5_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.DMA2_Stream5_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.DMA2_Stream5_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.DMA2_Stream5_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.DMA2_Stream5_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream5) for hdma_memtomem_dma2_stream5 - lto-llvm-ea22a7.o(.text.DMA2_Stream5_IRQHandler) refers to lto-llvm-ea22a7.o(.text.HAL_DMA_IRQHandler) for HAL_DMA_IRQHandler - lto-llvm-ea22a7.o(.text.DMA2_Stream5_IRQHandler) refers to lto-llvm-ea22a7.o(.text.FFT_afterPrintFFT) for FFT_afterPrintFFT - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream5_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream5_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream5_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream5_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream5_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream5_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream5_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream5_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream5_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream5_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream5_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream5_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream5_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream5_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream5_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream5_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream5_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream5_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream5_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream5_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream5_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream5_IRQHandler) refers to lto-llvm-ea22a7.o(.text.DMA2_Stream5_IRQHandler) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.DMA2_Stream6_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.DMA2_Stream6_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.DMA2_Stream6_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.DMA2_Stream6_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.DMA2_Stream6_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.DMA2_Stream6_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.DMA2_Stream6_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.DMA2_Stream6_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.DMA2_Stream6_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.DMA2_Stream6_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.DMA2_Stream6_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.DMA2_Stream6_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.DMA2_Stream6_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.DMA2_Stream6_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.DMA2_Stream6_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.DMA2_Stream6_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.DMA2_Stream6_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.DMA2_Stream6_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.DMA2_Stream6_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.DMA2_Stream6_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.DMA2_Stream6_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.DMA2_Stream6_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_status) for CPULOAD_status - lto-llvm-ea22a7.o(.text.DMA2_Stream6_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) for CPULOAD_startSleepTime - lto-llvm-ea22a7.o(.text.DMA2_Stream6_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) for CPULOAD_SleepingTime - lto-llvm-ea22a7.o(.text.DMA2_Stream6_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) for CPULOAD_startWorkTime - lto-llvm-ea22a7.o(.text.DMA2_Stream6_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream6) for hdma_memtomem_dma2_stream6 - lto-llvm-ea22a7.o(.text.DMA2_Stream6_IRQHandler) refers to lto-llvm-ea22a7.o(.text.HAL_DMA_IRQHandler) for HAL_DMA_IRQHandler - lto-llvm-ea22a7.o(.text.DMA2_Stream6_IRQHandler) refers to lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) for FFT_printWaterfallDMA - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream6_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream6_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream6_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream6_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream6_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream6_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream6_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream6_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream6_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream6_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream6_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream6_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream6_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream6_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream6_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream6_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream6_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream6_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream6_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream6_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream6_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream6_IRQHandler) refers to lto-llvm-ea22a7.o(.text.DMA2_Stream6_IRQHandler) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.HAL_I2S_MspInit) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.HAL_I2S_MspInit) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.HAL_I2S_MspInit) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.HAL_I2S_MspInit) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.HAL_I2S_MspInit) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.HAL_I2S_MspInit) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.HAL_I2S_MspInit) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.HAL_I2S_MspInit) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.HAL_I2S_MspInit) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.HAL_I2S_MspInit) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.HAL_I2S_MspInit) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.HAL_I2S_MspInit) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.HAL_I2S_MspInit) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.HAL_I2S_MspInit) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.HAL_I2S_MspInit) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.HAL_I2S_MspInit) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.HAL_I2S_MspInit) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.HAL_I2S_MspInit) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.HAL_I2S_MspInit) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.HAL_I2S_MspInit) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.HAL_I2S_MspInit) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.HAL_I2S_MspInit) refers to lto-llvm-ea22a7.o(.text.HAL_GPIO_Init) for HAL_GPIO_Init - lto-llvm-ea22a7.o(.text.HAL_I2S_MspInit) refers to lto-llvm-ea22a7.o(.bss.hdma_spi3_tx) for hdma_spi3_tx - lto-llvm-ea22a7.o(.text.HAL_I2S_MspInit) refers to lto-llvm-ea22a7.o(.text.HAL_DMA_Init) for HAL_DMA_Init - lto-llvm-ea22a7.o(.text.HAL_I2S_MspInit) refers to lto-llvm-ea22a7.o(.bss.hdma_i2s3_ext_rx) for hdma_i2s3_ext_rx - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2S_MspInit) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2S_MspInit) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2S_MspInit) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2S_MspInit) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2S_MspInit) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2S_MspInit) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2S_MspInit) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2S_MspInit) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2S_MspInit) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2S_MspInit) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2S_MspInit) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2S_MspInit) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2S_MspInit) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2S_MspInit) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2S_MspInit) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2S_MspInit) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2S_MspInit) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2S_MspInit) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2S_MspInit) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2S_MspInit) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2S_MspInit) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2S_MspInit) refers to lto-llvm-ea22a7.o(.text.HAL_I2S_MspInit) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.HAL_ADC_Init) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.HAL_ADC_Init) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.HAL_ADC_Init) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.HAL_ADC_Init) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.HAL_ADC_Init) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.HAL_ADC_Init) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.HAL_ADC_Init) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.HAL_ADC_Init) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.HAL_ADC_Init) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.HAL_ADC_Init) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.HAL_ADC_Init) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.HAL_ADC_Init) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.HAL_ADC_Init) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.HAL_ADC_Init) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.HAL_ADC_Init) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.HAL_ADC_Init) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.HAL_ADC_Init) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.HAL_ADC_Init) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.HAL_ADC_Init) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.HAL_ADC_Init) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.HAL_ADC_Init) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.HAL_ADC_Init) refers to lto-llvm-ea22a7.o(.text.HAL_GPIO_Init) for HAL_GPIO_Init - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_Init) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_Init) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_Init) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_Init) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_Init) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_Init) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_Init) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_Init) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_Init) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_Init) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_Init) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_Init) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_Init) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_Init) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_Init) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_Init) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_Init) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_Init) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_Init) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_Init) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_Init) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_Init) refers to lto-llvm-ea22a7.o(.text.HAL_ADC_Init) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.HAL_ADC_ConfigChannel) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.HAL_ADC_ConfigChannel) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.HAL_ADC_ConfigChannel) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.HAL_ADC_ConfigChannel) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.HAL_ADC_ConfigChannel) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.HAL_ADC_ConfigChannel) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.HAL_ADC_ConfigChannel) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.HAL_ADC_ConfigChannel) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.HAL_ADC_ConfigChannel) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.HAL_ADC_ConfigChannel) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.HAL_ADC_ConfigChannel) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.HAL_ADC_ConfigChannel) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.HAL_ADC_ConfigChannel) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.HAL_ADC_ConfigChannel) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.HAL_ADC_ConfigChannel) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.HAL_ADC_ConfigChannel) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.HAL_ADC_ConfigChannel) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.HAL_ADC_ConfigChannel) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.HAL_ADC_ConfigChannel) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.HAL_ADC_ConfigChannel) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.HAL_ADC_ConfigChannel) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.HAL_ADC_ConfigChannel) refers to lto-llvm-ea22a7.o(.data.SystemCoreClock) for SystemCoreClock - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_ConfigChannel) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_ConfigChannel) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_ConfigChannel) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_ConfigChannel) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_ConfigChannel) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_ConfigChannel) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_ConfigChannel) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_ConfigChannel) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_ConfigChannel) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_ConfigChannel) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_ConfigChannel) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_ConfigChannel) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_ConfigChannel) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_ConfigChannel) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_ConfigChannel) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_ConfigChannel) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_ConfigChannel) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_ConfigChannel) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_ConfigChannel) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_ConfigChannel) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_ConfigChannel) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_ConfigChannel) refers to lto-llvm-ea22a7.o(.text.HAL_ADC_ConfigChannel) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedStart) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedStart) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedStart) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedStart) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedStart) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedStart) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedStart) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedStart) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedStart) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedStart) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedStart) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedStart) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedStart) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedStart) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedStart) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedStart) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedStart) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedStart) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedStart) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedStart) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedStart) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedStart) refers to lto-llvm-ea22a7.o(.data.SystemCoreClock) for SystemCoreClock - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedStart) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedStart) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedStart) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedStart) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedStart) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedStart) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedStart) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedStart) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedStart) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedStart) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedStart) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedStart) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedStart) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedStart) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedStart) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedStart) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedStart) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedStart) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedStart) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedStart) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedStart) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedStart) refers to lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedStart) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedConfigChannel) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedConfigChannel) refers to lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedConfigChannel) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.HAL_GPIO_Init) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.HAL_GPIO_Init) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.HAL_GPIO_Init) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.HAL_GPIO_Init) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.HAL_GPIO_Init) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.HAL_GPIO_Init) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.HAL_GPIO_Init) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.HAL_GPIO_Init) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.HAL_GPIO_Init) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.HAL_GPIO_Init) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.HAL_GPIO_Init) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.HAL_GPIO_Init) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.HAL_GPIO_Init) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.HAL_GPIO_Init) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.HAL_GPIO_Init) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.HAL_GPIO_Init) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.HAL_GPIO_Init) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.HAL_GPIO_Init) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.HAL_GPIO_Init) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.HAL_GPIO_Init) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.HAL_GPIO_Init) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_Init) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_Init) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_Init) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_Init) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_Init) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_Init) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_Init) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_Init) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_Init) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_Init) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_Init) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_Init) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_Init) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_Init) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_Init) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_Init) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_Init) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_Init) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_Init) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_Init) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_Init) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_Init) refers to lto-llvm-ea22a7.o(.text.HAL_GPIO_Init) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_status) for CPULOAD_status - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) for CPULOAD_startSleepTime - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) for CPULOAD_SleepingTime - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) for CPULOAD_startWorkTime - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.text.TRX_key_change) for TRX_key_change - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.WM8731_Buffer_underrun) for WM8731_Buffer_underrun - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FPGA_bus_stop) for FPGA_bus_stop - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_ptt_hard) for TRX_ptt_hard - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_ptt_soft) for TRX_ptt_soft - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_Tune) for TRX_Tune - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_Key_Timeout_est) for TRX_Key_Timeout_est - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FPGA_Audio_TXBuffer_Index) for FPGA_Audio_TXBuffer_Index - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.IRAM1) for FPGA_Audio_SendBuffer_Q - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FPGA_samples) for FPGA_samples - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_TX_IQ_swap) for TRX_TX_IQ_swap - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.Processor_NeedTXBuffer) for Processor_NeedTXBuffer - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FPGA_Buffer_underrun) for FPGA_Buffer_underrun - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_Inited) for TRX_Inited - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) for LCD_UpdateQuery - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FPGA_NeedSendParams) for FPGA_NeedSendParams - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) for TRX_Restart_Mode - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_old_ptt_soft) for TRX_old_ptt_soft - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCfirst) for FRONTPANEL_ENCODER_checkRotate.ENCfirst - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENClastClkVal) for FRONTPANEL_ENCODER_checkRotate.ENClastClkVal - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.uwTick) for uwTick - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.powerdown_start_delay) for powerdown_start_delay - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.ENCODER_AValDeb) for ENCODER_AValDeb - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.CALIBRATE) for CALIBRATE - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.ENCODER_slowler) for ENCODER_slowler - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCticksInInterval) for FRONTPANEL_ENCODER_checkRotate.ENCticksInInterval - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCstartMeasureTime) for FRONTPANEL_ENCODER_checkRotate.ENCstartMeasureTime - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCAcceleration) for FRONTPANEL_ENCODER_checkRotate.ENCAcceleration - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_ENCODER_Rotated) for FRONTPANEL_ENCODER_Rotated - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FPGA_Audio_Buffer_State) for FPGA_Audio_Buffer_State - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FPGA_NeedGetParams) for FPGA_NeedGetParams - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.data.FPGA_NeedRestart) for FPGA_NeedRestart - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_freq_phrase) for TRX_freq_phrase - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_freq_phrase_tx) for TRX_freq_phrase_tx - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_ADC_OTR) for TRX_ADC_OTR - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_DAC_OTR) for TRX_DAC_OTR - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_ADC_MINAMPLITUDE) for TRX_ADC_MINAMPLITUDE - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_ADC_MAXAMPLITUDE) for TRX_ADC_MAXAMPLITUDE - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FPGA_restart.FPGA_restart_state) for FPGA_restart.FPGA_restart_state - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FFT_buff_current) for FFT_buff_current - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FFTInput_I_A) for FFTInput_I_A - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FFTInput_I_B) for FFTInput_I_B - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FFTInput_Q_A) for FFTInput_Q_A - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FFTInput_Q_B) for FFTInput_Q_B - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX_RX_IQ_swap) for TRX_RX_IQ_swap - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FFT_buff_index) for FFT_buff_index - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FPGA_Audio_RXBuffer_Index) for FPGA_Audio_RXBuffer_Index - lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.FFT_new_buffer_ready) for FFT_new_buffer_ready - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_EXTI_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_EXTI_IRQHandler) refers to lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.HAL_DMA_Init) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.HAL_DMA_Init) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.HAL_DMA_Init) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.HAL_DMA_Init) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.HAL_DMA_Init) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.HAL_DMA_Init) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.HAL_DMA_Init) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.HAL_DMA_Init) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.HAL_DMA_Init) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.HAL_DMA_Init) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.HAL_DMA_Init) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.HAL_DMA_Init) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.HAL_DMA_Init) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.HAL_DMA_Init) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.HAL_DMA_Init) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.HAL_DMA_Init) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.HAL_DMA_Init) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.HAL_DMA_Init) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.HAL_DMA_Init) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.HAL_DMA_Init) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.HAL_DMA_Init) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.HAL_DMA_Init) refers to lto-llvm-ea22a7.o(.bss.uwTick) for uwTick - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_Init) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_Init) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_Init) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_Init) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_Init) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_Init) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_Init) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_Init) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_Init) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_Init) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_Init) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_Init) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_Init) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_Init) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_Init) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_Init) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_Init) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_Init) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_Init) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_Init) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_Init) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_Init) refers to lto-llvm-ea22a7.o(.text.HAL_DMA_Init) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.HAL_DMA_PollForTransfer) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.HAL_DMA_PollForTransfer) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.HAL_DMA_PollForTransfer) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.HAL_DMA_PollForTransfer) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.HAL_DMA_PollForTransfer) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.HAL_DMA_PollForTransfer) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.HAL_DMA_PollForTransfer) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.HAL_DMA_PollForTransfer) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.HAL_DMA_PollForTransfer) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.HAL_DMA_PollForTransfer) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.HAL_DMA_PollForTransfer) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.HAL_DMA_PollForTransfer) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.HAL_DMA_PollForTransfer) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.HAL_DMA_PollForTransfer) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.HAL_DMA_PollForTransfer) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.HAL_DMA_PollForTransfer) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.HAL_DMA_PollForTransfer) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.HAL_DMA_PollForTransfer) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.HAL_DMA_PollForTransfer) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.HAL_DMA_PollForTransfer) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.HAL_DMA_PollForTransfer) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.HAL_DMA_PollForTransfer) refers to lto-llvm-ea22a7.o(.bss.uwTick) for uwTick - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_PollForTransfer) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_PollForTransfer) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_PollForTransfer) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_PollForTransfer) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_PollForTransfer) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_PollForTransfer) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_PollForTransfer) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_PollForTransfer) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_PollForTransfer) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_PollForTransfer) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_PollForTransfer) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_PollForTransfer) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_PollForTransfer) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_PollForTransfer) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_PollForTransfer) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_PollForTransfer) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_PollForTransfer) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_PollForTransfer) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_PollForTransfer) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_PollForTransfer) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_PollForTransfer) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_PollForTransfer) refers to lto-llvm-ea22a7.o(.text.HAL_DMA_PollForTransfer) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.HAL_DMA_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.HAL_DMA_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.HAL_DMA_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.HAL_DMA_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.HAL_DMA_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.HAL_DMA_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.HAL_DMA_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.HAL_DMA_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.HAL_DMA_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.HAL_DMA_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.HAL_DMA_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.HAL_DMA_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.HAL_DMA_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.HAL_DMA_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.HAL_DMA_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.HAL_DMA_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.HAL_DMA_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.HAL_DMA_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.HAL_DMA_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.HAL_DMA_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.HAL_DMA_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.HAL_DMA_IRQHandler) refers to lto-llvm-ea22a7.o(.data.SystemCoreClock) for SystemCoreClock - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_IRQHandler) refers to lto-llvm-ea22a7.o(.text.HAL_DMA_IRQHandler) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.HAL_SRAM_Init) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.HAL_SRAM_Init) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.HAL_SRAM_Init) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.HAL_SRAM_Init) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.HAL_SRAM_Init) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.HAL_SRAM_Init) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.HAL_SRAM_Init) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.HAL_SRAM_Init) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.HAL_SRAM_Init) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.HAL_SRAM_Init) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.HAL_SRAM_Init) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.HAL_SRAM_Init) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.HAL_SRAM_Init) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.HAL_SRAM_Init) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.HAL_SRAM_Init) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.HAL_SRAM_Init) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.HAL_SRAM_Init) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.HAL_SRAM_Init) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.HAL_SRAM_Init) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.HAL_SRAM_Init) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.HAL_SRAM_Init) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.HAL_SRAM_Init) refers to lto-llvm-ea22a7.o(.bss.hsram1) for hsram1 - lto-llvm-ea22a7.o(.text.HAL_SRAM_Init) refers to lto-llvm-ea22a7.o(.bss.FSMC_Initialized) for FSMC_Initialized - lto-llvm-ea22a7.o(.text.HAL_SRAM_Init) refers to lto-llvm-ea22a7.o(.text.HAL_GPIO_Init) for HAL_GPIO_Init - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SRAM_Init) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SRAM_Init) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SRAM_Init) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SRAM_Init) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SRAM_Init) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SRAM_Init) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SRAM_Init) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SRAM_Init) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SRAM_Init) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SRAM_Init) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SRAM_Init) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SRAM_Init) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SRAM_Init) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SRAM_Init) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SRAM_Init) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SRAM_Init) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SRAM_Init) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SRAM_Init) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SRAM_Init) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SRAM_Init) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SRAM_Init) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SRAM_Init) refers to lto-llvm-ea22a7.o(.text.HAL_SRAM_Init) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.I2S_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.I2S_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.I2S_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.I2S_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.I2S_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.I2S_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.I2S_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.I2S_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.I2S_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.I2S_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.I2S_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.I2S_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.I2S_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.I2S_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.I2S_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.I2S_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.I2S_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.I2S_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.I2S_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.I2S_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.I2S_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.I2S_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.I2S_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.I2S_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.I2S_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.I2S_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.I2S_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.I2S_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.I2S_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.I2S_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.I2S_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.I2S_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.I2S_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.I2S_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.I2S_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.I2S_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.I2S_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.I2S_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.I2S_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.I2S_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.I2S_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.I2S_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.I2S_IRQHandler) refers to lto-llvm-ea22a7.o(.text.I2S_IRQHandler) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAHalfCplt) refers to lto-llvm-ea22a7.o(.bss.Processor_NeedRXBuffer) for Processor_NeedRXBuffer - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAHalfCplt) refers to lto-llvm-ea22a7.o(.bss.WM8731_Buffer_underrun) for WM8731_Buffer_underrun - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAHalfCplt) refers to lto-llvm-ea22a7.o(.bss.WM8731_DMA_state) for WM8731_DMA_state - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAHalfCplt) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAHalfCplt) refers to lto-llvm-ea22a7.o(.bss.Processor_NeedTXBuffer) for Processor_NeedTXBuffer - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAHalfCplt) refers to lto-llvm-ea22a7.o(.bss.WM8731_DMA_samples) for WM8731_DMA_samples - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAHalfCplt) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAHalfCplt) refers to lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAHalfCplt) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMACplt) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMACplt) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMACplt) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMACplt) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMACplt) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMACplt) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMACplt) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMACplt) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMACplt) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMACplt) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMACplt) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMACplt) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMACplt) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMACplt) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMACplt) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMACplt) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMACplt) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMACplt) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMACplt) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMACplt) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMACplt) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMACplt) refers to lto-llvm-ea22a7.o(.bss.Processor_NeedRXBuffer) for Processor_NeedRXBuffer - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMACplt) refers to lto-llvm-ea22a7.o(.bss.WM8731_Buffer_underrun) for WM8731_Buffer_underrun - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMACplt) refers to lto-llvm-ea22a7.o(.bss.WM8731_DMA_state) for WM8731_DMA_state - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMACplt) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMACplt) refers to lto-llvm-ea22a7.o(.bss.Processor_NeedTXBuffer) for Processor_NeedTXBuffer - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMACplt) refers to lto-llvm-ea22a7.o(.bss.WM8731_DMA_samples) for WM8731_DMA_samples - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMACplt) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMACplt) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMACplt) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMACplt) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMACplt) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMACplt) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMACplt) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMACplt) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMACplt) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMACplt) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMACplt) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMACplt) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMACplt) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMACplt) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMACplt) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMACplt) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMACplt) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMACplt) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMACplt) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMACplt) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMACplt) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMACplt) refers to lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMACplt) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAError) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAError) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAError) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAError) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAError) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAError) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAError) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAError) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAError) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAError) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAError) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAError) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAError) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAError) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAError) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAError) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAError) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAError) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAError) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAError) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAError) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAError) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAError) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAError) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAError) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAError) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAError) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAError) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAError) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAError) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAError) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAError) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAError) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAError) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAError) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAError) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAError) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAError) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAError) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAError) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAError) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAError) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAError) refers to lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAError) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.HAL_I2SEx_FullDuplex_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.Processor_NeedRXBuffer) for Processor_NeedRXBuffer - lto-llvm-ea22a7.o(.text.HAL_I2SEx_FullDuplex_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.WM8731_Buffer_underrun) for WM8731_Buffer_underrun - lto-llvm-ea22a7.o(.text.HAL_I2SEx_FullDuplex_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.WM8731_DMA_state) for WM8731_DMA_state - lto-llvm-ea22a7.o(.text.HAL_I2SEx_FullDuplex_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.TRX) for TRX - lto-llvm-ea22a7.o(.text.HAL_I2SEx_FullDuplex_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.Processor_NeedTXBuffer) for Processor_NeedTXBuffer - lto-llvm-ea22a7.o(.text.HAL_I2SEx_FullDuplex_IRQHandler) refers to lto-llvm-ea22a7.o(.bss.WM8731_DMA_samples) for WM8731_DMA_samples - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2SEx_FullDuplex_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2SEx_FullDuplex_IRQHandler) refers to lto-llvm-ea22a7.o(.text.HAL_I2SEx_FullDuplex_IRQHandler) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.HAL_RTC_Init) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.HAL_RTC_Init) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.HAL_RTC_Init) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.HAL_RTC_Init) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.HAL_RTC_Init) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.HAL_RTC_Init) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.HAL_RTC_Init) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.HAL_RTC_Init) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.HAL_RTC_Init) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.HAL_RTC_Init) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.HAL_RTC_Init) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.HAL_RTC_Init) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.HAL_RTC_Init) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.HAL_RTC_Init) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.HAL_RTC_Init) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.HAL_RTC_Init) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.HAL_RTC_Init) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.HAL_RTC_Init) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.HAL_RTC_Init) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.HAL_RTC_Init) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.HAL_RTC_Init) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.HAL_RTC_Init) refers to lto-llvm-ea22a7.o(.bss.hrtc) for hrtc - lto-llvm-ea22a7.o(.text.HAL_RTC_Init) refers to lto-llvm-ea22a7.o(.bss.uwTick) for uwTick - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_RTC_Init) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_RTC_Init) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_RTC_Init) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_RTC_Init) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_RTC_Init) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_RTC_Init) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_RTC_Init) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_RTC_Init) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_RTC_Init) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_RTC_Init) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_RTC_Init) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_RTC_Init) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_RTC_Init) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_RTC_Init) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_RTC_Init) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_RTC_Init) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_RTC_Init) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_RTC_Init) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_RTC_Init) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_RTC_Init) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_RTC_Init) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_RTC_Init) refers to lto-llvm-ea22a7.o(.text.HAL_RTC_Init) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.HAL_SPI_TransmitReceive) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.HAL_SPI_TransmitReceive) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.HAL_SPI_TransmitReceive) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.HAL_SPI_TransmitReceive) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.HAL_SPI_TransmitReceive) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.HAL_SPI_TransmitReceive) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.HAL_SPI_TransmitReceive) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.HAL_SPI_TransmitReceive) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.HAL_SPI_TransmitReceive) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.HAL_SPI_TransmitReceive) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.HAL_SPI_TransmitReceive) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.HAL_SPI_TransmitReceive) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.HAL_SPI_TransmitReceive) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.HAL_SPI_TransmitReceive) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.HAL_SPI_TransmitReceive) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.HAL_SPI_TransmitReceive) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.HAL_SPI_TransmitReceive) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.HAL_SPI_TransmitReceive) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.HAL_SPI_TransmitReceive) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.HAL_SPI_TransmitReceive) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.HAL_SPI_TransmitReceive) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.HAL_SPI_TransmitReceive) refers to lto-llvm-ea22a7.o(.bss.hspi2) for hspi2 - lto-llvm-ea22a7.o(.text.HAL_SPI_TransmitReceive) refers to lto-llvm-ea22a7.o(.bss.uwTick) for uwTick - lto-llvm-ea22a7.o(.text.HAL_SPI_TransmitReceive) refers to lto-llvm-ea22a7.o(.data.SystemCoreClock) for SystemCoreClock - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SPI_TransmitReceive) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SPI_TransmitReceive) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SPI_TransmitReceive) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SPI_TransmitReceive) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SPI_TransmitReceive) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SPI_TransmitReceive) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SPI_TransmitReceive) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SPI_TransmitReceive) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SPI_TransmitReceive) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SPI_TransmitReceive) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SPI_TransmitReceive) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SPI_TransmitReceive) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SPI_TransmitReceive) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SPI_TransmitReceive) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SPI_TransmitReceive) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SPI_TransmitReceive) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SPI_TransmitReceive) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SPI_TransmitReceive) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SPI_TransmitReceive) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SPI_TransmitReceive) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SPI_TransmitReceive) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SPI_TransmitReceive) refers to lto-llvm-ea22a7.o(.text.HAL_SPI_TransmitReceive) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.HAL_TIM_Base_Init) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.HAL_TIM_Base_Init) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.HAL_TIM_Base_Init) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.HAL_TIM_Base_Init) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.HAL_TIM_Base_Init) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.HAL_TIM_Base_Init) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.HAL_TIM_Base_Init) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.HAL_TIM_Base_Init) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.HAL_TIM_Base_Init) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.HAL_TIM_Base_Init) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.HAL_TIM_Base_Init) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.HAL_TIM_Base_Init) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.HAL_TIM_Base_Init) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.HAL_TIM_Base_Init) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.HAL_TIM_Base_Init) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.HAL_TIM_Base_Init) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.HAL_TIM_Base_Init) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.HAL_TIM_Base_Init) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.HAL_TIM_Base_Init) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.HAL_TIM_Base_Init) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.HAL_TIM_Base_Init) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_Base_Init) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_Base_Init) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_Base_Init) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_Base_Init) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_Base_Init) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_Base_Init) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_Base_Init) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_Base_Init) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_Base_Init) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_Base_Init) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_Base_Init) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_Base_Init) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_Base_Init) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_Base_Init) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_Base_Init) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_Base_Init) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_Base_Init) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_Base_Init) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_Base_Init) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_Base_Init) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_Base_Init) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_Base_Init) refers to lto-llvm-ea22a7.o(.text.HAL_TIM_Base_Init) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.HAL_TIM_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.HAL_TIM_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.HAL_TIM_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.HAL_TIM_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.HAL_TIM_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.HAL_TIM_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.HAL_TIM_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.HAL_TIM_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.HAL_TIM_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.HAL_TIM_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.HAL_TIM_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.HAL_TIM_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.HAL_TIM_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.HAL_TIM_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.HAL_TIM_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.HAL_TIM_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.HAL_TIM_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.HAL_TIM_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.HAL_TIM_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.HAL_TIM_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.HAL_TIM_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_IRQHandler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_IRQHandler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_IRQHandler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_IRQHandler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_IRQHandler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_IRQHandler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_IRQHandler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_IRQHandler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_IRQHandler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_IRQHandler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_IRQHandler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_IRQHandler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_IRQHandler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_IRQHandler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_IRQHandler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_IRQHandler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_IRQHandler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_IRQHandler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_IRQHandler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_IRQHandler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_IRQHandler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_IRQHandler) refers to lto-llvm-ea22a7.o(.text.HAL_TIM_IRQHandler) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.HAL_TIM_ConfigClockSource) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.HAL_TIM_ConfigClockSource) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.HAL_TIM_ConfigClockSource) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.HAL_TIM_ConfigClockSource) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.HAL_TIM_ConfigClockSource) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.HAL_TIM_ConfigClockSource) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.HAL_TIM_ConfigClockSource) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.HAL_TIM_ConfigClockSource) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.HAL_TIM_ConfigClockSource) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.HAL_TIM_ConfigClockSource) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.HAL_TIM_ConfigClockSource) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.HAL_TIM_ConfigClockSource) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.HAL_TIM_ConfigClockSource) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.HAL_TIM_ConfigClockSource) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.HAL_TIM_ConfigClockSource) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.HAL_TIM_ConfigClockSource) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.HAL_TIM_ConfigClockSource) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.HAL_TIM_ConfigClockSource) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.HAL_TIM_ConfigClockSource) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.HAL_TIM_ConfigClockSource) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.HAL_TIM_ConfigClockSource) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_ConfigClockSource) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_ConfigClockSource) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_ConfigClockSource) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_ConfigClockSource) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_ConfigClockSource) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_ConfigClockSource) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_ConfigClockSource) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_ConfigClockSource) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_ConfigClockSource) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_ConfigClockSource) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_ConfigClockSource) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_ConfigClockSource) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_ConfigClockSource) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_ConfigClockSource) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_ConfigClockSource) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_ConfigClockSource) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_ConfigClockSource) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_ConfigClockSource) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_ConfigClockSource) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_ConfigClockSource) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_ConfigClockSource) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_ConfigClockSource) refers to lto-llvm-ea22a7.o(.text.HAL_TIM_ConfigClockSource) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.USB_EPStartXfer) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.USB_EPStartXfer) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.USB_EPStartXfer) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.USB_EPStartXfer) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.USB_EPStartXfer) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.USB_EPStartXfer) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.USB_EPStartXfer) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.USB_EPStartXfer) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.USB_EPStartXfer) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.USB_EPStartXfer) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.USB_EPStartXfer) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.USB_EPStartXfer) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.USB_EPStartXfer) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.USB_EPStartXfer) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.USB_EPStartXfer) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.USB_EPStartXfer) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.USB_EPStartXfer) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.USB_EPStartXfer) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.USB_EPStartXfer) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.USB_EPStartXfer) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.USB_EPStartXfer) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EPStartXfer) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EPStartXfer) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EPStartXfer) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EPStartXfer) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EPStartXfer) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EPStartXfer) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EPStartXfer) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EPStartXfer) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EPStartXfer) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EPStartXfer) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EPStartXfer) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EPStartXfer) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EPStartXfer) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EPStartXfer) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EPStartXfer) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EPStartXfer) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EPStartXfer) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EPStartXfer) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EPStartXfer) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EPStartXfer) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EPStartXfer) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EPStartXfer) refers to lto-llvm-ea22a7.o(.text.USB_EPStartXfer) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.USB_EP0StartXfer) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.USB_EP0StartXfer) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.USB_EP0StartXfer) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.USB_EP0StartXfer) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.USB_EP0StartXfer) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.USB_EP0StartXfer) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.USB_EP0StartXfer) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.USB_EP0StartXfer) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.USB_EP0StartXfer) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.USB_EP0StartXfer) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.USB_EP0StartXfer) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.USB_EP0StartXfer) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.USB_EP0StartXfer) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.USB_EP0StartXfer) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.USB_EP0StartXfer) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.USB_EP0StartXfer) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.USB_EP0StartXfer) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.USB_EP0StartXfer) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.USB_EP0StartXfer) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.USB_EP0StartXfer) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.USB_EP0StartXfer) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EP0StartXfer) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EP0StartXfer) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EP0StartXfer) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EP0StartXfer) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EP0StartXfer) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EP0StartXfer) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EP0StartXfer) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EP0StartXfer) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EP0StartXfer) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EP0StartXfer) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EP0StartXfer) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EP0StartXfer) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EP0StartXfer) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EP0StartXfer) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EP0StartXfer) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EP0StartXfer) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EP0StartXfer) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EP0StartXfer) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EP0StartXfer) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EP0StartXfer) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EP0StartXfer) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EP0StartXfer) refers to lto-llvm-ea22a7.o(.text.USB_EP0StartXfer) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.SystemInit) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.SystemInit) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.SystemInit) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.SystemInit) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.SystemInit) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.SystemInit) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.SystemInit) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.SystemInit) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.SystemInit) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.SystemInit) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.SystemInit) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.SystemInit) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.SystemInit) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.SystemInit) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.SystemInit) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.SystemInit) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.SystemInit) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.SystemInit) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.SystemInit) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.SystemInit) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.SystemInit) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SystemInit) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.SystemInit) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.SystemInit) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.SystemInit) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.SystemInit) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.SystemInit) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SystemInit) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SystemInit) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.SystemInit) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.SystemInit) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.SystemInit) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.SystemInit) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.SystemInit) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.SystemInit) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.SystemInit) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.SystemInit) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.SystemInit) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.SystemInit) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.SystemInit) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.SystemInit) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.SystemInit) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.SystemInit) refers to lto-llvm-ea22a7.o(.text.SystemInit) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.MX_USB_DevDisconnect) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.MX_USB_DevDisconnect) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.MX_USB_DevDisconnect) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.MX_USB_DevDisconnect) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.MX_USB_DevDisconnect) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.MX_USB_DevDisconnect) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.MX_USB_DevDisconnect) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.MX_USB_DevDisconnect) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.MX_USB_DevDisconnect) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.MX_USB_DevDisconnect) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.MX_USB_DevDisconnect) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.MX_USB_DevDisconnect) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.MX_USB_DevDisconnect) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.MX_USB_DevDisconnect) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.MX_USB_DevDisconnect) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.MX_USB_DevDisconnect) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.MX_USB_DevDisconnect) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.MX_USB_DevDisconnect) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.MX_USB_DevDisconnect) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.MX_USB_DevDisconnect) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.MX_USB_DevDisconnect) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.MX_USB_DevDisconnect) refers to lto-llvm-ea22a7.o(.bss.USBD_inited) for USBD_inited - lto-llvm-ea22a7.o(.text.MX_USB_DevDisconnect) refers to lto-llvm-ea22a7.o(.bss.hUsbDeviceFS) for hUsbDeviceFS - lto-llvm-ea22a7.o(.text.MX_USB_DevDisconnect) refers to lto-llvm-ea22a7.o(.bss.hpcd_USB_OTG_FS) for hpcd_USB_OTG_FS - lto-llvm-ea22a7.o(.ARM.exidx.text.MX_USB_DevDisconnect) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.MX_USB_DevDisconnect) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.MX_USB_DevDisconnect) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.MX_USB_DevDisconnect) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.MX_USB_DevDisconnect) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.MX_USB_DevDisconnect) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.MX_USB_DevDisconnect) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.MX_USB_DevDisconnect) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.MX_USB_DevDisconnect) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.MX_USB_DevDisconnect) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.MX_USB_DevDisconnect) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.MX_USB_DevDisconnect) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.MX_USB_DevDisconnect) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.MX_USB_DevDisconnect) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.MX_USB_DevDisconnect) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.MX_USB_DevDisconnect) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.MX_USB_DevDisconnect) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.MX_USB_DevDisconnect) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.MX_USB_DevDisconnect) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.MX_USB_DevDisconnect) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.MX_USB_DevDisconnect) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.MX_USB_DevDisconnect) refers to lto-llvm-ea22a7.o(.text.MX_USB_DevDisconnect) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.HAL_PCD_DataOutStageCallback) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.HAL_PCD_DataOutStageCallback) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.HAL_PCD_DataOutStageCallback) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.HAL_PCD_DataOutStageCallback) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.HAL_PCD_DataOutStageCallback) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.HAL_PCD_DataOutStageCallback) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.HAL_PCD_DataOutStageCallback) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.HAL_PCD_DataOutStageCallback) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.HAL_PCD_DataOutStageCallback) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.HAL_PCD_DataOutStageCallback) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.HAL_PCD_DataOutStageCallback) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.HAL_PCD_DataOutStageCallback) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.HAL_PCD_DataOutStageCallback) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.HAL_PCD_DataOutStageCallback) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.HAL_PCD_DataOutStageCallback) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.HAL_PCD_DataOutStageCallback) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.HAL_PCD_DataOutStageCallback) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.HAL_PCD_DataOutStageCallback) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.HAL_PCD_DataOutStageCallback) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.HAL_PCD_DataOutStageCallback) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.HAL_PCD_DataOutStageCallback) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.HAL_PCD_DataOutStageCallback) refers to lto-llvm-ea22a7.o(.bss.hpcd_USB_OTG_FS) for hpcd_USB_OTG_FS - lto-llvm-ea22a7.o(.text.HAL_PCD_DataOutStageCallback) refers to lto-llvm-ea22a7.o(.text.USB_EP0StartXfer) for USB_EP0StartXfer - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_PCD_DataOutStageCallback) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_PCD_DataOutStageCallback) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_PCD_DataOutStageCallback) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_PCD_DataOutStageCallback) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_PCD_DataOutStageCallback) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_PCD_DataOutStageCallback) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_PCD_DataOutStageCallback) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_PCD_DataOutStageCallback) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_PCD_DataOutStageCallback) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_PCD_DataOutStageCallback) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_PCD_DataOutStageCallback) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_PCD_DataOutStageCallback) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_PCD_DataOutStageCallback) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_PCD_DataOutStageCallback) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_PCD_DataOutStageCallback) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_PCD_DataOutStageCallback) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_PCD_DataOutStageCallback) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_PCD_DataOutStageCallback) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_PCD_DataOutStageCallback) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_PCD_DataOutStageCallback) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_PCD_DataOutStageCallback) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_PCD_DataOutStageCallback) refers to lto-llvm-ea22a7.o(.text.HAL_PCD_DataOutStageCallback) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.USBD_LL_OpenEP) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.USBD_LL_OpenEP) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.USBD_LL_OpenEP) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.USBD_LL_OpenEP) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.USBD_LL_OpenEP) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.USBD_LL_OpenEP) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.USBD_LL_OpenEP) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.USBD_LL_OpenEP) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.USBD_LL_OpenEP) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.USBD_LL_OpenEP) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.USBD_LL_OpenEP) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.USBD_LL_OpenEP) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.USBD_LL_OpenEP) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.USBD_LL_OpenEP) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.USBD_LL_OpenEP) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.USBD_LL_OpenEP) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.USBD_LL_OpenEP) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.USBD_LL_OpenEP) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.USBD_LL_OpenEP) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.USBD_LL_OpenEP) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.USBD_LL_OpenEP) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_OpenEP) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_OpenEP) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_OpenEP) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_OpenEP) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_OpenEP) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_OpenEP) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_OpenEP) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_OpenEP) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_OpenEP) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_OpenEP) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_OpenEP) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_OpenEP) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_OpenEP) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_OpenEP) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_OpenEP) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_OpenEP) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_OpenEP) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_OpenEP) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_OpenEP) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_OpenEP) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_OpenEP) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_OpenEP) refers to lto-llvm-ea22a7.o(.text.USBD_LL_OpenEP) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.USBD_LL_CloseEP) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.USBD_LL_CloseEP) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.USBD_LL_CloseEP) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.USBD_LL_CloseEP) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.USBD_LL_CloseEP) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.USBD_LL_CloseEP) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.USBD_LL_CloseEP) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.USBD_LL_CloseEP) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.USBD_LL_CloseEP) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.USBD_LL_CloseEP) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.USBD_LL_CloseEP) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.USBD_LL_CloseEP) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.USBD_LL_CloseEP) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.USBD_LL_CloseEP) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.USBD_LL_CloseEP) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.USBD_LL_CloseEP) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.USBD_LL_CloseEP) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.USBD_LL_CloseEP) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.USBD_LL_CloseEP) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.USBD_LL_CloseEP) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.USBD_LL_CloseEP) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_CloseEP) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_CloseEP) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_CloseEP) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_CloseEP) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_CloseEP) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_CloseEP) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_CloseEP) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_CloseEP) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_CloseEP) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_CloseEP) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_CloseEP) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_CloseEP) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_CloseEP) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_CloseEP) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_CloseEP) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_CloseEP) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_CloseEP) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_CloseEP) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_CloseEP) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_CloseEP) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_CloseEP) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_CloseEP) refers to lto-llvm-ea22a7.o(.text.USBD_LL_CloseEP) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.USBD_LL_StallEP) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.USBD_LL_StallEP) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.USBD_LL_StallEP) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.USBD_LL_StallEP) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.USBD_LL_StallEP) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.USBD_LL_StallEP) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.USBD_LL_StallEP) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.USBD_LL_StallEP) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.USBD_LL_StallEP) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.USBD_LL_StallEP) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.USBD_LL_StallEP) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.USBD_LL_StallEP) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.USBD_LL_StallEP) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.USBD_LL_StallEP) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.USBD_LL_StallEP) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.USBD_LL_StallEP) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.USBD_LL_StallEP) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.USBD_LL_StallEP) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.USBD_LL_StallEP) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.USBD_LL_StallEP) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.USBD_LL_StallEP) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_StallEP) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_StallEP) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_StallEP) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_StallEP) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_StallEP) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_StallEP) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_StallEP) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_StallEP) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_StallEP) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_StallEP) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_StallEP) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_StallEP) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_StallEP) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_StallEP) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_StallEP) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_StallEP) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_StallEP) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_StallEP) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_StallEP) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_StallEP) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_StallEP) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_StallEP) refers to lto-llvm-ea22a7.o(.text.USBD_LL_StallEP) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.USBD_GetString) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.USBD_GetString) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.USBD_GetString) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.USBD_GetString) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.USBD_GetString) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.USBD_GetString) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.USBD_GetString) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.USBD_GetString) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.USBD_GetString) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.USBD_GetString) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.USBD_GetString) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.USBD_GetString) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.USBD_GetString) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.USBD_GetString) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.USBD_GetString) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.USBD_GetString) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.USBD_GetString) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.USBD_GetString) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.USBD_GetString) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.USBD_GetString) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.USBD_GetString) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.USBD_GetString) refers to lto-llvm-ea22a7.o(.bss.USBD_StrDesc) for USBD_StrDesc - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_GetString) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_GetString) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_GetString) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_GetString) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_GetString) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_GetString) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_GetString) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_GetString) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_GetString) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_GetString) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_GetString) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_GetString) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_GetString) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_GetString) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_GetString) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_GetString) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_GetString) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_GetString) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_GetString) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_GetString) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_GetString) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_GetString) refers to lto-llvm-ea22a7.o(.text.USBD_GetString) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.USBD_FS_DeviceDescriptor) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.USBD_FS_DeviceDescriptor) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.USBD_FS_DeviceDescriptor) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.USBD_FS_DeviceDescriptor) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.USBD_FS_DeviceDescriptor) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.USBD_FS_DeviceDescriptor) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.USBD_FS_DeviceDescriptor) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.USBD_FS_DeviceDescriptor) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.USBD_FS_DeviceDescriptor) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.USBD_FS_DeviceDescriptor) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.USBD_FS_DeviceDescriptor) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.USBD_FS_DeviceDescriptor) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.USBD_FS_DeviceDescriptor) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.USBD_FS_DeviceDescriptor) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.USBD_FS_DeviceDescriptor) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.USBD_FS_DeviceDescriptor) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.USBD_FS_DeviceDescriptor) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.USBD_FS_DeviceDescriptor) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.USBD_FS_DeviceDescriptor) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.USBD_FS_DeviceDescriptor) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.USBD_FS_DeviceDescriptor) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.USBD_FS_DeviceDescriptor) refers to lto-llvm-ea22a7.o(.data.USBD_FS_DeviceDesc) for USBD_FS_DeviceDesc - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_DeviceDescriptor) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_DeviceDescriptor) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_DeviceDescriptor) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_DeviceDescriptor) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_DeviceDescriptor) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_DeviceDescriptor) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_DeviceDescriptor) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_DeviceDescriptor) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_DeviceDescriptor) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_DeviceDescriptor) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_DeviceDescriptor) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_DeviceDescriptor) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_DeviceDescriptor) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_DeviceDescriptor) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_DeviceDescriptor) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_DeviceDescriptor) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_DeviceDescriptor) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_DeviceDescriptor) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_DeviceDescriptor) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_DeviceDescriptor) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_DeviceDescriptor) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_DeviceDescriptor) refers to lto-llvm-ea22a7.o(.text.USBD_FS_DeviceDescriptor) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.USBD_FS_LangIDStrDescriptor) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.USBD_FS_LangIDStrDescriptor) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.USBD_FS_LangIDStrDescriptor) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.USBD_FS_LangIDStrDescriptor) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.USBD_FS_LangIDStrDescriptor) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.USBD_FS_LangIDStrDescriptor) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.USBD_FS_LangIDStrDescriptor) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.USBD_FS_LangIDStrDescriptor) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.USBD_FS_LangIDStrDescriptor) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.USBD_FS_LangIDStrDescriptor) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.USBD_FS_LangIDStrDescriptor) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.USBD_FS_LangIDStrDescriptor) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.USBD_FS_LangIDStrDescriptor) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.USBD_FS_LangIDStrDescriptor) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.USBD_FS_LangIDStrDescriptor) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.USBD_FS_LangIDStrDescriptor) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.USBD_FS_LangIDStrDescriptor) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.USBD_FS_LangIDStrDescriptor) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.USBD_FS_LangIDStrDescriptor) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.USBD_FS_LangIDStrDescriptor) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.USBD_FS_LangIDStrDescriptor) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.USBD_FS_LangIDStrDescriptor) refers to lto-llvm-ea22a7.o(.data.USBD_LangIDDesc) for USBD_LangIDDesc - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_LangIDStrDescriptor) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_LangIDStrDescriptor) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_LangIDStrDescriptor) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_LangIDStrDescriptor) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_LangIDStrDescriptor) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_LangIDStrDescriptor) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_LangIDStrDescriptor) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_LangIDStrDescriptor) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_LangIDStrDescriptor) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_LangIDStrDescriptor) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_LangIDStrDescriptor) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_LangIDStrDescriptor) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_LangIDStrDescriptor) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_LangIDStrDescriptor) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_LangIDStrDescriptor) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_LangIDStrDescriptor) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_LangIDStrDescriptor) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_LangIDStrDescriptor) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_LangIDStrDescriptor) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_LangIDStrDescriptor) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_LangIDStrDescriptor) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_LangIDStrDescriptor) refers to lto-llvm-ea22a7.o(.text.USBD_FS_LangIDStrDescriptor) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.USBD_FS_ManufacturerStrDescriptor) refers to lto-llvm-ea22a7.o(.text.USBD_GetString) for USBD_GetString - lto-llvm-ea22a7.o(.text.USBD_FS_ManufacturerStrDescriptor) refers to lto-llvm-ea22a7.o(.bss.USBD_StrDesc) for USBD_StrDesc - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ManufacturerStrDescriptor) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ManufacturerStrDescriptor) refers to lto-llvm-ea22a7.o(.text.USBD_FS_ManufacturerStrDescriptor) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.USBD_FS_ProductStrDescriptor) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.USBD_FS_ProductStrDescriptor) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.USBD_FS_ProductStrDescriptor) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.USBD_FS_ProductStrDescriptor) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.USBD_FS_ProductStrDescriptor) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.USBD_FS_ProductStrDescriptor) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.USBD_FS_ProductStrDescriptor) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.USBD_FS_ProductStrDescriptor) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.USBD_FS_ProductStrDescriptor) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.USBD_FS_ProductStrDescriptor) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.USBD_FS_ProductStrDescriptor) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.USBD_FS_ProductStrDescriptor) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.USBD_FS_ProductStrDescriptor) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.USBD_FS_ProductStrDescriptor) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.USBD_FS_ProductStrDescriptor) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.USBD_FS_ProductStrDescriptor) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.USBD_FS_ProductStrDescriptor) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.USBD_FS_ProductStrDescriptor) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.USBD_FS_ProductStrDescriptor) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.USBD_FS_ProductStrDescriptor) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.USBD_FS_ProductStrDescriptor) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.USBD_FS_ProductStrDescriptor) refers to lto-llvm-ea22a7.o(.text.USBD_GetString) for USBD_GetString - lto-llvm-ea22a7.o(.text.USBD_FS_ProductStrDescriptor) refers to lto-llvm-ea22a7.o(.bss.USBD_StrDesc) for USBD_StrDesc - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ProductStrDescriptor) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ProductStrDescriptor) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ProductStrDescriptor) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ProductStrDescriptor) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ProductStrDescriptor) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ProductStrDescriptor) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ProductStrDescriptor) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ProductStrDescriptor) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ProductStrDescriptor) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ProductStrDescriptor) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ProductStrDescriptor) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ProductStrDescriptor) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ProductStrDescriptor) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ProductStrDescriptor) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ProductStrDescriptor) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ProductStrDescriptor) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ProductStrDescriptor) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ProductStrDescriptor) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ProductStrDescriptor) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ProductStrDescriptor) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ProductStrDescriptor) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ProductStrDescriptor) refers to lto-llvm-ea22a7.o(.text.USBD_FS_ProductStrDescriptor) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.USBD_FS_SerialStrDescriptor) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.USBD_FS_SerialStrDescriptor) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.USBD_FS_SerialStrDescriptor) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.USBD_FS_SerialStrDescriptor) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.USBD_FS_SerialStrDescriptor) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.USBD_FS_SerialStrDescriptor) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.USBD_FS_SerialStrDescriptor) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.USBD_FS_SerialStrDescriptor) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.USBD_FS_SerialStrDescriptor) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.USBD_FS_SerialStrDescriptor) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.USBD_FS_SerialStrDescriptor) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.USBD_FS_SerialStrDescriptor) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.USBD_FS_SerialStrDescriptor) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.USBD_FS_SerialStrDescriptor) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.USBD_FS_SerialStrDescriptor) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.USBD_FS_SerialStrDescriptor) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.USBD_FS_SerialStrDescriptor) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.USBD_FS_SerialStrDescriptor) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.USBD_FS_SerialStrDescriptor) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.USBD_FS_SerialStrDescriptor) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.USBD_FS_SerialStrDescriptor) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.USBD_FS_SerialStrDescriptor) refers to lto-llvm-ea22a7.o(.data.USBD_StringSerial) for USBD_StringSerial - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_SerialStrDescriptor) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_SerialStrDescriptor) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_SerialStrDescriptor) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_SerialStrDescriptor) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_SerialStrDescriptor) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_SerialStrDescriptor) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_SerialStrDescriptor) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_SerialStrDescriptor) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_SerialStrDescriptor) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_SerialStrDescriptor) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_SerialStrDescriptor) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_SerialStrDescriptor) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_SerialStrDescriptor) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_SerialStrDescriptor) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_SerialStrDescriptor) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_SerialStrDescriptor) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_SerialStrDescriptor) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_SerialStrDescriptor) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_SerialStrDescriptor) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_SerialStrDescriptor) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_SerialStrDescriptor) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_SerialStrDescriptor) refers to lto-llvm-ea22a7.o(.text.USBD_FS_SerialStrDescriptor) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.USBD_FS_ConfigStrDescriptor) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.USBD_FS_ConfigStrDescriptor) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.USBD_FS_ConfigStrDescriptor) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.USBD_FS_ConfigStrDescriptor) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.USBD_FS_ConfigStrDescriptor) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.USBD_FS_ConfigStrDescriptor) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.USBD_FS_ConfigStrDescriptor) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.USBD_FS_ConfigStrDescriptor) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.USBD_FS_ConfigStrDescriptor) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.USBD_FS_ConfigStrDescriptor) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.USBD_FS_ConfigStrDescriptor) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.USBD_FS_ConfigStrDescriptor) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.USBD_FS_ConfigStrDescriptor) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.USBD_FS_ConfigStrDescriptor) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.USBD_FS_ConfigStrDescriptor) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.USBD_FS_ConfigStrDescriptor) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.USBD_FS_ConfigStrDescriptor) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.USBD_FS_ConfigStrDescriptor) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.USBD_FS_ConfigStrDescriptor) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.USBD_FS_ConfigStrDescriptor) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.USBD_FS_ConfigStrDescriptor) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.USBD_FS_ConfigStrDescriptor) refers to lto-llvm-ea22a7.o(.text.USBD_GetString) for USBD_GetString - lto-llvm-ea22a7.o(.text.USBD_FS_ConfigStrDescriptor) refers to lto-llvm-ea22a7.o(.bss.USBD_StrDesc) for USBD_StrDesc - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ConfigStrDescriptor) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ConfigStrDescriptor) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ConfigStrDescriptor) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ConfigStrDescriptor) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ConfigStrDescriptor) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ConfigStrDescriptor) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ConfigStrDescriptor) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ConfigStrDescriptor) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ConfigStrDescriptor) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ConfigStrDescriptor) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ConfigStrDescriptor) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ConfigStrDescriptor) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ConfigStrDescriptor) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ConfigStrDescriptor) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ConfigStrDescriptor) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ConfigStrDescriptor) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ConfigStrDescriptor) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ConfigStrDescriptor) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ConfigStrDescriptor) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ConfigStrDescriptor) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ConfigStrDescriptor) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ConfigStrDescriptor) refers to lto-llvm-ea22a7.o(.text.USBD_FS_ConfigStrDescriptor) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.USBD_FS_Interface1StrDescriptor) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.USBD_FS_Interface1StrDescriptor) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.USBD_FS_Interface1StrDescriptor) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.USBD_FS_Interface1StrDescriptor) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.USBD_FS_Interface1StrDescriptor) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.USBD_FS_Interface1StrDescriptor) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.USBD_FS_Interface1StrDescriptor) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.USBD_FS_Interface1StrDescriptor) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.USBD_FS_Interface1StrDescriptor) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.USBD_FS_Interface1StrDescriptor) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.USBD_FS_Interface1StrDescriptor) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.USBD_FS_Interface1StrDescriptor) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.USBD_FS_Interface1StrDescriptor) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.USBD_FS_Interface1StrDescriptor) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.USBD_FS_Interface1StrDescriptor) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.USBD_FS_Interface1StrDescriptor) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.USBD_FS_Interface1StrDescriptor) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.USBD_FS_Interface1StrDescriptor) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.USBD_FS_Interface1StrDescriptor) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.USBD_FS_Interface1StrDescriptor) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.USBD_FS_Interface1StrDescriptor) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.USBD_FS_Interface1StrDescriptor) refers to lto-llvm-ea22a7.o(.text.USBD_GetString) for USBD_GetString - lto-llvm-ea22a7.o(.text.USBD_FS_Interface1StrDescriptor) refers to lto-llvm-ea22a7.o(.bss.USBD_StrDesc) for USBD_StrDesc - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface1StrDescriptor) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface1StrDescriptor) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface1StrDescriptor) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface1StrDescriptor) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface1StrDescriptor) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface1StrDescriptor) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface1StrDescriptor) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface1StrDescriptor) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface1StrDescriptor) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface1StrDescriptor) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface1StrDescriptor) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface1StrDescriptor) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface1StrDescriptor) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface1StrDescriptor) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface1StrDescriptor) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface1StrDescriptor) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface1StrDescriptor) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface1StrDescriptor) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface1StrDescriptor) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface1StrDescriptor) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface1StrDescriptor) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface1StrDescriptor) refers to lto-llvm-ea22a7.o(.text.USBD_FS_Interface1StrDescriptor) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.USBD_FS_Interface2StrDescriptor) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.USBD_FS_Interface2StrDescriptor) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.USBD_FS_Interface2StrDescriptor) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.USBD_FS_Interface2StrDescriptor) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.USBD_FS_Interface2StrDescriptor) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.USBD_FS_Interface2StrDescriptor) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.USBD_FS_Interface2StrDescriptor) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.USBD_FS_Interface2StrDescriptor) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.USBD_FS_Interface2StrDescriptor) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.USBD_FS_Interface2StrDescriptor) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.USBD_FS_Interface2StrDescriptor) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.USBD_FS_Interface2StrDescriptor) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.USBD_FS_Interface2StrDescriptor) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.USBD_FS_Interface2StrDescriptor) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.USBD_FS_Interface2StrDescriptor) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.USBD_FS_Interface2StrDescriptor) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.USBD_FS_Interface2StrDescriptor) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.USBD_FS_Interface2StrDescriptor) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.USBD_FS_Interface2StrDescriptor) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.USBD_FS_Interface2StrDescriptor) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.USBD_FS_Interface2StrDescriptor) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.USBD_FS_Interface2StrDescriptor) refers to lto-llvm-ea22a7.o(.text.USBD_GetString) for USBD_GetString - lto-llvm-ea22a7.o(.text.USBD_FS_Interface2StrDescriptor) refers to lto-llvm-ea22a7.o(.bss.USBD_StrDesc) for USBD_StrDesc - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface2StrDescriptor) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface2StrDescriptor) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface2StrDescriptor) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface2StrDescriptor) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface2StrDescriptor) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface2StrDescriptor) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface2StrDescriptor) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface2StrDescriptor) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface2StrDescriptor) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface2StrDescriptor) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface2StrDescriptor) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface2StrDescriptor) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface2StrDescriptor) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface2StrDescriptor) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface2StrDescriptor) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface2StrDescriptor) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface2StrDescriptor) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface2StrDescriptor) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface2StrDescriptor) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface2StrDescriptor) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface2StrDescriptor) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface2StrDescriptor) refers to lto-llvm-ea22a7.o(.text.USBD_FS_Interface2StrDescriptor) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.USBD_FS_Interface3StrDescriptor) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.USBD_FS_Interface3StrDescriptor) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.USBD_FS_Interface3StrDescriptor) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.USBD_FS_Interface3StrDescriptor) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.USBD_FS_Interface3StrDescriptor) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.USBD_FS_Interface3StrDescriptor) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.USBD_FS_Interface3StrDescriptor) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.USBD_FS_Interface3StrDescriptor) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.USBD_FS_Interface3StrDescriptor) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.USBD_FS_Interface3StrDescriptor) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.USBD_FS_Interface3StrDescriptor) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.USBD_FS_Interface3StrDescriptor) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.USBD_FS_Interface3StrDescriptor) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.USBD_FS_Interface3StrDescriptor) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.USBD_FS_Interface3StrDescriptor) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.USBD_FS_Interface3StrDescriptor) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.USBD_FS_Interface3StrDescriptor) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.USBD_FS_Interface3StrDescriptor) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.USBD_FS_Interface3StrDescriptor) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.USBD_FS_Interface3StrDescriptor) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.USBD_FS_Interface3StrDescriptor) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.USBD_FS_Interface3StrDescriptor) refers to lto-llvm-ea22a7.o(.text.USBD_GetString) for USBD_GetString - lto-llvm-ea22a7.o(.text.USBD_FS_Interface3StrDescriptor) refers to lto-llvm-ea22a7.o(.bss.USBD_StrDesc) for USBD_StrDesc - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface3StrDescriptor) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface3StrDescriptor) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface3StrDescriptor) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface3StrDescriptor) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface3StrDescriptor) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface3StrDescriptor) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface3StrDescriptor) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface3StrDescriptor) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface3StrDescriptor) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface3StrDescriptor) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface3StrDescriptor) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface3StrDescriptor) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface3StrDescriptor) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface3StrDescriptor) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface3StrDescriptor) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface3StrDescriptor) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface3StrDescriptor) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface3StrDescriptor) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface3StrDescriptor) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface3StrDescriptor) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface3StrDescriptor) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface3StrDescriptor) refers to lto-llvm-ea22a7.o(.text.USBD_FS_Interface3StrDescriptor) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.arm_add_f32) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.arm_add_f32) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.arm_add_f32) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.arm_add_f32) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.arm_add_f32) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.arm_add_f32) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.arm_add_f32) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.arm_add_f32) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.arm_add_f32) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.arm_add_f32) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.arm_add_f32) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.arm_add_f32) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.arm_add_f32) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.arm_add_f32) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.arm_add_f32) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.arm_add_f32) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.arm_add_f32) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.arm_add_f32) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.arm_add_f32) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.arm_add_f32) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.arm_add_f32) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.arm_add_f32) refers to lto-llvm-ea22a7.o(.IRAM1) for FPGA_Audio_Buffer_RX_I_tmp - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_add_f32) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_add_f32) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_add_f32) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_add_f32) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_add_f32) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_add_f32) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_add_f32) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_add_f32) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_add_f32) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_add_f32) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_add_f32) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_add_f32) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_add_f32) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_add_f32) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_add_f32) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_add_f32) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_add_f32) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_add_f32) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_add_f32) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_add_f32) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_add_f32) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_add_f32) refers to lto-llvm-ea22a7.o(.text.arm_add_f32) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.arm_mult_f32) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.arm_mult_f32) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.arm_mult_f32) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.arm_mult_f32) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.arm_mult_f32) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.arm_mult_f32) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.arm_mult_f32) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.arm_mult_f32) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.arm_mult_f32) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.arm_mult_f32) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.arm_mult_f32) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.arm_mult_f32) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.arm_mult_f32) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.arm_mult_f32) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.arm_mult_f32) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.arm_mult_f32) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.arm_mult_f32) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.arm_mult_f32) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.arm_mult_f32) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.arm_mult_f32) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.arm_mult_f32) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_mult_f32) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_mult_f32) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_mult_f32) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_mult_f32) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_mult_f32) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_mult_f32) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_mult_f32) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_mult_f32) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_mult_f32) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_mult_f32) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_mult_f32) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_mult_f32) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_mult_f32) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_mult_f32) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_mult_f32) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_mult_f32) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_mult_f32) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_mult_f32) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_mult_f32) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_mult_f32) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_mult_f32) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_mult_f32) refers to lto-llvm-ea22a7.o(.text.arm_mult_f32) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.arm_sub_f32) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.arm_sub_f32) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.arm_sub_f32) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.arm_sub_f32) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.arm_sub_f32) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.arm_sub_f32) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.arm_sub_f32) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.arm_sub_f32) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.arm_sub_f32) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.arm_sub_f32) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.arm_sub_f32) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.arm_sub_f32) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.arm_sub_f32) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.arm_sub_f32) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.arm_sub_f32) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.arm_sub_f32) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.arm_sub_f32) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.arm_sub_f32) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.arm_sub_f32) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.arm_sub_f32) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.arm_sub_f32) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.arm_sub_f32) refers to lto-llvm-ea22a7.o(.IRAM1) for FPGA_Audio_Buffer_RX_I_tmp - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_sub_f32) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_sub_f32) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_sub_f32) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_sub_f32) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_sub_f32) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_sub_f32) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_sub_f32) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_sub_f32) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_sub_f32) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_sub_f32) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_sub_f32) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_sub_f32) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_sub_f32) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_sub_f32) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_sub_f32) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_sub_f32) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_sub_f32) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_sub_f32) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_sub_f32) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_sub_f32) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_sub_f32) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_sub_f32) refers to lto-llvm-ea22a7.o(.text.arm_sub_f32) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.arm_biquad_cascade_df2T_f32) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.arm_biquad_cascade_df2T_f32) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.arm_biquad_cascade_df2T_f32) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.arm_biquad_cascade_df2T_f32) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.arm_biquad_cascade_df2T_f32) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.arm_biquad_cascade_df2T_f32) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.arm_biquad_cascade_df2T_f32) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.arm_biquad_cascade_df2T_f32) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.arm_biquad_cascade_df2T_f32) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.arm_biquad_cascade_df2T_f32) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.arm_biquad_cascade_df2T_f32) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.arm_biquad_cascade_df2T_f32) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.arm_biquad_cascade_df2T_f32) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.arm_biquad_cascade_df2T_f32) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.arm_biquad_cascade_df2T_f32) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.arm_biquad_cascade_df2T_f32) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.arm_biquad_cascade_df2T_f32) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.arm_biquad_cascade_df2T_f32) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.arm_biquad_cascade_df2T_f32) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.arm_biquad_cascade_df2T_f32) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.arm_biquad_cascade_df2T_f32) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_biquad_cascade_df2T_f32) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_biquad_cascade_df2T_f32) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_biquad_cascade_df2T_f32) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_biquad_cascade_df2T_f32) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_biquad_cascade_df2T_f32) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_biquad_cascade_df2T_f32) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_biquad_cascade_df2T_f32) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_biquad_cascade_df2T_f32) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_biquad_cascade_df2T_f32) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_biquad_cascade_df2T_f32) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_biquad_cascade_df2T_f32) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_biquad_cascade_df2T_f32) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_biquad_cascade_df2T_f32) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_biquad_cascade_df2T_f32) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_biquad_cascade_df2T_f32) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_biquad_cascade_df2T_f32) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_biquad_cascade_df2T_f32) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_biquad_cascade_df2T_f32) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_biquad_cascade_df2T_f32) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_biquad_cascade_df2T_f32) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_biquad_cascade_df2T_f32) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_biquad_cascade_df2T_f32) refers to lto-llvm-ea22a7.o(.text.arm_biquad_cascade_df2T_f32) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.arm_fir_decimate_f32) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.arm_fir_decimate_f32) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.arm_fir_decimate_f32) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.arm_fir_decimate_f32) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.arm_fir_decimate_f32) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.arm_fir_decimate_f32) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.arm_fir_decimate_f32) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.arm_fir_decimate_f32) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.arm_fir_decimate_f32) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.arm_fir_decimate_f32) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.arm_fir_decimate_f32) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.arm_fir_decimate_f32) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.arm_fir_decimate_f32) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.arm_fir_decimate_f32) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.arm_fir_decimate_f32) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.arm_fir_decimate_f32) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.arm_fir_decimate_f32) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.arm_fir_decimate_f32) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.arm_fir_decimate_f32) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.arm_fir_decimate_f32) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.arm_fir_decimate_f32) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_decimate_f32) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_decimate_f32) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_decimate_f32) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_decimate_f32) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_decimate_f32) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_decimate_f32) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_decimate_f32) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_decimate_f32) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_decimate_f32) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_decimate_f32) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_decimate_f32) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_decimate_f32) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_decimate_f32) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_decimate_f32) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_decimate_f32) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_decimate_f32) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_decimate_f32) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_decimate_f32) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_decimate_f32) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_decimate_f32) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_decimate_f32) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_decimate_f32) refers to lto-llvm-ea22a7.o(.text.arm_fir_decimate_f32) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.arm_fir_f32) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.arm_fir_f32) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.arm_fir_f32) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.arm_fir_f32) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.arm_fir_f32) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.arm_fir_f32) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.arm_fir_f32) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.arm_fir_f32) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.arm_fir_f32) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.arm_fir_f32) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.arm_fir_f32) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.arm_fir_f32) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.arm_fir_f32) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.arm_fir_f32) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.arm_fir_f32) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.arm_fir_f32) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.arm_fir_f32) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.arm_fir_f32) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.arm_fir_f32) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.arm_fir_f32) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.arm_fir_f32) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_f32) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_f32) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_f32) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_f32) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_f32) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_f32) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_f32) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_f32) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_f32) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_f32) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_f32) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_f32) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_f32) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_f32) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_f32) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_f32) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_f32) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_f32) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_f32) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_f32) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_f32) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_f32) refers to lto-llvm-ea22a7.o(.text.arm_fir_f32) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.arm_max_f32) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.arm_max_f32) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.arm_max_f32) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.arm_max_f32) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.arm_max_f32) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.arm_max_f32) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.arm_max_f32) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.arm_max_f32) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.arm_max_f32) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.arm_max_f32) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.arm_max_f32) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.arm_max_f32) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.arm_max_f32) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.arm_max_f32) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.arm_max_f32) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.arm_max_f32) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.arm_max_f32) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.arm_max_f32) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.arm_max_f32) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.arm_max_f32) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.arm_max_f32) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_max_f32) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_max_f32) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_max_f32) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_max_f32) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_max_f32) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_max_f32) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_max_f32) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_max_f32) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_max_f32) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_max_f32) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_max_f32) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_max_f32) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_max_f32) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_max_f32) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_max_f32) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_max_f32) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_max_f32) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_max_f32) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_max_f32) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_max_f32) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_max_f32) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_max_f32) refers to lto-llvm-ea22a7.o(.text.arm_max_f32) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.arm_min_f32) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.arm_min_f32) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.arm_min_f32) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.arm_min_f32) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.arm_min_f32) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.arm_min_f32) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.arm_min_f32) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.arm_min_f32) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.arm_min_f32) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.arm_min_f32) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.arm_min_f32) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.arm_min_f32) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.arm_min_f32) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.arm_min_f32) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.arm_min_f32) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.arm_min_f32) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.arm_min_f32) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.arm_min_f32) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.arm_min_f32) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.arm_min_f32) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.arm_min_f32) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_min_f32) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_min_f32) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_min_f32) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_min_f32) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_min_f32) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_min_f32) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_min_f32) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_min_f32) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_min_f32) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_min_f32) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_min_f32) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_min_f32) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_min_f32) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_min_f32) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_min_f32) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_min_f32) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_min_f32) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_min_f32) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_min_f32) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_min_f32) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_min_f32) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_min_f32) refers to lto-llvm-ea22a7.o(.text.arm_min_f32) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.arm_rms_f32) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.arm_rms_f32) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.arm_rms_f32) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.arm_rms_f32) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.arm_rms_f32) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.arm_rms_f32) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.arm_rms_f32) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.arm_rms_f32) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.arm_rms_f32) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.arm_rms_f32) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.arm_rms_f32) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.arm_rms_f32) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.arm_rms_f32) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.arm_rms_f32) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.arm_rms_f32) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.arm_rms_f32) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.arm_rms_f32) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.arm_rms_f32) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.arm_rms_f32) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.arm_rms_f32) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.arm_rms_f32) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.arm_rms_f32) refers to lto-llvm-ea22a7.o(.IRAM1) for FPGA_Audio_Buffer_RX_I_tmp - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_rms_f32) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_rms_f32) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_rms_f32) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_rms_f32) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_rms_f32) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_rms_f32) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_rms_f32) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_rms_f32) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_rms_f32) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_rms_f32) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_rms_f32) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_rms_f32) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_rms_f32) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_rms_f32) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_rms_f32) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_rms_f32) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_rms_f32) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_rms_f32) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_rms_f32) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_rms_f32) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_rms_f32) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_rms_f32) refers to lto-llvm-ea22a7.o(.text.arm_rms_f32) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.text.arm_quick_sort_core_f32) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.text.arm_quick_sort_core_f32) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.text.arm_quick_sort_core_f32) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.text.arm_quick_sort_core_f32) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.text.arm_quick_sort_core_f32) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.text.arm_quick_sort_core_f32) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.text.arm_quick_sort_core_f32) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.text.arm_quick_sort_core_f32) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.text.arm_quick_sort_core_f32) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.text.arm_quick_sort_core_f32) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.text.arm_quick_sort_core_f32) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.text.arm_quick_sort_core_f32) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.text.arm_quick_sort_core_f32) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.text.arm_quick_sort_core_f32) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.text.arm_quick_sort_core_f32) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.text.arm_quick_sort_core_f32) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.text.arm_quick_sort_core_f32) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.text.arm_quick_sort_core_f32) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.text.arm_quick_sort_core_f32) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.text.arm_quick_sort_core_f32) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.text.arm_quick_sort_core_f32) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.text.arm_quick_sort_core_f32) refers to lto-llvm-ea22a7.o(.bss.FFTInput_tmp) for FFTInput_tmp - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_quick_sort_core_f32) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_quick_sort_core_f32) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_quick_sort_core_f32) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_quick_sort_core_f32) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_quick_sort_core_f32) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_quick_sort_core_f32) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_quick_sort_core_f32) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_quick_sort_core_f32) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_quick_sort_core_f32) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_quick_sort_core_f32) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_quick_sort_core_f32) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_quick_sort_core_f32) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_quick_sort_core_f32) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_quick_sort_core_f32) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_quick_sort_core_f32) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_quick_sort_core_f32) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_quick_sort_core_f32) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_quick_sort_core_f32) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_quick_sort_core_f32) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_quick_sort_core_f32) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_quick_sort_core_f32) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.exidx.text.arm_quick_sort_core_f32) refers to lto-llvm-ea22a7.o(.text.arm_quick_sort_core_f32) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db_old) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db_old) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db_old) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db_old) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db_old) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db_old) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db_old) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db_old) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db_old) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db_old) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db_old) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db_old) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db_old) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db_old) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db_old) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db_old) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db_old) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db_old) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db_old) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db_old) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db_old) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.DoRxAGC.ring_position) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.DoRxAGC.ring_position) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.DoRxAGC.ring_position) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.DoRxAGC.ring_position) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.DoRxAGC.ring_position) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.DoRxAGC.ring_position) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.DoRxAGC.ring_position) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.DoRxAGC.ring_position) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.DoRxAGC.ring_position) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.DoRxAGC.ring_position) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.DoRxAGC.ring_position) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.DoRxAGC.ring_position) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.DoRxAGC.ring_position) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.DoRxAGC.ring_position) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.DoRxAGC.ring_position) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.DoRxAGC.ring_position) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.DoRxAGC.ring_position) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.DoRxAGC.ring_position) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.DoRxAGC.ring_position) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.DoRxAGC.ring_position) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.DoRxAGC.ring_position) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.IRAM2) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.IRAM2) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.IRAM2) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.IRAM2) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.IRAM2) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.IRAM2) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.IRAM2) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.IRAM2) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.IRAM2) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.IRAM2) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.IRAM2) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.IRAM2) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.IRAM2) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.IRAM2) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.IRAM2) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.IRAM2) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.IRAM2) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.IRAM2) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.IRAM2) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.IRAM2) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.IRAM2) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.EQ_RX_LOW_FILTER) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.EQ_RX_LOW_FILTER) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.EQ_RX_LOW_FILTER) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.EQ_RX_LOW_FILTER) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.EQ_RX_LOW_FILTER) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.EQ_RX_LOW_FILTER) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.EQ_RX_LOW_FILTER) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.EQ_RX_LOW_FILTER) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.EQ_RX_LOW_FILTER) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.EQ_RX_LOW_FILTER) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.EQ_RX_LOW_FILTER) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.EQ_RX_LOW_FILTER) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.EQ_RX_LOW_FILTER) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.EQ_RX_LOW_FILTER) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.EQ_RX_LOW_FILTER) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.EQ_RX_LOW_FILTER) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.EQ_RX_LOW_FILTER) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.EQ_RX_LOW_FILTER) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.EQ_RX_LOW_FILTER) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.EQ_RX_LOW_FILTER) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.EQ_RX_LOW_FILTER) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.EQ_RX_LOW_FILTER) refers to lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_State) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.data.EQ_RX_LOW_FILTER) refers to lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_Coeffs) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_State) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_State) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_State) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_State) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_State) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_State) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_State) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_State) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_State) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_State) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_State) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_State) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_State) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_State) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_State) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_State) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_State) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_State) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_State) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_State) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_State) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_Coeffs) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_Coeffs) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_Coeffs) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_Coeffs) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_Coeffs) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_Coeffs) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_Coeffs) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_Coeffs) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_Coeffs) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_Coeffs) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_Coeffs) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_Coeffs) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_Coeffs) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_Coeffs) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_Coeffs) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_Coeffs) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_Coeffs) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_Coeffs) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_Coeffs) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_Coeffs) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_Coeffs) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.EQ_RX_MID_FILTER) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.EQ_RX_MID_FILTER) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.EQ_RX_MID_FILTER) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.EQ_RX_MID_FILTER) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.EQ_RX_MID_FILTER) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.EQ_RX_MID_FILTER) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.EQ_RX_MID_FILTER) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.EQ_RX_MID_FILTER) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.EQ_RX_MID_FILTER) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.EQ_RX_MID_FILTER) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.EQ_RX_MID_FILTER) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.EQ_RX_MID_FILTER) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.EQ_RX_MID_FILTER) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.EQ_RX_MID_FILTER) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.EQ_RX_MID_FILTER) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.EQ_RX_MID_FILTER) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.EQ_RX_MID_FILTER) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.EQ_RX_MID_FILTER) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.EQ_RX_MID_FILTER) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.EQ_RX_MID_FILTER) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.EQ_RX_MID_FILTER) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.EQ_RX_MID_FILTER) refers to lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_State) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.data.EQ_RX_MID_FILTER) refers to lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_Coeffs) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_State) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_State) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_State) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_State) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_State) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_State) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_State) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_State) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_State) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_State) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_State) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_State) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_State) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_State) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_State) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_State) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_State) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_State) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_State) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_State) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_State) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_Coeffs) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_Coeffs) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_Coeffs) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_Coeffs) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_Coeffs) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_Coeffs) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_Coeffs) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_Coeffs) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_Coeffs) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_Coeffs) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_Coeffs) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_Coeffs) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_Coeffs) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_Coeffs) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_Coeffs) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_Coeffs) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_Coeffs) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_Coeffs) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_Coeffs) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_Coeffs) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_Coeffs) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.EQ_RX_HIG_FILTER) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.EQ_RX_HIG_FILTER) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.EQ_RX_HIG_FILTER) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.EQ_RX_HIG_FILTER) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.EQ_RX_HIG_FILTER) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.EQ_RX_HIG_FILTER) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.EQ_RX_HIG_FILTER) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.EQ_RX_HIG_FILTER) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.EQ_RX_HIG_FILTER) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.EQ_RX_HIG_FILTER) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.EQ_RX_HIG_FILTER) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.EQ_RX_HIG_FILTER) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.EQ_RX_HIG_FILTER) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.EQ_RX_HIG_FILTER) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.EQ_RX_HIG_FILTER) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.EQ_RX_HIG_FILTER) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.EQ_RX_HIG_FILTER) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.EQ_RX_HIG_FILTER) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.EQ_RX_HIG_FILTER) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.EQ_RX_HIG_FILTER) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.EQ_RX_HIG_FILTER) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.EQ_RX_HIG_FILTER) refers to lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_State) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.data.EQ_RX_HIG_FILTER) refers to lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_Coeffs) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_State) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_State) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_State) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_State) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_State) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_State) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_State) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_State) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_State) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_State) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_State) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_State) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_State) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_State) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_State) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_State) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_State) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_State) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_State) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_State) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_State) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_Coeffs) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_Coeffs) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_Coeffs) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_Coeffs) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_Coeffs) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_Coeffs) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_Coeffs) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_Coeffs) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_Coeffs) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_Coeffs) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_Coeffs) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_Coeffs) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_Coeffs) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_Coeffs) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_Coeffs) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_Coeffs) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_Coeffs) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_Coeffs) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_Coeffs) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_Coeffs) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_Coeffs) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.EQ_MIC_LOW_FILTER) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.EQ_MIC_LOW_FILTER) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.EQ_MIC_LOW_FILTER) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.EQ_MIC_LOW_FILTER) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.EQ_MIC_LOW_FILTER) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.EQ_MIC_LOW_FILTER) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.EQ_MIC_LOW_FILTER) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.EQ_MIC_LOW_FILTER) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.EQ_MIC_LOW_FILTER) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.EQ_MIC_LOW_FILTER) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.EQ_MIC_LOW_FILTER) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.EQ_MIC_LOW_FILTER) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.EQ_MIC_LOW_FILTER) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.EQ_MIC_LOW_FILTER) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.EQ_MIC_LOW_FILTER) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.EQ_MIC_LOW_FILTER) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.EQ_MIC_LOW_FILTER) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.EQ_MIC_LOW_FILTER) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.EQ_MIC_LOW_FILTER) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.EQ_MIC_LOW_FILTER) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.EQ_MIC_LOW_FILTER) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.EQ_MIC_LOW_FILTER) refers to lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_State) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.data.EQ_MIC_LOW_FILTER) refers to lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_Coeffs) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_State) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_State) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_State) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_State) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_State) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_State) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_State) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_State) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_State) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_State) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_State) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_State) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_State) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_State) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_State) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_State) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_State) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_State) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_State) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_State) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_State) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_Coeffs) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_Coeffs) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_Coeffs) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_Coeffs) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_Coeffs) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_Coeffs) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_Coeffs) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_Coeffs) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_Coeffs) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_Coeffs) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_Coeffs) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_Coeffs) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_Coeffs) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_Coeffs) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_Coeffs) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_Coeffs) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_Coeffs) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_Coeffs) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_Coeffs) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_Coeffs) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_Coeffs) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.EQ_MIC_MID_FILTER) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.EQ_MIC_MID_FILTER) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.EQ_MIC_MID_FILTER) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.EQ_MIC_MID_FILTER) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.EQ_MIC_MID_FILTER) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.EQ_MIC_MID_FILTER) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.EQ_MIC_MID_FILTER) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.EQ_MIC_MID_FILTER) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.EQ_MIC_MID_FILTER) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.EQ_MIC_MID_FILTER) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.EQ_MIC_MID_FILTER) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.EQ_MIC_MID_FILTER) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.EQ_MIC_MID_FILTER) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.EQ_MIC_MID_FILTER) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.EQ_MIC_MID_FILTER) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.EQ_MIC_MID_FILTER) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.EQ_MIC_MID_FILTER) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.EQ_MIC_MID_FILTER) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.EQ_MIC_MID_FILTER) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.EQ_MIC_MID_FILTER) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.EQ_MIC_MID_FILTER) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.EQ_MIC_MID_FILTER) refers to lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_State) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.data.EQ_MIC_MID_FILTER) refers to lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_Coeffs) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_State) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_State) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_State) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_State) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_State) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_State) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_State) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_State) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_State) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_State) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_State) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_State) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_State) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_State) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_State) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_State) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_State) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_State) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_State) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_State) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_State) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_Coeffs) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_Coeffs) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_Coeffs) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_Coeffs) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_Coeffs) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_Coeffs) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_Coeffs) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_Coeffs) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_Coeffs) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_Coeffs) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_Coeffs) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_Coeffs) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_Coeffs) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_Coeffs) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_Coeffs) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_Coeffs) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_Coeffs) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_Coeffs) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_Coeffs) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_Coeffs) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_Coeffs) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.EQ_MIC_HIG_FILTER) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.EQ_MIC_HIG_FILTER) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.EQ_MIC_HIG_FILTER) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.EQ_MIC_HIG_FILTER) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.EQ_MIC_HIG_FILTER) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.EQ_MIC_HIG_FILTER) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.EQ_MIC_HIG_FILTER) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.EQ_MIC_HIG_FILTER) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.EQ_MIC_HIG_FILTER) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.EQ_MIC_HIG_FILTER) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.EQ_MIC_HIG_FILTER) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.EQ_MIC_HIG_FILTER) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.EQ_MIC_HIG_FILTER) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.EQ_MIC_HIG_FILTER) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.EQ_MIC_HIG_FILTER) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.EQ_MIC_HIG_FILTER) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.EQ_MIC_HIG_FILTER) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.EQ_MIC_HIG_FILTER) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.EQ_MIC_HIG_FILTER) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.EQ_MIC_HIG_FILTER) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.EQ_MIC_HIG_FILTER) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.EQ_MIC_HIG_FILTER) refers to lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_State) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.data.EQ_MIC_HIG_FILTER) refers to lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_Coeffs) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_State) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_State) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_State) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_State) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_State) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_State) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_State) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_State) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_State) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_State) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_State) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_State) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_State) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_State) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_State) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_State) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_State) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_State) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_State) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_State) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_State) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_Coeffs) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_Coeffs) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_Coeffs) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_Coeffs) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_Coeffs) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_Coeffs) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_Coeffs) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_Coeffs) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_Coeffs) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_Coeffs) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_Coeffs) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_Coeffs) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_Coeffs) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_Coeffs) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_Coeffs) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_Coeffs) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_Coeffs) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_Coeffs) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_Coeffs) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_Coeffs) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_Coeffs) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFilters) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFilters) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFilters) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFilters) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFilters) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFilters) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFilters) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFilters) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFilters) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFilters) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFilters) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFilters) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFilters) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFilters) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFilters) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFilters) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFilters) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFilters) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFilters) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFilters) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFilters) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFiltersClean) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFiltersClean) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFiltersClean) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFiltersClean) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFiltersClean) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFiltersClean) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFiltersClean) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFiltersClean) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFiltersClean) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFiltersClean) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFiltersClean) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFiltersClean) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFiltersClean) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFiltersClean) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFiltersClean) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFiltersClean) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFiltersClean) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFiltersClean) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFiltersClean) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFiltersClean) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.NeedReinitAudioFiltersClean) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_I) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_I) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_I) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_I) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_I) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_I) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_I) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_I) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_I) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_I) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_I) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_I) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_I) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_I) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_I) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_I) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_I) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_I) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_I) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_I) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_I) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_Q) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_Q) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_Q) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_Q) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_Q) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_Q) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_Q) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_Q) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_Q) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_Q) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_Q) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_Q) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_Q) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_Q) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_Q) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_Q) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_Q) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_Q) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_Q) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_Q) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_Q) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_I) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_I) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_I) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_I) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_I) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_I) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_I) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_I) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_I) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_I) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_I) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_I) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_I) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_I) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_I) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_I) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_I) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_I) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_I) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_I) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_I) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_Q) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_Q) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_Q) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_Q) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_Q) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_Q) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_Q) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_Q) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_Q) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_Q) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_Q) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_Q) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_Q) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_Q) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_Q) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_Q) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_Q) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_Q) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_Q) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_Q) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_Q) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.IIR_RX_Squelch_HPF) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.IIR_RX_Squelch_HPF) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.IIR_RX_Squelch_HPF) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.IIR_RX_Squelch_HPF) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.IIR_RX_Squelch_HPF) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.IIR_RX_Squelch_HPF) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.IIR_RX_Squelch_HPF) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.IIR_RX_Squelch_HPF) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.IIR_RX_Squelch_HPF) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.IIR_RX_Squelch_HPF) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.IIR_RX_Squelch_HPF) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.IIR_RX_Squelch_HPF) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.IIR_RX_Squelch_HPF) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.IIR_RX_Squelch_HPF) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.IIR_RX_Squelch_HPF) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.IIR_RX_Squelch_HPF) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.IIR_RX_Squelch_HPF) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.IIR_RX_Squelch_HPF) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.IIR_RX_Squelch_HPF) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.IIR_RX_Squelch_HPF) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.IIR_RX_Squelch_HPF) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.FIR_HILB_I_coeffs) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.FIR_HILB_I_coeffs) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.FIR_HILB_I_coeffs) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.FIR_HILB_I_coeffs) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.FIR_HILB_I_coeffs) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.FIR_HILB_I_coeffs) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.FIR_HILB_I_coeffs) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.FIR_HILB_I_coeffs) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.FIR_HILB_I_coeffs) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.FIR_HILB_I_coeffs) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.FIR_HILB_I_coeffs) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.FIR_HILB_I_coeffs) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.FIR_HILB_I_coeffs) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.FIR_HILB_I_coeffs) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.FIR_HILB_I_coeffs) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.FIR_HILB_I_coeffs) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.FIR_HILB_I_coeffs) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.FIR_HILB_I_coeffs) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.FIR_HILB_I_coeffs) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.FIR_HILB_I_coeffs) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.FIR_HILB_I_coeffs) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_I) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_I) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_I) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_I) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_I) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_I) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_I) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_I) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_I) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_I) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_I) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_I) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_I) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_I) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_I) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_I) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_I) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_I) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_I) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_I) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_I) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.FIR_HILB_Q_coeffs) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.FIR_HILB_Q_coeffs) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.FIR_HILB_Q_coeffs) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.FIR_HILB_Q_coeffs) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.FIR_HILB_Q_coeffs) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.FIR_HILB_Q_coeffs) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.FIR_HILB_Q_coeffs) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.FIR_HILB_Q_coeffs) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.FIR_HILB_Q_coeffs) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.FIR_HILB_Q_coeffs) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.FIR_HILB_Q_coeffs) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.FIR_HILB_Q_coeffs) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.FIR_HILB_Q_coeffs) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.FIR_HILB_Q_coeffs) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.FIR_HILB_Q_coeffs) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.FIR_HILB_Q_coeffs) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.FIR_HILB_Q_coeffs) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.FIR_HILB_Q_coeffs) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.FIR_HILB_Q_coeffs) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.FIR_HILB_Q_coeffs) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.FIR_HILB_Q_coeffs) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_Q) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_Q) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_Q) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_Q) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_Q) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_Q) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_Q) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_Q) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_Q) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_Q) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_Q) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_Q) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_Q) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_Q) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_Q) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_Q) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_Q) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_Q) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_Q) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_Q) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_Q) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_I) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_I) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_I) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_I) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_I) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_I) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_I) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_I) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_I) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_I) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_I) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_I) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_I) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_I) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_I) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_I) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_I) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_I) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_I) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_I) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_I) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_Q) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_Q) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_Q) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_Q) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_Q) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_Q) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_Q) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_Q) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_Q) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_Q) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_Q) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_Q) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_Q) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_Q) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_Q) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_Q) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_Q) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_Q) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_Q) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_Q) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_Q) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.1) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.2) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.3) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.4) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.5) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.6) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.7) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.8) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.9) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.10) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.11) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.12) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.13) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.14) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.15) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.16) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.17) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.18) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.19) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.20) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.21) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.22) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.23) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.24) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.25) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.26) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.27) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.28) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.29) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.30) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.31) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.32) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.33) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.34) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.35) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.36) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.37) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.38) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.39) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.40) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.41) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.42) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.43) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.44) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.45) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.46) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.47) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.48) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.49) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.50) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.51) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.52) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.53) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.54) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.55) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.56) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.57) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.58) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.59) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.60) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.61) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.62) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.63) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.64) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.65) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.66) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.67) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) refers to lto-llvm-ea22a7.o(.data..compoundliteral.68) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.str1.1) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.str1.1) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.str1.1) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.str1.1) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.str1.1) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.str1.1) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.str1.1) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.str1.1) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.str1.1) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.str1.1) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.str1.1) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.str1.1) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.str1.1) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.str1.1) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.str1.1) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.str1.1) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.str1.1) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.str1.1) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.str1.1) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.str1.1) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.str1.1) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I_State) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I_State) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I_State) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I_State) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I_State) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I_State) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I_State) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I_State) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I_State) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I_State) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I_State) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I_State) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I_State) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I_State) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I_State) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I_State) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I_State) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I_State) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I_State) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I_State) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I_State) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q_State) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q_State) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q_State) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q_State) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q_State) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q_State) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q_State) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q_State) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q_State) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q_State) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q_State) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q_State) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q_State) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q_State) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q_State) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q_State) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q_State) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q_State) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q_State) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q_State) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q_State) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I_State) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I_State) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I_State) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I_State) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I_State) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I_State) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I_State) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I_State) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I_State) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I_State) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I_State) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I_State) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I_State) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I_State) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I_State) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I_State) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I_State) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I_State) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I_State) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I_State) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I_State) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS_State) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS_State) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS_State) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS_State) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS_State) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS_State) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS_State) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS_State) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS_State) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS_State) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS_State) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS_State) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS_State) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS_State) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS_State) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS_State) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS_State) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS_State) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS_State) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS_State) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS_State) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I_State) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I_State) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I_State) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I_State) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I_State) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I_State) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I_State) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I_State) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I_State) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I_State) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I_State) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I_State) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I_State) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I_State) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I_State) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I_State) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I_State) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I_State) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I_State) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I_State) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I_State) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I_State) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I_State) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I_State) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I_State) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I_State) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I_State) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I_State) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I_State) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I_State) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I_State) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I_State) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I_State) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I_State) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I_State) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I_State) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I_State) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I_State) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I_State) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I_State) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I_State) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I_State) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_SQL_State) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_SQL_State) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_SQL_State) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_SQL_State) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_SQL_State) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_SQL_State) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_SQL_State) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_SQL_State) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_SQL_State) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_SQL_State) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_SQL_State) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_SQL_State) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_SQL_State) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_SQL_State) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_SQL_State) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_SQL_State) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_SQL_State) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_SQL_State) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_SQL_State) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_SQL_State) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_SQL_State) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.1) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.1) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.1) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.1) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.1) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.1) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.1) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.1) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.1) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.1) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.1) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.1) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.1) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.1) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.1) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.1) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.1) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.1) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.1) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.1) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.1) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.2) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.2) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.2) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.2) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.2) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.2) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.2) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.2) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.2) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.2) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.2) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.2) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.2) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.2) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.2) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.2) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.2) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.2) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.2) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.2) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.2) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.3) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.3) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.3) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.3) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.3) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.3) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.3) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.3) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.3) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.3) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.3) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.3) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.3) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.3) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.3) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.3) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.3) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.3) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.3) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.3) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.3) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.4) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.4) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.4) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.4) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.4) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.4) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.4) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.4) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.4) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.4) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.4) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.4) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.4) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.4) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.4) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.4) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.4) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.4) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.4) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.4) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.4) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.5) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.5) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.5) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.5) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.5) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.5) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.5) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.5) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.5) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.5) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.5) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.5) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.5) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.5) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.5) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.5) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.5) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.5) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.5) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.5) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.5) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.6) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.6) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.6) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.6) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.6) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.6) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.6) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.6) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.6) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.6) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.6) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.6) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.6) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.6) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.6) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.6) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.6) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.6) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.6) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.6) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.6) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.7) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.7) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.7) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.7) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.7) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.7) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.7) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.7) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.7) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.7) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.7) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.7) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.7) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.7) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.7) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.7) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.7) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.7) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.7) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.7) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.7) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.8) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.8) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.8) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.8) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.8) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.8) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.8) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.8) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.8) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.8) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.8) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.8) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.8) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.8) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.8) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.8) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.8) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.8) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.8) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.8) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.8) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.9) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.9) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.9) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.9) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.9) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.9) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.9) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.9) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.9) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.9) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.9) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.9) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.9) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.9) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.9) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.9) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.9) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.9) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.9) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.9) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.9) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.10) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.10) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.10) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.10) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.10) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.10) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.10) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.10) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.10) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.10) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.10) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.10) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.10) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.10) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.10) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.10) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.10) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.10) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.10) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.10) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.10) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.11) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.11) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.11) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.11) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.11) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.11) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.11) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.11) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.11) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.11) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.11) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.11) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.11) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.11) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.11) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.11) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.11) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.11) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.11) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.11) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.11) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.12) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.12) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.12) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.12) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.12) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.12) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.12) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.12) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.12) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.12) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.12) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.12) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.12) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.12) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.12) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.12) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.12) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.12) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.12) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.12) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.12) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.13) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.13) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.13) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.13) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.13) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.13) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.13) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.13) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.13) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.13) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.13) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.13) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.13) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.13) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.13) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.13) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.13) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.13) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.13) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.13) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.13) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.14) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.14) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.14) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.14) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.14) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.14) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.14) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.14) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.14) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.14) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.14) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.14) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.14) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.14) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.14) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.14) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.14) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.14) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.14) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.14) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.14) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.15) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.15) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.15) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.15) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.15) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.15) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.15) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.15) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.15) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.15) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.15) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.15) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.15) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.15) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.15) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.15) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.15) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.15) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.15) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.15) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.15) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.16) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.16) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.16) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.16) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.16) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.16) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.16) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.16) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.16) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.16) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.16) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.16) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.16) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.16) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.16) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.16) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.16) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.16) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.16) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.16) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.16) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.17) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.17) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.17) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.17) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.17) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.17) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.17) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.17) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.17) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.17) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.17) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.17) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.17) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.17) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.17) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.17) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.17) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.17) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.17) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.17) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.17) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.18) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.18) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.18) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.18) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.18) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.18) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.18) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.18) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.18) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.18) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.18) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.18) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.18) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.18) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.18) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.18) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.18) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.18) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.18) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.18) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.18) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.19) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.19) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.19) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.19) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.19) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.19) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.19) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.19) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.19) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.19) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.19) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.19) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.19) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.19) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.19) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.19) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.19) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.19) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.19) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.19) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.19) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.20) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.20) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.20) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.20) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.20) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.20) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.20) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.20) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.20) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.20) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.20) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.20) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.20) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.20) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.20) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.20) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.20) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.20) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.20) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.20) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.20) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.21) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.21) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.21) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.21) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.21) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.21) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.21) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.21) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.21) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.21) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.21) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.21) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.21) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.21) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.21) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.21) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.21) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.21) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.21) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.21) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.21) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.22) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.22) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.22) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.22) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.22) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.22) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.22) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.22) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.22) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.22) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.22) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.22) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.22) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.22) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.22) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.22) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.22) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.22) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.22) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.22) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.22) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.23) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.23) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.23) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.23) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.23) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.23) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.23) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.23) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.23) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.23) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.23) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.23) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.23) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.23) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.23) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.23) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.23) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.23) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.23) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.23) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.23) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.24) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.24) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.24) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.24) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.24) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.24) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.24) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.24) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.24) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.24) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.24) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.24) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.24) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.24) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.24) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.24) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.24) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.24) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.24) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.24) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.24) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.25) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.25) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.25) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.25) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.25) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.25) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.25) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.25) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.25) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.25) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.25) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.25) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.25) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.25) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.25) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.25) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.25) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.25) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.25) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.25) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.25) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.26) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.26) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.26) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.26) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.26) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.26) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.26) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.26) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.26) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.26) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.26) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.26) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.26) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.26) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.26) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.26) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.26) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.26) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.26) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.26) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.26) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.27) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.27) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.27) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.27) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.27) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.27) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.27) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.27) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.27) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.27) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.27) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.27) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.27) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.27) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.27) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.27) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.27) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.27) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.27) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.27) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.27) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.28) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.28) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.28) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.28) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.28) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.28) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.28) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.28) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.28) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.28) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.28) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.28) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.28) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.28) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.28) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.28) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.28) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.28) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.28) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.28) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.28) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.29) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.29) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.29) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.29) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.29) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.29) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.29) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.29) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.29) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.29) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.29) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.29) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.29) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.29) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.29) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.29) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.29) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.29) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.29) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.29) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.29) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.30) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.30) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.30) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.30) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.30) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.30) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.30) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.30) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.30) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.30) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.30) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.30) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.30) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.30) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.30) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.30) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.30) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.30) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.30) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.30) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.30) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.31) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.31) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.31) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.31) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.31) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.31) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.31) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.31) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.31) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.31) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.31) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.31) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.31) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.31) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.31) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.31) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.31) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.31) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.31) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.31) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.31) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.32) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.32) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.32) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.32) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.32) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.32) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.32) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.32) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.32) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.32) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.32) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.32) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.32) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.32) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.32) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.32) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.32) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.32) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.32) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.32) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.32) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.33) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.33) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.33) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.33) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.33) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.33) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.33) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.33) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.33) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.33) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.33) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.33) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.33) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.33) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.33) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.33) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.33) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.33) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.33) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.33) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.33) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.34) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.34) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.34) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.34) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.34) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.34) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.34) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.34) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.34) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.34) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.34) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.34) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.34) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.34) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.34) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.34) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.34) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.34) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.34) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.34) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.34) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.35) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.35) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.35) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.35) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.35) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.35) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.35) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.35) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.35) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.35) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.35) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.35) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.35) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.35) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.35) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.35) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.35) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.35) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.35) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.35) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.35) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.36) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.36) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.36) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.36) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.36) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.36) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.36) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.36) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.36) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.36) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.36) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.36) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.36) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.36) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.36) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.36) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.36) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.36) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.36) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.36) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.36) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.37) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.37) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.37) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.37) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.37) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.37) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.37) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.37) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.37) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.37) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.37) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.37) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.37) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.37) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.37) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.37) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.37) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.37) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.37) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.37) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.37) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.38) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.38) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.38) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.38) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.38) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.38) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.38) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.38) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.38) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.38) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.38) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.38) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.38) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.38) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.38) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.38) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.38) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.38) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.38) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.38) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.38) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.39) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.39) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.39) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.39) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.39) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.39) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.39) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.39) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.39) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.39) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.39) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.39) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.39) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.39) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.39) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.39) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.39) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.39) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.39) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.39) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.39) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.40) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.40) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.40) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.40) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.40) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.40) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.40) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.40) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.40) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.40) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.40) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.40) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.40) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.40) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.40) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.40) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.40) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.40) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.40) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.40) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.40) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.41) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.41) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.41) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.41) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.41) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.41) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.41) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.41) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.41) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.41) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.41) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.41) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.41) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.41) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.41) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.41) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.41) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.41) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.41) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.41) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.41) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.42) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.42) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.42) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.42) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.42) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.42) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.42) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.42) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.42) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.42) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.42) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.42) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.42) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.42) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.42) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.42) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.42) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.42) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.42) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.42) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.42) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.43) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.43) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.43) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.43) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.43) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.43) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.43) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.43) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.43) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.43) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.43) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.43) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.43) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.43) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.43) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.43) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.43) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.43) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.43) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.43) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.43) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.44) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.44) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.44) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.44) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.44) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.44) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.44) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.44) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.44) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.44) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.44) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.44) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.44) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.44) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.44) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.44) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.44) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.44) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.44) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.44) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.44) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.45) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.45) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.45) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.45) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.45) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.45) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.45) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.45) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.45) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.45) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.45) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.45) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.45) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.45) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.45) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.45) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.45) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.45) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.45) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.45) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.45) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.46) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.46) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.46) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.46) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.46) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.46) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.46) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.46) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.46) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.46) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.46) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.46) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.46) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.46) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.46) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.46) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.46) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.46) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.46) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.46) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.46) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.47) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.47) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.47) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.47) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.47) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.47) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.47) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.47) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.47) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.47) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.47) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.47) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.47) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.47) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.47) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.47) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.47) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.47) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.47) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.47) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.47) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.48) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.48) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.48) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.48) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.48) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.48) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.48) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.48) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.48) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.48) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.48) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.48) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.48) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.48) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.48) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.48) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.48) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.48) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.48) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.48) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.48) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.49) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.49) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.49) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.49) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.49) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.49) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.49) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.49) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.49) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.49) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.49) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.49) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.49) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.49) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.49) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.49) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.49) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.49) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.49) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.49) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.49) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.50) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.50) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.50) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.50) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.50) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.50) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.50) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.50) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.50) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.50) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.50) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.50) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.50) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.50) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.50) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.50) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.50) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.50) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.50) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.50) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.50) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.51) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.51) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.51) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.51) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.51) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.51) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.51) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.51) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.51) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.51) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.51) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.51) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.51) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.51) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.51) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.51) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.51) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.51) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.51) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.51) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.51) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.52) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.52) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.52) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.52) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.52) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.52) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.52) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.52) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.52) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.52) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.52) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.52) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.52) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.52) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.52) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.52) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.52) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.52) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.52) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.52) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.52) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.53) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.53) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.53) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.53) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.53) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.53) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.53) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.53) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.53) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.53) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.53) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.53) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.53) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.53) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.53) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.53) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.53) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.53) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.53) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.53) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.53) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.54) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.54) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.54) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.54) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.54) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.54) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.54) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.54) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.54) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.54) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.54) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.54) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.54) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.54) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.54) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.54) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.54) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.54) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.54) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.54) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.54) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.55) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.55) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.55) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.55) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.55) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.55) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.55) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.55) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.55) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.55) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.55) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.55) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.55) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.55) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.55) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.55) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.55) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.55) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.55) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.55) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.55) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.56) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.56) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.56) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.56) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.56) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.56) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.56) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.56) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.56) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.56) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.56) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.56) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.56) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.56) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.56) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.56) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.56) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.56) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.56) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.56) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.56) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.57) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.57) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.57) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.57) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.57) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.57) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.57) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.57) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.57) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.57) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.57) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.57) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.57) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.57) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.57) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.57) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.57) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.57) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.57) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.57) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.57) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.58) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.58) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.58) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.58) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.58) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.58) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.58) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.58) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.58) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.58) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.58) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.58) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.58) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.58) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.58) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.58) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.58) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.58) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.58) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.58) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.58) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.59) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.59) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.59) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.59) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.59) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.59) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.59) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.59) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.59) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.59) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.59) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.59) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.59) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.59) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.59) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.59) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.59) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.59) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.59) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.59) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.59) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.60) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.60) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.60) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.60) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.60) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.60) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.60) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.60) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.60) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.60) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.60) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.60) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.60) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.60) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.60) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.60) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.60) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.60) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.60) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.60) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.60) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.61) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.61) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.61) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.61) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.61) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.61) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.61) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.61) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.61) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.61) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.61) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.61) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.61) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.61) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.61) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.61) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.61) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.61) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.61) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.61) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.61) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.62) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.62) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.62) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.62) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.62) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.62) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.62) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.62) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.62) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.62) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.62) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.62) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.62) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.62) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.62) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.62) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.62) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.62) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.62) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.62) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.62) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.63) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.63) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.63) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.63) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.63) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.63) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.63) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.63) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.63) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.63) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.63) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.63) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.63) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.63) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.63) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.63) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.63) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.63) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.63) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.63) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.63) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.64) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.64) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.64) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.64) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.64) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.64) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.64) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.64) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.64) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.64) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.64) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.64) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.64) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.64) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.64) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.64) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.64) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.64) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.64) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.64) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.64) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.65) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.65) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.65) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.65) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.65) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.65) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.65) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.65) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.65) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.65) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.65) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.65) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.65) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.65) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.65) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.65) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.65) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.65) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.65) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.65) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.65) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.66) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.66) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.66) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.66) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.66) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.66) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.66) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.66) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.66) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.66) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.66) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.66) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.66) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.66) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.66) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.66) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.66) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.66) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.66) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.66) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.66) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.67) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.67) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.67) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.67) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.67) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.67) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.67) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.67) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.67) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.67) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.67) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.67) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.67) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.67) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.67) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.67) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.67) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.67) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.67) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.67) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.67) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data..compoundliteral.68) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data..compoundliteral.68) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data..compoundliteral.68) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data..compoundliteral.68) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data..compoundliteral.68) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data..compoundliteral.68) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data..compoundliteral.68) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data..compoundliteral.68) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data..compoundliteral.68) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data..compoundliteral.68) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data..compoundliteral.68) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data..compoundliteral.68) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data..compoundliteral.68) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data..compoundliteral.68) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data..compoundliteral.68) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data..compoundliteral.68) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data..compoundliteral.68) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data..compoundliteral.68) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data..compoundliteral.68) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data..compoundliteral.68) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data..compoundliteral.68) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.AUDIOPROC_samples) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.AUDIOPROC_samples) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.AUDIOPROC_samples) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.AUDIOPROC_samples) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.AUDIOPROC_samples) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.AUDIOPROC_samples) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.AUDIOPROC_samples) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.AUDIOPROC_samples) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.AUDIOPROC_samples) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.AUDIOPROC_samples) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.AUDIOPROC_samples) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.AUDIOPROC_samples) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.AUDIOPROC_samples) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.AUDIOPROC_samples) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.AUDIOPROC_samples) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.AUDIOPROC_samples) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.AUDIOPROC_samples) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.AUDIOPROC_samples) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.AUDIOPROC_samples) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.AUDIOPROC_samples) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.AUDIOPROC_samples) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.IRAM1) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.IRAM1) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.IRAM1) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.IRAM1) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.IRAM1) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.IRAM1) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.IRAM1) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.IRAM1) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.IRAM1) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.IRAM1) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.IRAM1) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.IRAM1) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.IRAM1) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.IRAM1) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.IRAM1) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.IRAM1) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.IRAM1) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.IRAM1) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.IRAM1) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.IRAM1) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.IRAM1) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.Processor_AudioBuffer_ReadyBuffer) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.Processor_AudioBuffer_ReadyBuffer) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.Processor_AudioBuffer_ReadyBuffer) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.Processor_AudioBuffer_ReadyBuffer) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.Processor_AudioBuffer_ReadyBuffer) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.Processor_AudioBuffer_ReadyBuffer) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.Processor_AudioBuffer_ReadyBuffer) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.Processor_AudioBuffer_ReadyBuffer) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.Processor_AudioBuffer_ReadyBuffer) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.Processor_AudioBuffer_ReadyBuffer) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.Processor_AudioBuffer_ReadyBuffer) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.Processor_AudioBuffer_ReadyBuffer) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.Processor_AudioBuffer_ReadyBuffer) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.Processor_AudioBuffer_ReadyBuffer) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.Processor_AudioBuffer_ReadyBuffer) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.Processor_AudioBuffer_ReadyBuffer) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.Processor_AudioBuffer_ReadyBuffer) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.Processor_AudioBuffer_ReadyBuffer) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.Processor_AudioBuffer_ReadyBuffer) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.Processor_AudioBuffer_ReadyBuffer) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.Processor_AudioBuffer_ReadyBuffer) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.Processor_NeedRXBuffer) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.Processor_NeedRXBuffer) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.Processor_NeedRXBuffer) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.Processor_NeedRXBuffer) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.Processor_NeedRXBuffer) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.Processor_NeedRXBuffer) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.Processor_NeedRXBuffer) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.Processor_NeedRXBuffer) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.Processor_NeedRXBuffer) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.Processor_NeedRXBuffer) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.Processor_NeedRXBuffer) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.Processor_NeedRXBuffer) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.Processor_NeedRXBuffer) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.Processor_NeedRXBuffer) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.Processor_NeedRXBuffer) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.Processor_NeedRXBuffer) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.Processor_NeedRXBuffer) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.Processor_NeedRXBuffer) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.Processor_NeedRXBuffer) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.Processor_NeedRXBuffer) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.Processor_NeedRXBuffer) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.Processor_NeedTXBuffer) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.Processor_NeedTXBuffer) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.Processor_NeedTXBuffer) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.Processor_NeedTXBuffer) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.Processor_NeedTXBuffer) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.Processor_NeedTXBuffer) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.Processor_NeedTXBuffer) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.Processor_NeedTXBuffer) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.Processor_NeedTXBuffer) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.Processor_NeedTXBuffer) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.Processor_NeedTXBuffer) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.Processor_NeedTXBuffer) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.Processor_NeedTXBuffer) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.Processor_NeedTXBuffer) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.Processor_NeedTXBuffer) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.Processor_NeedTXBuffer) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.Processor_NeedTXBuffer) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.Processor_NeedTXBuffer) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.Processor_NeedTXBuffer) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.Processor_NeedTXBuffer) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.Processor_NeedTXBuffer) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.Processor_selected_RFpower_amplitude) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.Processor_selected_RFpower_amplitude) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.Processor_selected_RFpower_amplitude) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.Processor_selected_RFpower_amplitude) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.Processor_selected_RFpower_amplitude) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.Processor_selected_RFpower_amplitude) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.Processor_selected_RFpower_amplitude) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.Processor_selected_RFpower_amplitude) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.Processor_selected_RFpower_amplitude) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.Processor_selected_RFpower_amplitude) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.Processor_selected_RFpower_amplitude) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.Processor_selected_RFpower_amplitude) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.Processor_selected_RFpower_amplitude) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.Processor_selected_RFpower_amplitude) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.Processor_selected_RFpower_amplitude) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.Processor_selected_RFpower_amplitude) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.Processor_selected_RFpower_amplitude) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.Processor_selected_RFpower_amplitude) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.Processor_selected_RFpower_amplitude) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.Processor_selected_RFpower_amplitude) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.Processor_selected_RFpower_amplitude) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.Processor_TX_MAX_amplitude_OUT) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.Processor_TX_MAX_amplitude_OUT) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.Processor_TX_MAX_amplitude_OUT) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.Processor_TX_MAX_amplitude_OUT) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.Processor_TX_MAX_amplitude_OUT) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.Processor_TX_MAX_amplitude_OUT) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.Processor_TX_MAX_amplitude_OUT) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.Processor_TX_MAX_amplitude_OUT) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.Processor_TX_MAX_amplitude_OUT) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.Processor_TX_MAX_amplitude_OUT) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.Processor_TX_MAX_amplitude_OUT) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.Processor_TX_MAX_amplitude_OUT) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.Processor_TX_MAX_amplitude_OUT) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.Processor_TX_MAX_amplitude_OUT) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.Processor_TX_MAX_amplitude_OUT) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.Processor_TX_MAX_amplitude_OUT) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.Processor_TX_MAX_amplitude_OUT) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.Processor_TX_MAX_amplitude_OUT) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.Processor_TX_MAX_amplitude_OUT) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.Processor_TX_MAX_amplitude_OUT) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.Processor_TX_MAX_amplitude_OUT) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.Processor_RX_Power_value) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.Processor_RX_Power_value) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.Processor_RX_Power_value) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.Processor_RX_Power_value) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.Processor_RX_Power_value) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.Processor_RX_Power_value) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.Processor_RX_Power_value) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.Processor_RX_Power_value) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.Processor_RX_Power_value) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.Processor_RX_Power_value) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.Processor_RX_Power_value) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.Processor_RX_Power_value) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.Processor_RX_Power_value) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.Processor_RX_Power_value) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.Processor_RX_Power_value) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.Processor_RX_Power_value) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.Processor_RX_Power_value) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.Processor_RX_Power_value) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.Processor_RX_Power_value) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.Processor_RX_Power_value) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.Processor_RX_Power_value) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.processRxAudio.teta1) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.processRxAudio.teta1) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.processRxAudio.teta1) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.processRxAudio.teta1) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.processRxAudio.teta1) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.processRxAudio.teta1) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.processRxAudio.teta1) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.processRxAudio.teta1) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.processRxAudio.teta1) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.processRxAudio.teta1) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.processRxAudio.teta1) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.processRxAudio.teta1) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.processRxAudio.teta1) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.processRxAudio.teta1) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.processRxAudio.teta1) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.processRxAudio.teta1) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.processRxAudio.teta1) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.processRxAudio.teta1) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.processRxAudio.teta1) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.processRxAudio.teta1) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.processRxAudio.teta1) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.processRxAudio.teta3) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.processRxAudio.teta3) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.processRxAudio.teta3) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.processRxAudio.teta3) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.processRxAudio.teta3) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.processRxAudio.teta3) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.processRxAudio.teta3) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.processRxAudio.teta3) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.processRxAudio.teta3) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.processRxAudio.teta3) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.processRxAudio.teta3) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.processRxAudio.teta3) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.processRxAudio.teta3) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.processRxAudio.teta3) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.processRxAudio.teta3) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.processRxAudio.teta3) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.processRxAudio.teta3) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.processRxAudio.teta3) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.processRxAudio.teta3) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.processRxAudio.teta3) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.processRxAudio.teta3) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.current_if_gain) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.current_if_gain) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.current_if_gain) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.current_if_gain) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.current_if_gain) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.current_if_gain) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.current_if_gain) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.current_if_gain) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.current_if_gain) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.current_if_gain) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.current_if_gain) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.current_if_gain) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.current_if_gain) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.current_if_gain) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.current_if_gain) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.current_if_gain) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.current_if_gain) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.current_if_gain) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.current_if_gain) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.current_if_gain) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.current_if_gain) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.DemodulateFM.squelch_buf) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.DemodulateFM.squelch_buf) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.DemodulateFM.squelch_buf) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.DemodulateFM.squelch_buf) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.DemodulateFM.squelch_buf) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.DemodulateFM.squelch_buf) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.DemodulateFM.squelch_buf) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.DemodulateFM.squelch_buf) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.DemodulateFM.squelch_buf) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.DemodulateFM.squelch_buf) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.DemodulateFM.squelch_buf) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.DemodulateFM.squelch_buf) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.DemodulateFM.squelch_buf) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.DemodulateFM.squelch_buf) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.DemodulateFM.squelch_buf) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.DemodulateFM.squelch_buf) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.DemodulateFM.squelch_buf) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.DemodulateFM.squelch_buf) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.DemodulateFM.squelch_buf) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.DemodulateFM.squelch_buf) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.DemodulateFM.squelch_buf) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_avg) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_avg) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_avg) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_avg) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_avg) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_avg) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_avg) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_avg) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_avg) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_avg) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_avg) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_avg) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_avg) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_avg) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_avg) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_avg) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_avg) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_avg) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_avg) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_avg) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_avg) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_count) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_count) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_count) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_count) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_count) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_count) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_count) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_count) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_count) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_count) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_count) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_count) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_count) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_count) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_count) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_count) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_count) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_count) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_count) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_count) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_count) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.DFM_RX_i_prev) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.DFM_RX_i_prev) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.DFM_RX_i_prev) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.DFM_RX_i_prev) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.DFM_RX_i_prev) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.DFM_RX_i_prev) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.DFM_RX_i_prev) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.DFM_RX_i_prev) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.DFM_RX_i_prev) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.DFM_RX_i_prev) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.DFM_RX_i_prev) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.DFM_RX_i_prev) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.DFM_RX_i_prev) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.DFM_RX_i_prev) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.DFM_RX_i_prev) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.DFM_RX_i_prev) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.DFM_RX_i_prev) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.DFM_RX_i_prev) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.DFM_RX_i_prev) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.DFM_RX_i_prev) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.DFM_RX_i_prev) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.DFM_RX_q_prev) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.DFM_RX_q_prev) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.DFM_RX_q_prev) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.DFM_RX_q_prev) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.DFM_RX_q_prev) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.DFM_RX_q_prev) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.DFM_RX_q_prev) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.DFM_RX_q_prev) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.DFM_RX_q_prev) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.DFM_RX_q_prev) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.DFM_RX_q_prev) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.DFM_RX_q_prev) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.DFM_RX_q_prev) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.DFM_RX_q_prev) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.DFM_RX_q_prev) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.DFM_RX_q_prev) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.DFM_RX_q_prev) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.DFM_RX_q_prev) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.DFM_RX_q_prev) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.DFM_RX_q_prev) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.DFM_RX_q_prev) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.DFM_RX_lpf_prev) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.DFM_RX_lpf_prev) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.DFM_RX_lpf_prev) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.DFM_RX_lpf_prev) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.DFM_RX_lpf_prev) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.DFM_RX_lpf_prev) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.DFM_RX_lpf_prev) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.DFM_RX_lpf_prev) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.DFM_RX_lpf_prev) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.DFM_RX_lpf_prev) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.DFM_RX_lpf_prev) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.DFM_RX_lpf_prev) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.DFM_RX_lpf_prev) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.DFM_RX_lpf_prev) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.DFM_RX_lpf_prev) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.DFM_RX_lpf_prev) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.DFM_RX_lpf_prev) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.DFM_RX_lpf_prev) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.DFM_RX_lpf_prev) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.DFM_RX_lpf_prev) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.DFM_RX_lpf_prev) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.DFM_RX_Squelched) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.DFM_RX_Squelched) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.DFM_RX_Squelched) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.DFM_RX_Squelched) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.DFM_RX_Squelched) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.DFM_RX_Squelched) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.DFM_RX_Squelched) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.DFM_RX_Squelched) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.DFM_RX_Squelched) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.DFM_RX_Squelched) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.DFM_RX_Squelched) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.DFM_RX_Squelched) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.DFM_RX_Squelched) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.DFM_RX_Squelched) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.DFM_RX_Squelched) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.DFM_RX_Squelched) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.DFM_RX_Squelched) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.DFM_RX_Squelched) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.DFM_RX_Squelched) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.DFM_RX_Squelched) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.DFM_RX_Squelched) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_b) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_b) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_b) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_b) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_b) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_b) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_b) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_b) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_b) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_b) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_b) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_b) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_b) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_b) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_b) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_b) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_b) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_b) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_b) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_b) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_b) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_a) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_a) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_a) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_a) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_a) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_a) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_a) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_a) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_a) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_a) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_a) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_a) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_a) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_a) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_a) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_a) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_a) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_a) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_a) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_a) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_a) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.volume_gain) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.volume_gain) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.volume_gain) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.volume_gain) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.volume_gain) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.volume_gain) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.volume_gain) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.volume_gain) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.volume_gain) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.volume_gain) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.volume_gain) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.volume_gain) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.volume_gain) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.volume_gain) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.volume_gain) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.volume_gain) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.volume_gain) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.volume_gain) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.volume_gain) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.volume_gain) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.volume_gain) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.two_signal_gen_position) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.two_signal_gen_position) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.two_signal_gen_position) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.two_signal_gen_position) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.two_signal_gen_position) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.two_signal_gen_position) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.two_signal_gen_position) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.two_signal_gen_position) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.two_signal_gen_position) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.two_signal_gen_position) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.two_signal_gen_position) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.two_signal_gen_position) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.two_signal_gen_position) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.two_signal_gen_position) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.two_signal_gen_position) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.two_signal_gen_position) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.two_signal_gen_position) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.two_signal_gen_position) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.two_signal_gen_position) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.two_signal_gen_position) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.two_signal_gen_position) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.processTxAudio.tone_counter) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.processTxAudio.tone_counter) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.processTxAudio.tone_counter) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.processTxAudio.tone_counter) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.processTxAudio.tone_counter) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.processTxAudio.tone_counter) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.processTxAudio.tone_counter) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.processTxAudio.tone_counter) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.processTxAudio.tone_counter) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.processTxAudio.tone_counter) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.processTxAudio.tone_counter) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.processTxAudio.tone_counter) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.processTxAudio.tone_counter) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.processTxAudio.tone_counter) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.processTxAudio.tone_counter) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.processTxAudio.tone_counter) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.processTxAudio.tone_counter) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.processTxAudio.tone_counter) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.processTxAudio.tone_counter) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.processTxAudio.tone_counter) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.processTxAudio.tone_counter) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.ALC_need_gain) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.ALC_need_gain) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.ALC_need_gain) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.ALC_need_gain) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.ALC_need_gain) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.ALC_need_gain) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.ALC_need_gain) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.ALC_need_gain) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.ALC_need_gain) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.ALC_need_gain) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.ALC_need_gain) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.ALC_need_gain) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.ALC_need_gain) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.ALC_need_gain) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.ALC_need_gain) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.ALC_need_gain) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.ALC_need_gain) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.ALC_need_gain) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.ALC_need_gain) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.ALC_need_gain) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.ALC_need_gain) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.ModulateFM.modulation_index) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.ModulateFM.modulation_index) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.ModulateFM.modulation_index) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.ModulateFM.modulation_index) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.ModulateFM.modulation_index) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.ModulateFM.modulation_index) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.ModulateFM.modulation_index) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.ModulateFM.modulation_index) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.ModulateFM.modulation_index) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.ModulateFM.modulation_index) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.ModulateFM.modulation_index) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.ModulateFM.modulation_index) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.ModulateFM.modulation_index) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.ModulateFM.modulation_index) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.ModulateFM.modulation_index) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.ModulateFM.modulation_index) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.ModulateFM.modulation_index) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.ModulateFM.modulation_index) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.ModulateFM.modulation_index) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.ModulateFM.modulation_index) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.ModulateFM.modulation_index) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_b) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_b) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_b) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_b) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_b) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_b) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_b) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_b) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_b) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_b) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_b) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_b) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_b) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_b) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_b) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_b) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_b) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_b) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_b) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_b) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_b) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_a) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_a) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_a) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_a) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_a) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_a) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_a) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_a) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_a) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_a) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_a) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_a) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_a) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_a) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_a) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_a) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_a) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_a) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_a) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_a) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_a) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.ModulateFM.fm_mod_accum) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.ModulateFM.fm_mod_accum) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.ModulateFM.fm_mod_accum) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.ModulateFM.fm_mod_accum) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.ModulateFM.fm_mod_accum) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.ModulateFM.fm_mod_accum) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.ModulateFM.fm_mod_accum) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.ModulateFM.fm_mod_accum) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.ModulateFM.fm_mod_accum) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.ModulateFM.fm_mod_accum) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.ModulateFM.fm_mod_accum) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.ModulateFM.fm_mod_accum) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.ModulateFM.fm_mod_accum) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.ModulateFM.fm_mod_accum) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.ModulateFM.fm_mod_accum) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.ModulateFM.fm_mod_accum) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.ModulateFM.fm_mod_accum) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.ModulateFM.fm_mod_accum) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.ModulateFM.fm_mod_accum) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.ModulateFM.fm_mod_accum) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.ModulateFM.fm_mod_accum) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.lms2_stateF32) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.lms2_stateF32) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.lms2_stateF32) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.lms2_stateF32) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.lms2_stateF32) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.lms2_stateF32) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.lms2_stateF32) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.lms2_stateF32) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.lms2_stateF32) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.lms2_stateF32) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.lms2_stateF32) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.lms2_stateF32) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.lms2_stateF32) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.lms2_stateF32) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.lms2_stateF32) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.lms2_stateF32) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.lms2_stateF32) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.lms2_stateF32) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.lms2_stateF32) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.lms2_stateF32) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.lms2_stateF32) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.lms2_normCoeff_f32) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.lms2_normCoeff_f32) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.lms2_normCoeff_f32) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.lms2_normCoeff_f32) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.lms2_normCoeff_f32) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.lms2_normCoeff_f32) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.lms2_normCoeff_f32) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.lms2_normCoeff_f32) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.lms2_normCoeff_f32) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.lms2_normCoeff_f32) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.lms2_normCoeff_f32) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.lms2_normCoeff_f32) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.lms2_normCoeff_f32) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.lms2_normCoeff_f32) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.lms2_normCoeff_f32) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.lms2_normCoeff_f32) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.lms2_normCoeff_f32) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.lms2_normCoeff_f32) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.lms2_normCoeff_f32) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.lms2_normCoeff_f32) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.lms2_normCoeff_f32) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.lms2_reference) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.lms2_reference) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.lms2_reference) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.lms2_reference) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.lms2_reference) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.lms2_reference) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.lms2_reference) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.lms2_reference) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.lms2_reference) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.lms2_reference) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.lms2_reference) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.lms2_reference) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.lms2_reference) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.lms2_reference) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.lms2_reference) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.lms2_reference) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.lms2_reference) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.lms2_reference) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.lms2_reference) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.lms2_reference) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.lms2_reference) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.lms2_errsig2) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.lms2_errsig2) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.lms2_errsig2) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.lms2_errsig2) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.lms2_errsig2) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.lms2_errsig2) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.lms2_errsig2) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.lms2_errsig2) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.lms2_errsig2) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.lms2_errsig2) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.lms2_errsig2) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.lms2_errsig2) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.lms2_errsig2) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.lms2_errsig2) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.lms2_errsig2) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.lms2_errsig2) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.lms2_errsig2) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.lms2_errsig2) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.lms2_errsig2) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.lms2_errsig2) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.lms2_errsig2) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.reference_index_old) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.reference_index_old) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.reference_index_old) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.reference_index_old) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.reference_index_old) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.reference_index_old) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.reference_index_old) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.reference_index_old) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.reference_index_old) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.reference_index_old) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.reference_index_old) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.reference_index_old) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.reference_index_old) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.reference_index_old) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.reference_index_old) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.reference_index_old) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.reference_index_old) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.reference_index_old) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.reference_index_old) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.reference_index_old) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.reference_index_old) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.reference_index_new) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.reference_index_new) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.reference_index_new) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.reference_index_new) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.reference_index_new) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.reference_index_new) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.reference_index_new) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.reference_index_new) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.reference_index_new) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.reference_index_new) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.reference_index_new) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.reference_index_new) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.reference_index_new) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.reference_index_new) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.reference_index_new) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.reference_index_new) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.reference_index_new) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.reference_index_new) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.reference_index_new) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.reference_index_new) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.reference_index_new) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.processAutoNotchReduction.temporary_stop) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.processAutoNotchReduction.temporary_stop) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.processAutoNotchReduction.temporary_stop) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.processAutoNotchReduction.temporary_stop) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.processAutoNotchReduction.temporary_stop) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.processAutoNotchReduction.temporary_stop) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.processAutoNotchReduction.temporary_stop) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.processAutoNotchReduction.temporary_stop) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.processAutoNotchReduction.temporary_stop) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.processAutoNotchReduction.temporary_stop) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.processAutoNotchReduction.temporary_stop) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.processAutoNotchReduction.temporary_stop) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.processAutoNotchReduction.temporary_stop) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.processAutoNotchReduction.temporary_stop) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.processAutoNotchReduction.temporary_stop) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.processAutoNotchReduction.temporary_stop) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.processAutoNotchReduction.temporary_stop) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.processAutoNotchReduction.temporary_stop) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.processAutoNotchReduction.temporary_stop) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.processAutoNotchReduction.temporary_stop) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.processAutoNotchReduction.temporary_stop) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.BANDS) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.BANDS) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.BANDS) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.BANDS) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.BANDS) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.BANDS) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.BANDS) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.BANDS) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.BANDS) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.BANDS) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.BANDS) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.BANDS) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.BANDS) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.BANDS) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.BANDS) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.BANDS) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.BANDS) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.BANDS) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.BANDS) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.BANDS) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.BANDS) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.BANDS) refers to lto-llvm-ea22a7.o(.rodata.str1.1) for .L.str.5 - lto-llvm-ea22a7.o(.rodata.BANDS) refers to lto-llvm-ea22a7.o(.rodata..compoundliteral.69) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.BANDS) refers to lto-llvm-ea22a7.o(.rodata..compoundliteral.2.70) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.BANDS) refers to lto-llvm-ea22a7.o(.rodata..compoundliteral.4.71) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.BANDS) refers to lto-llvm-ea22a7.o(.rodata..compoundliteral.6.73) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.BANDS) refers to lto-llvm-ea22a7.o(.rodata..compoundliteral.8.74) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.BANDS) refers to lto-llvm-ea22a7.o(.rodata..compoundliteral.10.75) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.BANDS) refers to lto-llvm-ea22a7.o(.rodata..compoundliteral.12.76) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.BANDS) refers to lto-llvm-ea22a7.o(.rodata..compoundliteral.14.77) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.BANDS) refers to lto-llvm-ea22a7.o(.rodata..compoundliteral.16.78) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.BANDS) refers to lto-llvm-ea22a7.o(.rodata..compoundliteral.18.79) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.BANDS) refers to lto-llvm-ea22a7.o(.rodata..compoundliteral.20.80) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.BANDS) refers to lto-llvm-ea22a7.o(.rodata..compoundliteral.22.81) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata..compoundliteral.69) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata..compoundliteral.69) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata..compoundliteral.69) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata..compoundliteral.69) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata..compoundliteral.69) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata..compoundliteral.69) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.69) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.69) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata..compoundliteral.69) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata..compoundliteral.69) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.69) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata..compoundliteral.69) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.69) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata..compoundliteral.69) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata..compoundliteral.69) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata..compoundliteral.69) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata..compoundliteral.69) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata..compoundliteral.69) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata..compoundliteral.69) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata..compoundliteral.69) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata..compoundliteral.69) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.70) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.70) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.70) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.70) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.70) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.70) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.70) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.70) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.70) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.70) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.70) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.70) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.70) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.70) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.70) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.70) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.70) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.70) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.70) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.70) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.70) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata..compoundliteral.4.71) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata..compoundliteral.4.71) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata..compoundliteral.4.71) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata..compoundliteral.4.71) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata..compoundliteral.4.71) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata..compoundliteral.4.71) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.4.71) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.4.71) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata..compoundliteral.4.71) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata..compoundliteral.4.71) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.4.71) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata..compoundliteral.4.71) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.4.71) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata..compoundliteral.4.71) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata..compoundliteral.4.71) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata..compoundliteral.4.71) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata..compoundliteral.4.71) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata..compoundliteral.4.71) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata..compoundliteral.4.71) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata..compoundliteral.4.71) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata..compoundliteral.4.71) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata..compoundliteral.6.73) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata..compoundliteral.6.73) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata..compoundliteral.6.73) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata..compoundliteral.6.73) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata..compoundliteral.6.73) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata..compoundliteral.6.73) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.6.73) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.6.73) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata..compoundliteral.6.73) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata..compoundliteral.6.73) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.6.73) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata..compoundliteral.6.73) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.6.73) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata..compoundliteral.6.73) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata..compoundliteral.6.73) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata..compoundliteral.6.73) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata..compoundliteral.6.73) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata..compoundliteral.6.73) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata..compoundliteral.6.73) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata..compoundliteral.6.73) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata..compoundliteral.6.73) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.74) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.74) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.74) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.74) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.74) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.74) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.74) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.74) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.74) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.74) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.74) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.74) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.74) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.74) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.74) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.74) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.74) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.74) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.74) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.74) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.74) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.75) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.75) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.75) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.75) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.75) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.75) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.75) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.75) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.75) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.75) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.75) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.75) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.75) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.75) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.75) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.75) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.75) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.75) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.75) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.75) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.75) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata..compoundliteral.12.76) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata..compoundliteral.12.76) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata..compoundliteral.12.76) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata..compoundliteral.12.76) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata..compoundliteral.12.76) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata..compoundliteral.12.76) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.12.76) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.12.76) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata..compoundliteral.12.76) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata..compoundliteral.12.76) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.12.76) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata..compoundliteral.12.76) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.12.76) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata..compoundliteral.12.76) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata..compoundliteral.12.76) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata..compoundliteral.12.76) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata..compoundliteral.12.76) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata..compoundliteral.12.76) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata..compoundliteral.12.76) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata..compoundliteral.12.76) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata..compoundliteral.12.76) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata..compoundliteral.14.77) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata..compoundliteral.14.77) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata..compoundliteral.14.77) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata..compoundliteral.14.77) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata..compoundliteral.14.77) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata..compoundliteral.14.77) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.14.77) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.14.77) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata..compoundliteral.14.77) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata..compoundliteral.14.77) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.14.77) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata..compoundliteral.14.77) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.14.77) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata..compoundliteral.14.77) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata..compoundliteral.14.77) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata..compoundliteral.14.77) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata..compoundliteral.14.77) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata..compoundliteral.14.77) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata..compoundliteral.14.77) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata..compoundliteral.14.77) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata..compoundliteral.14.77) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata..compoundliteral.16.78) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata..compoundliteral.16.78) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata..compoundliteral.16.78) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata..compoundliteral.16.78) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata..compoundliteral.16.78) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata..compoundliteral.16.78) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.16.78) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.16.78) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata..compoundliteral.16.78) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata..compoundliteral.16.78) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.16.78) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata..compoundliteral.16.78) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.16.78) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata..compoundliteral.16.78) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata..compoundliteral.16.78) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata..compoundliteral.16.78) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata..compoundliteral.16.78) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata..compoundliteral.16.78) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata..compoundliteral.16.78) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata..compoundliteral.16.78) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata..compoundliteral.16.78) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata..compoundliteral.18.79) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata..compoundliteral.18.79) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata..compoundliteral.18.79) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata..compoundliteral.18.79) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata..compoundliteral.18.79) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata..compoundliteral.18.79) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.18.79) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.18.79) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata..compoundliteral.18.79) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata..compoundliteral.18.79) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.18.79) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata..compoundliteral.18.79) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.18.79) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata..compoundliteral.18.79) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata..compoundliteral.18.79) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata..compoundliteral.18.79) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata..compoundliteral.18.79) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata..compoundliteral.18.79) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata..compoundliteral.18.79) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata..compoundliteral.18.79) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata..compoundliteral.18.79) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata..compoundliteral.20.80) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata..compoundliteral.20.80) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata..compoundliteral.20.80) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata..compoundliteral.20.80) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata..compoundliteral.20.80) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata..compoundliteral.20.80) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.20.80) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.20.80) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata..compoundliteral.20.80) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata..compoundliteral.20.80) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.20.80) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata..compoundliteral.20.80) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.20.80) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata..compoundliteral.20.80) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata..compoundliteral.20.80) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata..compoundliteral.20.80) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata..compoundliteral.20.80) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata..compoundliteral.20.80) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata..compoundliteral.20.80) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata..compoundliteral.20.80) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata..compoundliteral.20.80) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata..compoundliteral.22.81) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata..compoundliteral.22.81) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata..compoundliteral.22.81) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata..compoundliteral.22.81) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata..compoundliteral.22.81) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata..compoundliteral.22.81) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.22.81) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.22.81) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata..compoundliteral.22.81) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata..compoundliteral.22.81) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.22.81) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata..compoundliteral.22.81) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.22.81) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata..compoundliteral.22.81) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata..compoundliteral.22.81) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata..compoundliteral.22.81) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata..compoundliteral.22.81) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata..compoundliteral.22.81) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata..compoundliteral.22.81) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata..compoundliteral.22.81) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata..compoundliteral.22.81) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.FFT_need_fft) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.FFT_need_fft) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.FFT_need_fft) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.FFT_need_fft) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.FFT_need_fft) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.FFT_need_fft) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.FFT_need_fft) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.FFT_need_fft) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.FFT_need_fft) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.FFT_need_fft) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.FFT_need_fft) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.FFT_need_fft) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.FFT_need_fft) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.FFT_need_fft) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.FFT_need_fft) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.FFT_need_fft) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.FFT_need_fft) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.FFT_need_fft) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.FFT_need_fft) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.FFT_need_fft) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.FFT_need_fft) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.FFT_new_buffer_ready) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.FFT_new_buffer_ready) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.FFT_new_buffer_ready) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.FFT_new_buffer_ready) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.FFT_new_buffer_ready) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.FFT_new_buffer_ready) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.FFT_new_buffer_ready) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.FFT_new_buffer_ready) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.FFT_new_buffer_ready) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.FFT_new_buffer_ready) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.FFT_new_buffer_ready) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.FFT_new_buffer_ready) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.FFT_new_buffer_ready) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.FFT_new_buffer_ready) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.FFT_new_buffer_ready) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.FFT_new_buffer_ready) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.FFT_new_buffer_ready) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.FFT_new_buffer_ready) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.FFT_new_buffer_ready) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.FFT_new_buffer_ready) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.FFT_new_buffer_ready) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.FFT_buff_index) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.FFT_buff_index) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.FFT_buff_index) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.FFT_buff_index) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.FFT_buff_index) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.FFT_buff_index) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.FFT_buff_index) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.FFT_buff_index) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.FFT_buff_index) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.FFT_buff_index) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.FFT_buff_index) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.FFT_buff_index) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.FFT_buff_index) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.FFT_buff_index) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.FFT_buff_index) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.FFT_buff_index) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.FFT_buff_index) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.FFT_buff_index) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.FFT_buff_index) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.FFT_buff_index) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.FFT_buff_index) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.FFT_buff_current) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.FFT_buff_current) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.FFT_buff_current) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.FFT_buff_current) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.FFT_buff_current) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.FFT_buff_current) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.FFT_buff_current) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.FFT_buff_current) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.FFT_buff_current) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.FFT_buff_current) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.FFT_buff_current) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.FFT_buff_current) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.FFT_buff_current) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.FFT_buff_current) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.FFT_buff_current) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.FFT_buff_current) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.FFT_buff_current) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.FFT_buff_current) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.FFT_buff_current) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.FFT_buff_current) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.FFT_buff_current) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.FFTInput_I_A) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.FFTInput_I_A) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.FFTInput_I_A) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.FFTInput_I_A) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.FFTInput_I_A) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.FFTInput_I_A) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.FFTInput_I_A) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.FFTInput_I_A) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.FFTInput_I_A) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.FFTInput_I_A) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.FFTInput_I_A) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.FFTInput_I_A) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.FFTInput_I_A) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.FFTInput_I_A) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.FFTInput_I_A) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.FFTInput_I_A) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.FFTInput_I_A) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.FFTInput_I_A) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.FFTInput_I_A) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.FFTInput_I_A) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.FFTInput_I_A) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.FFTInput_Q_A) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.FFTInput_Q_A) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.FFTInput_Q_A) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.FFTInput_Q_A) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.FFTInput_Q_A) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.FFTInput_Q_A) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.FFTInput_Q_A) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.FFTInput_Q_A) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.FFTInput_Q_A) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.FFTInput_Q_A) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.FFTInput_Q_A) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.FFTInput_Q_A) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.FFTInput_Q_A) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.FFTInput_Q_A) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.FFTInput_Q_A) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.FFTInput_Q_A) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.FFTInput_Q_A) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.FFTInput_Q_A) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.FFTInput_Q_A) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.FFTInput_Q_A) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.FFTInput_Q_A) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.FFTInput_I_B) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.FFTInput_I_B) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.FFTInput_I_B) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.FFTInput_I_B) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.FFTInput_I_B) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.FFTInput_I_B) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.FFTInput_I_B) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.FFTInput_I_B) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.FFTInput_I_B) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.FFTInput_I_B) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.FFTInput_I_B) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.FFTInput_I_B) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.FFTInput_I_B) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.FFTInput_I_B) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.FFTInput_I_B) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.FFTInput_I_B) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.FFTInput_I_B) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.FFTInput_I_B) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.FFTInput_I_B) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.FFTInput_I_B) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.FFTInput_I_B) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.FFTInput_Q_B) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.FFTInput_Q_B) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.FFTInput_Q_B) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.FFTInput_Q_B) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.FFTInput_Q_B) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.FFTInput_Q_B) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.FFTInput_Q_B) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.FFTInput_Q_B) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.FFTInput_Q_B) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.FFTInput_Q_B) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.FFTInput_Q_B) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.FFTInput_Q_B) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.FFTInput_Q_B) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.FFTInput_Q_B) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.FFTInput_Q_B) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.FFTInput_Q_B) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.FFTInput_Q_B) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.FFTInput_Q_B) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.FFTInput_Q_B) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.FFTInput_Q_B) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.FFTInput_Q_B) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.FFT_FPS) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.FFT_FPS) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.FFT_FPS) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.FFT_FPS) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.FFT_FPS) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.FFT_FPS) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.FFT_FPS) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.FFT_FPS) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.FFT_FPS) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.FFT_FPS) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.FFT_FPS) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.FFT_FPS) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.FFT_FPS) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.FFT_FPS) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.FFT_FPS) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.FFT_FPS) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.FFT_FPS) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.FFT_FPS) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.FFT_FPS) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.FFT_FPS) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.FFT_FPS) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.window_multipliers) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.window_multipliers) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.window_multipliers) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.window_multipliers) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.window_multipliers) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.window_multipliers) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.window_multipliers) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.window_multipliers) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.window_multipliers) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.window_multipliers) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.window_multipliers) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.window_multipliers) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.window_multipliers) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.window_multipliers) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.window_multipliers) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.window_multipliers) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.window_multipliers) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.window_multipliers) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.window_multipliers) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.window_multipliers) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.window_multipliers) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.palette_fft) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.palette_fft) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.palette_fft) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.palette_fft) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.palette_fft) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.palette_fft) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.palette_fft) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.palette_fft) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.palette_fft) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.palette_fft) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.palette_fft) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.palette_fft) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.palette_fft) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.palette_fft) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.palette_fft) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.palette_fft) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.palette_fft) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.palette_fft) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.palette_fft) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.palette_fft) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.palette_fft) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.palette_bg_gradient) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.palette_bg_gradient) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.palette_bg_gradient) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.palette_bg_gradient) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.palette_bg_gradient) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.palette_bg_gradient) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.palette_bg_gradient) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.palette_bg_gradient) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.palette_bg_gradient) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.palette_bg_gradient) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.palette_bg_gradient) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.palette_bg_gradient) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.palette_bg_gradient) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.palette_bg_gradient) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.palette_bg_gradient) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.palette_bg_gradient) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.palette_bg_gradient) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.palette_bg_gradient) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.palette_bg_gradient) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.palette_bg_gradient) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.palette_bg_gradient) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.palette_bw_fft_colors) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.palette_bw_fft_colors) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.palette_bw_fft_colors) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.palette_bw_fft_colors) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.palette_bw_fft_colors) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.palette_bw_fft_colors) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.palette_bw_fft_colors) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.palette_bw_fft_colors) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.palette_bw_fft_colors) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.palette_bw_fft_colors) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.palette_bw_fft_colors) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.palette_bw_fft_colors) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.palette_bw_fft_colors) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.palette_bw_fft_colors) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.palette_bw_fft_colors) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.palette_bw_fft_colors) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.palette_bw_fft_colors) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.palette_bw_fft_colors) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.palette_bw_fft_colors) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.palette_bw_fft_colors) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.palette_bw_fft_colors) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.palette_bw_bg_colors) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.palette_bw_bg_colors) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.palette_bw_bg_colors) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.palette_bw_bg_colors) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.palette_bw_bg_colors) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.palette_bw_bg_colors) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.palette_bw_bg_colors) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.palette_bw_bg_colors) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.palette_bw_bg_colors) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.palette_bw_bg_colors) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.palette_bw_bg_colors) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.palette_bw_bg_colors) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.palette_bw_bg_colors) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.palette_bw_bg_colors) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.palette_bw_bg_colors) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.palette_bw_bg_colors) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.palette_bw_bg_colors) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.palette_bw_bg_colors) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.palette_bw_bg_colors) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.palette_bw_bg_colors) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.palette_bw_bg_colors) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.mag_coeffs) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.mag_coeffs) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.mag_coeffs) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.mag_coeffs) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.mag_coeffs) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.mag_coeffs) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.mag_coeffs) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.mag_coeffs) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.mag_coeffs) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.mag_coeffs) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.mag_coeffs) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.mag_coeffs) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.mag_coeffs) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.mag_coeffs) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.mag_coeffs) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.mag_coeffs) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.mag_coeffs) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.mag_coeffs) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.mag_coeffs) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.mag_coeffs) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.mag_coeffs) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.mag_coeffs) refers to lto-llvm-ea22a7.o(.rodata..compoundliteral.105) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.mag_coeffs) refers to lto-llvm-ea22a7.o(.rodata..compoundliteral.1.106) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.mag_coeffs) refers to lto-llvm-ea22a7.o(.rodata..compoundliteral.2.107) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.mag_coeffs) refers to lto-llvm-ea22a7.o(.rodata..compoundliteral.3.108) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_I) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_I) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_I) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_I) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_I) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_I) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_I) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_I) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_I) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_I) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_I) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_I) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_I) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_I) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_I) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_I) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_I) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_I) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_I) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_I) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_I) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_I) refers to lto-llvm-ea22a7.o(.bss..compoundliteral.4.103) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_I) refers to lto-llvm-ea22a7.o(.bss..compoundliteral.5.104) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_Q) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_Q) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_Q) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_Q) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_Q) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_Q) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_Q) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_Q) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_Q) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_Q) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_Q) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_Q) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_Q) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_Q) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_Q) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_Q) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_Q) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_Q) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_Q) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_Q) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_Q) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_Q) refers to lto-llvm-ea22a7.o(.bss..compoundliteral.6.101) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_Q) refers to lto-llvm-ea22a7.o(.bss..compoundliteral.7.102) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.FirZoomFFTDecimate) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.FirZoomFFTDecimate) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.FirZoomFFTDecimate) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.FirZoomFFTDecimate) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.FirZoomFFTDecimate) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.FirZoomFFTDecimate) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.FirZoomFFTDecimate) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.FirZoomFFTDecimate) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.FirZoomFFTDecimate) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.FirZoomFFTDecimate) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.FirZoomFFTDecimate) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.FirZoomFFTDecimate) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.FirZoomFFTDecimate) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.FirZoomFFTDecimate) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.FirZoomFFTDecimate) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.FirZoomFFTDecimate) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.FirZoomFFTDecimate) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.FirZoomFFTDecimate) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.FirZoomFFTDecimate) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.FirZoomFFTDecimate) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.FirZoomFFTDecimate) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.FirZoomFFTDecimate) refers to lto-llvm-ea22a7.o(.rodata..compoundliteral.8.97) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.FirZoomFFTDecimate) refers to lto-llvm-ea22a7.o(.rodata..compoundliteral.9.98) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.FirZoomFFTDecimate) refers to lto-llvm-ea22a7.o(.rodata..compoundliteral.10.99) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.FirZoomFFTDecimate) refers to lto-llvm-ea22a7.o(.rodata..compoundliteral.11.100) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_I) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_I) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_I) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_I) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_I) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_I) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_I) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_I) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_I) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_I) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_I) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_I) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_I) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_I) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_I) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_I) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_I) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_I) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_I) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_I) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_I) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.decimZoomFFTIState) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.decimZoomFFTIState) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.decimZoomFFTIState) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.decimZoomFFTIState) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.decimZoomFFTIState) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.decimZoomFFTIState) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.decimZoomFFTIState) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.decimZoomFFTIState) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.decimZoomFFTIState) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.decimZoomFFTIState) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.decimZoomFFTIState) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.decimZoomFFTIState) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.decimZoomFFTIState) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.decimZoomFFTIState) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.decimZoomFFTIState) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.decimZoomFFTIState) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.decimZoomFFTIState) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.decimZoomFFTIState) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.decimZoomFFTIState) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.decimZoomFFTIState) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.decimZoomFFTIState) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_Q) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_Q) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_Q) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_Q) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_Q) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_Q) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_Q) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_Q) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_Q) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_Q) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_Q) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_Q) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_Q) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_Q) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_Q) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_Q) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_Q) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_Q) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_Q) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_Q) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_Q) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.decimZoomFFTQState) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.decimZoomFFTQState) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.decimZoomFFTQState) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.decimZoomFFTQState) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.decimZoomFFTQState) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.decimZoomFFTQState) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.decimZoomFFTQState) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.decimZoomFFTQState) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.decimZoomFFTQState) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.decimZoomFFTQState) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.decimZoomFFTQState) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.decimZoomFFTQState) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.decimZoomFFTQState) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.decimZoomFFTQState) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.decimZoomFFTQState) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.decimZoomFFTQState) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.decimZoomFFTQState) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.decimZoomFFTQState) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.decimZoomFFTQState) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.decimZoomFFTQState) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.decimZoomFFTQState) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.zoomed_width) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.zoomed_width) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.zoomed_width) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.zoomed_width) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.zoomed_width) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.zoomed_width) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.zoomed_width) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.zoomed_width) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.zoomed_width) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.zoomed_width) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.zoomed_width) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.zoomed_width) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.zoomed_width) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.zoomed_width) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.zoomed_width) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.zoomed_width) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.zoomed_width) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.zoomed_width) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.zoomed_width) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.zoomed_width) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.zoomed_width) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.FFTInputCharge) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.FFTInputCharge) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.FFTInputCharge) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.FFTInputCharge) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.FFTInputCharge) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.FFTInputCharge) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.FFTInputCharge) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.FFTInputCharge) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.FFTInputCharge) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.FFTInputCharge) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.FFTInputCharge) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.FFTInputCharge) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.FFTInputCharge) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.FFTInputCharge) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.FFTInputCharge) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.FFTInputCharge) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.FFTInputCharge) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.FFTInputCharge) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.FFTInputCharge) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.FFTInputCharge) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.FFTInputCharge) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.97) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.97) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.97) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.97) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.97) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.97) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.97) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.97) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.97) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.97) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.97) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.97) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.97) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.97) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.97) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.97) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.97) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.97) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.97) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.97) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata..compoundliteral.8.97) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata..compoundliteral.9.98) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata..compoundliteral.9.98) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata..compoundliteral.9.98) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata..compoundliteral.9.98) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata..compoundliteral.9.98) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata..compoundliteral.9.98) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.9.98) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.9.98) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata..compoundliteral.9.98) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata..compoundliteral.9.98) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.9.98) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata..compoundliteral.9.98) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.9.98) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata..compoundliteral.9.98) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata..compoundliteral.9.98) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata..compoundliteral.9.98) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata..compoundliteral.9.98) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata..compoundliteral.9.98) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata..compoundliteral.9.98) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata..compoundliteral.9.98) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata..compoundliteral.9.98) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.99) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.99) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.99) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.99) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.99) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.99) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.99) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.99) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.99) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.99) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.99) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.99) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.99) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.99) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.99) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.99) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.99) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.99) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.99) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.99) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata..compoundliteral.10.99) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata..compoundliteral.11.100) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata..compoundliteral.11.100) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata..compoundliteral.11.100) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata..compoundliteral.11.100) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata..compoundliteral.11.100) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata..compoundliteral.11.100) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.11.100) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.11.100) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata..compoundliteral.11.100) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata..compoundliteral.11.100) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.11.100) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata..compoundliteral.11.100) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.11.100) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata..compoundliteral.11.100) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata..compoundliteral.11.100) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata..compoundliteral.11.100) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata..compoundliteral.11.100) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata..compoundliteral.11.100) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata..compoundliteral.11.100) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata..compoundliteral.11.100) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata..compoundliteral.11.100) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss..compoundliteral.6.101) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss..compoundliteral.6.101) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss..compoundliteral.6.101) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss..compoundliteral.6.101) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss..compoundliteral.6.101) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss..compoundliteral.6.101) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss..compoundliteral.6.101) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss..compoundliteral.6.101) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss..compoundliteral.6.101) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss..compoundliteral.6.101) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss..compoundliteral.6.101) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss..compoundliteral.6.101) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss..compoundliteral.6.101) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss..compoundliteral.6.101) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss..compoundliteral.6.101) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss..compoundliteral.6.101) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss..compoundliteral.6.101) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss..compoundliteral.6.101) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss..compoundliteral.6.101) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss..compoundliteral.6.101) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss..compoundliteral.6.101) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss..compoundliteral.7.102) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss..compoundliteral.7.102) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss..compoundliteral.7.102) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss..compoundliteral.7.102) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss..compoundliteral.7.102) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss..compoundliteral.7.102) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss..compoundliteral.7.102) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss..compoundliteral.7.102) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss..compoundliteral.7.102) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss..compoundliteral.7.102) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss..compoundliteral.7.102) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss..compoundliteral.7.102) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss..compoundliteral.7.102) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss..compoundliteral.7.102) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss..compoundliteral.7.102) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss..compoundliteral.7.102) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss..compoundliteral.7.102) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss..compoundliteral.7.102) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss..compoundliteral.7.102) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss..compoundliteral.7.102) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss..compoundliteral.7.102) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss..compoundliteral.4.103) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss..compoundliteral.4.103) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss..compoundliteral.4.103) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss..compoundliteral.4.103) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss..compoundliteral.4.103) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss..compoundliteral.4.103) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss..compoundliteral.4.103) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss..compoundliteral.4.103) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss..compoundliteral.4.103) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss..compoundliteral.4.103) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss..compoundliteral.4.103) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss..compoundliteral.4.103) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss..compoundliteral.4.103) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss..compoundliteral.4.103) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss..compoundliteral.4.103) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss..compoundliteral.4.103) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss..compoundliteral.4.103) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss..compoundliteral.4.103) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss..compoundliteral.4.103) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss..compoundliteral.4.103) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss..compoundliteral.4.103) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss..compoundliteral.5.104) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss..compoundliteral.5.104) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss..compoundliteral.5.104) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss..compoundliteral.5.104) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss..compoundliteral.5.104) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss..compoundliteral.5.104) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss..compoundliteral.5.104) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss..compoundliteral.5.104) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss..compoundliteral.5.104) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss..compoundliteral.5.104) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss..compoundliteral.5.104) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss..compoundliteral.5.104) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss..compoundliteral.5.104) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss..compoundliteral.5.104) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss..compoundliteral.5.104) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss..compoundliteral.5.104) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss..compoundliteral.5.104) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss..compoundliteral.5.104) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss..compoundliteral.5.104) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss..compoundliteral.5.104) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss..compoundliteral.5.104) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata..compoundliteral.105) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata..compoundliteral.105) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata..compoundliteral.105) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata..compoundliteral.105) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata..compoundliteral.105) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata..compoundliteral.105) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.105) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.105) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata..compoundliteral.105) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata..compoundliteral.105) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.105) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata..compoundliteral.105) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.105) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata..compoundliteral.105) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata..compoundliteral.105) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata..compoundliteral.105) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata..compoundliteral.105) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata..compoundliteral.105) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata..compoundliteral.105) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata..compoundliteral.105) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata..compoundliteral.105) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata..compoundliteral.1.106) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata..compoundliteral.1.106) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata..compoundliteral.1.106) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata..compoundliteral.1.106) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata..compoundliteral.1.106) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata..compoundliteral.1.106) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.1.106) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.1.106) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata..compoundliteral.1.106) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata..compoundliteral.1.106) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.1.106) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata..compoundliteral.1.106) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.1.106) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata..compoundliteral.1.106) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata..compoundliteral.1.106) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata..compoundliteral.1.106) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata..compoundliteral.1.106) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata..compoundliteral.1.106) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata..compoundliteral.1.106) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata..compoundliteral.1.106) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata..compoundliteral.1.106) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.107) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.107) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.107) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.107) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.107) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.107) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.107) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.107) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.107) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.107) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.107) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.107) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.107) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.107) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.107) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.107) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.107) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.107) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.107) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.107) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata..compoundliteral.2.107) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata..compoundliteral.3.108) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata..compoundliteral.3.108) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata..compoundliteral.3.108) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata..compoundliteral.3.108) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata..compoundliteral.3.108) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata..compoundliteral.3.108) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.3.108) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.3.108) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata..compoundliteral.3.108) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata..compoundliteral.3.108) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.3.108) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata..compoundliteral.3.108) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.3.108) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata..compoundliteral.3.108) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata..compoundliteral.3.108) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata..compoundliteral.3.108) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata..compoundliteral.3.108) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata..compoundliteral.3.108) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata..compoundliteral.3.108) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata..compoundliteral.3.108) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata..compoundliteral.3.108) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.FFTInput) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.FFTInput) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.FFTInput) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.FFTInput) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.FFTInput) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.FFTInput) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.FFTInput) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.FFTInput) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.FFTInput) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.FFTInput) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.FFTInput) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.FFTInput) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.FFTInput) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.FFTInput) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.FFTInput) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.FFTInput) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.FFTInput) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.FFTInput) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.FFTInput) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.FFTInput) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.FFTInput) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.FFTInput_tmp) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.FFTInput_tmp) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.FFTInput_tmp) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.FFTInput_tmp) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.FFTInput_tmp) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.FFTInput_tmp) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.FFTInput_tmp) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.FFTInput_tmp) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.FFTInput_tmp) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.FFTInput_tmp) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.FFTInput_tmp) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.FFTInput_tmp) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.FFTInput_tmp) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.FFTInput_tmp) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.FFTInput_tmp) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.FFTInput_tmp) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.FFTInput_tmp) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.FFTInput_tmp) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.FFTInput_tmp) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.FFTInput_tmp) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.FFTInput_tmp) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.maxValueFFT_rx) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.maxValueFFT_rx) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.maxValueFFT_rx) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.maxValueFFT_rx) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.maxValueFFT_rx) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.maxValueFFT_rx) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.maxValueFFT_rx) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.maxValueFFT_rx) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.maxValueFFT_rx) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.maxValueFFT_rx) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.maxValueFFT_rx) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.maxValueFFT_rx) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.maxValueFFT_rx) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.maxValueFFT_rx) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.maxValueFFT_rx) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.maxValueFFT_rx) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.maxValueFFT_rx) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.maxValueFFT_rx) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.maxValueFFT_rx) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.maxValueFFT_rx) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.maxValueFFT_rx) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.maxValueFFT_tx) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.maxValueFFT_tx) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.maxValueFFT_tx) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.maxValueFFT_tx) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.maxValueFFT_tx) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.maxValueFFT_tx) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.maxValueFFT_tx) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.maxValueFFT_tx) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.maxValueFFT_tx) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.maxValueFFT_tx) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.maxValueFFT_tx) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.maxValueFFT_tx) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.maxValueFFT_tx) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.maxValueFFT_tx) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.maxValueFFT_tx) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.maxValueFFT_tx) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.maxValueFFT_tx) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.maxValueFFT_tx) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.maxValueFFT_tx) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.maxValueFFT_tx) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.maxValueFFT_tx) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.FFTOutput_mean) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.FFTOutput_mean) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.FFTOutput_mean) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.FFTOutput_mean) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.FFTOutput_mean) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.FFTOutput_mean) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.FFTOutput_mean) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.FFTOutput_mean) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.FFTOutput_mean) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.FFTOutput_mean) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.FFTOutput_mean) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.FFTOutput_mean) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.FFTOutput_mean) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.FFTOutput_mean) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.FFTOutput_mean) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.FFTOutput_mean) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.FFTOutput_mean) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.FFTOutput_mean) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.FFTOutput_mean) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.FFTOutput_mean) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.FFTOutput_mean) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.currentFFTFreq) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.currentFFTFreq) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.currentFFTFreq) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.currentFFTFreq) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.currentFFTFreq) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.currentFFTFreq) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.currentFFTFreq) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.currentFFTFreq) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.currentFFTFreq) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.currentFFTFreq) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.currentFFTFreq) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.currentFFTFreq) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.currentFFTFreq) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.currentFFTFreq) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.currentFFTFreq) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.currentFFTFreq) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.currentFFTFreq) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.currentFFTFreq) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.currentFFTFreq) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.currentFFTFreq) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.currentFFTFreq) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.grid_lines_pos) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.grid_lines_pos) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.grid_lines_pos) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.grid_lines_pos) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.grid_lines_pos) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.grid_lines_pos) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.grid_lines_pos) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.grid_lines_pos) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.grid_lines_pos) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.grid_lines_pos) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.grid_lines_pos) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.grid_lines_pos) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.grid_lines_pos) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.grid_lines_pos) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.grid_lines_pos) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.grid_lines_pos) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.grid_lines_pos) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.grid_lines_pos) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.grid_lines_pos) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.grid_lines_pos) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.grid_lines_pos) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.wtf_buffer_freqs) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.wtf_buffer_freqs) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.wtf_buffer_freqs) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.wtf_buffer_freqs) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.wtf_buffer_freqs) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.wtf_buffer_freqs) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.wtf_buffer_freqs) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.wtf_buffer_freqs) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.wtf_buffer_freqs) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.wtf_buffer_freqs) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.wtf_buffer_freqs) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.wtf_buffer_freqs) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.wtf_buffer_freqs) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.wtf_buffer_freqs) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.wtf_buffer_freqs) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.wtf_buffer_freqs) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.wtf_buffer_freqs) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.wtf_buffer_freqs) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.wtf_buffer_freqs) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.wtf_buffer_freqs) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.wtf_buffer_freqs) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.bw_line_start) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.bw_line_start) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.bw_line_start) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.bw_line_start) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.bw_line_start) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.bw_line_start) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.bw_line_start) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.bw_line_start) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.bw_line_start) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.bw_line_start) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.bw_line_start) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.bw_line_start) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.bw_line_start) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.bw_line_start) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.bw_line_start) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.bw_line_start) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.bw_line_start) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.bw_line_start) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.bw_line_start) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.bw_line_start) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.bw_line_start) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.bw_line_width) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.bw_line_width) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.bw_line_width) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.bw_line_width) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.bw_line_width) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.bw_line_width) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.bw_line_width) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.bw_line_width) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.bw_line_width) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.bw_line_width) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.bw_line_width) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.bw_line_width) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.bw_line_width) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.bw_line_width) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.bw_line_width) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.bw_line_width) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.bw_line_width) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.bw_line_width) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.bw_line_width) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.bw_line_width) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.bw_line_width) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.fft_header) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.fft_header) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.fft_header) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.fft_header) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.fft_header) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.fft_header) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.fft_header) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.fft_header) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.fft_header) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.fft_header) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.fft_header) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.fft_header) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.fft_header) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.fft_header) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.fft_header) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.fft_header) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.fft_header) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.fft_header) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.fft_header) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.fft_header) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.fft_header) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.bw_line_center) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.bw_line_center) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.bw_line_center) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.bw_line_center) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.bw_line_center) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.bw_line_center) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.bw_line_center) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.bw_line_center) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.bw_line_center) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.bw_line_center) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.bw_line_center) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.bw_line_center) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.bw_line_center) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.bw_line_center) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.bw_line_center) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.bw_line_center) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.bw_line_center) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.bw_line_center) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.bw_line_center) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.bw_line_center) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.bw_line_center) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.bw_line_end) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.bw_line_end) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.bw_line_end) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.bw_line_end) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.bw_line_end) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.bw_line_end) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.bw_line_end) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.bw_line_end) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.bw_line_end) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.bw_line_end) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.bw_line_end) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.bw_line_end) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.bw_line_end) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.bw_line_end) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.bw_line_end) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.bw_line_end) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.bw_line_end) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.bw_line_end) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.bw_line_end) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.bw_line_end) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.bw_line_end) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.print_fft_dma_estimated_size) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.print_fft_dma_estimated_size) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.print_fft_dma_estimated_size) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.print_fft_dma_estimated_size) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.print_fft_dma_estimated_size) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.print_fft_dma_estimated_size) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.print_fft_dma_estimated_size) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.print_fft_dma_estimated_size) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.print_fft_dma_estimated_size) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.print_fft_dma_estimated_size) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.print_fft_dma_estimated_size) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.print_fft_dma_estimated_size) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.print_fft_dma_estimated_size) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.print_fft_dma_estimated_size) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.print_fft_dma_estimated_size) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.print_fft_dma_estimated_size) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.print_fft_dma_estimated_size) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.print_fft_dma_estimated_size) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.print_fft_dma_estimated_size) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.print_fft_dma_estimated_size) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.print_fft_dma_estimated_size) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.bandmap_line_tmp) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.bandmap_line_tmp) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.bandmap_line_tmp) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.bandmap_line_tmp) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.bandmap_line_tmp) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.bandmap_line_tmp) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.bandmap_line_tmp) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.bandmap_line_tmp) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.bandmap_line_tmp) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.bandmap_line_tmp) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.bandmap_line_tmp) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.bandmap_line_tmp) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.bandmap_line_tmp) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.bandmap_line_tmp) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.bandmap_line_tmp) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.bandmap_line_tmp) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.bandmap_line_tmp) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.bandmap_line_tmp) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.bandmap_line_tmp) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.bandmap_line_tmp) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.bandmap_line_tmp) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.print_wtf_yindex) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.print_wtf_yindex) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.print_wtf_yindex) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.print_wtf_yindex) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.print_wtf_yindex) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.print_wtf_yindex) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.print_wtf_yindex) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.print_wtf_yindex) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.print_wtf_yindex) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.print_wtf_yindex) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.print_wtf_yindex) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.print_wtf_yindex) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.print_wtf_yindex) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.print_wtf_yindex) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.print_wtf_yindex) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.print_wtf_yindex) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.print_wtf_yindex) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.print_wtf_yindex) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.print_wtf_yindex) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.print_wtf_yindex) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.print_wtf_yindex) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.FPGA_samples) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.FPGA_samples) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.FPGA_samples) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.FPGA_samples) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.FPGA_samples) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.FPGA_samples) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.FPGA_samples) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.FPGA_samples) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.FPGA_samples) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.FPGA_samples) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.FPGA_samples) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.FPGA_samples) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.FPGA_samples) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.FPGA_samples) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.FPGA_samples) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.FPGA_samples) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.FPGA_samples) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.FPGA_samples) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.FPGA_samples) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.FPGA_samples) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.FPGA_samples) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.FPGA_NeedSendParams) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.FPGA_NeedSendParams) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.FPGA_NeedSendParams) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.FPGA_NeedSendParams) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.FPGA_NeedSendParams) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.FPGA_NeedSendParams) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.FPGA_NeedSendParams) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.FPGA_NeedSendParams) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.FPGA_NeedSendParams) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.FPGA_NeedSendParams) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.FPGA_NeedSendParams) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.FPGA_NeedSendParams) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.FPGA_NeedSendParams) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.FPGA_NeedSendParams) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.FPGA_NeedSendParams) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.FPGA_NeedSendParams) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.FPGA_NeedSendParams) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.FPGA_NeedSendParams) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.FPGA_NeedSendParams) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.FPGA_NeedSendParams) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.FPGA_NeedSendParams) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.FPGA_NeedGetParams) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.FPGA_NeedGetParams) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.FPGA_NeedGetParams) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.FPGA_NeedGetParams) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.FPGA_NeedGetParams) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.FPGA_NeedGetParams) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.FPGA_NeedGetParams) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.FPGA_NeedGetParams) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.FPGA_NeedGetParams) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.FPGA_NeedGetParams) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.FPGA_NeedGetParams) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.FPGA_NeedGetParams) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.FPGA_NeedGetParams) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.FPGA_NeedGetParams) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.FPGA_NeedGetParams) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.FPGA_NeedGetParams) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.FPGA_NeedGetParams) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.FPGA_NeedGetParams) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.FPGA_NeedGetParams) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.FPGA_NeedGetParams) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.FPGA_NeedGetParams) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.FPGA_NeedRestart) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.FPGA_NeedRestart) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.FPGA_NeedRestart) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.FPGA_NeedRestart) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.FPGA_NeedRestart) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.FPGA_NeedRestart) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.FPGA_NeedRestart) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.FPGA_NeedRestart) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.FPGA_NeedRestart) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.FPGA_NeedRestart) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.FPGA_NeedRestart) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.FPGA_NeedRestart) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.FPGA_NeedRestart) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.FPGA_NeedRestart) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.FPGA_NeedRestart) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.FPGA_NeedRestart) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.FPGA_NeedRestart) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.FPGA_NeedRestart) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.FPGA_NeedRestart) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.FPGA_NeedRestart) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.FPGA_NeedRestart) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.FPGA_Buffer_underrun) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.FPGA_Buffer_underrun) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.FPGA_Buffer_underrun) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.FPGA_Buffer_underrun) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.FPGA_Buffer_underrun) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.FPGA_Buffer_underrun) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.FPGA_Buffer_underrun) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.FPGA_Buffer_underrun) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.FPGA_Buffer_underrun) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.FPGA_Buffer_underrun) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.FPGA_Buffer_underrun) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.FPGA_Buffer_underrun) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.FPGA_Buffer_underrun) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.FPGA_Buffer_underrun) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.FPGA_Buffer_underrun) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.FPGA_Buffer_underrun) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.FPGA_Buffer_underrun) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.FPGA_Buffer_underrun) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.FPGA_Buffer_underrun) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.FPGA_Buffer_underrun) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.FPGA_Buffer_underrun) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.FPGA_Audio_RXBuffer_Index) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.FPGA_Audio_RXBuffer_Index) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.FPGA_Audio_RXBuffer_Index) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.FPGA_Audio_RXBuffer_Index) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.FPGA_Audio_RXBuffer_Index) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.FPGA_Audio_RXBuffer_Index) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.FPGA_Audio_RXBuffer_Index) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.FPGA_Audio_RXBuffer_Index) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.FPGA_Audio_RXBuffer_Index) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.FPGA_Audio_RXBuffer_Index) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.FPGA_Audio_RXBuffer_Index) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.FPGA_Audio_RXBuffer_Index) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.FPGA_Audio_RXBuffer_Index) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.FPGA_Audio_RXBuffer_Index) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.FPGA_Audio_RXBuffer_Index) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.FPGA_Audio_RXBuffer_Index) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.FPGA_Audio_RXBuffer_Index) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.FPGA_Audio_RXBuffer_Index) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.FPGA_Audio_RXBuffer_Index) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.FPGA_Audio_RXBuffer_Index) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.FPGA_Audio_RXBuffer_Index) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.FPGA_Audio_TXBuffer_Index) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.FPGA_Audio_TXBuffer_Index) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.FPGA_Audio_TXBuffer_Index) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.FPGA_Audio_TXBuffer_Index) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.FPGA_Audio_TXBuffer_Index) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.FPGA_Audio_TXBuffer_Index) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.FPGA_Audio_TXBuffer_Index) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.FPGA_Audio_TXBuffer_Index) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.FPGA_Audio_TXBuffer_Index) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.FPGA_Audio_TXBuffer_Index) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.FPGA_Audio_TXBuffer_Index) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.FPGA_Audio_TXBuffer_Index) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.FPGA_Audio_TXBuffer_Index) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.FPGA_Audio_TXBuffer_Index) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.FPGA_Audio_TXBuffer_Index) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.FPGA_Audio_TXBuffer_Index) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.FPGA_Audio_TXBuffer_Index) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.FPGA_Audio_TXBuffer_Index) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.FPGA_Audio_TXBuffer_Index) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.FPGA_Audio_TXBuffer_Index) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.FPGA_Audio_TXBuffer_Index) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.FPGA_Audio_Buffer_State) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.FPGA_Audio_Buffer_State) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.FPGA_Audio_Buffer_State) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.FPGA_Audio_Buffer_State) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.FPGA_Audio_Buffer_State) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.FPGA_Audio_Buffer_State) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.FPGA_Audio_Buffer_State) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.FPGA_Audio_Buffer_State) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.FPGA_Audio_Buffer_State) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.FPGA_Audio_Buffer_State) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.FPGA_Audio_Buffer_State) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.FPGA_Audio_Buffer_State) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.FPGA_Audio_Buffer_State) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.FPGA_Audio_Buffer_State) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.FPGA_Audio_Buffer_State) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.FPGA_Audio_Buffer_State) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.FPGA_Audio_Buffer_State) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.FPGA_Audio_Buffer_State) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.FPGA_Audio_Buffer_State) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.FPGA_Audio_Buffer_State) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.FPGA_Audio_Buffer_State) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.FPGA_GPIO_InitStruct) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.FPGA_GPIO_InitStruct) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.FPGA_GPIO_InitStruct) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.FPGA_GPIO_InitStruct) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.FPGA_GPIO_InitStruct) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.FPGA_GPIO_InitStruct) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.FPGA_GPIO_InitStruct) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.FPGA_GPIO_InitStruct) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.FPGA_GPIO_InitStruct) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.FPGA_GPIO_InitStruct) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.FPGA_GPIO_InitStruct) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.FPGA_GPIO_InitStruct) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.FPGA_GPIO_InitStruct) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.FPGA_GPIO_InitStruct) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.FPGA_GPIO_InitStruct) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.FPGA_GPIO_InitStruct) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.FPGA_GPIO_InitStruct) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.FPGA_GPIO_InitStruct) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.FPGA_GPIO_InitStruct) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.FPGA_GPIO_InitStruct) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.FPGA_GPIO_InitStruct) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.FPGA_bus_stop) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.FPGA_bus_stop) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.FPGA_bus_stop) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.FPGA_bus_stop) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.FPGA_bus_stop) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.FPGA_bus_stop) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.FPGA_bus_stop) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.FPGA_bus_stop) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.FPGA_bus_stop) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.FPGA_bus_stop) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.FPGA_bus_stop) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.FPGA_bus_stop) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.FPGA_bus_stop) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.FPGA_bus_stop) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.FPGA_bus_stop) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.FPGA_bus_stop) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.FPGA_bus_stop) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.FPGA_bus_stop) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.FPGA_bus_stop) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.FPGA_bus_stop) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.FPGA_bus_stop) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.FPGA_restart.FPGA_restart_state) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.FPGA_restart.FPGA_restart_state) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.FPGA_restart.FPGA_restart_state) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.FPGA_restart.FPGA_restart_state) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.FPGA_restart.FPGA_restart_state) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.FPGA_restart.FPGA_restart_state) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.FPGA_restart.FPGA_restart_state) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.FPGA_restart.FPGA_restart_state) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.FPGA_restart.FPGA_restart_state) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.FPGA_restart.FPGA_restart_state) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.FPGA_restart.FPGA_restart_state) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.FPGA_restart.FPGA_restart_state) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.FPGA_restart.FPGA_restart_state) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.FPGA_restart.FPGA_restart_state) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.FPGA_restart.FPGA_restart_state) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.FPGA_restart.FPGA_restart_state) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.FPGA_restart.FPGA_restart_state) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.FPGA_restart.FPGA_restart_state) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.FPGA_restart.FPGA_restart_state) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.FPGA_restart.FPGA_restart_state) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.FPGA_restart.FPGA_restart_state) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.SPI_process) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.SPI_process) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.SPI_process) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.SPI_process) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.SPI_process) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.SPI_process) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.SPI_process) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.SPI_process) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.SPI_process) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.SPI_process) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.SPI_process) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.SPI_process) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.SPI_process) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.SPI_process) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.SPI_process) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.SPI_process) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.SPI_process) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.SPI_process) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.SPI_process) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.SPI_process) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.SPI_process) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.CPULOAD_status) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.CPULOAD_status) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.CPULOAD_status) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.CPULOAD_status) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.CPULOAD_status) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.CPULOAD_status) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.CPULOAD_status) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.CPULOAD_status) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.CPULOAD_status) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.CPULOAD_status) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.CPULOAD_status) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.CPULOAD_status) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.CPULOAD_status) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.CPULOAD_status) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.CPULOAD_status) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.CPULOAD_status) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.CPULOAD_status) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.CPULOAD_status) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.CPULOAD_status) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.CPULOAD_status) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.CPULOAD_status) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.CPULOAD_WorkingTime) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.CPULOAD_WorkingTime) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.CPULOAD_WorkingTime) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.CPULOAD_WorkingTime) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.CPULOAD_WorkingTime) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.CPULOAD_WorkingTime) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.CPULOAD_WorkingTime) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.CPULOAD_WorkingTime) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.CPULOAD_WorkingTime) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.CPULOAD_WorkingTime) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.CPULOAD_WorkingTime) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.CPULOAD_WorkingTime) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.CPULOAD_WorkingTime) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.CPULOAD_WorkingTime) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.CPULOAD_WorkingTime) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.CPULOAD_WorkingTime) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.CPULOAD_WorkingTime) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.CPULOAD_WorkingTime) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.CPULOAD_WorkingTime) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.CPULOAD_WorkingTime) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.CPULOAD_WorkingTime) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepCounter) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepCounter) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepCounter) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepCounter) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepCounter) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepCounter) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepCounter) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepCounter) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepCounter) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepCounter) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepCounter) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepCounter) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepCounter) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepCounter) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepCounter) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepCounter) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepCounter) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepCounter) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepCounter) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepCounter) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepCounter) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.SPI_busy) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.SPI_busy) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.SPI_busy) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.SPI_busy) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.SPI_busy) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.SPI_busy) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.SPI_busy) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.SPI_busy) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.SPI_busy) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.SPI_busy) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.SPI_busy) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.SPI_busy) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.SPI_busy) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.SPI_busy) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.SPI_busy) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.SPI_busy) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.SPI_busy) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.SPI_busy) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.SPI_busy) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.SPI_busy) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.SPI_busy) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons_Active) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons_Active) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons_Active) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons_Active) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons_Active) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons_Active) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons_Active) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons_Active) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons_Active) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons_Active) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons_Active) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons_Active) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons_Active) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons_Active) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons_Active) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons_Active) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons_Active) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons_Active) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons_Active) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons_Active) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons_Active) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons_Active) refers to lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_PRE) for FRONTPANEL_BUTTONHANDLER_PRE - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATT) for FRONTPANEL_BUTTONHANDLER_ATT - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) for FRONTPANEL_BUTTONHANDLER_ATTHOLD - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) for FRONTPANEL_BUTTONHANDLER_BW - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) for FRONTPANEL_BUTTONHANDLER_HPF - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) for FRONTPANEL_BUTTONHANDLER_AsB - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ArB) for FRONTPANEL_BUTTONHANDLER_ArB - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) for FRONTPANEL_BUTTONHANDLER_RF_POWER - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_TUNE) for FRONTPANEL_BUTTONHANDLER_TUNE - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) for FRONTPANEL_BUTTONHANDLER_NOTCH - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_FAST) for FRONTPANEL_BUTTONHANDLER_FAST - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC) for FRONTPANEL_BUTTONHANDLER_AGC - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) for FRONTPANEL_BUTTONHANDLER_AGC_SPEED - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_CLAR) for FRONTPANEL_BUTTONHANDLER_CLAR - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MUTE) for FRONTPANEL_BUTTONHANDLER_MUTE - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_LOCK) for FRONTPANEL_BUTTONHANDLER_LOCK - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) for FRONTPANEL_BUTTONHANDLER_VOLUME - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) for FRONTPANEL_BUTTONHANDLER_BANDMAP - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) for FRONTPANEL_BUTTONHANDLER_WPM - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_KEYER) for FRONTPANEL_BUTTONHANDLER_KEYER - lto-llvm-ea22a7.o(.rodata.str1.4) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.str1.4) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.str1.4) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.str1.4) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.str1.4) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.str1.4) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.str1.4) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.str1.4) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.str1.4) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.str1.4) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.str1.4) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.str1.4) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.str1.4) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.str1.4) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.str1.4) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.str1.4) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.str1.4) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.str1.4) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.str1.4) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.str1.4) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.str1.4) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.PERIPH_FrontPanel_BottomScroll_index) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.PERIPH_FrontPanel_BottomScroll_index) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.PERIPH_FrontPanel_BottomScroll_index) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.PERIPH_FrontPanel_BottomScroll_index) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.PERIPH_FrontPanel_BottomScroll_index) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.PERIPH_FrontPanel_BottomScroll_index) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.PERIPH_FrontPanel_BottomScroll_index) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.PERIPH_FrontPanel_BottomScroll_index) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.PERIPH_FrontPanel_BottomScroll_index) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.PERIPH_FrontPanel_BottomScroll_index) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.PERIPH_FrontPanel_BottomScroll_index) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.PERIPH_FrontPanel_BottomScroll_index) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.PERIPH_FrontPanel_BottomScroll_index) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.PERIPH_FrontPanel_BottomScroll_index) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.PERIPH_FrontPanel_BottomScroll_index) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.PERIPH_FrontPanel_BottomScroll_index) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.PERIPH_FrontPanel_BottomScroll_index) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.PERIPH_FrontPanel_BottomScroll_index) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.PERIPH_FrontPanel_BottomScroll_index) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.PERIPH_FrontPanel_BottomScroll_index) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.PERIPH_FrontPanel_BottomScroll_index) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCfirst) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCfirst) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCfirst) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCfirst) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCfirst) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCfirst) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCfirst) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCfirst) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCfirst) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCfirst) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCfirst) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCfirst) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCfirst) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCfirst) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCfirst) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCfirst) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCfirst) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCfirst) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCfirst) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCfirst) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCfirst) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENClastClkVal) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENClastClkVal) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENClastClkVal) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENClastClkVal) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENClastClkVal) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENClastClkVal) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENClastClkVal) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENClastClkVal) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENClastClkVal) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENClastClkVal) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENClastClkVal) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENClastClkVal) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENClastClkVal) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENClastClkVal) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENClastClkVal) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENClastClkVal) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENClastClkVal) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENClastClkVal) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENClastClkVal) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENClastClkVal) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENClastClkVal) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.ENCODER_AValDeb) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.ENCODER_AValDeb) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.ENCODER_AValDeb) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.ENCODER_AValDeb) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.ENCODER_AValDeb) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.ENCODER_AValDeb) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.ENCODER_AValDeb) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.ENCODER_AValDeb) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.ENCODER_AValDeb) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.ENCODER_AValDeb) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.ENCODER_AValDeb) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.ENCODER_AValDeb) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.ENCODER_AValDeb) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.ENCODER_AValDeb) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.ENCODER_AValDeb) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.ENCODER_AValDeb) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.ENCODER_AValDeb) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.ENCODER_AValDeb) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.ENCODER_AValDeb) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.ENCODER_AValDeb) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.ENCODER_AValDeb) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.ENCODER_slowler) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.ENCODER_slowler) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.ENCODER_slowler) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.ENCODER_slowler) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.ENCODER_slowler) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.ENCODER_slowler) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.ENCODER_slowler) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.ENCODER_slowler) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.ENCODER_slowler) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.ENCODER_slowler) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.ENCODER_slowler) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.ENCODER_slowler) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.ENCODER_slowler) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.ENCODER_slowler) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.ENCODER_slowler) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.ENCODER_slowler) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.ENCODER_slowler) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.ENCODER_slowler) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.ENCODER_slowler) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.ENCODER_slowler) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.ENCODER_slowler) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCticksInInterval) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCticksInInterval) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCticksInInterval) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCticksInInterval) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCticksInInterval) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCticksInInterval) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCticksInInterval) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCticksInInterval) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCticksInInterval) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCticksInInterval) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCticksInInterval) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCticksInInterval) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCticksInInterval) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCticksInInterval) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCticksInInterval) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCticksInInterval) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCticksInInterval) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCticksInInterval) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCticksInInterval) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCticksInInterval) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCticksInInterval) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCstartMeasureTime) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCstartMeasureTime) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCstartMeasureTime) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCstartMeasureTime) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCstartMeasureTime) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCstartMeasureTime) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCstartMeasureTime) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCstartMeasureTime) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCstartMeasureTime) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCstartMeasureTime) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCstartMeasureTime) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCstartMeasureTime) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCstartMeasureTime) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCstartMeasureTime) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCstartMeasureTime) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCstartMeasureTime) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCstartMeasureTime) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCstartMeasureTime) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCstartMeasureTime) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCstartMeasureTime) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCstartMeasureTime) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCAcceleration) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCAcceleration) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCAcceleration) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCAcceleration) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCAcceleration) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCAcceleration) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCAcceleration) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCAcceleration) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCAcceleration) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCAcceleration) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCAcceleration) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCAcceleration) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCAcceleration) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCAcceleration) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCAcceleration) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCAcceleration) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCAcceleration) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCAcceleration) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCAcceleration) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCAcceleration) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCAcceleration) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.ENCODER2_AValDeb) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.ENCODER2_AValDeb) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.ENCODER2_AValDeb) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.ENCODER2_AValDeb) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.ENCODER2_AValDeb) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.ENCODER2_AValDeb) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.ENCODER2_AValDeb) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.ENCODER2_AValDeb) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.ENCODER2_AValDeb) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.ENCODER2_AValDeb) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.ENCODER2_AValDeb) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.ENCODER2_AValDeb) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.ENCODER2_AValDeb) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.ENCODER2_AValDeb) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.ENCODER2_AValDeb) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.ENCODER2_AValDeb) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.ENCODER2_AValDeb) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.ENCODER2_AValDeb) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.ENCODER2_AValDeb) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.ENCODER2_AValDeb) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.ENCODER2_AValDeb) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.FRONTPANEL_check_ENC2SW.ENC2SW_Last) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.FRONTPANEL_check_ENC2SW.ENC2SW_Last) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.FRONTPANEL_check_ENC2SW.ENC2SW_Last) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.FRONTPANEL_check_ENC2SW.ENC2SW_Last) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.FRONTPANEL_check_ENC2SW.ENC2SW_Last) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.FRONTPANEL_check_ENC2SW.ENC2SW_Last) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.FRONTPANEL_check_ENC2SW.ENC2SW_Last) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.FRONTPANEL_check_ENC2SW.ENC2SW_Last) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.FRONTPANEL_check_ENC2SW.ENC2SW_Last) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.FRONTPANEL_check_ENC2SW.ENC2SW_Last) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.FRONTPANEL_check_ENC2SW.ENC2SW_Last) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.FRONTPANEL_check_ENC2SW.ENC2SW_Last) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.FRONTPANEL_check_ENC2SW.ENC2SW_Last) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.FRONTPANEL_check_ENC2SW.ENC2SW_Last) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.FRONTPANEL_check_ENC2SW.ENC2SW_Last) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.FRONTPANEL_check_ENC2SW.ENC2SW_Last) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.FRONTPANEL_check_ENC2SW.ENC2SW_Last) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.FRONTPANEL_check_ENC2SW.ENC2SW_Last) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.FRONTPANEL_check_ENC2SW.ENC2SW_Last) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.FRONTPANEL_check_ENC2SW.ENC2SW_Last) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.FRONTPANEL_check_ENC2SW.ENC2SW_Last) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.FRONTPanel_MCP3008_1_Enabled) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.FRONTPanel_MCP3008_1_Enabled) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.FRONTPanel_MCP3008_1_Enabled) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.FRONTPanel_MCP3008_1_Enabled) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.FRONTPanel_MCP3008_1_Enabled) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.FRONTPanel_MCP3008_1_Enabled) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.FRONTPanel_MCP3008_1_Enabled) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.FRONTPanel_MCP3008_1_Enabled) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.FRONTPanel_MCP3008_1_Enabled) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.FRONTPanel_MCP3008_1_Enabled) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.FRONTPanel_MCP3008_1_Enabled) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.FRONTPanel_MCP3008_1_Enabled) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.FRONTPanel_MCP3008_1_Enabled) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.FRONTPanel_MCP3008_1_Enabled) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.FRONTPanel_MCP3008_1_Enabled) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.FRONTPanel_MCP3008_1_Enabled) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.FRONTPanel_MCP3008_1_Enabled) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.FRONTPanel_MCP3008_1_Enabled) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.FRONTPanel_MCP3008_1_Enabled) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.FRONTPanel_MCP3008_1_Enabled) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.FRONTPanel_MCP3008_1_Enabled) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_Static_Buttons) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_Static_Buttons) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_Static_Buttons) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_Static_Buttons) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_Static_Buttons) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_Static_Buttons) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_Static_Buttons) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_Static_Buttons) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_Static_Buttons) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_Static_Buttons) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_Static_Buttons) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_Static_Buttons) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_Static_Buttons) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_Static_Buttons) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_Static_Buttons) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_Static_Buttons) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_Static_Buttons) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_Static_Buttons) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_Static_Buttons) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_Static_Buttons) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_Static_Buttons) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_Static_Buttons) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_N) for FRONTPANEL_BUTTONHANDLER_MODE_N - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_Static_Buttons) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) for FRONTPANEL_BUTTONHANDLER_MODE_P - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_Static_Buttons) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_P) for FRONTPANEL_BUTTONHANDLER_BAND_P - lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_Static_Buttons) refers to lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_N) for FRONTPANEL_BUTTONHANDLER_BAND_N - lto-llvm-ea22a7.o(.data.I2C_WM8731) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.I2C_WM8731) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.I2C_WM8731) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.I2C_WM8731) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.I2C_WM8731) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.I2C_WM8731) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.I2C_WM8731) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.I2C_WM8731) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.I2C_WM8731) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.I2C_WM8731) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.I2C_WM8731) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.I2C_WM8731) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.I2C_WM8731) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.I2C_WM8731) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.I2C_WM8731) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.I2C_WM8731) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.I2C_WM8731) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.I2C_WM8731) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.I2C_WM8731) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.I2C_WM8731) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.I2C_WM8731) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.LCD_busy) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.LCD_busy) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.LCD_busy) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.LCD_busy) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.LCD_busy) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.LCD_busy) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.LCD_busy) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.LCD_busy) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.LCD_busy) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.LCD_busy) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.LCD_busy) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.LCD_busy) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.LCD_busy) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.LCD_busy) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.LCD_busy) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.LCD_busy) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.LCD_busy) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.LCD_busy) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.LCD_busy) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.LCD_busy) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.LCD_busy) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.LCD_inited) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.LCD_inited) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.LCD_inited) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.LCD_inited) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.LCD_inited) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.LCD_inited) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.LCD_inited) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.LCD_inited) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.LCD_inited) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.LCD_inited) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.LCD_inited) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.LCD_inited) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.LCD_inited) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.LCD_inited) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.LCD_inited) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.LCD_inited) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.LCD_inited) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.LCD_inited) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.LCD_inited) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.LCD_inited) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.LCD_inited) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.LCD_bw_trapez_stripe_pos) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.LCD_bw_trapez_stripe_pos) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.LCD_bw_trapez_stripe_pos) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.LCD_bw_trapez_stripe_pos) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.LCD_bw_trapez_stripe_pos) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.LCD_bw_trapez_stripe_pos) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.LCD_bw_trapez_stripe_pos) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.LCD_bw_trapez_stripe_pos) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.LCD_bw_trapez_stripe_pos) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.LCD_bw_trapez_stripe_pos) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.LCD_bw_trapez_stripe_pos) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.LCD_bw_trapez_stripe_pos) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.LCD_bw_trapez_stripe_pos) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.LCD_bw_trapez_stripe_pos) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.LCD_bw_trapez_stripe_pos) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.LCD_bw_trapez_stripe_pos) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.LCD_bw_trapez_stripe_pos) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.LCD_bw_trapez_stripe_pos) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.LCD_bw_trapez_stripe_pos) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.LCD_bw_trapez_stripe_pos) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.LCD_bw_trapez_stripe_pos) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.COLOR) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.COLOR) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.COLOR) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.COLOR) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.COLOR) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.COLOR) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.COLOR) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.COLOR) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.COLOR) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.COLOR) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.COLOR) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.COLOR) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.COLOR) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.COLOR) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.COLOR) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.COLOR) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.COLOR) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.COLOR) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.COLOR) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.COLOR) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.COLOR) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.COLOR) refers to lto-llvm-ea22a7.o(.rodata.COLOR_THEMES) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.LCD_last_s_meter) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.LCD_last_s_meter) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.LCD_last_s_meter) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.LCD_last_s_meter) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.LCD_last_s_meter) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.LCD_last_s_meter) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.LCD_last_s_meter) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.LCD_last_s_meter) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.LCD_last_s_meter) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.LCD_last_s_meter) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.LCD_last_s_meter) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.LCD_last_s_meter) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.LCD_last_s_meter) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.LCD_last_s_meter) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.LCD_last_s_meter) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.LCD_last_s_meter) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.LCD_last_s_meter) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.LCD_last_s_meter) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.LCD_last_s_meter) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.LCD_last_s_meter) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.LCD_last_s_meter) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.Last_showed_Hours) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.Last_showed_Hours) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.Last_showed_Hours) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.Last_showed_Hours) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.Last_showed_Hours) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.Last_showed_Hours) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.Last_showed_Hours) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.Last_showed_Hours) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.Last_showed_Hours) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.Last_showed_Hours) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.Last_showed_Hours) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.Last_showed_Hours) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.Last_showed_Hours) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.Last_showed_Hours) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.Last_showed_Hours) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.Last_showed_Hours) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.Last_showed_Hours) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.Last_showed_Hours) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.Last_showed_Hours) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.Last_showed_Hours) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.Last_showed_Hours) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.Last_showed_Minutes) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.Last_showed_Minutes) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.Last_showed_Minutes) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.Last_showed_Minutes) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.Last_showed_Minutes) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.Last_showed_Minutes) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.Last_showed_Minutes) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.Last_showed_Minutes) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.Last_showed_Minutes) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.Last_showed_Minutes) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.Last_showed_Minutes) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.Last_showed_Minutes) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.Last_showed_Minutes) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.Last_showed_Minutes) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.Last_showed_Minutes) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.Last_showed_Minutes) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.Last_showed_Minutes) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.Last_showed_Minutes) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.Last_showed_Minutes) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.Last_showed_Minutes) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.Last_showed_Minutes) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.Last_showed_Seconds) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.Last_showed_Seconds) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.Last_showed_Seconds) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.Last_showed_Seconds) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.Last_showed_Seconds) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.Last_showed_Seconds) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.Last_showed_Seconds) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.Last_showed_Seconds) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.Last_showed_Seconds) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.Last_showed_Seconds) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.Last_showed_Seconds) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.Last_showed_Seconds) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.Last_showed_Seconds) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.Last_showed_Seconds) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.Last_showed_Seconds) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.Last_showed_Seconds) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.Last_showed_Seconds) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.Last_showed_Seconds) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.Last_showed_Seconds) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.Last_showed_Seconds) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.Last_showed_Seconds) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.Tooltip_string) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.Tooltip_string) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.Tooltip_string) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.Tooltip_string) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.Tooltip_string) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.Tooltip_string) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.Tooltip_string) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.Tooltip_string) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.Tooltip_string) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.Tooltip_string) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.Tooltip_string) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.Tooltip_string) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.Tooltip_string) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.Tooltip_string) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.Tooltip_string) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.Tooltip_string) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.Tooltip_string) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.Tooltip_string) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.Tooltip_string) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.Tooltip_string) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.Tooltip_string) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7b) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7b) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7b) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7b) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7b) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7b) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7b) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7b) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7b) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7b) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7b) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7b) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7b) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7b) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7b) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7b) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7b) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7b) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7b) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7b) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7b) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7b) refers to lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bBitmaps) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7b) refers to lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bGlyphs) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.bss.Tooltip_first_draw) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.Tooltip_first_draw) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.Tooltip_first_draw) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.Tooltip_first_draw) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.Tooltip_first_draw) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.Tooltip_first_draw) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.Tooltip_first_draw) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.Tooltip_first_draw) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.Tooltip_first_draw) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.Tooltip_first_draw) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.Tooltip_first_draw) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.Tooltip_first_draw) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.Tooltip_first_draw) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.Tooltip_first_draw) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.Tooltip_first_draw) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.Tooltip_first_draw) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.Tooltip_first_draw) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.Tooltip_first_draw) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.Tooltip_first_draw) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.Tooltip_first_draw) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.Tooltip_first_draw) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.Tooltip_DiplayStartTime) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.Tooltip_DiplayStartTime) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.Tooltip_DiplayStartTime) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.Tooltip_DiplayStartTime) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.Tooltip_DiplayStartTime) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.Tooltip_DiplayStartTime) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.Tooltip_DiplayStartTime) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.Tooltip_DiplayStartTime) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.Tooltip_DiplayStartTime) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.Tooltip_DiplayStartTime) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.Tooltip_DiplayStartTime) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.Tooltip_DiplayStartTime) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.Tooltip_DiplayStartTime) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.Tooltip_DiplayStartTime) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.Tooltip_DiplayStartTime) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.Tooltip_DiplayStartTime) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.Tooltip_DiplayStartTime) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.Tooltip_DiplayStartTime) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.Tooltip_DiplayStartTime) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.Tooltip_DiplayStartTime) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.Tooltip_DiplayStartTime) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bBitmaps) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bBitmaps) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bBitmaps) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bBitmaps) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bBitmaps) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bBitmaps) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bBitmaps) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bBitmaps) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bBitmaps) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bBitmaps) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bBitmaps) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bBitmaps) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bBitmaps) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bBitmaps) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bBitmaps) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bBitmaps) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bBitmaps) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bBitmaps) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bBitmaps) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bBitmaps) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bBitmaps) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bGlyphs) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bGlyphs) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bGlyphs) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bGlyphs) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bGlyphs) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bGlyphs) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bGlyphs) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bGlyphs) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bGlyphs) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bGlyphs) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bGlyphs) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bGlyphs) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bGlyphs) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bGlyphs) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bGlyphs) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bGlyphs) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bGlyphs) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bGlyphs) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bGlyphs) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bGlyphs) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bGlyphs) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_x) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_x) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_x) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_x) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_x) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_x) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_x) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_x) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_x) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_x) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_x) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_x) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_x) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_x) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_x) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_x) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_x) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_x) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_x) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_x) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_x) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_settime) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_settime) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_settime) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_settime) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_settime) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_settime) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_settime) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_settime) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_settime) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_settime) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_settime) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_settime) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_settime) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_settime) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_settime) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_settime) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_settime) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_settime) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_settime) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_settime) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_settime) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.LCD_displayStatusInfoBar.TRX_RX_dBm_averaging) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.LCD_displayStatusInfoBar.TRX_RX_dBm_averaging) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.LCD_displayStatusInfoBar.TRX_RX_dBm_averaging) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.LCD_displayStatusInfoBar.TRX_RX_dBm_averaging) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.LCD_displayStatusInfoBar.TRX_RX_dBm_averaging) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.LCD_displayStatusInfoBar.TRX_RX_dBm_averaging) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.LCD_displayStatusInfoBar.TRX_RX_dBm_averaging) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.LCD_displayStatusInfoBar.TRX_RX_dBm_averaging) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.LCD_displayStatusInfoBar.TRX_RX_dBm_averaging) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.LCD_displayStatusInfoBar.TRX_RX_dBm_averaging) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.LCD_displayStatusInfoBar.TRX_RX_dBm_averaging) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.LCD_displayStatusInfoBar.TRX_RX_dBm_averaging) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.LCD_displayStatusInfoBar.TRX_RX_dBm_averaging) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.LCD_displayStatusInfoBar.TRX_RX_dBm_averaging) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.LCD_displayStatusInfoBar.TRX_RX_dBm_averaging) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.LCD_displayStatusInfoBar.TRX_RX_dBm_averaging) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.LCD_displayStatusInfoBar.TRX_RX_dBm_averaging) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.LCD_displayStatusInfoBar.TRX_RX_dBm_averaging) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.LCD_displayStatusInfoBar.TRX_RX_dBm_averaging) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.LCD_displayStatusInfoBar.TRX_RX_dBm_averaging) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.LCD_displayStatusInfoBar.TRX_RX_dBm_averaging) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7b) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7b) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7b) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7b) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7b) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7b) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7b) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7b) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7b) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7b) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7b) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7b) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7b) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7b) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7b) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7b) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7b) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7b) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7b) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7b) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7b) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7b) refers to lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bBitmaps) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7b) refers to lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bGlyphs) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.bss.Hours) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.Hours) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.Hours) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.Hours) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.Hours) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.Hours) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.Hours) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.Hours) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.Hours) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.Hours) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.Hours) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.Hours) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.Hours) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.Hours) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.Hours) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.Hours) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.Hours) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.Hours) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.Hours) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.Hours) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.Hours) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.Minutes) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.Minutes) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.Minutes) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.Minutes) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.Minutes) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.Minutes) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.Minutes) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.Minutes) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.Minutes) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.Minutes) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.Minutes) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.Minutes) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.Minutes) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.Minutes) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.Minutes) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.Minutes) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.Minutes) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.Minutes) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.Minutes) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.Minutes) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.Minutes) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.Seconds) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.Seconds) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.Seconds) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.Seconds) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.Seconds) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.Seconds) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.Seconds) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.Seconds) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.Seconds) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.Seconds) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.Seconds) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.Seconds) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.Seconds) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.Seconds) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.Seconds) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.Seconds) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.Seconds) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.Seconds) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.Seconds) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.Seconds) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.Seconds) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b) refers to lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b) refers to lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bBitmaps) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bBitmaps) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bBitmaps) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bBitmaps) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bBitmaps) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bBitmaps) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bBitmaps) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bBitmaps) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bBitmaps) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bBitmaps) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bBitmaps) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bBitmaps) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bBitmaps) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bBitmaps) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bBitmaps) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bBitmaps) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bBitmaps) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bBitmaps) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bBitmaps) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bBitmaps) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bBitmaps) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bGlyphs) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bGlyphs) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bGlyphs) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bGlyphs) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bGlyphs) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bGlyphs) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bGlyphs) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bGlyphs) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bGlyphs) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bGlyphs) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bGlyphs) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bGlyphs) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bGlyphs) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bGlyphs) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bGlyphs) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bGlyphs) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bGlyphs) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bGlyphs) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bGlyphs) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bGlyphs) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bGlyphs) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7b) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7b) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7b) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7b) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7b) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7b) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7b) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7b) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7b) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7b) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7b) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7b) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7b) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7b) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7b) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7b) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7b) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7b) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7b) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7b) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7b) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7b) refers to lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bBitmaps) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7b) refers to lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bGlyphs) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bBitmaps) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bBitmaps) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bBitmaps) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bBitmaps) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bBitmaps) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bBitmaps) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bBitmaps) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bBitmaps) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bBitmaps) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bBitmaps) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bBitmaps) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bBitmaps) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bBitmaps) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bBitmaps) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bBitmaps) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bBitmaps) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bBitmaps) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bBitmaps) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bBitmaps) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bBitmaps) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bBitmaps) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bGlyphs) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bGlyphs) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bGlyphs) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bGlyphs) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bGlyphs) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bGlyphs) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bGlyphs) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bGlyphs) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bGlyphs) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bGlyphs) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bGlyphs) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bGlyphs) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bGlyphs) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bGlyphs) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bGlyphs) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bGlyphs) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bGlyphs) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bGlyphs) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bGlyphs) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bGlyphs) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bGlyphs) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.LCD_freq_string_hz) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.LCD_freq_string_hz) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.LCD_freq_string_hz) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.LCD_freq_string_hz) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.LCD_freq_string_hz) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.LCD_freq_string_hz) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.LCD_freq_string_hz) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.LCD_freq_string_hz) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.LCD_freq_string_hz) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.LCD_freq_string_hz) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.LCD_freq_string_hz) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.LCD_freq_string_hz) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.LCD_freq_string_hz) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.LCD_freq_string_hz) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.LCD_freq_string_hz) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.LCD_freq_string_hz) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.LCD_freq_string_hz) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.LCD_freq_string_hz) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.LCD_freq_string_hz) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.LCD_freq_string_hz) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.LCD_freq_string_hz) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.LCD_freq_string_khz) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.LCD_freq_string_khz) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.LCD_freq_string_khz) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.LCD_freq_string_khz) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.LCD_freq_string_khz) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.LCD_freq_string_khz) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.LCD_freq_string_khz) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.LCD_freq_string_khz) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.LCD_freq_string_khz) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.LCD_freq_string_khz) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.LCD_freq_string_khz) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.LCD_freq_string_khz) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.LCD_freq_string_khz) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.LCD_freq_string_khz) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.LCD_freq_string_khz) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.LCD_freq_string_khz) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.LCD_freq_string_khz) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.LCD_freq_string_khz) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.LCD_freq_string_khz) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.LCD_freq_string_khz) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.LCD_freq_string_khz) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.LCD_freq_string_mhz) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.LCD_freq_string_mhz) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.LCD_freq_string_mhz) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.LCD_freq_string_mhz) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.LCD_freq_string_mhz) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.LCD_freq_string_mhz) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.LCD_freq_string_mhz) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.LCD_freq_string_mhz) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.LCD_freq_string_mhz) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.LCD_freq_string_mhz) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.LCD_freq_string_mhz) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.LCD_freq_string_mhz) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.LCD_freq_string_mhz) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.LCD_freq_string_mhz) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.LCD_freq_string_mhz) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.LCD_freq_string_mhz) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.LCD_freq_string_mhz) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.LCD_freq_string_mhz) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.LCD_freq_string_mhz) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.LCD_freq_string_mhz) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.LCD_freq_string_mhz) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.Quito32pt7b) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.Quito32pt7b) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.Quito32pt7b) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.Quito32pt7b) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.Quito32pt7b) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.Quito32pt7b) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.Quito32pt7b) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.Quito32pt7b) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.Quito32pt7b) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.Quito32pt7b) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.Quito32pt7b) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.Quito32pt7b) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.Quito32pt7b) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.Quito32pt7b) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.Quito32pt7b) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.Quito32pt7b) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.Quito32pt7b) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.Quito32pt7b) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.Quito32pt7b) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.Quito32pt7b) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.Quito32pt7b) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.Quito32pt7b) refers to lto-llvm-ea22a7.o(.rodata.Quito32pt7bBitmaps) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.Quito32pt7b) refers to lto-llvm-ea22a7.o(.rodata.Quito32pt7bGlyphs) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.Quito32pt7bBitmaps) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.Quito32pt7bBitmaps) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.Quito32pt7bBitmaps) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.Quito32pt7bBitmaps) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.Quito32pt7bBitmaps) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.Quito32pt7bBitmaps) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.Quito32pt7bBitmaps) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.Quito32pt7bBitmaps) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.Quito32pt7bBitmaps) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.Quito32pt7bBitmaps) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.Quito32pt7bBitmaps) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.Quito32pt7bBitmaps) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.Quito32pt7bBitmaps) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.Quito32pt7bBitmaps) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.Quito32pt7bBitmaps) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.Quito32pt7bBitmaps) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.Quito32pt7bBitmaps) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.Quito32pt7bBitmaps) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.Quito32pt7bBitmaps) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.Quito32pt7bBitmaps) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.Quito32pt7bBitmaps) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.Quito32pt7bGlyphs) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.Quito32pt7bGlyphs) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.Quito32pt7bGlyphs) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.Quito32pt7bGlyphs) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.Quito32pt7bGlyphs) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.Quito32pt7bGlyphs) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.Quito32pt7bGlyphs) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.Quito32pt7bGlyphs) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.Quito32pt7bGlyphs) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.Quito32pt7bGlyphs) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.Quito32pt7bGlyphs) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.Quito32pt7bGlyphs) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.Quito32pt7bGlyphs) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.Quito32pt7bGlyphs) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.Quito32pt7bGlyphs) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.Quito32pt7bGlyphs) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.Quito32pt7bGlyphs) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.Quito32pt7bGlyphs) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.Quito32pt7bGlyphs) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.Quito32pt7bGlyphs) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.Quito32pt7bGlyphs) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.text_cursor_x) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.text_cursor_x) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.text_cursor_x) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.text_cursor_x) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.text_cursor_x) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.text_cursor_x) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.text_cursor_x) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.text_cursor_x) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.text_cursor_x) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.text_cursor_x) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.text_cursor_x) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.text_cursor_x) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.text_cursor_x) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.text_cursor_x) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.text_cursor_x) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.text_cursor_x) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.text_cursor_x) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.text_cursor_x) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.text_cursor_x) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.text_cursor_x) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.text_cursor_x) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.rastr_font) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.rastr_font) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.rastr_font) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.rastr_font) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.rastr_font) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.rastr_font) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.rastr_font) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.rastr_font) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.rastr_font) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.rastr_font) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.rastr_font) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.rastr_font) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.rastr_font) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.rastr_font) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.rastr_font) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.rastr_font) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.rastr_font) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.rastr_font) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.rastr_font) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.rastr_font) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.rastr_font) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.profiles) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.profiles) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.profiles) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.profiles) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.profiles) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.profiles) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.profiles) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.profiles) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.profiles) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.profiles) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.profiles) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.profiles) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.profiles) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.profiles) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.profiles) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.profiles) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.profiles) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.profiles) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.profiles) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.profiles) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.profiles) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_forward) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_forward) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_forward) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_forward) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_forward) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_forward) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_forward) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_forward) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_forward) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_forward) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_forward) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_forward) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_forward) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_forward) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_forward) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_forward) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_forward) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_forward) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_forward) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_forward) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_forward) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_backward) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_backward) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_backward) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_backward) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_backward) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_backward) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_backward) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_backward) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_backward) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_backward) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_backward) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_backward) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_backward) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_backward) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_backward) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_backward) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_backward) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_backward) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_backward) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_backward) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_backward) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.version_string) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.version_string) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.version_string) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.version_string) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.version_string) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.version_string) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.version_string) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.version_string) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.version_string) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.version_string) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.version_string) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.version_string) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.version_string) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.version_string) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.version_string) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.version_string) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.version_string) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.version_string) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.version_string) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.version_string) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.version_string) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.CALIBRATE) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.CALIBRATE) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.CALIBRATE) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.CALIBRATE) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.CALIBRATE) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.CALIBRATE) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.CALIBRATE) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.CALIBRATE) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.CALIBRATE) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.CALIBRATE) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.CALIBRATE) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.CALIBRATE) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.CALIBRATE) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.CALIBRATE) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.CALIBRATE) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.CALIBRATE) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.CALIBRATE) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.CALIBRATE) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.CALIBRATE) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.CALIBRATE) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.CALIBRATE) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.NeedSaveSettings) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.NeedSaveSettings) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.NeedSaveSettings) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.NeedSaveSettings) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.NeedSaveSettings) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.NeedSaveSettings) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.NeedSaveSettings) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.NeedSaveSettings) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.NeedSaveSettings) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.NeedSaveSettings) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.NeedSaveSettings) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.NeedSaveSettings) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.NeedSaveSettings) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.NeedSaveSettings) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.NeedSaveSettings) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.NeedSaveSettings) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.NeedSaveSettings) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.NeedSaveSettings) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.NeedSaveSettings) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.NeedSaveSettings) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.NeedSaveSettings) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.NeedSaveCalibration) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.NeedSaveCalibration) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.NeedSaveCalibration) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.NeedSaveCalibration) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.NeedSaveCalibration) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.NeedSaveCalibration) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.NeedSaveCalibration) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.NeedSaveCalibration) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.NeedSaveCalibration) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.NeedSaveCalibration) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.NeedSaveCalibration) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.NeedSaveCalibration) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.NeedSaveCalibration) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.NeedSaveCalibration) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.NeedSaveCalibration) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.NeedSaveCalibration) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.NeedSaveCalibration) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.NeedSaveCalibration) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.NeedSaveCalibration) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.NeedSaveCalibration) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.NeedSaveCalibration) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.EEPROM_Busy) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.EEPROM_Busy) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.EEPROM_Busy) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.EEPROM_Busy) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.EEPROM_Busy) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.EEPROM_Busy) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.EEPROM_Busy) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.EEPROM_Busy) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.EEPROM_Busy) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.EEPROM_Busy) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.EEPROM_Busy) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.EEPROM_Busy) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.EEPROM_Busy) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.EEPROM_Busy) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.EEPROM_Busy) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.EEPROM_Busy) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.EEPROM_Busy) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.EEPROM_Busy) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.EEPROM_Busy) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.EEPROM_Busy) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.EEPROM_Busy) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TRX) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TRX) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TRX) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TRX) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TRX) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TRX) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TRX) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TRX) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TRX) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TRX) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TRX) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TRX) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TRX) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TRX) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TRX) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TRX) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TRX) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TRX) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TRX) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TRX) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TRX) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.InitSettings.already_inited) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.InitSettings.already_inited) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.InitSettings.already_inited) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.InitSettings.already_inited) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.InitSettings.already_inited) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.InitSettings.already_inited) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.InitSettings.already_inited) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.InitSettings.already_inited) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.InitSettings.already_inited) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.InitSettings.already_inited) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.InitSettings.already_inited) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.InitSettings.already_inited) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.InitSettings.already_inited) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.InitSettings.already_inited) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.InitSettings.already_inited) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.InitSettings.already_inited) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.InitSettings.already_inited) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.InitSettings.already_inited) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.InitSettings.already_inited) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.InitSettings.already_inited) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.InitSettings.already_inited) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.EEPROM_Enabled) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.EEPROM_Enabled) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.EEPROM_Enabled) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.EEPROM_Enabled) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.EEPROM_Enabled) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.EEPROM_Enabled) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.EEPROM_Enabled) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.EEPROM_Enabled) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.EEPROM_Enabled) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.EEPROM_Enabled) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.EEPROM_Enabled) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.EEPROM_Enabled) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.EEPROM_Enabled) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.EEPROM_Enabled) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.EEPROM_Enabled) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.EEPROM_Enabled) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.EEPROM_Enabled) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.EEPROM_Enabled) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.EEPROM_Enabled) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.EEPROM_Enabled) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.EEPROM_Enabled) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.Power_Up) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.Power_Up) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.Power_Up) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.Power_Up) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.Power_Up) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.Power_Up) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.Power_Up) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.Power_Up) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.Power_Up) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.Power_Up) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.Power_Up) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.Power_Up) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.Power_Up) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.Power_Up) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.Power_Up) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.Power_Up) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.Power_Up) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.Power_Up) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.Power_Up) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.Power_Up) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.Power_Up) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.Power_Down) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.Power_Down) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.Power_Down) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.Power_Down) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.Power_Down) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.Power_Down) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.Power_Down) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.Power_Down) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.Power_Down) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.Power_Down) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.Power_Down) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.Power_Down) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.Power_Down) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.Power_Down) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.Power_Down) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.Power_Down) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.Power_Down) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.Power_Down) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.Power_Down) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.Power_Down) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.Power_Down) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.settings_bank) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.settings_bank) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.settings_bank) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.settings_bank) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.settings_bank) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.settings_bank) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.settings_bank) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.settings_bank) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.settings_bank) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.settings_bank) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.settings_bank) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.settings_bank) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.settings_bank) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.settings_bank) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.settings_bank) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.settings_bank) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.settings_bank) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.settings_bank) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.settings_bank) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.settings_bank) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.settings_bank) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.Address) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.Address) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.Address) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.Address) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.Address) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.Address) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.Address) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.Address) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.Address) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.Address) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.Address) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.Address) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.Address) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.Address) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.Address) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.Address) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.Address) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.Address) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.Address) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.Address) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.Address) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.Write_Enable) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.Write_Enable) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.Write_Enable) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.Write_Enable) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.Write_Enable) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.Write_Enable) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.Write_Enable) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.Write_Enable) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.Write_Enable) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.Write_Enable) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.Write_Enable) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.Write_Enable) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.Write_Enable) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.Write_Enable) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.Write_Enable) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.Write_Enable) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.Write_Enable) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.Write_Enable) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.Write_Enable) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.Write_Enable) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.Write_Enable) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.Sector_Erase) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.Sector_Erase) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.Sector_Erase) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.Sector_Erase) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.Sector_Erase) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.Sector_Erase) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.Sector_Erase) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.Sector_Erase) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.Sector_Erase) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.Sector_Erase) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.Sector_Erase) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.Sector_Erase) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.Sector_Erase) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.Sector_Erase) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.Sector_Erase) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.Sector_Erase) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.Sector_Erase) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.Sector_Erase) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.Sector_Erase) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.Sector_Erase) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.Sector_Erase) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.write_clone) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.write_clone) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.write_clone) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.write_clone) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.write_clone) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.write_clone) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.write_clone) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.write_clone) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.write_clone) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.write_clone) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.write_clone) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.write_clone) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.write_clone) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.write_clone) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.write_clone) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.write_clone) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.write_clone) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.write_clone) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.write_clone) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.write_clone) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.write_clone) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.Page_Program) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.Page_Program) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.Page_Program) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.Page_Program) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.Page_Program) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.Page_Program) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.Page_Program) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.Page_Program) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.Page_Program) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.Page_Program) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.Page_Program) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.Page_Program) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.Page_Program) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.Page_Program) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.Page_Program) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.Page_Program) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.Page_Program) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.Page_Program) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.Page_Program) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.Page_Program) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.Page_Program) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.verify_clone) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.verify_clone) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.verify_clone) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.verify_clone) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.verify_clone) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.verify_clone) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.verify_clone) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.verify_clone) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.verify_clone) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.verify_clone) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.verify_clone) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.verify_clone) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.verify_clone) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.verify_clone) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.verify_clone) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.verify_clone) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.verify_clone) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.verify_clone) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.verify_clone) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.verify_clone) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.verify_clone) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.Read_Data) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.Read_Data) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.Read_Data) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.Read_Data) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.Read_Data) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.Read_Data) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.Read_Data) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.Read_Data) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.Read_Data) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.Read_Data) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.Read_Data) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.Read_Data) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.Read_Data) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.Read_Data) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.Read_Data) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.Read_Data) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.Read_Data) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.Read_Data) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.Read_Data) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.Read_Data) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.Read_Data) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.read_clone) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.read_clone) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.read_clone) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.read_clone) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.read_clone) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.read_clone) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.read_clone) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.read_clone) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.read_clone) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.read_clone) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.read_clone) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.read_clone) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.read_clone) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.read_clone) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.read_clone) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.read_clone) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.read_clone) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.read_clone) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.read_clone) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.read_clone) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.read_clone) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.Get_Status) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.Get_Status) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.Get_Status) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.Get_Status) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.Get_Status) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.Get_Status) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.Get_Status) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.Get_Status) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.Get_Status) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.Get_Status) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.Get_Status) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.Get_Status) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.Get_Status) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.Get_Status) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.Get_Status) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.Get_Status) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.Get_Status) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.Get_Status) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.Get_Status) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.Get_Status) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.Get_Status) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.sysmenu_swr_opened) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.sysmenu_swr_opened) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.sysmenu_swr_opened) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.sysmenu_swr_opened) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.sysmenu_swr_opened) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.sysmenu_swr_opened) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.sysmenu_swr_opened) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.sysmenu_swr_opened) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.sysmenu_swr_opened) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.sysmenu_swr_opened) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.sysmenu_swr_opened) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.sysmenu_swr_opened) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.sysmenu_swr_opened) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.sysmenu_swr_opened) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.sysmenu_swr_opened) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.sysmenu_swr_opened) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.sysmenu_swr_opened) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.sysmenu_swr_opened) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.sysmenu_swr_opened) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.sysmenu_swr_opened) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.sysmenu_swr_opened) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.startFreq) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.startFreq) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.startFreq) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.startFreq) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.startFreq) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.startFreq) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.startFreq) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.startFreq) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.startFreq) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.startFreq) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.startFreq) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.startFreq) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.startFreq) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.startFreq) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.startFreq) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.startFreq) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.startFreq) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.startFreq) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.startFreq) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.startFreq) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.startFreq) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.endFreq) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.endFreq) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.endFreq) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.endFreq) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.endFreq) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.endFreq) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.endFreq) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.endFreq) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.endFreq) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.endFreq) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.endFreq) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.endFreq) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.endFreq) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.endFreq) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.endFreq) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.endFreq) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.endFreq) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.endFreq) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.endFreq) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.endFreq) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.endFreq) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.Lastfreq) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.Lastfreq) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.Lastfreq) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.Lastfreq) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.Lastfreq) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.Lastfreq) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.Lastfreq) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.Lastfreq) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.Lastfreq) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.Lastfreq) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.Lastfreq) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.Lastfreq) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.Lastfreq) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.Lastfreq) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.Lastfreq) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.Lastfreq) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.Lastfreq) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.Lastfreq) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.Lastfreq) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.Lastfreq) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.Lastfreq) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.LastMute) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.LastMute) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.LastMute) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.LastMute) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.LastMute) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.LastMute) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.LastMute) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.LastMute) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.LastMute) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.LastMute) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.LastMute) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.LastMute) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.LastMute) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.LastMute) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.LastMute) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.LastMute) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.LastMute) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.LastMute) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.LastMute) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.LastMute) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.LastMute) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.graph_selected_x) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.graph_selected_x) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.graph_selected_x) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.graph_selected_x) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.graph_selected_x) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.graph_selected_x) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.graph_selected_x) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.graph_selected_x) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.graph_selected_x) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.graph_selected_x) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.graph_selected_x) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.graph_selected_x) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.graph_selected_x) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.graph_selected_x) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.graph_selected_x) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.graph_selected_x) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.graph_selected_x) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.graph_selected_x) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.graph_selected_x) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.graph_selected_x) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.graph_selected_x) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.data) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.data) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.data) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.data) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.data) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.data) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.data) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.data) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.data) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.data) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.data) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.data) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.data) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.data) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.data) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.data) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.data) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.data) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.data) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.data) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.data) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.now_freq) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.now_freq) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.now_freq) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.now_freq) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.now_freq) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.now_freq) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.now_freq) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.now_freq) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.now_freq) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.now_freq) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.now_freq) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.now_freq) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.now_freq) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.now_freq) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.now_freq) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.now_freq) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.now_freq) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.now_freq) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.now_freq) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.now_freq) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.now_freq) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.freq_step) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.freq_step) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.freq_step) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.freq_step) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.freq_step) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.freq_step) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.freq_step) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.freq_step) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.freq_step) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.freq_step) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.freq_step) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.freq_step) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.freq_step) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.freq_step) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.freq_step) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.freq_step) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.freq_step) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.freq_step) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.freq_step) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.freq_step) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.freq_step) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.graph_sweep_x) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.graph_sweep_x) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.graph_sweep_x) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.graph_sweep_x) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.graph_sweep_x) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.graph_sweep_x) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.graph_sweep_x) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.graph_sweep_x) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.graph_sweep_x) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.graph_sweep_x) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.graph_sweep_x) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.graph_sweep_x) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.graph_sweep_x) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.graph_sweep_x) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.graph_sweep_x) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.graph_sweep_x) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.graph_sweep_x) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.graph_sweep_x) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.graph_sweep_x) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.graph_sweep_x) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.graph_sweep_x) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.tick_start_time) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.tick_start_time) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.tick_start_time) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.tick_start_time) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.tick_start_time) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.tick_start_time) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.tick_start_time) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.tick_start_time) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.tick_start_time) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.tick_start_time) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.tick_start_time) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.tick_start_time) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.tick_start_time) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.tick_start_time) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.tick_start_time) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.tick_start_time) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.tick_start_time) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.tick_start_time) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.tick_start_time) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.tick_start_time) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.tick_start_time) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.sysmenu_hiddenmenu_enabled) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.sysmenu_hiddenmenu_enabled) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.sysmenu_hiddenmenu_enabled) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.sysmenu_hiddenmenu_enabled) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.sysmenu_hiddenmenu_enabled) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.sysmenu_hiddenmenu_enabled) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.sysmenu_hiddenmenu_enabled) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.sysmenu_hiddenmenu_enabled) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.sysmenu_hiddenmenu_enabled) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.sysmenu_hiddenmenu_enabled) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.sysmenu_hiddenmenu_enabled) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.sysmenu_hiddenmenu_enabled) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.sysmenu_hiddenmenu_enabled) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.sysmenu_hiddenmenu_enabled) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.sysmenu_hiddenmenu_enabled) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.sysmenu_hiddenmenu_enabled) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.sysmenu_hiddenmenu_enabled) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.sysmenu_hiddenmenu_enabled) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.sysmenu_hiddenmenu_enabled) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.sysmenu_hiddenmenu_enabled) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.sysmenu_hiddenmenu_enabled) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers to lto-llvm-ea22a7.o(.rodata.str1.1) for .L.str.325 - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers to lto-llvm-ea22a7.o(.bss.TRX) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_RFPower) for SYSMENU_HANDL_TRX_RFPower - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_BandMap) for SYSMENU_HANDL_TRX_BandMap - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_AutoGain) for SYSMENU_HANDL_TRX_AutoGain - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) for SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) for SYSMENU_HANDL_TRX_SHIFT_INTERVAL - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_STEP) for SYSMENU_HANDL_TRX_FRQ_STEP - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) for SYSMENU_HANDL_TRX_FRQ_FAST_STEP - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) for SYSMENU_HANDL_TRX_ENC_ACCELERATE - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ATT_STEP) for SYSMENU_HANDL_TRX_ATT_STEP - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) for SYSMENU_HANDL_TRX_DEBUG_CONSOLE - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_MICIN) for SYSMENU_HANDL_TRX_MICIN - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_LINEIN) for SYSMENU_HANDL_TRX_LINEIN - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_USBIN) for SYSMENU_HANDL_TRX_USBIN - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SetCallsign) for SYSMENU_HANDL_TRX_SetCallsign - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) for SYSMENU_HANDL_TRX_TRANSV_ENABLE - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) for SYSMENU_HANDL_TRX_TRANSV_OFFSET - lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_item_count) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_item_count) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_item_count) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_item_count) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_item_count) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_item_count) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_item_count) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_item_count) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_item_count) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_item_count) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_item_count) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_item_count) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_item_count) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_item_count) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_item_count) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_item_count) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_item_count) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_item_count) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_item_count) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_item_count) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.sysmenu_trx_item_count) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) refers to lto-llvm-ea22a7.o(.rodata.sysmenu_item_count) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.bss.sysmenu_onroot) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.sysmenu_onroot) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.sysmenu_onroot) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.sysmenu_onroot) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.sysmenu_onroot) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.sysmenu_onroot) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.sysmenu_onroot) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.sysmenu_onroot) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.sysmenu_onroot) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.sysmenu_onroot) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.sysmenu_onroot) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.sysmenu_onroot) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.sysmenu_onroot) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.sysmenu_onroot) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.sysmenu_onroot) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.sysmenu_onroot) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.sysmenu_onroot) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.sysmenu_onroot) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.sysmenu_onroot) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.sysmenu_onroot) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.sysmenu_onroot) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.systemMenuIndex) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.systemMenuIndex) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.systemMenuIndex) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.systemMenuIndex) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.systemMenuIndex) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.systemMenuIndex) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.systemMenuIndex) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.systemMenuIndex) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.systemMenuIndex) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.systemMenuIndex) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.systemMenuIndex) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.systemMenuIndex) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.systemMenuIndex) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.systemMenuIndex) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.systemMenuIndex) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.systemMenuIndex) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.systemMenuIndex) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.systemMenuIndex) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.systemMenuIndex) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.systemMenuIndex) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.systemMenuIndex) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.sysmenu_item_count) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.sysmenu_item_count) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.sysmenu_item_count) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.sysmenu_item_count) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.sysmenu_item_count) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.sysmenu_item_count) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.sysmenu_item_count) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.sysmenu_item_count) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.sysmenu_item_count) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.sysmenu_item_count) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.sysmenu_item_count) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.sysmenu_item_count) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.sysmenu_item_count) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.sysmenu_item_count) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.sysmenu_item_count) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.sysmenu_item_count) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.sysmenu_item_count) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.sysmenu_item_count) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.sysmenu_item_count) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.sysmenu_item_count) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.sysmenu_item_count) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) refers to lto-llvm-ea22a7.o(.rodata.str1.1) for .L.str.50.275 - lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRXMENU) for SYSMENU_HANDL_TRXMENU - lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIOMENU) for SYSMENU_HANDL_AUDIOMENU - lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) for SYSMENU_HANDL_CWMENU - lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_LCDMENU) for SYSMENU_HANDL_LCDMENU - lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADCMENU) for SYSMENU_HANDL_ADCMENU - lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) for SYSMENU_HANDL_SERVICESMENU - lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) for SYSMENU_HANDL_SETTIME - lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_Bootloader) for SYSMENU_HANDL_Bootloader - lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIBRATIONMENU) for SYSMENU_HANDL_CALIBRATIONMENU - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers to lto-llvm-ea22a7.o(.rodata.str1.1) for .L.str.72 - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers to lto-llvm-ea22a7.o(.bss.CALIBRATE) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) for SYSMENU_HANDL_CALIB_ENCODER_INVERT - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) for SYSMENU_HANDL_CALIB_ENCODER2_INVERT - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) for SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) for SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) for SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) for SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) for SYSMENU_HANDL_CALIB_CIC_SHIFT - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) for SYSMENU_HANDL_CALIB_CICCOMP_SHIFT - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) for SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) for SYSMENU_HANDL_CALIB_DAC_SHIFT - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) for SYSMENU_HANDL_CALIB_RF_GAIN_LF - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) for SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) for SYSMENU_HANDL_CALIB_RF_GAIN_HF - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) for SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_S_METER) for SYSMENU_HANDL_CALIB_S_METER - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) for SYSMENU_HANDL_CALIB_SWR_TRANS_RATE - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_VOLT) for SYSMENU_HANDL_CALIB_VOLT - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_item_count) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_item_count) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_item_count) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_item_count) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_item_count) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_item_count) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_item_count) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_item_count) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_item_count) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_item_count) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_item_count) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_item_count) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_item_count) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_item_count) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_item_count) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_item_count) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_item_count) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_item_count) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_item_count) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_item_count) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_item_count) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.sysmenu_timeMenuOpened) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.sysmenu_timeMenuOpened) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.sysmenu_timeMenuOpened) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.sysmenu_timeMenuOpened) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.sysmenu_timeMenuOpened) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.sysmenu_timeMenuOpened) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.sysmenu_timeMenuOpened) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.sysmenu_timeMenuOpened) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.sysmenu_timeMenuOpened) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.sysmenu_timeMenuOpened) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.sysmenu_timeMenuOpened) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.sysmenu_timeMenuOpened) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.sysmenu_timeMenuOpened) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.sysmenu_timeMenuOpened) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.sysmenu_timeMenuOpened) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.sysmenu_timeMenuOpened) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.sysmenu_timeMenuOpened) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.sysmenu_timeMenuOpened) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.sysmenu_timeMenuOpened) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.sysmenu_timeMenuOpened) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.sysmenu_timeMenuOpened) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Hours) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Hours) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Hours) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Hours) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Hours) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Hours) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Hours) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Hours) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Hours) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Hours) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Hours) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Hours) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Hours) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Hours) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Hours) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Hours) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Hours) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Hours) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Hours) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Hours) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Hours) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Minutes) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Minutes) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Minutes) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Minutes) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Minutes) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Minutes) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Minutes) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Minutes) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Minutes) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Minutes) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Minutes) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Minutes) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Minutes) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Minutes) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Minutes) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Minutes) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Minutes) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Minutes) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Minutes) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Minutes) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Minutes) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Seconds) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Seconds) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Seconds) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Seconds) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Seconds) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Seconds) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Seconds) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Seconds) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Seconds) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Seconds) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Seconds) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Seconds) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Seconds) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Seconds) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Seconds) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Seconds) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Seconds) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Seconds) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Seconds) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Seconds) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Seconds) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TimeMenuSelection) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TimeMenuSelection) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TimeMenuSelection) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TimeMenuSelection) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TimeMenuSelection) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TimeMenuSelection) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TimeMenuSelection) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TimeMenuSelection) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TimeMenuSelection) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TimeMenuSelection) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TimeMenuSelection) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TimeMenuSelection) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TimeMenuSelection) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TimeMenuSelection) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TimeMenuSelection) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TimeMenuSelection) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TimeMenuSelection) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TimeMenuSelection) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TimeMenuSelection) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TimeMenuSelection) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TimeMenuSelection) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.sysmenu_services_opened) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.sysmenu_services_opened) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.sysmenu_services_opened) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.sysmenu_services_opened) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.sysmenu_services_opened) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.sysmenu_services_opened) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.sysmenu_services_opened) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.sysmenu_services_opened) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.sysmenu_services_opened) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.sysmenu_services_opened) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.sysmenu_services_opened) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.sysmenu_services_opened) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.sysmenu_services_opened) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.sysmenu_services_opened) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.sysmenu_services_opened) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.sysmenu_services_opened) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.sysmenu_services_opened) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.sysmenu_services_opened) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.sysmenu_services_opened) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.sysmenu_services_opened) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.sysmenu_services_opened) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.sysmenu_services_handlers) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.sysmenu_services_handlers) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.sysmenu_services_handlers) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.sysmenu_services_handlers) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.sysmenu_services_handlers) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.sysmenu_services_handlers) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.sysmenu_services_handlers) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.sysmenu_services_handlers) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.sysmenu_services_handlers) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.sysmenu_services_handlers) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.sysmenu_services_handlers) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.sysmenu_services_handlers) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.sysmenu_services_handlers) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.sysmenu_services_handlers) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.sysmenu_services_handlers) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.sysmenu_services_handlers) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.sysmenu_services_handlers) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.sysmenu_services_handlers) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.sysmenu_services_handlers) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.sysmenu_services_handlers) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.sysmenu_services_handlers) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.sysmenu_services_handlers) refers to lto-llvm-ea22a7.o(.rodata.str1.1) for .L.str.70 - lto-llvm-ea22a7.o(.rodata.sysmenu_services_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_BAND_START) for SYSMENU_HANDL_SWR_BAND_START - lto-llvm-ea22a7.o(.rodata.sysmenu_services_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_HF_START) for SYSMENU_HANDL_SWR_HF_START - lto-llvm-ea22a7.o(.rodata.sysmenu_services_item_count) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.sysmenu_services_item_count) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.sysmenu_services_item_count) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.sysmenu_services_item_count) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.sysmenu_services_item_count) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.sysmenu_services_item_count) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.sysmenu_services_item_count) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.sysmenu_services_item_count) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.sysmenu_services_item_count) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.sysmenu_services_item_count) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.sysmenu_services_item_count) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.sysmenu_services_item_count) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.sysmenu_services_item_count) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.sysmenu_services_item_count) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.sysmenu_services_item_count) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.sysmenu_services_item_count) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.sysmenu_services_item_count) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.sysmenu_services_item_count) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.sysmenu_services_item_count) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.sysmenu_services_item_count) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.sysmenu_services_item_count) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_handlers) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_handlers) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_handlers) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_handlers) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_handlers) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_handlers) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_handlers) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_handlers) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_handlers) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_handlers) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_handlers) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_handlers) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_handlers) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_handlers) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_handlers) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_handlers) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_handlers) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_handlers) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_handlers) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_handlers) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_handlers) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_handlers) refers to lto-llvm-ea22a7.o(.rodata.str1.1) for .L.str.68 - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_handlers) refers to lto-llvm-ea22a7.o(.bss.TRX) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_DRIVER) for SYSMENU_HANDL_ADC_DRIVER - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_SHDN) for SYSMENU_HANDL_ADC_SHDN - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_item_count) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_item_count) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_item_count) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_item_count) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_item_count) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_item_count) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_item_count) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_item_count) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_item_count) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_item_count) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_item_count) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_item_count) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_item_count) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_item_count) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_item_count) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_item_count) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_item_count) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_item_count) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_item_count) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_item_count) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.sysmenu_adc_item_count) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) refers to lto-llvm-ea22a7.o(.rodata.str1.1) for .L.str.59.288 - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) refers to lto-llvm-ea22a7.o(.bss.TRX) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) for SYSMENU_HANDL_SCREEN_FFT_Zoom - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) for SYSMENU_HANDL_SCREEN_COLOR_THEME - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Color) for SYSMENU_HANDL_SCREEN_FFT_Color - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Grid) for SYSMENU_HANDL_SCREEN_FFT_Grid - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Background) for SYSMENU_HANDL_SCREEN_FFT_Background - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) for SYSMENU_HANDL_SCREEN_FFT_Enabled - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) for SYSMENU_HANDL_SCREEN_FFT_Compressor - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) for SYSMENU_HANDL_SCREEN_FFT_Averaging - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Window) for SYSMENU_HANDL_SCREEN_FFT_Window - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_item_count) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_item_count) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_item_count) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_item_count) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_item_count) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_item_count) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_item_count) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_item_count) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_item_count) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_item_count) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_item_count) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_item_count) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_item_count) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_item_count) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_item_count) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_item_count) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_item_count) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_item_count) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_item_count) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_item_count) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.sysmenu_screen_item_count) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES.296) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES.296) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES.296) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES.296) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES.296) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES.296) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES.296) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES.296) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES.296) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES.296) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES.296) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES.296) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES.296) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES.296) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES.296) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES.296) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES.296) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES.296) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES.296) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES.296) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.COLOR_THEMES.296) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) refers to lto-llvm-ea22a7.o(.rodata.str1.1) for .L.str.40 - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) refers to lto-llvm-ea22a7.o(.bss.TRX) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Key_timeout) for SYSMENU_HANDL_CW_Key_timeout - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) for SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_SelfHear) for SYSMENU_HANDL_CW_SelfHear - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer) for SYSMENU_HANDL_CW_Keyer - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer_WPM) for SYSMENU_HANDL_CW_Keyer_WPM - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GaussFilter) for SYSMENU_HANDL_CW_GaussFilter - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_item_count) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_item_count) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_item_count) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_item_count) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_item_count) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_item_count) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_item_count) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_item_count) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_item_count) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_item_count) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_item_count) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_item_count) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_item_count) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_item_count) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_item_count) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_item_count) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_item_count) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_item_count) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_item_count) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_item_count) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.sysmenu_cw_item_count) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers to lto-llvm-ea22a7.o(.rodata.str1.1) for .L.str.16.301 - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers to lto-llvm-ea22a7.o(.bss.TRX) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_VOLUME) for SYSMENU_HANDL_AUDIO_VOLUME - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_IFGain) for SYSMENU_HANDL_AUDIO_IFGain - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) for SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_Gain) for SYSMENU_HANDL_AUDIO_MIC_Gain - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_THRES) for SYSMENU_HANDL_AUDIO_DNR_THRES - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) for SYSMENU_HANDL_AUDIO_DNR_AVERAGE - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) for SYSMENU_HANDL_AUDIO_DNR_MINMAL - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) for SYSMENU_HANDL_AUDIO_SSB_HPF_pass - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) for SYSMENU_HANDL_AUDIO_SSB_LPF_pass - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) for SYSMENU_HANDL_AUDIO_CW_HPF_pass - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) for SYSMENU_HANDL_AUDIO_CW_LPF_pass - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) for SYSMENU_HANDL_AUDIO_AM_LPF_pass - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) for SYSMENU_HANDL_AUDIO_FM_LPF_pass - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FMSquelch) for SYSMENU_HANDL_AUDIO_FMSquelch - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) for SYSMENU_HANDL_AUDIO_MIC_EQ_LOW - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) for SYSMENU_HANDL_AUDIO_MIC_EQ_MID - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) for SYSMENU_HANDL_AUDIO_MIC_EQ_HIG - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) for SYSMENU_HANDL_AUDIO_RX_EQ_LOW - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) for SYSMENU_HANDL_AUDIO_RX_EQ_MID - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) for SYSMENU_HANDL_AUDIO_RX_EQ_HIG - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) for SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) for SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) for SYSMENU_HANDL_AUDIO_TX_AGCSpeed - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) refers to lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_Beeper) for SYSMENU_HANDL_AUDIO_Beeper - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_item_count) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_item_count) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_item_count) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_item_count) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_item_count) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_item_count) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_item_count) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_item_count) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_item_count) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_item_count) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_item_count) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_item_count) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_item_count) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_item_count) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_item_count) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_item_count) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_item_count) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_item_count) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_item_count) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_item_count) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.sysmenu_audio_item_count) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.sysmenu_trx_setCallsign_menu_opened) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.sysmenu_trx_setCallsign_menu_opened) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.sysmenu_trx_setCallsign_menu_opened) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.sysmenu_trx_setCallsign_menu_opened) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.sysmenu_trx_setCallsign_menu_opened) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.sysmenu_trx_setCallsign_menu_opened) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.sysmenu_trx_setCallsign_menu_opened) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.sysmenu_trx_setCallsign_menu_opened) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.sysmenu_trx_setCallsign_menu_opened) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.sysmenu_trx_setCallsign_menu_opened) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.sysmenu_trx_setCallsign_menu_opened) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.sysmenu_trx_setCallsign_menu_opened) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.sysmenu_trx_setCallsign_menu_opened) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.sysmenu_trx_setCallsign_menu_opened) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.sysmenu_trx_setCallsign_menu_opened) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.sysmenu_trx_setCallsign_menu_opened) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.sysmenu_trx_setCallsign_menu_opened) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.sysmenu_trx_setCallsign_menu_opened) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.sysmenu_trx_setCallsign_menu_opened) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.sysmenu_trx_setCallsign_menu_opened) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.sysmenu_trx_setCallsign_menu_opened) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.sysmenu_trx_selected_callsign_char_index) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.sysmenu_trx_selected_callsign_char_index) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.sysmenu_trx_selected_callsign_char_index) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.sysmenu_trx_selected_callsign_char_index) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.sysmenu_trx_selected_callsign_char_index) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.sysmenu_trx_selected_callsign_char_index) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.sysmenu_trx_selected_callsign_char_index) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.sysmenu_trx_selected_callsign_char_index) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.sysmenu_trx_selected_callsign_char_index) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.sysmenu_trx_selected_callsign_char_index) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.sysmenu_trx_selected_callsign_char_index) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.sysmenu_trx_selected_callsign_char_index) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.sysmenu_trx_selected_callsign_char_index) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.sysmenu_trx_selected_callsign_char_index) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.sysmenu_trx_selected_callsign_char_index) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.sysmenu_trx_selected_callsign_char_index) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.sysmenu_trx_selected_callsign_char_index) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.sysmenu_trx_selected_callsign_char_index) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.sysmenu_trx_selected_callsign_char_index) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.sysmenu_trx_selected_callsign_char_index) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.sysmenu_trx_selected_callsign_char_index) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_FAST_STEP.freq_steps) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_FAST_STEP.freq_steps) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_FAST_STEP.freq_steps) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_FAST_STEP.freq_steps) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_FAST_STEP.freq_steps) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_FAST_STEP.freq_steps) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_FAST_STEP.freq_steps) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_FAST_STEP.freq_steps) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_FAST_STEP.freq_steps) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_FAST_STEP.freq_steps) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_FAST_STEP.freq_steps) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_FAST_STEP.freq_steps) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_FAST_STEP.freq_steps) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_FAST_STEP.freq_steps) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_FAST_STEP.freq_steps) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_FAST_STEP.freq_steps) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_FAST_STEP.freq_steps) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_FAST_STEP.freq_steps) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_FAST_STEP.freq_steps) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_FAST_STEP.freq_steps) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_FAST_STEP.freq_steps) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_STEP.freq_steps) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_STEP.freq_steps) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_STEP.freq_steps) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_STEP.freq_steps) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_STEP.freq_steps) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_STEP.freq_steps) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_STEP.freq_steps) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_STEP.freq_steps) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_STEP.freq_steps) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_STEP.freq_steps) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_STEP.freq_steps) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_STEP.freq_steps) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_STEP.freq_steps) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_STEP.freq_steps) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_STEP.freq_steps) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_STEP.freq_steps) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_STEP.freq_steps) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_STEP.freq_steps) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_STEP.freq_steps) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_STEP.freq_steps) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_STEP.freq_steps) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.sysmenu_i) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.sysmenu_i) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.sysmenu_i) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.sysmenu_i) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.sysmenu_i) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.sysmenu_i) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.sysmenu_i) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.sysmenu_i) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.sysmenu_i) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.sysmenu_i) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.sysmenu_i) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.sysmenu_i) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.sysmenu_i) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.sysmenu_i) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.sysmenu_i) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.sysmenu_i) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.sysmenu_i) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.sysmenu_i) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.sysmenu_i) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.sysmenu_i) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.sysmenu_i) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.sysmenu_y) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.sysmenu_y) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.sysmenu_y) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.sysmenu_y) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.sysmenu_y) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.sysmenu_y) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.sysmenu_y) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.sysmenu_y) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.sysmenu_y) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.sysmenu_y) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.sysmenu_y) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.sysmenu_y) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.sysmenu_y) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.sysmenu_y) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.sysmenu_y) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.sysmenu_y) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.sysmenu_y) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.sysmenu_y) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.sysmenu_y) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.sysmenu_y) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.sysmenu_y) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.systemMenuRootIndex) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.systemMenuRootIndex) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.systemMenuRootIndex) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.systemMenuRootIndex) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.systemMenuRootIndex) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.systemMenuRootIndex) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.systemMenuRootIndex) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.systemMenuRootIndex) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.systemMenuRootIndex) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.systemMenuRootIndex) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.systemMenuRootIndex) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.systemMenuRootIndex) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.systemMenuRootIndex) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.systemMenuRootIndex) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.systemMenuRootIndex) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.systemMenuRootIndex) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.systemMenuRootIndex) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.systemMenuRootIndex) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.systemMenuRootIndex) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.systemMenuRootIndex) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.systemMenuRootIndex) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TRX_ptt_hard) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TRX_ptt_hard) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TRX_ptt_hard) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TRX_ptt_hard) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TRX_ptt_hard) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TRX_ptt_hard) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TRX_ptt_hard) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TRX_ptt_hard) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TRX_ptt_hard) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TRX_ptt_hard) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TRX_ptt_hard) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TRX_ptt_hard) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TRX_ptt_hard) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TRX_ptt_hard) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TRX_ptt_hard) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TRX_ptt_hard) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TRX_ptt_hard) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TRX_ptt_hard) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TRX_ptt_hard) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TRX_ptt_hard) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TRX_ptt_hard) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TRX_ptt_soft) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TRX_ptt_soft) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TRX_ptt_soft) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TRX_ptt_soft) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TRX_ptt_soft) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TRX_ptt_soft) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TRX_ptt_soft) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TRX_ptt_soft) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TRX_ptt_soft) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TRX_ptt_soft) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TRX_ptt_soft) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TRX_ptt_soft) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TRX_ptt_soft) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TRX_ptt_soft) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TRX_ptt_soft) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TRX_ptt_soft) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TRX_ptt_soft) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TRX_ptt_soft) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TRX_ptt_soft) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TRX_ptt_soft) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TRX_ptt_soft) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TRX_old_ptt_soft) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TRX_old_ptt_soft) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TRX_old_ptt_soft) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TRX_old_ptt_soft) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TRX_old_ptt_soft) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TRX_old_ptt_soft) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TRX_old_ptt_soft) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TRX_old_ptt_soft) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TRX_old_ptt_soft) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TRX_old_ptt_soft) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TRX_old_ptt_soft) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TRX_old_ptt_soft) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TRX_old_ptt_soft) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TRX_old_ptt_soft) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TRX_old_ptt_soft) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TRX_old_ptt_soft) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TRX_old_ptt_soft) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TRX_old_ptt_soft) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TRX_old_ptt_soft) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TRX_old_ptt_soft) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TRX_old_ptt_soft) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TRX_key_serial) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TRX_key_serial) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TRX_key_serial) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TRX_key_serial) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TRX_key_serial) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TRX_key_serial) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TRX_key_serial) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TRX_key_serial) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TRX_key_serial) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TRX_key_serial) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TRX_key_serial) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TRX_key_serial) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TRX_key_serial) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TRX_key_serial) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TRX_key_serial) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TRX_key_serial) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TRX_key_serial) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TRX_key_serial) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TRX_key_serial) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TRX_key_serial) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TRX_key_serial) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TRX_old_key_serial) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TRX_old_key_serial) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TRX_old_key_serial) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TRX_old_key_serial) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TRX_old_key_serial) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TRX_old_key_serial) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TRX_old_key_serial) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TRX_old_key_serial) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TRX_old_key_serial) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TRX_old_key_serial) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TRX_old_key_serial) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TRX_old_key_serial) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TRX_old_key_serial) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TRX_old_key_serial) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TRX_old_key_serial) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TRX_old_key_serial) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TRX_old_key_serial) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TRX_old_key_serial) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TRX_old_key_serial) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TRX_old_key_serial) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TRX_old_key_serial) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TRX_key_dot_hard) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TRX_key_dot_hard) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TRX_key_dot_hard) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TRX_key_dot_hard) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TRX_key_dot_hard) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TRX_key_dot_hard) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TRX_key_dot_hard) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TRX_key_dot_hard) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TRX_key_dot_hard) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TRX_key_dot_hard) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TRX_key_dot_hard) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TRX_key_dot_hard) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TRX_key_dot_hard) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TRX_key_dot_hard) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TRX_key_dot_hard) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TRX_key_dot_hard) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TRX_key_dot_hard) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TRX_key_dot_hard) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TRX_key_dot_hard) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TRX_key_dot_hard) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TRX_key_dot_hard) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TRX_key_dash_hard) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TRX_key_dash_hard) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TRX_key_dash_hard) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TRX_key_dash_hard) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TRX_key_dash_hard) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TRX_key_dash_hard) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TRX_key_dash_hard) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TRX_key_dash_hard) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TRX_key_dash_hard) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TRX_key_dash_hard) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TRX_key_dash_hard) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TRX_key_dash_hard) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TRX_key_dash_hard) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TRX_key_dash_hard) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TRX_key_dash_hard) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TRX_key_dash_hard) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TRX_key_dash_hard) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TRX_key_dash_hard) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TRX_key_dash_hard) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TRX_key_dash_hard) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TRX_key_dash_hard) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TRX_Key_Timeout_est) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TRX_Key_Timeout_est) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TRX_Key_Timeout_est) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TRX_Key_Timeout_est) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TRX_Key_Timeout_est) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TRX_Key_Timeout_est) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TRX_Key_Timeout_est) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TRX_Key_Timeout_est) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TRX_Key_Timeout_est) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TRX_Key_Timeout_est) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TRX_Key_Timeout_est) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TRX_Key_Timeout_est) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TRX_Key_Timeout_est) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TRX_Key_Timeout_est) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TRX_Key_Timeout_est) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TRX_Key_Timeout_est) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TRX_Key_Timeout_est) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TRX_Key_Timeout_est) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TRX_Key_Timeout_est) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TRX_Key_Timeout_est) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TRX_Key_Timeout_est) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TRX_RX_IQ_swap) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TRX_RX_IQ_swap) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TRX_RX_IQ_swap) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TRX_RX_IQ_swap) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TRX_RX_IQ_swap) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TRX_RX_IQ_swap) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TRX_RX_IQ_swap) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TRX_RX_IQ_swap) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TRX_RX_IQ_swap) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TRX_RX_IQ_swap) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TRX_RX_IQ_swap) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TRX_RX_IQ_swap) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TRX_RX_IQ_swap) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TRX_RX_IQ_swap) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TRX_RX_IQ_swap) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TRX_RX_IQ_swap) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TRX_RX_IQ_swap) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TRX_RX_IQ_swap) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TRX_RX_IQ_swap) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TRX_RX_IQ_swap) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TRX_RX_IQ_swap) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TRX_TX_IQ_swap) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TRX_TX_IQ_swap) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TRX_TX_IQ_swap) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TRX_TX_IQ_swap) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TRX_TX_IQ_swap) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TRX_TX_IQ_swap) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TRX_TX_IQ_swap) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TRX_TX_IQ_swap) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TRX_TX_IQ_swap) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TRX_TX_IQ_swap) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TRX_TX_IQ_swap) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TRX_TX_IQ_swap) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TRX_TX_IQ_swap) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TRX_TX_IQ_swap) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TRX_TX_IQ_swap) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TRX_TX_IQ_swap) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TRX_TX_IQ_swap) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TRX_TX_IQ_swap) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TRX_TX_IQ_swap) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TRX_TX_IQ_swap) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TRX_TX_IQ_swap) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TRX_Tune) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TRX_Tune) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TRX_Tune) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TRX_Tune) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TRX_Tune) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TRX_Tune) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TRX_Tune) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TRX_Tune) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TRX_Tune) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TRX_Tune) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TRX_Tune) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TRX_Tune) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TRX_Tune) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TRX_Tune) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TRX_Tune) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TRX_Tune) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TRX_Tune) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TRX_Tune) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TRX_Tune) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TRX_Tune) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TRX_Tune) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TRX_Inited) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TRX_Inited) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TRX_Inited) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TRX_Inited) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TRX_Inited) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TRX_Inited) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TRX_Inited) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TRX_Inited) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TRX_Inited) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TRX_Inited) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TRX_Inited) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TRX_Inited) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TRX_Inited) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TRX_Inited) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TRX_Inited) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TRX_Inited) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TRX_Inited) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TRX_Inited) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TRX_Inited) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TRX_Inited) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TRX_Inited) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.TRX_RX_dBm) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.TRX_RX_dBm) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.TRX_RX_dBm) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.TRX_RX_dBm) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.TRX_RX_dBm) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.TRX_RX_dBm) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.TRX_RX_dBm) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.TRX_RX_dBm) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.TRX_RX_dBm) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.TRX_RX_dBm) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.TRX_RX_dBm) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.TRX_RX_dBm) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.TRX_RX_dBm) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.TRX_RX_dBm) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.TRX_RX_dBm) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.TRX_RX_dBm) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.TRX_RX_dBm) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.TRX_RX_dBm) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.TRX_RX_dBm) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.TRX_RX_dBm) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.TRX_RX_dBm) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TRX_ADC_OTR) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TRX_ADC_OTR) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TRX_ADC_OTR) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TRX_ADC_OTR) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TRX_ADC_OTR) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TRX_ADC_OTR) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TRX_ADC_OTR) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TRX_ADC_OTR) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TRX_ADC_OTR) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TRX_ADC_OTR) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TRX_ADC_OTR) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TRX_ADC_OTR) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TRX_ADC_OTR) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TRX_ADC_OTR) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TRX_ADC_OTR) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TRX_ADC_OTR) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TRX_ADC_OTR) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TRX_ADC_OTR) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TRX_ADC_OTR) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TRX_ADC_OTR) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TRX_ADC_OTR) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TRX_DAC_OTR) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TRX_DAC_OTR) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TRX_DAC_OTR) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TRX_DAC_OTR) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TRX_DAC_OTR) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TRX_DAC_OTR) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TRX_DAC_OTR) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TRX_DAC_OTR) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TRX_DAC_OTR) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TRX_DAC_OTR) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TRX_DAC_OTR) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TRX_DAC_OTR) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TRX_DAC_OTR) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TRX_DAC_OTR) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TRX_DAC_OTR) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TRX_DAC_OTR) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TRX_DAC_OTR) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TRX_DAC_OTR) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TRX_DAC_OTR) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TRX_DAC_OTR) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TRX_DAC_OTR) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TRX_ADC_MINAMPLITUDE) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TRX_ADC_MINAMPLITUDE) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TRX_ADC_MINAMPLITUDE) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TRX_ADC_MINAMPLITUDE) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TRX_ADC_MINAMPLITUDE) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TRX_ADC_MINAMPLITUDE) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TRX_ADC_MINAMPLITUDE) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TRX_ADC_MINAMPLITUDE) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TRX_ADC_MINAMPLITUDE) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TRX_ADC_MINAMPLITUDE) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TRX_ADC_MINAMPLITUDE) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TRX_ADC_MINAMPLITUDE) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TRX_ADC_MINAMPLITUDE) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TRX_ADC_MINAMPLITUDE) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TRX_ADC_MINAMPLITUDE) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TRX_ADC_MINAMPLITUDE) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TRX_ADC_MINAMPLITUDE) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TRX_ADC_MINAMPLITUDE) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TRX_ADC_MINAMPLITUDE) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TRX_ADC_MINAMPLITUDE) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TRX_ADC_MINAMPLITUDE) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TRX_ADC_MAXAMPLITUDE) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TRX_ADC_MAXAMPLITUDE) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TRX_ADC_MAXAMPLITUDE) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TRX_ADC_MAXAMPLITUDE) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TRX_ADC_MAXAMPLITUDE) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TRX_ADC_MAXAMPLITUDE) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TRX_ADC_MAXAMPLITUDE) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TRX_ADC_MAXAMPLITUDE) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TRX_ADC_MAXAMPLITUDE) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TRX_ADC_MAXAMPLITUDE) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TRX_ADC_MAXAMPLITUDE) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TRX_ADC_MAXAMPLITUDE) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TRX_ADC_MAXAMPLITUDE) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TRX_ADC_MAXAMPLITUDE) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TRX_ADC_MAXAMPLITUDE) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TRX_ADC_MAXAMPLITUDE) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TRX_ADC_MAXAMPLITUDE) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TRX_ADC_MAXAMPLITUDE) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TRX_ADC_MAXAMPLITUDE) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TRX_ADC_MAXAMPLITUDE) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TRX_ADC_MAXAMPLITUDE) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TRX_SHIFT) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TRX_SHIFT) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TRX_SHIFT) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TRX_SHIFT) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TRX_SHIFT) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TRX_SHIFT) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TRX_SHIFT) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TRX_SHIFT) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TRX_SHIFT) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TRX_SHIFT) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TRX_SHIFT) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TRX_SHIFT) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TRX_SHIFT) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TRX_SHIFT) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TRX_SHIFT) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TRX_SHIFT) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TRX_SHIFT) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TRX_SHIFT) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TRX_SHIFT) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TRX_SHIFT) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TRX_SHIFT) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.TRX_MAX_TX_Amplitude) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.TRX_MAX_TX_Amplitude) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.TRX_MAX_TX_Amplitude) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.TRX_MAX_TX_Amplitude) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.TRX_MAX_TX_Amplitude) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.TRX_MAX_TX_Amplitude) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.TRX_MAX_TX_Amplitude) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.TRX_MAX_TX_Amplitude) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.TRX_MAX_TX_Amplitude) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.TRX_MAX_TX_Amplitude) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.TRX_MAX_TX_Amplitude) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.TRX_MAX_TX_Amplitude) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.TRX_MAX_TX_Amplitude) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.TRX_MAX_TX_Amplitude) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.TRX_MAX_TX_Amplitude) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.TRX_MAX_TX_Amplitude) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.TRX_MAX_TX_Amplitude) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.TRX_MAX_TX_Amplitude) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.TRX_MAX_TX_Amplitude) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.TRX_MAX_TX_Amplitude) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.TRX_MAX_TX_Amplitude) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TRX_PWR_Forward) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TRX_PWR_Forward) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TRX_PWR_Forward) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TRX_PWR_Forward) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TRX_PWR_Forward) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TRX_PWR_Forward) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TRX_PWR_Forward) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TRX_PWR_Forward) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TRX_PWR_Forward) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TRX_PWR_Forward) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TRX_PWR_Forward) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TRX_PWR_Forward) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TRX_PWR_Forward) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TRX_PWR_Forward) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TRX_PWR_Forward) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TRX_PWR_Forward) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TRX_PWR_Forward) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TRX_PWR_Forward) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TRX_PWR_Forward) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TRX_PWR_Forward) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TRX_PWR_Forward) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TRX_PWR_Backward) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TRX_PWR_Backward) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TRX_PWR_Backward) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TRX_PWR_Backward) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TRX_PWR_Backward) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TRX_PWR_Backward) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TRX_PWR_Backward) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TRX_PWR_Backward) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TRX_PWR_Backward) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TRX_PWR_Backward) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TRX_PWR_Backward) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TRX_PWR_Backward) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TRX_PWR_Backward) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TRX_PWR_Backward) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TRX_PWR_Backward) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TRX_PWR_Backward) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TRX_PWR_Backward) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TRX_PWR_Backward) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TRX_PWR_Backward) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TRX_PWR_Backward) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TRX_PWR_Backward) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TRX_SWR) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TRX_SWR) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TRX_SWR) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TRX_SWR) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TRX_SWR) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TRX_SWR) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TRX_SWR) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TRX_SWR) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TRX_SWR) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TRX_SWR) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TRX_SWR) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TRX_SWR) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TRX_SWR) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TRX_SWR) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TRX_SWR) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TRX_SWR) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TRX_SWR) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TRX_SWR) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TRX_SWR) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TRX_SWR) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TRX_SWR) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TRX_ALC) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TRX_ALC) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TRX_ALC) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TRX_ALC) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TRX_ALC) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TRX_ALC) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TRX_ALC) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TRX_ALC) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TRX_ALC) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TRX_ALC) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TRX_ALC) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TRX_ALC) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TRX_ALC) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TRX_ALC) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TRX_ALC) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TRX_ALC) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TRX_ALC) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TRX_ALC) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TRX_ALC) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TRX_ALC) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TRX_ALC) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TRX_AutoGain_Stage) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TRX_AutoGain_Stage) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TRX_AutoGain_Stage) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TRX_AutoGain_Stage) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TRX_AutoGain_Stage) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TRX_AutoGain_Stage) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TRX_AutoGain_Stage) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TRX_AutoGain_Stage) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TRX_AutoGain_Stage) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TRX_AutoGain_Stage) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TRX_AutoGain_Stage) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TRX_AutoGain_Stage) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TRX_AutoGain_Stage) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TRX_AutoGain_Stage) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TRX_AutoGain_Stage) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TRX_AutoGain_Stage) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TRX_AutoGain_Stage) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TRX_AutoGain_Stage) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TRX_AutoGain_Stage) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TRX_AutoGain_Stage) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TRX_AutoGain_Stage) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TRX_IQ_phase_error) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TRX_IQ_phase_error) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TRX_IQ_phase_error) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TRX_IQ_phase_error) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TRX_IQ_phase_error) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TRX_IQ_phase_error) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TRX_IQ_phase_error) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TRX_IQ_phase_error) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TRX_IQ_phase_error) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TRX_IQ_phase_error) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TRX_IQ_phase_error) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TRX_IQ_phase_error) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TRX_IQ_phase_error) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TRX_IQ_phase_error) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TRX_IQ_phase_error) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TRX_IQ_phase_error) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TRX_IQ_phase_error) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TRX_IQ_phase_error) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TRX_IQ_phase_error) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TRX_IQ_phase_error) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TRX_IQ_phase_error) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TRX_NeedGoToBootloader) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TRX_NeedGoToBootloader) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TRX_NeedGoToBootloader) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TRX_NeedGoToBootloader) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TRX_NeedGoToBootloader) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TRX_NeedGoToBootloader) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TRX_NeedGoToBootloader) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TRX_NeedGoToBootloader) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TRX_NeedGoToBootloader) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TRX_NeedGoToBootloader) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TRX_NeedGoToBootloader) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TRX_NeedGoToBootloader) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TRX_NeedGoToBootloader) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TRX_NeedGoToBootloader) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TRX_NeedGoToBootloader) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TRX_NeedGoToBootloader) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TRX_NeedGoToBootloader) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TRX_NeedGoToBootloader) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TRX_NeedGoToBootloader) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TRX_NeedGoToBootloader) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TRX_NeedGoToBootloader) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Stop_BandMap) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Stop_BandMap) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Stop_BandMap) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Stop_BandMap) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Stop_BandMap) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Stop_BandMap) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Stop_BandMap) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Stop_BandMap) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Stop_BandMap) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Stop_BandMap) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Stop_BandMap) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Stop_BandMap) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Stop_BandMap) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Stop_BandMap) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Stop_BandMap) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Stop_BandMap) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Stop_BandMap) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Stop_BandMap) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Stop_BandMap) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Stop_BandMap) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Stop_BandMap) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TRX_Mute) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TRX_Mute) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TRX_Mute) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TRX_Mute) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TRX_Mute) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TRX_Mute) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TRX_Mute) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TRX_Mute) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TRX_Mute) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TRX_Mute) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TRX_Mute) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TRX_Mute) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TRX_Mute) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TRX_Mute) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TRX_Mute) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TRX_Mute) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TRX_Mute) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TRX_Mute) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TRX_Mute) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TRX_Mute) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TRX_Mute) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Mute_StartTime) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Mute_StartTime) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Mute_StartTime) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Mute_StartTime) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Mute_StartTime) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Mute_StartTime) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Mute_StartTime) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Mute_StartTime) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Mute_StartTime) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Mute_StartTime) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Mute_StartTime) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Mute_StartTime) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Mute_StartTime) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Mute_StartTime) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Mute_StartTime) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Mute_StartTime) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Mute_StartTime) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Mute_StartTime) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Mute_StartTime) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Mute_StartTime) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TRX_Temporary_Mute_StartTime) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase_tx) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase_tx) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase_tx) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase_tx) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase_tx) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase_tx) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase_tx) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase_tx) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase_tx) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase_tx) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase_tx) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase_tx) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase_tx) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase_tx) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase_tx) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase_tx) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase_tx) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase_tx) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase_tx) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase_tx) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TRX_freq_phrase_tx) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.TRX_InVoltage) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.TRX_InVoltage) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.TRX_InVoltage) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.TRX_InVoltage) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.TRX_InVoltage) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.TRX_InVoltage) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.TRX_InVoltage) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.TRX_InVoltage) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.TRX_InVoltage) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.TRX_InVoltage) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.TRX_InVoltage) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.TRX_InVoltage) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.TRX_InVoltage) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.TRX_InVoltage) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.TRX_InVoltage) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.TRX_InVoltage) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.TRX_InVoltage) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.TRX_InVoltage) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.TRX_InVoltage) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.TRX_InVoltage) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.TRX_InVoltage) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.current_cw_power) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.current_cw_power) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.current_cw_power) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.current_cw_power) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.current_cw_power) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.current_cw_power) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.current_cw_power) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.current_cw_power) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.current_cw_power) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.current_cw_power) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.current_cw_power) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.current_cw_power) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.current_cw_power) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.current_cw_power) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.current_cw_power) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.current_cw_power) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.current_cw_power) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.current_cw_power) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.current_cw_power) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.current_cw_power) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.current_cw_power) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TRX_TXRXMode) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TRX_TXRXMode) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TRX_TXRXMode) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TRX_TXRXMode) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TRX_TXRXMode) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TRX_TXRXMode) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TRX_TXRXMode) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TRX_TXRXMode) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TRX_TXRXMode) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TRX_TXRXMode) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TRX_TXRXMode) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TRX_TXRXMode) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TRX_TXRXMode) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TRX_TXRXMode) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TRX_TXRXMode) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TRX_TXRXMode) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TRX_TXRXMode) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TRX_TXRXMode) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TRX_TXRXMode) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TRX_TXRXMode) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TRX_TXRXMode) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.KEYER_symbol_status) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.KEYER_symbol_status) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.KEYER_symbol_status) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.KEYER_symbol_status) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.KEYER_symbol_status) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.KEYER_symbol_status) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.KEYER_symbol_status) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.KEYER_symbol_status) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.KEYER_symbol_status) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.KEYER_symbol_status) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.KEYER_symbol_status) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.KEYER_symbol_status) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.KEYER_symbol_status) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.KEYER_symbol_status) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.KEYER_symbol_status) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.KEYER_symbol_status) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.KEYER_symbol_status) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.KEYER_symbol_status) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.KEYER_symbol_status) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.KEYER_symbol_status) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.KEYER_symbol_status) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.autogain_wait_reaction) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.autogain_wait_reaction) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.autogain_wait_reaction) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.autogain_wait_reaction) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.autogain_wait_reaction) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.autogain_wait_reaction) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.autogain_wait_reaction) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.autogain_wait_reaction) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.autogain_wait_reaction) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.autogain_wait_reaction) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.autogain_wait_reaction) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.autogain_wait_reaction) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.autogain_wait_reaction) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.autogain_wait_reaction) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.autogain_wait_reaction) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.autogain_wait_reaction) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.autogain_wait_reaction) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.autogain_wait_reaction) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.autogain_wait_reaction) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.autogain_wait_reaction) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.autogain_wait_reaction) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TRX_DoAutoGain.skip_cycles) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TRX_DoAutoGain.skip_cycles) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TRX_DoAutoGain.skip_cycles) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TRX_DoAutoGain.skip_cycles) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TRX_DoAutoGain.skip_cycles) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TRX_DoAutoGain.skip_cycles) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TRX_DoAutoGain.skip_cycles) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TRX_DoAutoGain.skip_cycles) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TRX_DoAutoGain.skip_cycles) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TRX_DoAutoGain.skip_cycles) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TRX_DoAutoGain.skip_cycles) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TRX_DoAutoGain.skip_cycles) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TRX_DoAutoGain.skip_cycles) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TRX_DoAutoGain.skip_cycles) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TRX_DoAutoGain.skip_cycles) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TRX_DoAutoGain.skip_cycles) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TRX_DoAutoGain.skip_cycles) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TRX_DoAutoGain.skip_cycles) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TRX_DoAutoGain.skip_cycles) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TRX_DoAutoGain.skip_cycles) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TRX_DoAutoGain.skip_cycles) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.KEYER_symbol_start_time) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.KEYER_symbol_start_time) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.KEYER_symbol_start_time) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.KEYER_symbol_start_time) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.KEYER_symbol_start_time) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.KEYER_symbol_start_time) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.KEYER_symbol_start_time) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.KEYER_symbol_start_time) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.KEYER_symbol_start_time) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.KEYER_symbol_start_time) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.KEYER_symbol_start_time) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.KEYER_symbol_start_time) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.KEYER_symbol_start_time) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.KEYER_symbol_start_time) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.KEYER_symbol_start_time) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.KEYER_symbol_start_time) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.KEYER_symbol_start_time) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.KEYER_symbol_start_time) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.KEYER_symbol_start_time) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.KEYER_symbol_start_time) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.KEYER_symbol_start_time) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.USB_AUDIO_current_rx_buffer) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.USB_AUDIO_current_rx_buffer) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.USB_AUDIO_current_rx_buffer) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.USB_AUDIO_current_rx_buffer) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.USB_AUDIO_current_rx_buffer) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.USB_AUDIO_current_rx_buffer) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.USB_AUDIO_current_rx_buffer) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.USB_AUDIO_current_rx_buffer) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.USB_AUDIO_current_rx_buffer) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.USB_AUDIO_current_rx_buffer) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.USB_AUDIO_current_rx_buffer) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.USB_AUDIO_current_rx_buffer) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.USB_AUDIO_current_rx_buffer) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.USB_AUDIO_current_rx_buffer) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.USB_AUDIO_current_rx_buffer) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.USB_AUDIO_current_rx_buffer) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.USB_AUDIO_current_rx_buffer) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.USB_AUDIO_current_rx_buffer) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.USB_AUDIO_current_rx_buffer) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.USB_AUDIO_current_rx_buffer) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.USB_AUDIO_current_rx_buffer) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.USB_AUDIO_need_rx_buffer) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.USB_AUDIO_need_rx_buffer) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.USB_AUDIO_need_rx_buffer) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.USB_AUDIO_need_rx_buffer) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.USB_AUDIO_need_rx_buffer) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.USB_AUDIO_need_rx_buffer) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.USB_AUDIO_need_rx_buffer) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.USB_AUDIO_need_rx_buffer) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.USB_AUDIO_need_rx_buffer) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.USB_AUDIO_need_rx_buffer) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.USB_AUDIO_need_rx_buffer) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.USB_AUDIO_need_rx_buffer) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.USB_AUDIO_need_rx_buffer) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.USB_AUDIO_need_rx_buffer) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.USB_AUDIO_need_rx_buffer) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.USB_AUDIO_need_rx_buffer) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.USB_AUDIO_need_rx_buffer) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.USB_AUDIO_need_rx_buffer) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.USB_AUDIO_need_rx_buffer) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.USB_AUDIO_need_rx_buffer) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.USB_AUDIO_need_rx_buffer) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.USBD_AUDIO_fops_FS) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.USBD_AUDIO_fops_FS) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.USBD_AUDIO_fops_FS) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.USBD_AUDIO_fops_FS) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.USBD_AUDIO_fops_FS) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.USBD_AUDIO_fops_FS) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.USBD_AUDIO_fops_FS) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.USBD_AUDIO_fops_FS) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.USBD_AUDIO_fops_FS) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.USBD_AUDIO_fops_FS) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.USBD_AUDIO_fops_FS) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.USBD_AUDIO_fops_FS) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.USBD_AUDIO_fops_FS) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.USBD_AUDIO_fops_FS) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.USBD_AUDIO_fops_FS) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.USBD_AUDIO_fops_FS) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.USBD_AUDIO_fops_FS) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.USBD_AUDIO_fops_FS) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.USBD_AUDIO_fops_FS) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.USBD_AUDIO_fops_FS) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.USBD_AUDIO_fops_FS) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.USBD_AUDIO_fops_FS) refers to lto-llvm-ea22a7.o(.text.AUDIO_Init_FS) for AUDIO_Init_FS - lto-llvm-ea22a7.o(.data.USBD_AUDIO_fops_FS) refers to lto-llvm-ea22a7.o(.text.AUDIO_DeInit_FS) for AUDIO_DeInit_FS - lto-llvm-ea22a7.o(.bss.USB_AUDIO_Inited) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.USB_AUDIO_Inited) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.USB_AUDIO_Inited) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.USB_AUDIO_Inited) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.USB_AUDIO_Inited) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.USB_AUDIO_Inited) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.USB_AUDIO_Inited) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.USB_AUDIO_Inited) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.USB_AUDIO_Inited) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.USB_AUDIO_Inited) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.USB_AUDIO_Inited) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.USB_AUDIO_Inited) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.USB_AUDIO_Inited) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.USB_AUDIO_Inited) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.USB_AUDIO_Inited) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.USB_AUDIO_Inited) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.USB_AUDIO_Inited) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.USB_AUDIO_Inited) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.USB_AUDIO_Inited) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.USB_AUDIO_Inited) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.USB_AUDIO_Inited) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.USBD_CAT_fops_FS) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.USBD_CAT_fops_FS) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.USBD_CAT_fops_FS) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.USBD_CAT_fops_FS) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.USBD_CAT_fops_FS) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.USBD_CAT_fops_FS) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.USBD_CAT_fops_FS) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.USBD_CAT_fops_FS) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.USBD_CAT_fops_FS) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.USBD_CAT_fops_FS) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.USBD_CAT_fops_FS) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.USBD_CAT_fops_FS) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.USBD_CAT_fops_FS) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.USBD_CAT_fops_FS) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.USBD_CAT_fops_FS) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.USBD_CAT_fops_FS) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.USBD_CAT_fops_FS) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.USBD_CAT_fops_FS) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.USBD_CAT_fops_FS) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.USBD_CAT_fops_FS) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.USBD_CAT_fops_FS) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.USBD_CAT_fops_FS) refers to lto-llvm-ea22a7.o(.text.CAT_Init_FS) for CAT_Init_FS - lto-llvm-ea22a7.o(.data.USBD_CAT_fops_FS) refers to lto-llvm-ea22a7.o(.text.CAT_DeInit_FS) for CAT_DeInit_FS - lto-llvm-ea22a7.o(.data.USBD_CAT_fops_FS) refers to lto-llvm-ea22a7.o(.text.CAT_Control_FS) for CAT_Control_FS - lto-llvm-ea22a7.o(.data.USBD_CAT_fops_FS) refers to lto-llvm-ea22a7.o(.text.CAT_Receive_FS) for CAT_Receive_FS - lto-llvm-ea22a7.o(.bss.cat_buffer_head) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.cat_buffer_head) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.cat_buffer_head) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.cat_buffer_head) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.cat_buffer_head) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.cat_buffer_head) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.cat_buffer_head) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.cat_buffer_head) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.cat_buffer_head) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.cat_buffer_head) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.cat_buffer_head) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.cat_buffer_head) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.cat_buffer_head) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.cat_buffer_head) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.cat_buffer_head) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.cat_buffer_head) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.cat_buffer_head) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.cat_buffer_head) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.cat_buffer_head) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.cat_buffer_head) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.cat_buffer_head) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.cat_buffer) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.cat_buffer) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.cat_buffer) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.cat_buffer) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.cat_buffer) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.cat_buffer) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.cat_buffer) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.cat_buffer) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.cat_buffer) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.cat_buffer) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.cat_buffer) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.cat_buffer) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.cat_buffer) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.cat_buffer) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.cat_buffer) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.cat_buffer) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.cat_buffer) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.cat_buffer) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.cat_buffer) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.cat_buffer) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.cat_buffer) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.command_to_parse) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.command_to_parse) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.command_to_parse) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.command_to_parse) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.command_to_parse) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.command_to_parse) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.command_to_parse) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.command_to_parse) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.command_to_parse) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.command_to_parse) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.command_to_parse) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.command_to_parse) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.command_to_parse) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.command_to_parse) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.command_to_parse) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.command_to_parse) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.command_to_parse) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.command_to_parse) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.command_to_parse) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.command_to_parse) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.command_to_parse) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.lineCoding) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.lineCoding) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.lineCoding) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.lineCoding) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.lineCoding) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.lineCoding) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.lineCoding) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.lineCoding) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.lineCoding) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.lineCoding) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.lineCoding) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.lineCoding) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.lineCoding) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.lineCoding) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.lineCoding) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.lineCoding) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.lineCoding) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.lineCoding) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.lineCoding) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.lineCoding) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.lineCoding) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.CAT_UserTxBufferFS) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.CAT_UserTxBufferFS) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.CAT_UserTxBufferFS) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.CAT_UserTxBufferFS) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.CAT_UserTxBufferFS) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.CAT_UserTxBufferFS) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.CAT_UserTxBufferFS) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.CAT_UserTxBufferFS) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.CAT_UserTxBufferFS) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.CAT_UserTxBufferFS) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.CAT_UserTxBufferFS) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.CAT_UserTxBufferFS) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.CAT_UserTxBufferFS) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.CAT_UserTxBufferFS) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.CAT_UserTxBufferFS) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.CAT_UserTxBufferFS) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.CAT_UserTxBufferFS) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.CAT_UserTxBufferFS) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.CAT_UserTxBufferFS) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.CAT_UserTxBufferFS) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.CAT_UserTxBufferFS) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.CAT_UserRxBufferFS) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.CAT_UserRxBufferFS) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.CAT_UserRxBufferFS) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.CAT_UserRxBufferFS) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.CAT_UserRxBufferFS) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.CAT_UserRxBufferFS) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.CAT_UserRxBufferFS) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.CAT_UserRxBufferFS) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.CAT_UserRxBufferFS) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.CAT_UserRxBufferFS) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.CAT_UserRxBufferFS) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.CAT_UserRxBufferFS) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.CAT_UserRxBufferFS) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.CAT_UserRxBufferFS) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.CAT_UserRxBufferFS) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.CAT_UserRxBufferFS) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.CAT_UserRxBufferFS) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.CAT_UserRxBufferFS) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.CAT_UserRxBufferFS) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.CAT_UserRxBufferFS) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.CAT_UserRxBufferFS) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata..Lswitch.table.ua3reo_dev_cat_parseCommand.77) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata..Lswitch.table.ua3reo_dev_cat_parseCommand.77) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata..Lswitch.table.ua3reo_dev_cat_parseCommand.77) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata..Lswitch.table.ua3reo_dev_cat_parseCommand.77) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata..Lswitch.table.ua3reo_dev_cat_parseCommand.77) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata..Lswitch.table.ua3reo_dev_cat_parseCommand.77) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata..Lswitch.table.ua3reo_dev_cat_parseCommand.77) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata..Lswitch.table.ua3reo_dev_cat_parseCommand.77) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata..Lswitch.table.ua3reo_dev_cat_parseCommand.77) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata..Lswitch.table.ua3reo_dev_cat_parseCommand.77) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata..Lswitch.table.ua3reo_dev_cat_parseCommand.77) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata..Lswitch.table.ua3reo_dev_cat_parseCommand.77) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata..Lswitch.table.ua3reo_dev_cat_parseCommand.77) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata..Lswitch.table.ua3reo_dev_cat_parseCommand.77) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata..Lswitch.table.ua3reo_dev_cat_parseCommand.77) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata..Lswitch.table.ua3reo_dev_cat_parseCommand.77) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata..Lswitch.table.ua3reo_dev_cat_parseCommand.77) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata..Lswitch.table.ua3reo_dev_cat_parseCommand.77) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata..Lswitch.table.ua3reo_dev_cat_parseCommand.77) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata..Lswitch.table.ua3reo_dev_cat_parseCommand.77) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata..Lswitch.table.ua3reo_dev_cat_parseCommand.77) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.USBD_DEBUG_fops_FS) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.USBD_DEBUG_fops_FS) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.USBD_DEBUG_fops_FS) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.USBD_DEBUG_fops_FS) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.USBD_DEBUG_fops_FS) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.USBD_DEBUG_fops_FS) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.USBD_DEBUG_fops_FS) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.USBD_DEBUG_fops_FS) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.USBD_DEBUG_fops_FS) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.USBD_DEBUG_fops_FS) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.USBD_DEBUG_fops_FS) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.USBD_DEBUG_fops_FS) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.USBD_DEBUG_fops_FS) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.USBD_DEBUG_fops_FS) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.USBD_DEBUG_fops_FS) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.USBD_DEBUG_fops_FS) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.USBD_DEBUG_fops_FS) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.USBD_DEBUG_fops_FS) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.USBD_DEBUG_fops_FS) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.USBD_DEBUG_fops_FS) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.USBD_DEBUG_fops_FS) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.USBD_DEBUG_fops_FS) refers to lto-llvm-ea22a7.o(.text.DEBUG_Init_FS) for DEBUG_Init_FS - lto-llvm-ea22a7.o(.data.USBD_DEBUG_fops_FS) refers to lto-llvm-ea22a7.o(.text.DEBUG_DeInit_FS) for DEBUG_DeInit_FS - lto-llvm-ea22a7.o(.data.USBD_DEBUG_fops_FS) refers to lto-llvm-ea22a7.o(.text.DEBUG_Control_FS) for DEBUG_Control_FS - lto-llvm-ea22a7.o(.data.USBD_DEBUG_fops_FS) refers to lto-llvm-ea22a7.o(.text.DEBUG_Receive_FS) for DEBUG_Receive_FS - lto-llvm-ea22a7.o(.data.lineCoding.532) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.lineCoding.532) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.lineCoding.532) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.lineCoding.532) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.lineCoding.532) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.lineCoding.532) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.lineCoding.532) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.lineCoding.532) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.lineCoding.532) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.lineCoding.532) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.lineCoding.532) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.lineCoding.532) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.lineCoding.532) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.lineCoding.532) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.lineCoding.532) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.lineCoding.532) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.lineCoding.532) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.lineCoding.532) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.lineCoding.532) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.lineCoding.532) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.lineCoding.532) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.DEBUG_UserTxBufferFS) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.DEBUG_UserTxBufferFS) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.DEBUG_UserTxBufferFS) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.DEBUG_UserTxBufferFS) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.DEBUG_UserTxBufferFS) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.DEBUG_UserTxBufferFS) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.DEBUG_UserTxBufferFS) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.DEBUG_UserTxBufferFS) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.DEBUG_UserTxBufferFS) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.DEBUG_UserTxBufferFS) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.DEBUG_UserTxBufferFS) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.DEBUG_UserTxBufferFS) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.DEBUG_UserTxBufferFS) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.DEBUG_UserTxBufferFS) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.DEBUG_UserTxBufferFS) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.DEBUG_UserTxBufferFS) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.DEBUG_UserTxBufferFS) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.DEBUG_UserTxBufferFS) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.DEBUG_UserTxBufferFS) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.DEBUG_UserTxBufferFS) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.DEBUG_UserTxBufferFS) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.DEBUG_UserRxBufferFS) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.DEBUG_UserRxBufferFS) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.DEBUG_UserRxBufferFS) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.DEBUG_UserRxBufferFS) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.DEBUG_UserRxBufferFS) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.DEBUG_UserRxBufferFS) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.DEBUG_UserRxBufferFS) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.DEBUG_UserRxBufferFS) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.DEBUG_UserRxBufferFS) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.DEBUG_UserRxBufferFS) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.DEBUG_UserRxBufferFS) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.DEBUG_UserRxBufferFS) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.DEBUG_UserRxBufferFS) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.DEBUG_UserRxBufferFS) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.DEBUG_UserRxBufferFS) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.DEBUG_UserRxBufferFS) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.DEBUG_UserRxBufferFS) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.DEBUG_UserRxBufferFS) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.DEBUG_UserRxBufferFS) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.DEBUG_UserRxBufferFS) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.DEBUG_UserRxBufferFS) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_head) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_head) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_head) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_head) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_head) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_head) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_head) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_head) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_head) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_head) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_head) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_head) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_head) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_head) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_head) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_head) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_head) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_head) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_head) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_head) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_head) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.debug_tx_fifo) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.debug_tx_fifo) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.debug_tx_fifo) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.debug_tx_fifo) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.debug_tx_fifo) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.debug_tx_fifo) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.debug_tx_fifo) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.debug_tx_fifo) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.debug_tx_fifo) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.debug_tx_fifo) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.debug_tx_fifo) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.debug_tx_fifo) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.debug_tx_fifo) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.debug_tx_fifo) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.debug_tx_fifo) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.debug_tx_fifo) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.debug_tx_fifo) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.debug_tx_fifo) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.debug_tx_fifo) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.debug_tx_fifo) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.debug_tx_fifo) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_tail) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_tail) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_tail) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_tail) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_tail) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_tail) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_tail) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_tail) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_tail) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_tail) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_tail) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_tail) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_tail) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_tail) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_tail) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_tail) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_tail) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_tail) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_tail) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_tail) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.debug_tx_fifo_tail) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.FIFO_Events_busy) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.FIFO_Events_busy) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.FIFO_Events_busy) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.FIFO_Events_busy) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.FIFO_Events_busy) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.FIFO_Events_busy) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.FIFO_Events_busy) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.FIFO_Events_busy) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.FIFO_Events_busy) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.FIFO_Events_busy) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.FIFO_Events_busy) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.FIFO_Events_busy) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.FIFO_Events_busy) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.FIFO_Events_busy) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.FIFO_Events_busy) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.FIFO_Events_busy) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.FIFO_Events_busy) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.FIFO_Events_busy) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.FIFO_Events_busy) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.FIFO_Events_busy) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.FIFO_Events_busy) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.temp_buff) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.temp_buff) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.temp_buff) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.temp_buff) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.temp_buff) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.temp_buff) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.temp_buff) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.temp_buff) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.temp_buff) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.temp_buff) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.temp_buff) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.temp_buff) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.temp_buff) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.temp_buff) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.temp_buff) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.temp_buff) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.temp_buff) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.temp_buff) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.temp_buff) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.temp_buff) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.temp_buff) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_underrun) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_underrun) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_underrun) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_underrun) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_underrun) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_underrun) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_underrun) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_underrun) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_underrun) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_underrun) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_underrun) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_underrun) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_underrun) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_underrun) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_underrun) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_underrun) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_underrun) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_underrun) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_underrun) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_underrun) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_underrun) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_SAMPLES) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_SAMPLES) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_SAMPLES) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_SAMPLES) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_SAMPLES) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_SAMPLES) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_SAMPLES) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_SAMPLES) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_SAMPLES) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_SAMPLES) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_SAMPLES) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_SAMPLES) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_SAMPLES) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_SAMPLES) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_SAMPLES) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_SAMPLES) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_SAMPLES) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_SAMPLES) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_SAMPLES) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_SAMPLES) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_SAMPLES) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TX_USB_AUDIO_SAMPLES) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TX_USB_AUDIO_SAMPLES) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TX_USB_AUDIO_SAMPLES) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TX_USB_AUDIO_SAMPLES) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TX_USB_AUDIO_SAMPLES) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TX_USB_AUDIO_SAMPLES) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TX_USB_AUDIO_SAMPLES) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TX_USB_AUDIO_SAMPLES) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TX_USB_AUDIO_SAMPLES) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TX_USB_AUDIO_SAMPLES) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TX_USB_AUDIO_SAMPLES) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TX_USB_AUDIO_SAMPLES) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TX_USB_AUDIO_SAMPLES) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TX_USB_AUDIO_SAMPLES) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TX_USB_AUDIO_SAMPLES) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TX_USB_AUDIO_SAMPLES) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TX_USB_AUDIO_SAMPLES) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TX_USB_AUDIO_SAMPLES) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TX_USB_AUDIO_SAMPLES) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TX_USB_AUDIO_SAMPLES) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TX_USB_AUDIO_SAMPLES) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.USB_LastActiveTime) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.USB_LastActiveTime) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.USB_LastActiveTime) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.USB_LastActiveTime) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.USB_LastActiveTime) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.USB_LastActiveTime) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.USB_LastActiveTime) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.USB_LastActiveTime) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.USB_LastActiveTime) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.USB_LastActiveTime) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.USB_LastActiveTime) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.USB_LastActiveTime) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.USB_LastActiveTime) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.USB_LastActiveTime) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.USB_LastActiveTime) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.USB_LastActiveTime) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.USB_LastActiveTime) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.USB_LastActiveTime) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.USB_LastActiveTime) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.USB_LastActiveTime) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.USB_LastActiveTime) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.USBD_UA3REO) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.USBD_UA3REO) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.USBD_UA3REO) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.USBD_UA3REO) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.USBD_UA3REO) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.USBD_UA3REO) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.USBD_UA3REO) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.USBD_UA3REO) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.USBD_UA3REO) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.USBD_UA3REO) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.USBD_UA3REO) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.USBD_UA3REO) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.USBD_UA3REO) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.USBD_UA3REO) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.USBD_UA3REO) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.USBD_UA3REO) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.USBD_UA3REO) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.USBD_UA3REO) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.USBD_UA3REO) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.USBD_UA3REO) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.USBD_UA3REO) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.USBD_UA3REO) refers to lto-llvm-ea22a7.o(.text.USBD_UA3REO_Init) for USBD_UA3REO_Init - lto-llvm-ea22a7.o(.data.USBD_UA3REO) refers to lto-llvm-ea22a7.o(.text.USBD_UA3REO_DeInit) for USBD_UA3REO_DeInit - lto-llvm-ea22a7.o(.data.USBD_UA3REO) refers to lto-llvm-ea22a7.o(.text.USBD_UA3REO_Setup) for USBD_UA3REO_Setup - lto-llvm-ea22a7.o(.data.USBD_UA3REO) refers to lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_TxReady) for USBD_UA3REO_EP0_TxReady - lto-llvm-ea22a7.o(.data.USBD_UA3REO) refers to lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_RxReady) for USBD_UA3REO_EP0_RxReady - lto-llvm-ea22a7.o(.data.USBD_UA3REO) refers to lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataIn) for USBD_UA3REO_DataIn - lto-llvm-ea22a7.o(.data.USBD_UA3REO) refers to lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataOut) for USBD_UA3REO_DataOut - lto-llvm-ea22a7.o(.data.USBD_UA3REO) refers to lto-llvm-ea22a7.o(.text.USBD_UA3REO_SOF) for USBD_UA3REO_SOF - lto-llvm-ea22a7.o(.data.USBD_UA3REO) refers to lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoINIncomplete) for USBD_UA3REO_IsoINIncomplete - lto-llvm-ea22a7.o(.data.USBD_UA3REO) refers to lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoOutIncomplete) for USBD_UA3REO_IsoOutIncomplete - lto-llvm-ea22a7.o(.data.USBD_UA3REO) refers to lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetFSCfgDesc) for USBD_UA3REO_GetFSCfgDesc - lto-llvm-ea22a7.o(.data.USBD_UA3REO) refers to lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetDeviceQualifierDescriptor) for USBD_UA3REO_GetDeviceQualifierDescriptor - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_DeviceQualifierDesc) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_DeviceQualifierDesc) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_DeviceQualifierDesc) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_DeviceQualifierDesc) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_DeviceQualifierDesc) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_DeviceQualifierDesc) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_DeviceQualifierDesc) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_DeviceQualifierDesc) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_DeviceQualifierDesc) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_DeviceQualifierDesc) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_DeviceQualifierDesc) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_DeviceQualifierDesc) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_DeviceQualifierDesc) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_DeviceQualifierDesc) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_DeviceQualifierDesc) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_DeviceQualifierDesc) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_DeviceQualifierDesc) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_DeviceQualifierDesc) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_DeviceQualifierDesc) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_DeviceQualifierDesc) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_DeviceQualifierDesc) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_CfgFSDesc) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_CfgFSDesc) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_CfgFSDesc) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_CfgFSDesc) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_CfgFSDesc) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_CfgFSDesc) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_CfgFSDesc) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_CfgFSDesc) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_CfgFSDesc) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_CfgFSDesc) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_CfgFSDesc) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_CfgFSDesc) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_CfgFSDesc) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_CfgFSDesc) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_CfgFSDesc) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_CfgFSDesc) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_CfgFSDesc) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_CfgFSDesc) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_CfgFSDesc) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_CfgFSDesc) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_CfgFSDesc) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.rx_buffer_head) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.rx_buffer_head) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.rx_buffer_head) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.rx_buffer_head) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.rx_buffer_head) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.rx_buffer_head) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.rx_buffer_head) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.rx_buffer_head) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.rx_buffer_head) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.rx_buffer_head) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.rx_buffer_head) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.rx_buffer_head) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.rx_buffer_head) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.rx_buffer_head) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.rx_buffer_head) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.rx_buffer_head) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.rx_buffer_head) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.rx_buffer_head) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.rx_buffer_head) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.rx_buffer_head) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.rx_buffer_head) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.rx_buffer_step) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.rx_buffer_step) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.rx_buffer_step) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.rx_buffer_step) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.rx_buffer_step) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.rx_buffer_step) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.rx_buffer_step) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.rx_buffer_step) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.rx_buffer_step) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.rx_buffer_step) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.rx_buffer_step) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.rx_buffer_step) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.rx_buffer_step) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.rx_buffer_step) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.rx_buffer_step) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.rx_buffer_step) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.rx_buffer_step) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.rx_buffer_step) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.rx_buffer_step) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.rx_buffer_step) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.rx_buffer_step) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.WM8731_DMA_samples) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.WM8731_DMA_samples) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.WM8731_DMA_samples) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.WM8731_DMA_samples) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.WM8731_DMA_samples) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.WM8731_DMA_samples) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.WM8731_DMA_samples) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.WM8731_DMA_samples) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.WM8731_DMA_samples) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.WM8731_DMA_samples) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.WM8731_DMA_samples) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.WM8731_DMA_samples) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.WM8731_DMA_samples) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.WM8731_DMA_samples) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.WM8731_DMA_samples) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.WM8731_DMA_samples) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.WM8731_DMA_samples) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.WM8731_DMA_samples) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.WM8731_DMA_samples) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.WM8731_DMA_samples) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.WM8731_DMA_samples) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.WM8731_DMA_state) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.WM8731_DMA_state) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.WM8731_DMA_state) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.WM8731_DMA_state) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.WM8731_DMA_state) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.WM8731_DMA_state) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.WM8731_DMA_state) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.WM8731_DMA_state) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.WM8731_DMA_state) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.WM8731_DMA_state) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.WM8731_DMA_state) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.WM8731_DMA_state) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.WM8731_DMA_state) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.WM8731_DMA_state) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.WM8731_DMA_state) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.WM8731_DMA_state) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.WM8731_DMA_state) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.WM8731_DMA_state) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.WM8731_DMA_state) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.WM8731_DMA_state) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.WM8731_DMA_state) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.WM8731_Buffer_underrun) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.WM8731_Buffer_underrun) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.WM8731_Buffer_underrun) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.WM8731_Buffer_underrun) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.WM8731_Buffer_underrun) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.WM8731_Buffer_underrun) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.WM8731_Buffer_underrun) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.WM8731_Buffer_underrun) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.WM8731_Buffer_underrun) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.WM8731_Buffer_underrun) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.WM8731_Buffer_underrun) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.WM8731_Buffer_underrun) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.WM8731_Buffer_underrun) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.WM8731_Buffer_underrun) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.WM8731_Buffer_underrun) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.WM8731_Buffer_underrun) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.WM8731_Buffer_underrun) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.WM8731_Buffer_underrun) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.WM8731_Buffer_underrun) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.WM8731_Buffer_underrun) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.WM8731_Buffer_underrun) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.WM8731_Muting) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.WM8731_Muting) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.WM8731_Muting) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.WM8731_Muting) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.WM8731_Muting) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.WM8731_Muting) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.WM8731_Muting) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.WM8731_Muting) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.WM8731_Muting) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.WM8731_Muting) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.WM8731_Muting) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.WM8731_Muting) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.WM8731_Muting) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.WM8731_Muting) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.WM8731_Muting) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.WM8731_Muting) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.WM8731_Muting) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.WM8731_Muting) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.WM8731_Muting) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.WM8731_Muting) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.WM8731_Muting) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.WM8731_Beeping) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.WM8731_Beeping) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.WM8731_Beeping) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.WM8731_Beeping) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.WM8731_Beeping) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.WM8731_Beeping) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.WM8731_Beeping) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.WM8731_Beeping) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.WM8731_Beeping) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.WM8731_Beeping) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.WM8731_Beeping) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.WM8731_Beeping) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.WM8731_Beeping) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.WM8731_Beeping) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.WM8731_Beeping) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.WM8731_Beeping) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.WM8731_Beeping) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.WM8731_Beeping) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.WM8731_Beeping) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.WM8731_Beeping) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.WM8731_Beeping) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.htim7) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.htim7) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.htim7) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.htim7) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.htim7) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.htim7) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.htim7) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.htim7) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.htim7) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.htim7) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.htim7) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.htim7) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.htim7) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.htim7) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.htim7) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.htim7) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.htim7) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.htim7) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.htim7) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.htim7) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.htim7) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.hrtc) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.hrtc) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.hrtc) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.hrtc) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.hrtc) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.hrtc) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.hrtc) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.hrtc) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.hrtc) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.hrtc) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.hrtc) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.hrtc) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.hrtc) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.hrtc) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.hrtc) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.hrtc) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.hrtc) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.hrtc) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.hrtc) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.hrtc) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.hrtc) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.htim4) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.htim4) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.htim4) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.htim4) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.htim4) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.htim4) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.htim4) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.htim4) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.htim4) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.htim4) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.htim4) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.htim4) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.htim4) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.htim4) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.htim4) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.htim4) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.htim4) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.htim4) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.htim4) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.htim4) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.htim4) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.htim5) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.htim5) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.htim5) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.htim5) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.htim5) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.htim5) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.htim5) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.htim5) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.htim5) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.htim5) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.htim5) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.htim5) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.htim5) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.htim5) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.htim5) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.htim5) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.htim5) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.htim5) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.htim5) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.htim5) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.htim5) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.htim6) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.htim6) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.htim6) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.htim6) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.htim6) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.htim6) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.htim6) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.htim6) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.htim6) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.htim6) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.htim6) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.htim6) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.htim6) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.htim6) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.htim6) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.htim6) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.htim6) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.htim6) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.htim6) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.htim6) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.htim6) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.htim3) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.htim3) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.htim3) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.htim3) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.htim3) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.htim3) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.htim3) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.htim3) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.htim3) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.htim3) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.htim3) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.htim3) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.htim3) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.htim3) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.htim3) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.htim3) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.htim3) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.htim3) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.htim3) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.htim3) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.htim3) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.htim8) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.htim8) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.htim8) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.htim8) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.htim8) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.htim8) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.htim8) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.htim8) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.htim8) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.htim8) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.htim8) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.htim8) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.htim8) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.htim8) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.htim8) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.htim8) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.htim8) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.htim8) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.htim8) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.htim8) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.htim8) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.hadc1) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.hadc1) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.hadc1) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.hadc1) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.hadc1) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.hadc1) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.hadc1) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.hadc1) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.hadc1) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.hadc1) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.hadc1) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.hadc1) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.hadc1) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.hadc1) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.hadc1) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.hadc1) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.hadc1) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.hadc1) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.hadc1) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.hadc1) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.hadc1) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.hadc2) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.hadc2) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.hadc2) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.hadc2) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.hadc2) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.hadc2) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.hadc2) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.hadc2) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.hadc2) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.hadc2) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.hadc2) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.hadc2) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.hadc2) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.hadc2) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.hadc2) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.hadc2) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.hadc2) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.hadc2) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.hadc2) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.hadc2) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.hadc2) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.hi2s3) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.hi2s3) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.hi2s3) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.hi2s3) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.hi2s3) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.hi2s3) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.hi2s3) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.hi2s3) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.hi2s3) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.hi2s3) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.hi2s3) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.hi2s3) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.hi2s3) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.hi2s3) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.hi2s3) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.hi2s3) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.hi2s3) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.hi2s3) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.hi2s3) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.hi2s3) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.hi2s3) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.hdma_spi3_tx) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.hdma_spi3_tx) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.hdma_spi3_tx) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.hdma_spi3_tx) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.hdma_spi3_tx) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.hdma_spi3_tx) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.hdma_spi3_tx) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.hdma_spi3_tx) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.hdma_spi3_tx) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.hdma_spi3_tx) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.hdma_spi3_tx) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.hdma_spi3_tx) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.hdma_spi3_tx) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.hdma_spi3_tx) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.hdma_spi3_tx) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.hdma_spi3_tx) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.hdma_spi3_tx) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.hdma_spi3_tx) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.hdma_spi3_tx) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.hdma_spi3_tx) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.hdma_spi3_tx) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.hdma_i2s3_ext_rx) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.hdma_i2s3_ext_rx) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.hdma_i2s3_ext_rx) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.hdma_i2s3_ext_rx) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.hdma_i2s3_ext_rx) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.hdma_i2s3_ext_rx) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.hdma_i2s3_ext_rx) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.hdma_i2s3_ext_rx) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.hdma_i2s3_ext_rx) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.hdma_i2s3_ext_rx) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.hdma_i2s3_ext_rx) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.hdma_i2s3_ext_rx) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.hdma_i2s3_ext_rx) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.hdma_i2s3_ext_rx) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.hdma_i2s3_ext_rx) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.hdma_i2s3_ext_rx) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.hdma_i2s3_ext_rx) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.hdma_i2s3_ext_rx) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.hdma_i2s3_ext_rx) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.hdma_i2s3_ext_rx) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.hdma_i2s3_ext_rx) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.hspi2) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.hspi2) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.hspi2) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.hspi2) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.hspi2) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.hspi2) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.hspi2) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.hspi2) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.hspi2) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.hspi2) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.hspi2) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.hspi2) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.hspi2) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.hspi2) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.hspi2) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.hspi2) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.hspi2) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.hspi2) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.hspi2) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.hspi2) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.hspi2) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.hpcd_USB_OTG_FS) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.hpcd_USB_OTG_FS) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.hpcd_USB_OTG_FS) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.hpcd_USB_OTG_FS) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.hpcd_USB_OTG_FS) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.hpcd_USB_OTG_FS) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.hpcd_USB_OTG_FS) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.hpcd_USB_OTG_FS) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.hpcd_USB_OTG_FS) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.hpcd_USB_OTG_FS) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.hpcd_USB_OTG_FS) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.hpcd_USB_OTG_FS) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.hpcd_USB_OTG_FS) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.hpcd_USB_OTG_FS) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.hpcd_USB_OTG_FS) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.hpcd_USB_OTG_FS) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.hpcd_USB_OTG_FS) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.hpcd_USB_OTG_FS) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.hpcd_USB_OTG_FS) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.hpcd_USB_OTG_FS) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.hpcd_USB_OTG_FS) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream7) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream7) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream7) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream7) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream7) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream7) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream7) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream7) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream7) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream7) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream7) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream7) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream7) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream7) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream7) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream7) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream7) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream7) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream7) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream7) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream7) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream6) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream6) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream6) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream6) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream6) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream6) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream6) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream6) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream6) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream6) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream6) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream6) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream6) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream6) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream6) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream6) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream6) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream6) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream6) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream6) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream6) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream4) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream4) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream4) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream4) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream4) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream4) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream4) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream4) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream4) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream4) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream4) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream4) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream4) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream4) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream4) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream4) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream4) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream4) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream4) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream4) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream4) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream1) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream1) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream1) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream1) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream1) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream1) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream1) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream1) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream1) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream1) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream1) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream1) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream1) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream1) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream1) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream1) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream1) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream1) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream1) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream1) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream1) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream0) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream0) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream0) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream0) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream0) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream0) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream0) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream0) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream0) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream0) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream0) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream0) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream0) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream0) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream0) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream0) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream0) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream0) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream0) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream0) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream0) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream2) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream2) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream2) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream2) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream2) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream2) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream2) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream2) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream2) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream2) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream2) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream2) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream2) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream2) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream2) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream2) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream2) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream2) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream2) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream2) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream2) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream3) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream3) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream3) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream3) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream3) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream3) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream3) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream3) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream3) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream3) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream3) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream3) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream3) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream3) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream3) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream3) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream3) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream3) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream3) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream3) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream3) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream5) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream5) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream5) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream5) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream5) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream5) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream5) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream5) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream5) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream5) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream5) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream5) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream5) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream5) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream5) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream5) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream5) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream5) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream5) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream5) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream5) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.hsram1) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.hsram1) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.hsram1) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.hsram1) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.hsram1) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.hsram1) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.hsram1) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.hsram1) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.hsram1) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.hsram1) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.hsram1) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.hsram1) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.hsram1) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.hsram1) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.hsram1) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.hsram1) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.hsram1) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.hsram1) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.hsram1) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.hsram1) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.hsram1) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.ARM.use_no_argv) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.ARM.use_no_argv) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.ARM.use_no_argv) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.ARM.use_no_argv) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.ARM.use_no_argv) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.ARM.use_no_argv) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.ARM.use_no_argv) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.ARM.use_no_argv) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.ARM.use_no_argv) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.ARM.use_no_argv) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.ARM.use_no_argv) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.ARM.use_no_argv) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.ARM.use_no_argv) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.ARM.use_no_argv) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.ARM.use_no_argv) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.ARM.use_no_argv) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.ARM.use_no_argv) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.ARM.use_no_argv) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.ARM.use_no_argv) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.ARM.use_no_argv) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.ARM.use_no_argv) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.greetings_buff) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.greetings_buff) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.greetings_buff) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.greetings_buff) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.greetings_buff) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.greetings_buff) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.greetings_buff) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.greetings_buff) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.greetings_buff) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.greetings_buff) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.greetings_buff) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.greetings_buff) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.greetings_buff) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.greetings_buff) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.greetings_buff) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.greetings_buff) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.greetings_buff) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.greetings_buff) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.greetings_buff) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.greetings_buff) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.greetings_buff) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b.622) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b.622) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b.622) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b.622) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b.622) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b.622) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b.622) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b.622) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b.622) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b.622) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b.622) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b.622) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b.622) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b.622) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b.622) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b.622) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b.622) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b.622) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b.622) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b.622) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b.622) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b.622) refers to lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps.635) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b.622) refers to lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs.636) for [Anonymous Symbol] - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps.635) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps.635) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps.635) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps.635) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps.635) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps.635) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps.635) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps.635) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps.635) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps.635) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps.635) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps.635) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps.635) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps.635) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps.635) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps.635) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps.635) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps.635) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps.635) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps.635) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps.635) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs.636) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs.636) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs.636) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs.636) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs.636) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs.636) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs.636) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs.636) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs.636) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs.636) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs.636) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs.636) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs.636) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs.636) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs.636) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs.636) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs.636) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs.636) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs.636) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs.636) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs.636) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata..compoundliteral.637) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata..compoundliteral.637) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata..compoundliteral.637) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata..compoundliteral.637) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata..compoundliteral.637) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata..compoundliteral.637) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.637) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.637) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata..compoundliteral.637) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata..compoundliteral.637) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata..compoundliteral.637) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata..compoundliteral.637) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata..compoundliteral.637) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata..compoundliteral.637) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata..compoundliteral.637) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata..compoundliteral.637) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata..compoundliteral.637) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata..compoundliteral.637) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata..compoundliteral.637) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata..compoundliteral.637) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata..compoundliteral.637) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.HardFault_Handler.i) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.HardFault_Handler.i) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.HardFault_Handler.i) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.HardFault_Handler.i) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.HardFault_Handler.i) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.HardFault_Handler.i) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.HardFault_Handler.i) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.HardFault_Handler.i) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.HardFault_Handler.i) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.HardFault_Handler.i) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.HardFault_Handler.i) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.HardFault_Handler.i) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.HardFault_Handler.i) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.HardFault_Handler.i) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.HardFault_Handler.i) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.HardFault_Handler.i) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.HardFault_Handler.i) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.HardFault_Handler.i) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.HardFault_Handler.i) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.HardFault_Handler.i) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.HardFault_Handler.i) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.MemManage_Handler.i) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.MemManage_Handler.i) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.MemManage_Handler.i) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.MemManage_Handler.i) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.MemManage_Handler.i) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.MemManage_Handler.i) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.MemManage_Handler.i) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.MemManage_Handler.i) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.MemManage_Handler.i) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.MemManage_Handler.i) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.MemManage_Handler.i) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.MemManage_Handler.i) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.MemManage_Handler.i) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.MemManage_Handler.i) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.MemManage_Handler.i) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.MemManage_Handler.i) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.MemManage_Handler.i) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.MemManage_Handler.i) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.MemManage_Handler.i) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.MemManage_Handler.i) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.MemManage_Handler.i) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.BusFault_Handler.i) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.BusFault_Handler.i) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.BusFault_Handler.i) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.BusFault_Handler.i) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.BusFault_Handler.i) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.BusFault_Handler.i) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.BusFault_Handler.i) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.BusFault_Handler.i) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.BusFault_Handler.i) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.BusFault_Handler.i) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.BusFault_Handler.i) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.BusFault_Handler.i) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.BusFault_Handler.i) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.BusFault_Handler.i) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.BusFault_Handler.i) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.BusFault_Handler.i) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.BusFault_Handler.i) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.BusFault_Handler.i) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.BusFault_Handler.i) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.BusFault_Handler.i) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.BusFault_Handler.i) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.UsageFault_Handler.i) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.UsageFault_Handler.i) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.UsageFault_Handler.i) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.UsageFault_Handler.i) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.UsageFault_Handler.i) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.UsageFault_Handler.i) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.UsageFault_Handler.i) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.UsageFault_Handler.i) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.UsageFault_Handler.i) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.UsageFault_Handler.i) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.UsageFault_Handler.i) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.UsageFault_Handler.i) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.UsageFault_Handler.i) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.UsageFault_Handler.i) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.UsageFault_Handler.i) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.UsageFault_Handler.i) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.UsageFault_Handler.i) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.UsageFault_Handler.i) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.UsageFault_Handler.i) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.UsageFault_Handler.i) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.UsageFault_Handler.i) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2first) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2first) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2first) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2first) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2first) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2first) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2first) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2first) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2first) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2first) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2first) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2first) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2first) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2first) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2first) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2first) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2first) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2first) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2first) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2first) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2first) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2lastClkVal) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2lastClkVal) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2lastClkVal) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2lastClkVal) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2lastClkVal) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2lastClkVal) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2lastClkVal) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2lastClkVal) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2lastClkVal) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2lastClkVal) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2lastClkVal) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2lastClkVal) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2lastClkVal) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2lastClkVal) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2lastClkVal) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2lastClkVal) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2lastClkVal) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2lastClkVal) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2lastClkVal) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2lastClkVal) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2lastClkVal) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.ms10_counter) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.ms10_counter) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.ms10_counter) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.ms10_counter) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.ms10_counter) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.ms10_counter) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.ms10_counter) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.ms10_counter) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.ms10_counter) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.ms10_counter) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.ms10_counter) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.ms10_counter) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.ms10_counter) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.ms10_counter) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.ms10_counter) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.ms10_counter) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.ms10_counter) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.ms10_counter) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.ms10_counter) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.ms10_counter) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.ms10_counter) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_I) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_I) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_I) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_I) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_I) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_I) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_I) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_I) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_I) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_I) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_I) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_I) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_I) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_I) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_I) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_I) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_I) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_I) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_I) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_I) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_I) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_Q) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_Q) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_Q) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_Q) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_Q) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_Q) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_Q) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_Q) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_Q) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_Q) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_Q) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_Q) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_Q) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_Q) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_Q) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_Q) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_Q) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_Q) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_Q) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_Q) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_Q) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.fpga_stuck_errors) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.fpga_stuck_errors) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.fpga_stuck_errors) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.fpga_stuck_errors) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.fpga_stuck_errors) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.fpga_stuck_errors) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.fpga_stuck_errors) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.fpga_stuck_errors) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.fpga_stuck_errors) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.fpga_stuck_errors) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.fpga_stuck_errors) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.fpga_stuck_errors) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.fpga_stuck_errors) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.fpga_stuck_errors) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.fpga_stuck_errors) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.fpga_stuck_errors) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.fpga_stuck_errors) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.fpga_stuck_errors) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.fpga_stuck_errors) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.fpga_stuck_errors) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.fpga_stuck_errors) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.needPrintFFT) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.needPrintFFT) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.needPrintFFT) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.needPrintFFT) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.needPrintFFT) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.needPrintFFT) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.needPrintFFT) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.needPrintFFT) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.needPrintFFT) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.needPrintFFT) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.needPrintFFT) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.needPrintFFT) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.needPrintFFT) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.needPrintFFT) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.needPrintFFT) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.needPrintFFT) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.needPrintFFT) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.needPrintFFT) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.needPrintFFT) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.needPrintFFT) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.needPrintFFT) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.tim6_delay) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.tim6_delay) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.tim6_delay) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.tim6_delay) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.tim6_delay) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.tim6_delay) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.tim6_delay) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.tim6_delay) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.tim6_delay) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.tim6_delay) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.tim6_delay) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.tim6_delay) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.tim6_delay) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.tim6_delay) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.tim6_delay) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.tim6_delay) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.tim6_delay) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.tim6_delay) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.tim6_delay) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.tim6_delay) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.tim6_delay) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.HX8357B_BUG_redraw_counter) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.HX8357B_BUG_redraw_counter) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.HX8357B_BUG_redraw_counter) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.HX8357B_BUG_redraw_counter) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.HX8357B_BUG_redraw_counter) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.HX8357B_BUG_redraw_counter) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.HX8357B_BUG_redraw_counter) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.HX8357B_BUG_redraw_counter) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.HX8357B_BUG_redraw_counter) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.HX8357B_BUG_redraw_counter) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.HX8357B_BUG_redraw_counter) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.HX8357B_BUG_redraw_counter) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.HX8357B_BUG_redraw_counter) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.HX8357B_BUG_redraw_counter) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.HX8357B_BUG_redraw_counter) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.HX8357B_BUG_redraw_counter) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.HX8357B_BUG_redraw_counter) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.HX8357B_BUG_redraw_counter) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.HX8357B_BUG_redraw_counter) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.HX8357B_BUG_redraw_counter) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.HX8357B_BUG_redraw_counter) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.powerdown_start_delay) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.powerdown_start_delay) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.powerdown_start_delay) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.powerdown_start_delay) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.powerdown_start_delay) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.powerdown_start_delay) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.powerdown_start_delay) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.powerdown_start_delay) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.powerdown_start_delay) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.powerdown_start_delay) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.powerdown_start_delay) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.powerdown_start_delay) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.powerdown_start_delay) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.powerdown_start_delay) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.powerdown_start_delay) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.powerdown_start_delay) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.powerdown_start_delay) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.powerdown_start_delay) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.powerdown_start_delay) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.powerdown_start_delay) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.powerdown_start_delay) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.FSMC_Initialized) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.FSMC_Initialized) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.FSMC_Initialized) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.FSMC_Initialized) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.FSMC_Initialized) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.FSMC_Initialized) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.FSMC_Initialized) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.FSMC_Initialized) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.FSMC_Initialized) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.FSMC_Initialized) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.FSMC_Initialized) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.FSMC_Initialized) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.FSMC_Initialized) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.FSMC_Initialized) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.FSMC_Initialized) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.FSMC_Initialized) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.FSMC_Initialized) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.FSMC_Initialized) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.FSMC_Initialized) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.FSMC_Initialized) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.FSMC_Initialized) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.uwTickPrio) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.uwTickPrio) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.uwTickPrio) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.uwTickPrio) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.uwTickPrio) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.uwTickPrio) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.uwTickPrio) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.uwTickPrio) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.uwTickPrio) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.uwTickPrio) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.uwTickPrio) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.uwTickPrio) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.uwTickPrio) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.uwTickPrio) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.uwTickPrio) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.uwTickPrio) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.uwTickPrio) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.uwTickPrio) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.uwTickPrio) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.uwTickPrio) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.uwTickPrio) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.uwTick) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.uwTick) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.uwTick) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.uwTick) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.uwTick) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.uwTick) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.uwTick) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.uwTick) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.uwTick) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.uwTick) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.uwTick) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.uwTick) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.uwTick) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.uwTick) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.uwTick) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.uwTick) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.uwTick) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.uwTick) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.uwTick) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.uwTick) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.uwTick) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.SystemCoreClock) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.SystemCoreClock) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.SystemCoreClock) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.SystemCoreClock) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.SystemCoreClock) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.SystemCoreClock) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.SystemCoreClock) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.SystemCoreClock) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.SystemCoreClock) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.SystemCoreClock) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.SystemCoreClock) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.SystemCoreClock) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.SystemCoreClock) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.SystemCoreClock) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.SystemCoreClock) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.SystemCoreClock) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.SystemCoreClock) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.SystemCoreClock) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.SystemCoreClock) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.SystemCoreClock) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.SystemCoreClock) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.hUsbDeviceFS) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.hUsbDeviceFS) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.hUsbDeviceFS) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.hUsbDeviceFS) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.hUsbDeviceFS) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.hUsbDeviceFS) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.hUsbDeviceFS) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.hUsbDeviceFS) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.hUsbDeviceFS) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.hUsbDeviceFS) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.hUsbDeviceFS) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.hUsbDeviceFS) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.hUsbDeviceFS) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.hUsbDeviceFS) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.hUsbDeviceFS) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.hUsbDeviceFS) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.hUsbDeviceFS) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.hUsbDeviceFS) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.hUsbDeviceFS) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.hUsbDeviceFS) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.hUsbDeviceFS) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.USBD_inited) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.USBD_inited) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.USBD_inited) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.USBD_inited) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.USBD_inited) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.USBD_inited) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.USBD_inited) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.USBD_inited) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.USBD_inited) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.USBD_inited) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.USBD_inited) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.USBD_inited) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.USBD_inited) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.USBD_inited) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.USBD_inited) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.USBD_inited) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.USBD_inited) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.USBD_inited) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.USBD_inited) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.USBD_inited) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.USBD_inited) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.USBD_SetConfig.cfgidx) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.USBD_SetConfig.cfgidx) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.USBD_SetConfig.cfgidx) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.USBD_SetConfig.cfgidx) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.USBD_SetConfig.cfgidx) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.USBD_SetConfig.cfgidx) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.USBD_SetConfig.cfgidx) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.USBD_SetConfig.cfgidx) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.USBD_SetConfig.cfgidx) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.USBD_SetConfig.cfgidx) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.USBD_SetConfig.cfgidx) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.USBD_SetConfig.cfgidx) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.USBD_SetConfig.cfgidx) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.USBD_SetConfig.cfgidx) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.USBD_SetConfig.cfgidx) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.USBD_SetConfig.cfgidx) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.USBD_SetConfig.cfgidx) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.USBD_SetConfig.cfgidx) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.USBD_SetConfig.cfgidx) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.USBD_SetConfig.cfgidx) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.USBD_SetConfig.cfgidx) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.FS_Desc) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.FS_Desc) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.FS_Desc) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.FS_Desc) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.FS_Desc) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.FS_Desc) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.FS_Desc) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.FS_Desc) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.FS_Desc) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.FS_Desc) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.FS_Desc) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.FS_Desc) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.FS_Desc) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.FS_Desc) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.FS_Desc) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.FS_Desc) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.FS_Desc) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.FS_Desc) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.FS_Desc) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.FS_Desc) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.FS_Desc) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.FS_Desc) refers to lto-llvm-ea22a7.o(.text.USBD_FS_DeviceDescriptor) for USBD_FS_DeviceDescriptor - lto-llvm-ea22a7.o(.data.FS_Desc) refers to lto-llvm-ea22a7.o(.text.USBD_FS_LangIDStrDescriptor) for USBD_FS_LangIDStrDescriptor - lto-llvm-ea22a7.o(.data.FS_Desc) refers to lto-llvm-ea22a7.o(.text.USBD_FS_ManufacturerStrDescriptor) for USBD_FS_ManufacturerStrDescriptor - lto-llvm-ea22a7.o(.data.FS_Desc) refers to lto-llvm-ea22a7.o(.text.USBD_FS_ProductStrDescriptor) for USBD_FS_ProductStrDescriptor - lto-llvm-ea22a7.o(.data.FS_Desc) refers to lto-llvm-ea22a7.o(.text.USBD_FS_SerialStrDescriptor) for USBD_FS_SerialStrDescriptor - lto-llvm-ea22a7.o(.data.FS_Desc) refers to lto-llvm-ea22a7.o(.text.USBD_FS_ConfigStrDescriptor) for USBD_FS_ConfigStrDescriptor - lto-llvm-ea22a7.o(.data.FS_Desc) refers to lto-llvm-ea22a7.o(.text.USBD_FS_Interface1StrDescriptor) for USBD_FS_Interface1StrDescriptor - lto-llvm-ea22a7.o(.data.FS_Desc) refers to lto-llvm-ea22a7.o(.text.USBD_FS_Interface2StrDescriptor) for USBD_FS_Interface2StrDescriptor - lto-llvm-ea22a7.o(.data.FS_Desc) refers to lto-llvm-ea22a7.o(.text.USBD_FS_Interface3StrDescriptor) for USBD_FS_Interface3StrDescriptor - lto-llvm-ea22a7.o(.bss.USBD_StrDesc) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.USBD_StrDesc) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.USBD_StrDesc) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.USBD_StrDesc) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.USBD_StrDesc) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.USBD_StrDesc) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.USBD_StrDesc) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.USBD_StrDesc) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.USBD_StrDesc) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.USBD_StrDesc) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.USBD_StrDesc) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.USBD_StrDesc) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.USBD_StrDesc) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.USBD_StrDesc) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.USBD_StrDesc) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.USBD_StrDesc) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.USBD_StrDesc) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.USBD_StrDesc) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.USBD_StrDesc) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.USBD_StrDesc) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.USBD_StrDesc) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.USBD_StringSerial) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.USBD_StringSerial) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.USBD_StringSerial) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.USBD_StringSerial) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.USBD_StringSerial) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.USBD_StringSerial) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.USBD_StringSerial) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.USBD_StringSerial) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.USBD_StringSerial) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.USBD_StringSerial) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.USBD_StringSerial) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.USBD_StringSerial) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.USBD_StringSerial) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.USBD_StringSerial) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.USBD_StringSerial) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.USBD_StringSerial) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.USBD_StringSerial) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.USBD_StringSerial) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.USBD_StringSerial) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.USBD_StringSerial) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.USBD_StringSerial) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.USBD_LangIDDesc) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.USBD_LangIDDesc) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.USBD_LangIDDesc) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.USBD_LangIDDesc) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.USBD_LangIDDesc) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.USBD_LangIDDesc) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.USBD_LangIDDesc) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.USBD_LangIDDesc) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.USBD_LangIDDesc) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.USBD_LangIDDesc) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.USBD_LangIDDesc) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.USBD_LangIDDesc) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.USBD_LangIDDesc) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.USBD_LangIDDesc) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.USBD_LangIDDesc) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.USBD_LangIDDesc) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.USBD_LangIDDesc) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.USBD_LangIDDesc) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.USBD_LangIDDesc) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.USBD_LangIDDesc) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.USBD_LangIDDesc) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.data.USBD_FS_DeviceDesc) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.data.USBD_FS_DeviceDesc) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.data.USBD_FS_DeviceDesc) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.data.USBD_FS_DeviceDesc) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.data.USBD_FS_DeviceDesc) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.data.USBD_FS_DeviceDesc) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.data.USBD_FS_DeviceDesc) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.data.USBD_FS_DeviceDesc) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.data.USBD_FS_DeviceDesc) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.data.USBD_FS_DeviceDesc) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.data.USBD_FS_DeviceDesc) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.data.USBD_FS_DeviceDesc) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.data.USBD_FS_DeviceDesc) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.data.USBD_FS_DeviceDesc) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.data.USBD_FS_DeviceDesc) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.data.USBD_FS_DeviceDesc) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.data.USBD_FS_DeviceDesc) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.data.USBD_FS_DeviceDesc) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.data.USBD_FS_DeviceDesc) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.data.USBD_FS_DeviceDesc) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.data.USBD_FS_DeviceDesc) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.twiddleCoef_512) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.twiddleCoef_512) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.twiddleCoef_512) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.twiddleCoef_512) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.twiddleCoef_512) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.twiddleCoef_512) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.twiddleCoef_512) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.twiddleCoef_512) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.twiddleCoef_512) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.twiddleCoef_512) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.twiddleCoef_512) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.twiddleCoef_512) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.twiddleCoef_512) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.twiddleCoef_512) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.twiddleCoef_512) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.twiddleCoef_512) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.twiddleCoef_512) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.twiddleCoef_512) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.twiddleCoef_512) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.twiddleCoef_512) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.twiddleCoef_512) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.armBitRevIndexTable512) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.armBitRevIndexTable512) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.armBitRevIndexTable512) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.armBitRevIndexTable512) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.armBitRevIndexTable512) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.armBitRevIndexTable512) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.armBitRevIndexTable512) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.armBitRevIndexTable512) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.armBitRevIndexTable512) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.armBitRevIndexTable512) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.armBitRevIndexTable512) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.armBitRevIndexTable512) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.armBitRevIndexTable512) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.armBitRevIndexTable512) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.armBitRevIndexTable512) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.armBitRevIndexTable512) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.armBitRevIndexTable512) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.armBitRevIndexTable512) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.armBitRevIndexTable512) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.armBitRevIndexTable512) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.armBitRevIndexTable512) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.rodata.sinTable_f32) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.rodata.sinTable_f32) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.rodata.sinTable_f32) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.rodata.sinTable_f32) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.rodata.sinTable_f32) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.rodata.sinTable_f32) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.rodata.sinTable_f32) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.rodata.sinTable_f32) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.rodata.sinTable_f32) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.rodata.sinTable_f32) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.rodata.sinTable_f32) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.rodata.sinTable_f32) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.rodata.sinTable_f32) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.rodata.sinTable_f32) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.rodata.sinTable_f32) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.rodata.sinTable_f32) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.rodata.sinTable_f32) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.rodata.sinTable_f32) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.rodata.sinTable_f32) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.rodata.sinTable_f32) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.rodata.sinTable_f32) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.CPU_LOAD.0) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.CPU_LOAD.0) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.CPU_LOAD.0) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.CPU_LOAD.0) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.CPU_LOAD.0) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.CPU_LOAD.0) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.CPU_LOAD.0) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.CPU_LOAD.0) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.CPU_LOAD.0) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.CPU_LOAD.0) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.CPU_LOAD.0) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.CPU_LOAD.0) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.CPU_LOAD.0) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.CPU_LOAD.0) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.CPU_LOAD.0) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.CPU_LOAD.0) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.CPU_LOAD.0) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.CPU_LOAD.0) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.CPU_LOAD.0) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.CPU_LOAD.0) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.CPU_LOAD.0) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.0) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.0) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.0) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.0) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.0) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.0) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.0) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.0) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.0) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.0) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.0) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.0) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.0) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.0) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.0) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.0) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.0) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.0) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.0) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.0) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.0) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.1) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.1) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.1) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.1) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.1) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.1) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.1) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.1) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.1) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.1) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.1) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.1) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.1) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.1) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.1) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.1) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.1) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.1) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.1) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.1) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.1) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.2) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.2) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.2) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.2) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.2) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.2) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.2) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.2) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.2) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.2) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.2) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.2) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.2) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.2) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.2) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.2) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.2) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.2) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.2) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.2) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.2) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.3) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.3) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.3) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.3) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.3) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.3) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.3) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.3) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.3) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.3) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.3) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.3) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.3) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.3) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.3) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.3) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.3) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.3) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.3) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.3) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.3) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.4) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.4) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.4) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.4) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.4) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.4) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.4) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.4) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.4) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.4) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.4) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.4) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.4) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.4) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.4) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.4) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.4) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.4) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.4) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.4) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.4) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.5) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.5) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.5) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.5) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.5) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.5) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.5) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.5) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.5) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.5) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.5) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.5) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.5) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.5) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.5) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.5) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.5) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.5) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.5) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.5) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.5) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.0) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.0) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.0) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.0) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.0) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.0) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.0) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.0) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.0) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.0) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.0) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.0) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.0) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.0) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.0) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.0) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.0) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.0) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.0) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.0) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.0) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.1) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.1) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.1) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.1) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.1) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.1) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.1) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.1) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.1) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.1) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.1) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.1) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.1) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.1) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.1) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.1) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.1) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.1) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.1) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.1) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.FFT_sortInstance.1) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.0) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.0) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.0) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.0) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.0) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.0) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.0) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.0) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.0) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.0) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.0) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.0) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.0) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.0) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.0) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.0) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.0) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.0) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.0) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.0) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.0) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.1) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.1) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.1) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.1) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.1) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.1) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.1) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.1) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.1) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.1) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.1) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.1) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.1) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.1) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.1) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.1) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.1) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.1) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.1) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.1) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.1) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.0) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.0) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.0) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.0) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.0) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.0) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.0) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.0) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.0) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.0) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.0) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.0) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.0) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.0) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.0) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.0) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.0) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.0) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.0) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.0) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.0) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.1) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.1) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.1) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.1) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.1) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.1) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.1) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.1) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.1) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.1) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.1) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.1) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.1) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.1) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.1) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.1) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.1) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.1) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.1) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.1) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.1) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.0) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.0) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.0) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.0) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.0) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.0) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.0) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.0) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.0) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.0) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.0) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.0) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.0) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.0) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.0) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.0) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.0) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.0) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.0) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.0) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.0) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.1) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.1) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.1) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.1) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.1) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.1) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.1) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.1) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.1) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.1) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.1) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.1) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.1) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.1) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.1) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.1) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.1) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.1) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.1) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.1) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.1) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.0) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.0) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.0) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.0) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.0) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.0) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.0) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.0) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.0) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.0) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.0) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.0) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.0) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.0) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.0) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.0) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.0) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.0) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.0) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.0) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.0) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.1) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.1) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.1) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.1) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.1) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.1) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.1) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.1) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.1) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.1) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.1) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.1) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.1) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.1) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.1) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.1) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.1) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.1) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.1) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.1) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.1) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.0) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.0) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.0) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.0) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.0) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.0) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.0) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.0) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.0) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.0) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.0) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.0) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.0) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.0) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.0) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.0) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.0) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.0) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.0) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.0) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.0) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.1) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.1) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.1) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.1) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.1) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.1) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.1) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.1) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.1) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.1) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.1) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.1) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.1) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.1) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.1) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.1) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.1) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.1) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.1) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.1) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.1) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.0) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.0) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.0) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.0) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.0) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.0) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.0) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.0) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.0) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.0) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.0) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.0) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.0) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.0) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.0) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.0) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.0) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.0) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.0) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.0) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.0) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.1) refers (Special) to _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) for _printf_a - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.1) refers (Special) to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.1) refers (Special) to _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) for _printf_d - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.1) refers (Special) to _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) for _printf_e - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.1) refers (Special) to _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) for _printf_f - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.1) refers (Special) to printf1.o(x$fpl$printf1) for _printf_fp_dec - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.1) refers (Special) to printf2.o(x$fpl$printf2) for _printf_fp_hex - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.1) refers (Special) to _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) for _printf_g - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.1) refers (Special) to _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) for _printf_i - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.1) refers (Special) to _printf_dec.o(.text) for _printf_int_dec - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.1) refers (Special) to _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) for _printf_lc - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.1) refers (Special) to _printf_hex_int.o(.text) for _printf_longlong_hex - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.1) refers (Special) to _printf_oct_int.o(.text) for _printf_longlong_oct - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.1) refers (Special) to _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) for _printf_o - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.1) refers (Special) to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.1) refers (Special) to _printf_pad.o(.text) for _printf_pre_padding - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.1) refers (Special) to _printf_str.o(.text) for _printf_str - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.1) refers (Special) to _printf_truncate.o(.text) for _printf_truncate_signed - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.1) refers (Special) to _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) for _printf_u - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.1) refers (Special) to _printf_wctomb.o(.text) for _printf_wctomb - lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.1) refers (Special) to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x - rt_memcpy_v6.o(.text) refers to rt_memcpy_w.o(.text) for __aeabi_memcpy4 - aeabi_memset.o(.text) refers to rt_memclr.o(.text) for _memset - aeabi_memset4.o(.text) refers to rt_memclr_w.o(.text) for _memset_w - d2f.o(x$fpl$d2f) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - d2f.o(x$fpl$d2f) refers to fretinf.o(x$fpl$fretinf) for __fpl_fretinf - d2f.o(x$fpl$d2f) refers to dnaninf.o(x$fpl$dnaninf) for __fpl_dnaninf - dcmp.o(x$fpl$fcmp) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - dcmp.o(x$fpl$fcmp) refers to deqf.o(x$fpl$deqf) for _dcmpeq - dcmp.o(x$fpl$fcmp) refers to dgeqf.o(x$fpl$dgeqf) for _dcmpge - dcmp.o(x$fpl$fcmp) refers to dleqf.o(x$fpl$dleqf) for _dcmple - dfixu.o(x$fpl$dfixu) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - dfixu.o(x$fpl$dfixu) refers to dnaninf.o(x$fpl$dnaninf) for __fpl_dnaninf - dfixu.o(x$fpl$dfixur) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - dfixu.o(x$fpl$dfixur) refers to dnaninf.o(x$fpl$dnaninf) for __fpl_dnaninf - f2d.o(x$fpl$f2d) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - f2d.o(x$fpl$f2d) refers to fnaninf.o(x$fpl$fnaninf) for __fpl_fnaninf - f2d.o(x$fpl$f2d) refers to dretinf.o(x$fpl$dretinf) for __fpl_dretinf - ffixll.o(x$fpl$llsfromf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - ffixll.o(x$fpl$llsfromf) refers to fnaninf.o(x$fpl$fnaninf) for __fpl_fnaninf - ffixll.o(x$fpl$llsfromfr) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - ffixll.o(x$fpl$llsfromfr) refers to fnaninf.o(x$fpl$fnaninf) for __fpl_fnaninf - ceilf.o(i.__hardfp_ceilf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - ceilf.o(i.__softfp_ceilf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - ceilf.o(i.ceilf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - cos.o(i.__hardfp_cos) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - cos.o(i.__hardfp_cos) refers to _rserrno.o(.text) for __set_errno - cos.o(i.__hardfp_cos) refers to dunder.o(i.__mathlib_dbl_invalid) for __mathlib_dbl_invalid - cos.o(i.__hardfp_cos) refers to dunder.o(i.__mathlib_dbl_infnan) for __mathlib_dbl_infnan - cos.o(i.__hardfp_cos) refers to rred.o(i.__ieee754_rem_pio2) for __ieee754_rem_pio2 - cos.o(i.__hardfp_cos) refers to sin_i.o(i.__kernel_sin) for __kernel_sin - cos.o(i.__hardfp_cos) refers to cos_i.o(i.__kernel_cos) for __kernel_cos - cos.o(i.__hardfp_cos) refers to basic.o(x$fpl$basic) for __aeabi_dneg - cos.o(i.__softfp_cos) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - cos.o(i.__softfp_cos) refers to cos.o(i.__hardfp_cos) for __hardfp_cos - cos.o(i.cos) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - cos.o(i.cos) refers to cos.o(i.__hardfp_cos) for __hardfp_cos - exp2f.o(i.__hardfp_exp2f) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - exp2f.o(i.__hardfp_exp2f) refers to _rserrno.o(.text) for __set_errno - exp2f.o(i.__hardfp_exp2f) refers to funder.o(i.__mathlib_flt_underflow) for __mathlib_flt_underflow - exp2f.o(i.__hardfp_exp2f) refers to funder.o(i.__mathlib_flt_infnan) for __mathlib_flt_infnan - exp2f.o(i.__hardfp_exp2f) refers to funder.o(i.__mathlib_flt_overflow) for __mathlib_flt_overflow - exp2f.o(i.__hardfp_exp2f) refers to fpclassifyf.o(i.__ARM_fpclassifyf) for __ARM_fpclassifyf - exp2f.o(i.__hardfp_exp2f) refers to exp2f.o(.constdata) for .constdata - exp2f.o(i.__softfp_exp2f) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - exp2f.o(i.__softfp_exp2f) refers to exp2f.o(i.__hardfp_exp2f) for __hardfp_exp2f - exp2f.o(i.exp2f) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - exp2f.o(i.exp2f) refers to exp2f.o(i.__hardfp_exp2f) for __hardfp_exp2f - exp2f.o(.constdata) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - floorf.o(i.__hardfp_floorf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - floorf.o(i.__softfp_floorf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - floorf.o(i.floorf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - fmodf.o(i.__hardfp_fmodf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - fmodf.o(i.__hardfp_fmodf) refers to frem_clz.o(x$fpl$frem) for _frem - fmodf.o(i.__hardfp_fmodf) refers to _rserrno.o(.text) for __set_errno - fmodf.o(i.__hardfp_fmodf) refers to funder.o(i.__mathlib_flt_invalid) for __mathlib_flt_invalid - fmodf.o(i.__softfp_fmodf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - fmodf.o(i.__softfp_fmodf) refers to fmodf.o(i.__hardfp_fmodf) for __hardfp_fmodf - fmodf.o(i.fmodf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - fmodf.o(i.fmodf) refers to fmodf.o(i.__hardfp_fmodf) for __hardfp_fmodf - round.o(i.__hardfp_round) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - round.o(i.__hardfp_round) refers to drnd.o(x$fpl$drnd) for _drnd - round.o(i.__hardfp_round) refers to daddsub_clz.o(x$fpl$dsub) for __aeabi_dsub - round.o(i.__hardfp_round) refers to dleqf.o(x$fpl$dleqf) for __aeabi_cdcmple - round.o(i.__hardfp_round) refers to drleqf.o(x$fpl$drleqf) for __aeabi_cdrcmple - round.o(i.__hardfp_round) refers to daddsub_clz.o(x$fpl$dadd) for __aeabi_dadd - round.o(i.round) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - round.o(i.round) refers to drnd.o(x$fpl$drnd) for _drnd - round.o(i.round) refers to daddsub_clz.o(x$fpl$dsub) for __aeabi_dsub - round.o(i.round) refers to dleqf.o(x$fpl$dleqf) for __aeabi_cdcmple - round.o(i.round) refers to drleqf.o(x$fpl$drleqf) for __aeabi_cdrcmple - round.o(i.round) refers to daddsub_clz.o(x$fpl$dadd) for __aeabi_dadd - aeabi_ldiv0_sigfpe.o(.text) refers to rt_div0.o(.text) for __rt_div0 - dgeqf.o(x$fpl$dgeqf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - dgeqf.o(x$fpl$dgeqf) refers to dnaninf.o(x$fpl$dnaninf) for __fpl_dnaninf - dgeqf.o(x$fpl$dgeqf) refers to dcmpi.o(x$fpl$dcmpinf) for __fpl_dcmp_Inf - drnd.o(x$fpl$drnd) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - drnd.o(x$fpl$drnd) refers to dnaninf.o(x$fpl$dnaninf) for __fpl_dnaninf - fnaninf.o(x$fpl$fnaninf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - frem_clz.o(x$fpl$frem) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - frem_clz.o(x$fpl$frem) refers to fnaninf.o(x$fpl$fnaninf) for __fpl_fnaninf - fretinf.o(x$fpl$fretinf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - cos_i.o(i.__kernel_cos) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - cos_i.o(i.__kernel_cos) refers to dfix.o(x$fpl$dfix) for __aeabi_d2iz - cos_i.o(i.__kernel_cos) refers to dmul.o(x$fpl$dmul) for __aeabi_dmul - cos_i.o(i.__kernel_cos) refers to poly.o(i.__kernel_poly) for __kernel_poly - cos_i.o(i.__kernel_cos) refers to daddsub_clz.o(x$fpl$dsub) for __aeabi_dsub - cos_i.o(i.__kernel_cos) refers to daddsub_clz.o(x$fpl$drsb) for __aeabi_drsub - cos_i.o(i.__kernel_cos) refers to cos_i.o(.constdata) for .constdata - cos_i.o(.constdata) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - rred.o(i.__ieee754_rem_pio2) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - rred.o(i.__ieee754_rem_pio2) refers to daddsub_clz.o(x$fpl$dsub) for __aeabi_dsub - rred.o(i.__ieee754_rem_pio2) refers to daddsub_clz.o(x$fpl$dadd) for __aeabi_dadd - rred.o(i.__ieee754_rem_pio2) refers to fabs.o(i.fabs) for fabs - rred.o(i.__ieee754_rem_pio2) refers to dmul.o(x$fpl$dmul) for __aeabi_dmul - rred.o(i.__ieee754_rem_pio2) refers to dfix.o(x$fpl$dfix) for __aeabi_d2iz - rred.o(i.__ieee754_rem_pio2) refers to dflt_clz.o(x$fpl$dflt) for __aeabi_i2d - rred.o(i.__ieee754_rem_pio2) refers to daddsub_clz.o(x$fpl$drsb) for __aeabi_drsub - rred.o(i.__ieee754_rem_pio2) refers to basic.o(x$fpl$basic) for __aeabi_dneg - rred.o(i.__ieee754_rem_pio2) refers to dflt_clz.o(x$fpl$dfltu) for __aeabi_ui2d - rred.o(i.__ieee754_rem_pio2) refers to rred.o(.constdata) for .constdata - rred.o(i.__use_accurate_range_reduction) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - rred.o(.constdata) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - sin_i.o(i.__kernel_sin) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - sin_i.o(i.__kernel_sin) refers to fpclassify.o(i.__ARM_fpclassify) for __ARM_fpclassify - sin_i.o(i.__kernel_sin) refers to dunder.o(i.__mathlib_dbl_underflow) for __mathlib_dbl_underflow - sin_i.o(i.__kernel_sin) refers to dmul.o(x$fpl$dmul) for __aeabi_dmul - sin_i.o(i.__kernel_sin) refers to poly.o(i.__kernel_poly) for __kernel_poly - sin_i.o(i.__kernel_sin) refers to daddsub_clz.o(x$fpl$dsub) for __aeabi_dsub - sin_i.o(i.__kernel_sin) refers to daddsub_clz.o(x$fpl$drsb) for __aeabi_drsub - sin_i.o(i.__kernel_sin) refers to daddsub_clz.o(x$fpl$dadd) for __aeabi_dadd - sin_i.o(i.__kernel_sin) refers to sin_i.o(.constdata) for .constdata - sin_i.o(.constdata) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp - rt_div0.o(.text) refers to defsig_fpe_outer.o(.text) for __rt_SIGFPE - defsig_fpe_outer.o(.text) refers to defsig_fpe_inner.o(.text) for __rt_SIGFPE_inner - defsig_fpe_outer.o(.text) refers to defsig_exit.o(.text) for __sig_exit - defsig_fpe_formal.o(.text) refers to rt_raise.o(.text) for __rt_raise - - -============================================================================== - -Removing Unused input sections from the image. - - Removing lto-llvm-ea22a7.o(.text), (0 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.DoRxAGC), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.ReinitAudioFilters), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.ModulateFM), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.processAutoNotchReduction), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.getBandFromFreq), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.getModeFromFreq), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.JumpToBootloader), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_PreInit), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_Init), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_afterPrintFFT), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.FFT_printWaterfallDMA), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.readFromCircleBuffer32), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_strln), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_str3), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint8), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint16), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_uint32), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_int16), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.sendToDebug_float32), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.addSymbols), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SPI_Transmit), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_PRE), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATT), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BW), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_HPF), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AsB), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_ArB), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_RF_POWER), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_TUNE), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_NOTCH), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_FAST), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_CLAR), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MUTE), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_LOCK), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_VOLUME), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BANDMAP), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_WPM), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_KEYER), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_ENCODER_Rotated), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_Process), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_N), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_MODE_P), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_P), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.FRONTPANEL_BUTTONHANDLER_BAND_N), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_get_ack), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_shift_out), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.i2c_endTransmission), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_doEvents), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayTopButtons), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayFreqInfo), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoGUI), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_displayStatusInfoBar), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.LCD_showError), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawChar), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printText), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_printTextFont), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_getTextBounds), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Init), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_RectXY), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawLine), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_drawRectXY), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.LCDDriver_Fill_Triangle), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.RF_UNIT_ProcessSensors), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_WaitWrite), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Read_Data), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettingsToEEPROM), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.EEPROM_Write_Data), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SaveSettings), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.LoadCalibration), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SaveCalibration), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_Start), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_DrawGraphCol), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SWR_EncRotate), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRXMENU), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIOMENU), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CWMENU), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_LCDMENU), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADCMENU), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SERVICESMENU), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SETTIME), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_Bootloader), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIBRATIONMENU), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CIC_SHIFT), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_DAC_SHIFT), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_S_METER), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CALIB_VOLT), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_BAND_START), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SWR_HF_START), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_DRIVER), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_ADC_SHDN), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Zoom), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_COLOR_THEME), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Color), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Grid), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Background), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Enabled), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Compressor), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Averaging), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_SCREEN_FFT_Window), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Key_timeout), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_SelfHear), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_Keyer_WPM), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_CW_GaussFilter), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_VOLUME), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_IFGain), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_Gain), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_THRES), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_FMSquelch), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_AUDIO_Beeper), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_RFPower), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_BandMap), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_AutoGain), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_STEP), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_ATT_STEP), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_MICIN), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_LINEIN), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_USBIN), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_SetCallsign), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SYSMENU_drawSystemMenu), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.drawSystemMenuElement), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.eventSecRotateSystemMenu), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setFrequency), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_setMode), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_Restart_Mode), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.TRX_key_change), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_Init_FS), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.AUDIO_DeInit_FS), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Init_FS), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_DeInit_FS), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Control_FS), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.CAT_Receive_FS), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.setFT450Mode), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Init_FS), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_DeInit_FS), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Control_FS), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Receive_FS), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.DEBUG_Transmit_FIFO_Events), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Init), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DeInit), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_Setup), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_TxReady), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_EP0_RxReady), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataIn), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_DataOut), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_SOF), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoINIncomplete), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_IsoOutIncomplete), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetFSCfgDesc), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_UA3REO_GetDeviceQualifierDescriptor), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_AUDIO_StartTransmit), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_Restart), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_start_i2s_and_dma), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMAHalfCplt), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_TxRxDMACplt), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.UA3REO_I2SEx_DMAErr), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_RX_mode), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.WM8731_TXRX_mode), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.main), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.NMI_Handler), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.HardFault_Handler), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.MemManage_Handler), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.BusFault_Handler), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.UsageFault_Handler), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SVC_Handler), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.DebugMon_Handler), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.PendSV_Handler), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SysTick_Handler), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI0_IRQHandler), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI1_IRQHandler), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI2_IRQHandler), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI3_IRQHandler), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream0_IRQHandler), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.DMA1_Stream5_IRQHandler), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI9_5_IRQHandler), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.TIM3_IRQHandler), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.TIM4_IRQHandler), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.EXTI15_10_IRQHandler), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.TIM8_UP_TIM13_IRQHandler), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.TIM5_IRQHandler), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SPI3_IRQHandler), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.TIM6_DAC_IRQHandler), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.TIM7_IRQHandler), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream1_IRQHandler), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream2_IRQHandler), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.OTG_FS_IRQHandler), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream5_IRQHandler), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.DMA2_Stream6_IRQHandler), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2S_MspInit), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_Init), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADC_ConfigChannel), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedStart), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_ADCEx_InjectedConfigChannel), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_Init), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_GPIO_EXTI_IRQHandler), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_Init), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_PollForTransfer), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_DMA_IRQHandler), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SRAM_Init), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.I2S_IRQHandler), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAHalfCplt), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMACplt), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.I2SEx_TxRxDMAError), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_I2SEx_FullDuplex_IRQHandler), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_RTC_Init), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_SPI_TransmitReceive), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_Base_Init), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_IRQHandler), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_TIM_ConfigClockSource), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EPStartXfer), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.USB_EP0StartXfer), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.SystemInit), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.MX_USB_DevDisconnect), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.HAL_PCD_DataOutStageCallback), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_OpenEP), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_CloseEP), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_LL_StallEP), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_GetString), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_DeviceDescriptor), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_LangIDStrDescriptor), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ManufacturerStrDescriptor), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ProductStrDescriptor), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_SerialStrDescriptor), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_ConfigStrDescriptor), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface1StrDescriptor), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface2StrDescriptor), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.USBD_FS_Interface3StrDescriptor), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.arm_add_f32), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.arm_mult_f32), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.arm_sub_f32), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.arm_biquad_cascade_df2T_f32), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_decimate_f32), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.arm_fir_f32), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.arm_max_f32), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.arm_min_f32), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.arm_rms_f32), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.exidx.text.arm_quick_sort_core_f32), (8 bytes). - Removing lto-llvm-ea22a7.o(.ARM.use_no_argv), (4 bytes). - -282 unused section(s) (total 2244 bytes) removed from the image. - -============================================================================== - -Image Symbol Table - - Local Symbols - - Symbol Name Value Ov Type Size Object(Section) - - ../clib/angel/boardlib.s 0x00000000 Number 0 boardinit1.o ABSOLUTE - ../clib/angel/boardlib.s 0x00000000 Number 0 boardinit2.o ABSOLUTE - ../clib/angel/boardlib.s 0x00000000 Number 0 boardinit3.o ABSOLUTE - ../clib/angel/boardlib.s 0x00000000 Number 0 boardshut.o ABSOLUTE - ../clib/angel/dczerorl2.s 0x00000000 Number 0 __dczerorl2.o ABSOLUTE - ../clib/angel/handlers.s 0x00000000 Number 0 __scatter_zi.o ABSOLUTE - ../clib/angel/kernel.s 0x00000000 Number 0 __rtentry.o ABSOLUTE - ../clib/angel/kernel.s 0x00000000 Number 0 __rtentry2.o ABSOLUTE - ../clib/angel/kernel.s 0x00000000 Number 0 __rtentry4.o ABSOLUTE - ../clib/angel/kernel.s 0x00000000 Number 0 rtexit.o ABSOLUTE - ../clib/angel/kernel.s 0x00000000 Number 0 rtexit2.o ABSOLUTE - ../clib/angel/rt.s 0x00000000 Number 0 rt_heap_descriptor.o ABSOLUTE - ../clib/angel/rt.s 0x00000000 Number 0 rt_heap_descriptor_intlibspace.o ABSOLUTE - ../clib/angel/rt.s 0x00000000 Number 0 rt_errno_addr.o ABSOLUTE - ../clib/angel/rt.s 0x00000000 Number 0 rt_errno_addr_intlibspace.o ABSOLUTE - ../clib/angel/rt.s 0x00000000 Number 0 rt_ctype_table.o ABSOLUTE - ../clib/angel/rt.s 0x00000000 Number 0 rt_locale.o ABSOLUTE - ../clib/angel/rt.s 0x00000000 Number 0 rt_locale_intlibspace.o ABSOLUTE - ../clib/angel/rt.s 0x00000000 Number 0 rt_raise.o ABSOLUTE - ../clib/angel/rt.s 0x00000000 Number 0 aeabi_ldiv0.o ABSOLUTE - ../clib/angel/rt.s 0x00000000 Number 0 aeabi_ldiv0_sigfpe.o ABSOLUTE - ../clib/angel/rt.s 0x00000000 Number 0 rt_div0.o ABSOLUTE - ../clib/angel/scatter.s 0x00000000 Number 0 __scatter.o ABSOLUTE - ../clib/angel/startup.s 0x00000000 Number 0 __main.o ABSOLUTE - ../clib/angel/sys.s 0x00000000 Number 0 mutex_dummy.o ABSOLUTE - ../clib/angel/sys.s 0x00000000 Number 0 libspace.o ABSOLUTE - ../clib/angel/sys.s 0x00000000 Number 0 use_no_semi.o ABSOLUTE - ../clib/angel/sys.s 0x00000000 Number 0 indicate_semi.o ABSOLUTE - ../clib/angel/sys.s 0x00000000 Number 0 sys_stackheap_outer.o ABSOLUTE - ../clib/angel/sysapp.c 0x00000000 Number 0 sys_command.o ABSOLUTE - ../clib/angel/sysapp.c 0x00000000 Number 0 sys_command_hlt.o ABSOLUTE - ../clib/angel/sysapp.c 0x00000000 Number 0 sys_exit.o ABSOLUTE - ../clib/angel/sysapp.c 0x00000000 Number 0 sys_exit_hlt.o ABSOLUTE - ../clib/angel/sysapp.c 0x00000000 Number 0 sys_wrch.o ABSOLUTE - ../clib/angel/sysapp.c 0x00000000 Number 0 sys_wrch_hlt.o ABSOLUTE - ../clib/armsys.c 0x00000000 Number 0 _get_argv.o ABSOLUTE - ../clib/armsys.c 0x00000000 Number 0 argv_veneer.o ABSOLUTE - ../clib/armsys.c 0x00000000 Number 0 argv_veneer.o ABSOLUTE - ../clib/armsys.c 0x00000000 Number 0 _get_argv_nomalloc.o ABSOLUTE - ../clib/armsys.c 0x00000000 Number 0 no_argv.o ABSOLUTE - ../clib/bigflt.c 0x00000000 Number 0 bigflt0.o ABSOLUTE - ../clib/btod.s 0x00000000 Number 0 btod.o ABSOLUTE - ../clib/btod_accurate.c 0x00000000 Number 0 btod_accurate.o ABSOLUTE - ../clib/btod_accurate.c 0x00000000 Number 0 btod_accurate_common.o ABSOLUTE - ../clib/fenv.c 0x00000000 Number 0 _rserrno.o ABSOLUTE - ../clib/heap1.c 0x00000000 Number 0 h1_alloc.o ABSOLUTE - ../clib/heap1.c 0x00000000 Number 0 h1_free.o ABSOLUTE - ../clib/heap1.c 0x00000000 Number 0 h1_alloc_threads.o ABSOLUTE - ../clib/heap1.c 0x00000000 Number 0 h1_free_threads.o ABSOLUTE - ../clib/heap1.c 0x00000000 Number 0 h1_init.o ABSOLUTE - ../clib/heap1.c 0x00000000 Number 0 h1_init_threads.o ABSOLUTE - ../clib/heap1.c 0x00000000 Number 0 h1_extend.o ABSOLUTE - ../clib/heap1.c 0x00000000 Number 0 h1_extend_threads.o ABSOLUTE - ../clib/heap1.c 0x00000000 Number 0 h1_final.o ABSOLUTE - ../clib/heap1.c 0x00000000 Number 0 h1_final_threads.o ABSOLUTE - ../clib/heap2.c 0x00000000 Number 0 heap2.o ABSOLUTE - ../clib/heap2.c 0x00000000 Number 0 heap2_threads.o ABSOLUTE - ../clib/heap2.c 0x00000000 Number 0 fdtree.o ABSOLUTE - ../clib/heapalloc.c 0x00000000 Number 0 malloc.o ABSOLUTE - ../clib/heapalloc.c 0x00000000 Number 0 free.o ABSOLUTE - ../clib/heapalloc.c 0x00000000 Number 0 hguard.o ABSOLUTE - ../clib/heapalloc.c 0x00000000 Number 0 init_alloc.o ABSOLUTE - ../clib/heapalloc.c 0x00000000 Number 0 heapstubs.o ABSOLUTE - ../clib/heapalloc.c 0x00000000 Number 0 hrguard.o ABSOLUTE - ../clib/heapalloc.c 0x00000000 Number 0 term_alloc.o ABSOLUTE - ../clib/heapaux.c 0x00000000 Number 0 heapauxi.o ABSOLUTE - ../clib/heapaux.c 0x00000000 Number 0 heapauxa.o ABSOLUTE - ../clib/libinit.s 0x00000000 Number 0 libinit2.o ABSOLUTE - ../clib/libinit.s 0x00000000 Number 0 libinit.o ABSOLUTE - ../clib/libinit.s 0x00000000 Number 0 libshutdown2.o ABSOLUTE - ../clib/libinit.s 0x00000000 Number 0 libshutdown.o ABSOLUTE - ../clib/locale.c 0x00000000 Number 0 _c16rtomb.o ABSOLUTE - ../clib/locale.s 0x00000000 Number 0 lc_numeric_c.o ABSOLUTE - ../clib/locale.s 0x00000000 Number 0 lc_ctype_c.o ABSOLUTE - ../clib/longlong.s 0x00000000 Number 0 lludiv10.o ABSOLUTE - ../clib/longlong.s 0x00000000 Number 0 llushr.o ABSOLUTE - ../clib/longlong.s 0x00000000 Number 0 lludivv7m.o ABSOLUTE - ../clib/maybe.s 0x00000000 Number 0 maybetermalloc1.o ABSOLUTE - ../clib/maybe.s 0x00000000 Number 0 maybetermalloc2.o ABSOLUTE - ../clib/memcpset.s 0x00000000 Number 0 strncpy.o ABSOLUTE - ../clib/memcpset.s 0x00000000 Number 0 strcmpv7em.o ABSOLUTE - ../clib/memcpset.s 0x00000000 Number 0 rt_memcpy_w.o ABSOLUTE - ../clib/memcpset.s 0x00000000 Number 0 rt_memclr.o ABSOLUTE - ../clib/memcpset.s 0x00000000 Number 0 rt_memclr_w.o ABSOLUTE - ../clib/memcpset.s 0x00000000 Number 0 rt_memcpy_v6.o ABSOLUTE - ../clib/memcpset.s 0x00000000 Number 0 aeabi_memset.o ABSOLUTE - ../clib/memcpset.s 0x00000000 Number 0 aeabi_memset4.o ABSOLUTE - ../clib/misc.s 0x00000000 Number 0 printf_stubs.o ABSOLUTE - ../clib/printf.c 0x00000000 Number 0 __2sprintf.o ABSOLUTE - ../clib/printf.c 0x00000000 Number 0 noretval__2sprintf.o ABSOLUTE - ../clib/printf.c 0x00000000 Number 0 __printf.o ABSOLUTE - ../clib/printf.c 0x00000000 Number 0 _printf_pad.o ABSOLUTE - ../clib/printf.c 0x00000000 Number 0 _printf_truncate.o ABSOLUTE - ../clib/printf.c 0x00000000 Number 0 _printf_str.o ABSOLUTE - ../clib/printf.c 0x00000000 Number 0 _printf_dec.o ABSOLUTE - ../clib/printf.c 0x00000000 Number 0 _printf_wctomb.o ABSOLUTE - ../clib/printf.c 0x00000000 Number 0 _printf_oct_ll.o ABSOLUTE - ../clib/printf.c 0x00000000 Number 0 _printf_oct_int.o ABSOLUTE - ../clib/printf.c 0x00000000 Number 0 _printf_oct_int_ll.o ABSOLUTE - ../clib/printf.c 0x00000000 Number 0 _printf_hex_ll.o ABSOLUTE - ../clib/printf.c 0x00000000 Number 0 _printf_hex_int.o ABSOLUTE - ../clib/printf.c 0x00000000 Number 0 _printf_hex_int_ll.o ABSOLUTE - ../clib/printf.c 0x00000000 Number 0 _printf_hex_ptr.o ABSOLUTE - ../clib/printf.c 0x00000000 Number 0 _printf_hex_int_ptr.o ABSOLUTE - ../clib/printf.c 0x00000000 Number 0 _printf_hex_ll_ptr.o ABSOLUTE - ../clib/printf.c 0x00000000 Number 0 _printf_hex_int_ll_ptr.o ABSOLUTE - ../clib/printf.c 0x00000000 Number 0 __printf_flags.o ABSOLUTE - ../clib/printf.c 0x00000000 Number 0 __printf_ss.o ABSOLUTE - ../clib/printf.c 0x00000000 Number 0 __printf_flags_ss.o ABSOLUTE - ../clib/printf.c 0x00000000 Number 0 __printf_wp.o ABSOLUTE - ../clib/printf.c 0x00000000 Number 0 __printf_flags_wp.o ABSOLUTE - ../clib/printf.c 0x00000000 Number 0 __printf_ss_wp.o ABSOLUTE - ../clib/printf.c 0x00000000 Number 0 __printf_flags_ss_wp.o ABSOLUTE - ../clib/printf.c 0x00000000 Number 0 _printf_intcommon.o ABSOLUTE - ../clib/printf.c 0x00000000 Number 0 _printf_fp_dec.o ABSOLUTE - ../clib/printf.c 0x00000000 Number 0 _printf_fp_dec_accurate.o ABSOLUTE - ../clib/printf.c 0x00000000 Number 0 _printf_fp_hex.o ABSOLUTE - ../clib/printf.c 0x00000000 Number 0 _printf_char_common.o ABSOLUTE - ../clib/printf.c 0x00000000 Number 0 _sputc.o ABSOLUTE - ../clib/printf.c 0x00000000 Number 0 _printf_char.o ABSOLUTE - ../clib/printf.c 0x00000000 Number 0 _printf_wchar.o ABSOLUTE - ../clib/printf.c 0x00000000 Number 0 _printf_fp_infnan.o ABSOLUTE - ../clib/printf.c 0x00000000 Number 0 __printf_nopercent.o ABSOLUTE - ../clib/printf_percent.s 0x00000000 Number 0 _printf_c.o ABSOLUTE - ../clib/printf_percent.s 0x00000000 Number 0 _printf_x.o ABSOLUTE - ../clib/printf_percent.s 0x00000000 Number 0 _printf_o.o ABSOLUTE - ../clib/printf_percent.s 0x00000000 Number 0 _printf_i.o ABSOLUTE - ../clib/printf_percent.s 0x00000000 Number 0 _printf_d.o ABSOLUTE - ../clib/printf_percent.s 0x00000000 Number 0 _printf_u.o ABSOLUTE - ../clib/printf_percent.s 0x00000000 Number 0 _printf_f.o ABSOLUTE - ../clib/printf_percent.s 0x00000000 Number 0 _printf_e.o ABSOLUTE - ../clib/printf_percent.s 0x00000000 Number 0 _printf_g.o ABSOLUTE - ../clib/printf_percent.s 0x00000000 Number 0 _printf_a.o ABSOLUTE - ../clib/printf_percent.s 0x00000000 Number 0 _printf_percent.o ABSOLUTE - ../clib/printf_percent.s 0x00000000 Number 0 _printf_lc.o ABSOLUTE - ../clib/printf_percent.s 0x00000000 Number 0 _printf_percent_end.o ABSOLUTE - ../clib/printf_percent.s 0x00000000 Number 0 _printf_l.o ABSOLUTE - ../clib/scanf.c 0x00000000 Number 0 atoi.o ABSOLUTE - ../clib/scanf.c 0x00000000 Number 0 strtol.o ABSOLUTE - ../clib/scanf.c 0x00000000 Number 0 _strtoul.o ABSOLUTE - ../clib/scanf.c 0x00000000 Number 0 _chval.o ABSOLUTE - ../clib/signal.c 0x00000000 Number 0 defsig_rtmem_outer.o ABSOLUTE - ../clib/signal.c 0x00000000 Number 0 defsig_rtmem_formal.o ABSOLUTE - ../clib/signal.c 0x00000000 Number 0 defsig_exit.o ABSOLUTE - ../clib/signal.c 0x00000000 Number 0 defsig_rtmem_inner.o ABSOLUTE - ../clib/signal.c 0x00000000 Number 0 __raise.o ABSOLUTE - ../clib/signal.c 0x00000000 Number 0 defsig_general.o ABSOLUTE - ../clib/signal.c 0x00000000 Number 0 defsig_abrt_inner.o ABSOLUTE - ../clib/signal.c 0x00000000 Number 0 defsig_fpe_inner.o ABSOLUTE - ../clib/signal.c 0x00000000 Number 0 defsig_rtred_inner.o ABSOLUTE - ../clib/signal.c 0x00000000 Number 0 defsig_stak_inner.o ABSOLUTE - ../clib/signal.c 0x00000000 Number 0 defsig_pvfn_inner.o ABSOLUTE - ../clib/signal.c 0x00000000 Number 0 defsig_cppl_inner.o ABSOLUTE - ../clib/signal.c 0x00000000 Number 0 defsig_segv_inner.o ABSOLUTE - ../clib/signal.c 0x00000000 Number 0 defsig_other.o ABSOLUTE - ../clib/signal.c 0x00000000 Number 0 defsig_fpe_outer.o ABSOLUTE - ../clib/signal.c 0x00000000 Number 0 defsig_fpe_formal.o ABSOLUTE - ../clib/signal.s 0x00000000 Number 0 defsig.o ABSOLUTE - ../clib/stdlib.c 0x00000000 Number 0 exit.o ABSOLUTE - ../clib/string.c 0x00000000 Number 0 memcmp.o ABSOLUTE - ../clib/string.c 0x00000000 Number 0 strcpy.o ABSOLUTE - ../clib/string.c 0x00000000 Number 0 strlen.o ABSOLUTE - ../clib/string.c 0x00000000 Number 0 strcat.o ABSOLUTE - ../fplib/basic.s 0x00000000 Number 0 basic.o ABSOLUTE - ../fplib/d2f.s 0x00000000 Number 0 d2f.o ABSOLUTE - ../fplib/daddsub.s 0x00000000 Number 0 daddsub_clz.o ABSOLUTE - ../fplib/dcmp.s 0x00000000 Number 0 dcmp.o ABSOLUTE - ../fplib/dcmpi.s 0x00000000 Number 0 dcmpi.o ABSOLUTE - ../fplib/ddiv.s 0x00000000 Number 0 ddiv.o ABSOLUTE - ../fplib/deqf.s 0x00000000 Number 0 deqf.o ABSOLUTE - ../fplib/dfix.s 0x00000000 Number 0 dfix.o ABSOLUTE - ../fplib/dfixu.s 0x00000000 Number 0 dfixu.o ABSOLUTE - ../fplib/dflt.s 0x00000000 Number 0 dflt_clz.o ABSOLUTE - ../fplib/dgeqf.s 0x00000000 Number 0 dgeqf.o ABSOLUTE - ../fplib/dleqf.s 0x00000000 Number 0 dleqf.o ABSOLUTE - ../fplib/dmul.s 0x00000000 Number 0 dmul.o ABSOLUTE - ../fplib/dnaninf.s 0x00000000 Number 0 dnaninf.o ABSOLUTE - ../fplib/dretinf.s 0x00000000 Number 0 dretinf.o ABSOLUTE - ../fplib/drleqf.s 0x00000000 Number 0 drleqf.o ABSOLUTE - ../fplib/drnd.s 0x00000000 Number 0 drnd.o ABSOLUTE - ../fplib/dsqrt.s 0x00000000 Number 0 dsqrt_umaal.o ABSOLUTE - ../fplib/f2d.s 0x00000000 Number 0 f2d.o ABSOLUTE - ../fplib/ffixll.s 0x00000000 Number 0 ffixll.o ABSOLUTE - ../fplib/fnaninf.s 0x00000000 Number 0 fnaninf.o ABSOLUTE - ../fplib/fpinit.s 0x00000000 Number 0 fpinit.o ABSOLUTE - ../fplib/frem.s 0x00000000 Number 0 frem_clz.o ABSOLUTE - ../fplib/fretinf.s 0x00000000 Number 0 fretinf.o ABSOLUTE - ../fplib/istatus.s 0x00000000 Number 0 istatus.o ABSOLUTE - ../fplib/printf1.s 0x00000000 Number 0 printf1.o ABSOLUTE - ../fplib/printf2.s 0x00000000 Number 0 printf2.o ABSOLUTE - ../fplib/printf2a.s 0x00000000 Number 0 printf2a.o ABSOLUTE - ../fplib/printf2b.s 0x00000000 Number 0 printf2b.o ABSOLUTE - ../fplib/usenofp.s 0x00000000 Number 0 usenofp.o ABSOLUTE - ../mathlib/acos.c 0x00000000 Number 0 acos.o ABSOLUTE - ../mathlib/acosh.c 0x00000000 Number 0 acosh.o ABSOLUTE - ../mathlib/asinf.c 0x00000000 Number 0 asinf.o ABSOLUTE - ../mathlib/atan2f.c 0x00000000 Number 0 atan2f.o ABSOLUTE - ../mathlib/ceilf.c 0x00000000 Number 0 ceilf.o ABSOLUTE - ../mathlib/cos.c 0x00000000 Number 0 cos.o ABSOLUTE - ../mathlib/cos_i.c 0x00000000 Number 0 cos_i.o ABSOLUTE - ../mathlib/cosh.c 0x00000000 Number 0 cosh.o ABSOLUTE - ../mathlib/dunder.c 0x00000000 Number 0 dunder.o ABSOLUTE - ../mathlib/exp.c 0x00000000 Number 0 exp.o ABSOLUTE - ../mathlib/exp2f.c 0x00000000 Number 0 exp2f.o ABSOLUTE - ../mathlib/expf.c 0x00000000 Number 0 expf.o ABSOLUTE - ../mathlib/expm1_i.c 0x00000000 Number 0 expm1_i.o ABSOLUTE - ../mathlib/fabs.c 0x00000000 Number 0 fabs.o ABSOLUTE - ../mathlib/floorf.c 0x00000000 Number 0 floorf.o ABSOLUTE - ../mathlib/fmodf.c 0x00000000 Number 0 fmodf.o ABSOLUTE - ../mathlib/fpclassify.c 0x00000000 Number 0 fpclassify.o ABSOLUTE - ../mathlib/fpclassifyf.c 0x00000000 Number 0 fpclassifyf.o ABSOLUTE - ../mathlib/frexpf.c 0x00000000 Number 0 frexpf.o ABSOLUTE - ../mathlib/funder.c 0x00000000 Number 0 funder.o ABSOLUTE - ../mathlib/log.c 0x00000000 Number 0 log.o ABSOLUTE - ../mathlib/log1p_i.c 0x00000000 Number 0 log1p_i.o ABSOLUTE - ../mathlib/poly.c 0x00000000 Number 0 poly.o ABSOLUTE - ../mathlib/qnan.c 0x00000000 Number 0 qnan.o ABSOLUTE - ../mathlib/round.c 0x00000000 Number 0 round.o ABSOLUTE - ../mathlib/rred.c 0x00000000 Number 0 rred.o ABSOLUTE - ../mathlib/sin_i.c 0x00000000 Number 0 sin_i.o ABSOLUTE - ../mathlib/sqrt.c 0x00000000 Number 0 sqrt.o ABSOLUTE - ../mathlib/sqrtf.c 0x00000000 Number 0 sqrtf.o ABSOLUTE - ../mathlib/tanhf.c 0x00000000 Number 0 tanhf.o ABSOLUTE - dc.s 0x00000000 Number 0 dc.o ABSOLUTE - ld-temp.o 0x00000000 Number 0 lto-llvm-ea22a7.o ABSOLUTE - startup_stm32f407xx.s 0x00000000 Number 0 startup_stm32f407xx.o ABSOLUTE - RESET 0x08000000 Section 392 startup_stm32f407xx.o(RESET) - !!!main 0x08000188 Section 8 __main.o(!!!main) - !!!scatter 0x08000190 Section 52 __scatter.o(!!!scatter) - !!dczerorl2 0x080001c4 Section 90 __dczerorl2.o(!!dczerorl2) - !!handler_zi 0x08000220 Section 28 __scatter_zi.o(!!handler_zi) - .ARM.Collect$$_printf_percent$$00000000 0x0800023c Section 0 _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) - .ARM.Collect$$_printf_percent$$00000003 0x0800023c Section 6 _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) - .ARM.Collect$$_printf_percent$$00000004 0x08000242 Section 6 _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) - .ARM.Collect$$_printf_percent$$00000005 0x08000248 Section 6 _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) - .ARM.Collect$$_printf_percent$$00000006 0x0800024e Section 6 _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) - .ARM.Collect$$_printf_percent$$00000008 0x08000254 Section 6 _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) - .ARM.Collect$$_printf_percent$$00000009 0x0800025a Section 6 _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) - .ARM.Collect$$_printf_percent$$0000000A 0x08000260 Section 6 _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) - .ARM.Collect$$_printf_percent$$0000000B 0x08000266 Section 6 _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) - .ARM.Collect$$_printf_percent$$0000000C 0x0800026c Section 6 _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) - .ARM.Collect$$_printf_percent$$00000012 0x08000272 Section 10 _printf_l.o(.ARM.Collect$$_printf_percent$$00000012) - .ARM.Collect$$_printf_percent$$00000013 0x0800027c Section 6 _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) - .ARM.Collect$$_printf_percent$$00000015 0x08000282 Section 6 _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) - .ARM.Collect$$_printf_percent$$00000017 0x08000288 Section 4 _printf_percent_end.o(.ARM.Collect$$_printf_percent$$00000017) - .ARM.Collect$$libinit$$00000000 0x0800028c Section 2 libinit.o(.ARM.Collect$$libinit$$00000000) - .ARM.Collect$$libinit$$00000001 0x0800028e Section 4 libinit2.o(.ARM.Collect$$libinit$$00000001) - .ARM.Collect$$libinit$$00000004 0x08000292 Section 0 libinit2.o(.ARM.Collect$$libinit$$00000004) - .ARM.Collect$$libinit$$00000005 0x08000292 Section 8 libinit2.o(.ARM.Collect$$libinit$$00000005) - .ARM.Collect$$libinit$$0000000A 0x0800029a Section 0 libinit2.o(.ARM.Collect$$libinit$$0000000A) - .ARM.Collect$$libinit$$0000000C 0x0800029a Section 0 libinit2.o(.ARM.Collect$$libinit$$0000000C) - .ARM.Collect$$libinit$$0000000E 0x0800029a Section 0 libinit2.o(.ARM.Collect$$libinit$$0000000E) - .ARM.Collect$$libinit$$0000000F 0x0800029a Section 6 libinit2.o(.ARM.Collect$$libinit$$0000000F) - .ARM.Collect$$libinit$$00000011 0x080002a0 Section 0 libinit2.o(.ARM.Collect$$libinit$$00000011) - .ARM.Collect$$libinit$$00000012 0x080002a0 Section 12 libinit2.o(.ARM.Collect$$libinit$$00000012) - .ARM.Collect$$libinit$$00000013 0x080002ac Section 0 libinit2.o(.ARM.Collect$$libinit$$00000013) - .ARM.Collect$$libinit$$00000015 0x080002ac Section 0 libinit2.o(.ARM.Collect$$libinit$$00000015) - .ARM.Collect$$libinit$$00000016 0x080002ac Section 10 libinit2.o(.ARM.Collect$$libinit$$00000016) - .ARM.Collect$$libinit$$00000017 0x080002b6 Section 0 libinit2.o(.ARM.Collect$$libinit$$00000017) - .ARM.Collect$$libinit$$00000019 0x080002b6 Section 0 libinit2.o(.ARM.Collect$$libinit$$00000019) - .ARM.Collect$$libinit$$0000001B 0x080002b6 Section 0 libinit2.o(.ARM.Collect$$libinit$$0000001B) - .ARM.Collect$$libinit$$0000001D 0x080002b6 Section 0 libinit2.o(.ARM.Collect$$libinit$$0000001D) - .ARM.Collect$$libinit$$0000001F 0x080002b6 Section 0 libinit2.o(.ARM.Collect$$libinit$$0000001F) - .ARM.Collect$$libinit$$00000021 0x080002b6 Section 0 libinit2.o(.ARM.Collect$$libinit$$00000021) - .ARM.Collect$$libinit$$00000023 0x080002b6 Section 0 libinit2.o(.ARM.Collect$$libinit$$00000023) - .ARM.Collect$$libinit$$00000025 0x080002b6 Section 0 libinit2.o(.ARM.Collect$$libinit$$00000025) - .ARM.Collect$$libinit$$0000002C 0x080002b6 Section 0 libinit2.o(.ARM.Collect$$libinit$$0000002C) - .ARM.Collect$$libinit$$0000002E 0x080002b6 Section 0 libinit2.o(.ARM.Collect$$libinit$$0000002E) - .ARM.Collect$$libinit$$00000030 0x080002b6 Section 0 libinit2.o(.ARM.Collect$$libinit$$00000030) - .ARM.Collect$$libinit$$00000032 0x080002b6 Section 0 libinit2.o(.ARM.Collect$$libinit$$00000032) - .ARM.Collect$$libinit$$00000033 0x080002b6 Section 2 libinit2.o(.ARM.Collect$$libinit$$00000033) - .ARM.Collect$$libshutdown$$00000000 0x080002b8 Section 2 libshutdown.o(.ARM.Collect$$libshutdown$$00000000) - .ARM.Collect$$libshutdown$$00000002 0x080002ba Section 0 libshutdown2.o(.ARM.Collect$$libshutdown$$00000002) - .ARM.Collect$$libshutdown$$00000004 0x080002ba Section 0 libshutdown2.o(.ARM.Collect$$libshutdown$$00000004) - .ARM.Collect$$libshutdown$$00000007 0x080002ba Section 0 libshutdown2.o(.ARM.Collect$$libshutdown$$00000007) - .ARM.Collect$$libshutdown$$0000000A 0x080002ba Section 0 libshutdown2.o(.ARM.Collect$$libshutdown$$0000000A) - .ARM.Collect$$libshutdown$$0000000C 0x080002ba Section 0 libshutdown2.o(.ARM.Collect$$libshutdown$$0000000C) - .ARM.Collect$$libshutdown$$0000000F 0x080002ba Section 0 libshutdown2.o(.ARM.Collect$$libshutdown$$0000000F) - .ARM.Collect$$libshutdown$$00000010 0x080002ba Section 2 libshutdown2.o(.ARM.Collect$$libshutdown$$00000010) - .ARM.Collect$$rtentry$$00000000 0x080002bc Section 0 __rtentry.o(.ARM.Collect$$rtentry$$00000000) - .ARM.Collect$$rtentry$$00000002 0x080002bc Section 0 __rtentry2.o(.ARM.Collect$$rtentry$$00000002) - .ARM.Collect$$rtentry$$00000004 0x080002bc Section 6 __rtentry4.o(.ARM.Collect$$rtentry$$00000004) - .ARM.Collect$$rtentry$$00000009 0x080002c2 Section 0 __rtentry2.o(.ARM.Collect$$rtentry$$00000009) - .ARM.Collect$$rtentry$$0000000A 0x080002c2 Section 4 __rtentry2.o(.ARM.Collect$$rtentry$$0000000A) - .ARM.Collect$$rtentry$$0000000C 0x080002c6 Section 0 __rtentry2.o(.ARM.Collect$$rtentry$$0000000C) - .ARM.Collect$$rtentry$$0000000D 0x080002c6 Section 8 __rtentry2.o(.ARM.Collect$$rtentry$$0000000D) - .ARM.Collect$$rtexit$$00000000 0x080002ce Section 2 rtexit.o(.ARM.Collect$$rtexit$$00000000) - .ARM.Collect$$rtexit$$00000002 0x080002d0 Section 0 rtexit2.o(.ARM.Collect$$rtexit$$00000002) - .ARM.Collect$$rtexit$$00000003 0x080002d0 Section 4 rtexit2.o(.ARM.Collect$$rtexit$$00000003) - .ARM.Collect$$rtexit$$00000004 0x080002d4 Section 6 rtexit2.o(.ARM.Collect$$rtexit$$00000004) - $v0 0x080002dc Number 0 startup_stm32f407xx.o(.text) - .text 0x080002dc Section 64 startup_stm32f407xx.o(.text) - .text 0x0800031c Section 0 h1_alloc.o(.text) - .text 0x0800037a Section 0 h1_free.o(.text) - .text 0x080003c8 Section 0 noretval__2sprintf.o(.text) - .text 0x080003f0 Section 0 _printf_pad.o(.text) - .text 0x0800043e Section 0 _printf_truncate.o(.text) - .text 0x08000462 Section 0 _printf_str.o(.text) - .text 0x080004b4 Section 0 _printf_dec.o(.text) - .text 0x0800052c Section 0 _printf_wctomb.o(.text) - .text 0x080005e8 Section 0 _printf_oct_int.o(.text) - .text 0x0800063c Section 0 _printf_hex_int.o(.text) - .text 0x08000694 Section 0 __printf_flags_ss_wp.o(.text) - .text 0x0800081c Section 0 atoi.o(.text) - .text 0x08000836 Section 0 memcmp.o(.text) - .text 0x0800088e Section 0 strcpy.o(.text) - .text 0x080008d6 Section 0 strlen.o(.text) - .text 0x08000914 Section 0 strcat.o(.text) - .text 0x0800092c Section 86 strncpy.o(.text) - .text 0x08000988 Section 124 strcmpv7em.o(.text) - .text 0x08000a04 Section 0 heapauxi.o(.text) - .text 0x08000a0c Section 8 rt_heap_descriptor_intlibspace.o(.text) - .text 0x08000a14 Section 8 rt_errno_addr_intlibspace.o(.text) - .text 0x08000a1c Section 0 hguard.o(.text) - .text 0x08000a20 Section 0 init_alloc.o(.text) - .text 0x08000aaa Section 0 h1_init.o(.text) - .text 0x08000ab8 Section 0 _rserrno.o(.text) - .text 0x08000ace Section 0 _printf_intcommon.o(.text) - _fp_digits 0x08000b81 Thumb Code 432 _printf_fp_dec.o(.text) - .text 0x08000b80 Section 0 _printf_fp_dec.o(.text) - .text 0x08000f9c Section 0 _printf_fp_hex.o(.text) - _printf_input_char 0x08001299 Thumb Code 10 _printf_char_common.o(.text) - .text 0x08001298 Section 0 _printf_char_common.o(.text) - .text 0x080012c8 Section 0 _sputc.o(.text) - .text 0x080012d2 Section 0 _printf_char.o(.text) - .text 0x080012fe Section 0 _printf_wchar.o(.text) - .text 0x0800132a Section 0 strtol.o(.text) - .text 0x0800139a Section 0 _c16rtomb.o(.text) - .text 0x080013e2 Section 100 rt_memcpy_w.o(.text) - .text 0x08001446 Section 68 rt_memclr.o(.text) - .text 0x0800148c Section 8 libspace.o(.text) - .text 0x08001494 Section 16 rt_ctype_table.o(.text) - .text 0x080014a4 Section 8 rt_locale_intlibspace.o(.text) - .text 0x080014ac Section 0 maybetermalloc1.o(.text) - .text 0x080014ac Section 0 h1_extend.o(.text) - .text 0x080014e0 Section 138 lludiv10.o(.text) - .text 0x0800156c Section 0 _printf_fp_infnan.o(.text) - .text 0x080015ec Section 0 _strtoul.o(.text) - .text 0x0800168c Section 0 bigflt0.o(.text) - .text 0x08001770 Section 0 defsig_rtmem_outer.o(.text) - .text 0x0800177e Section 78 rt_memclr_w.o(.text) - .text 0x080017cc Section 2 use_no_semi.o(.text) - .text 0x080017ce Section 0 indicate_semi.o(.text) - .text 0x080017ce Section 74 sys_stackheap_outer.o(.text) - .text 0x08001818 Section 0 _chval.o(.text) - .text 0x08001834 Section 0 exit.o(.text) - .text 0x08001846 Section 0 defsig_exit.o(.text) - .text 0x08001850 Section 0 defsig_rtmem_inner.o(.text) - .text 0x080018a0 Section 0 sys_exit.o(.text) - .text 0x080018ac Section 0 defsig_general.o(.text) - .text 0x080018de Section 0 sys_wrch.o(.text) - .text 0x080018ec Section 240 lludivv7m.o(.text) - .text 0x080019dc Section 138 rt_memcpy_v6.o(.text) - .text 0x08001a66 Section 16 aeabi_memset.o(.text) - .text 0x08001a76 Section 16 aeabi_memset4.o(.text) - AUDIO_DeInit_FS 0x08001a89 Thumb Code 4 lto-llvm-ea22a7.o(.text.AUDIO_DeInit_FS) - [Anonymous Symbol] 0x08001a88 Section 0 lto-llvm-ea22a7.o(.text.AUDIO_DeInit_FS) - AUDIO_Init_FS 0x08001a8d Thumb Code 284 lto-llvm-ea22a7.o(.text.AUDIO_Init_FS) - [Anonymous Symbol] 0x08001a8c Section 0 lto-llvm-ea22a7.o(.text.AUDIO_Init_FS) - [Anonymous Symbol] 0x08001ba8 Section 0 lto-llvm-ea22a7.o(.text.BusFault_Handler) - CAT_Control_FS 0x08001c35 Thumb Code 56 lto-llvm-ea22a7.o(.text.CAT_Control_FS) - [Anonymous Symbol] 0x08001c34 Section 0 lto-llvm-ea22a7.o(.text.CAT_Control_FS) - CAT_DeInit_FS 0x08001c6d Thumb Code 4 lto-llvm-ea22a7.o(.text.CAT_DeInit_FS) - [Anonymous Symbol] 0x08001c6c Section 0 lto-llvm-ea22a7.o(.text.CAT_DeInit_FS) - CAT_Init_FS 0x08001c71 Thumb Code 40 lto-llvm-ea22a7.o(.text.CAT_Init_FS) - [Anonymous Symbol] 0x08001c70 Section 0 lto-llvm-ea22a7.o(.text.CAT_Init_FS) - CAT_Receive_FS 0x08001c99 Thumb Code 166 lto-llvm-ea22a7.o(.text.CAT_Receive_FS) - [Anonymous Symbol] 0x08001c98 Section 0 lto-llvm-ea22a7.o(.text.CAT_Receive_FS) - DEBUG_Control_FS 0x08001d41 Thumb Code 98 lto-llvm-ea22a7.o(.text.DEBUG_Control_FS) - [Anonymous Symbol] 0x08001d40 Section 0 lto-llvm-ea22a7.o(.text.DEBUG_Control_FS) - DEBUG_DeInit_FS 0x08001da5 Thumb Code 4 lto-llvm-ea22a7.o(.text.DEBUG_DeInit_FS) - [Anonymous Symbol] 0x08001da4 Section 0 lto-llvm-ea22a7.o(.text.DEBUG_DeInit_FS) - DEBUG_Init_FS 0x08001da9 Thumb Code 40 lto-llvm-ea22a7.o(.text.DEBUG_Init_FS) - [Anonymous Symbol] 0x08001da8 Section 0 lto-llvm-ea22a7.o(.text.DEBUG_Init_FS) - DEBUG_Receive_FS 0x08001dd1 Thumb Code 68 lto-llvm-ea22a7.o(.text.DEBUG_Receive_FS) - [Anonymous Symbol] 0x08001dd0 Section 0 lto-llvm-ea22a7.o(.text.DEBUG_Receive_FS) - DEBUG_Transmit_FIFO_Events 0x08001e15 Thumb Code 438 lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) - [Anonymous Symbol] 0x08001e14 Section 0 lto-llvm-ea22a7.o(.text.DEBUG_Transmit_FIFO_Events) - [Anonymous Symbol] 0x08001fcc Section 0 lto-llvm-ea22a7.o(.text.DMA1_Stream0_IRQHandler) - [Anonymous Symbol] 0x08002020 Section 0 lto-llvm-ea22a7.o(.text.DMA1_Stream5_IRQHandler) - [Anonymous Symbol] 0x08002074 Section 0 lto-llvm-ea22a7.o(.text.DMA2_Stream1_IRQHandler) - [Anonymous Symbol] 0x080020c8 Section 0 lto-llvm-ea22a7.o(.text.DMA2_Stream2_IRQHandler) - [Anonymous Symbol] 0x0800211c Section 0 lto-llvm-ea22a7.o(.text.DMA2_Stream5_IRQHandler) - [Anonymous Symbol] 0x08002134 Section 0 lto-llvm-ea22a7.o(.text.DMA2_Stream6_IRQHandler) - [Anonymous Symbol] 0x08002190 Section 0 lto-llvm-ea22a7.o(.text.DebugMon_Handler) - DoRxAGC 0x080021dd Thumb Code 920 lto-llvm-ea22a7.o(.text.DoRxAGC) - [Anonymous Symbol] 0x080021dc Section 0 lto-llvm-ea22a7.o(.text.DoRxAGC) - EEPROM_Read_Data 0x08002575 Thumb Code 408 lto-llvm-ea22a7.o(.text.EEPROM_Read_Data) - [Anonymous Symbol] 0x08002574 Section 0 lto-llvm-ea22a7.o(.text.EEPROM_Read_Data) - EEPROM_WaitWrite 0x0800270d Thumb Code 200 lto-llvm-ea22a7.o(.text.EEPROM_WaitWrite) - [Anonymous Symbol] 0x0800270c Section 0 lto-llvm-ea22a7.o(.text.EEPROM_WaitWrite) - EEPROM_Write_Data 0x080027d5 Thumb Code 536 lto-llvm-ea22a7.o(.text.EEPROM_Write_Data) - [Anonymous Symbol] 0x080027d4 Section 0 lto-llvm-ea22a7.o(.text.EEPROM_Write_Data) - [Anonymous Symbol] 0x080029ec Section 0 lto-llvm-ea22a7.o(.text.EXTI0_IRQHandler) - [Anonymous Symbol] 0x08002a4c Section 0 lto-llvm-ea22a7.o(.text.EXTI15_10_IRQHandler) - [Anonymous Symbol] 0x08002a9c Section 0 lto-llvm-ea22a7.o(.text.EXTI1_IRQHandler) - [Anonymous Symbol] 0x08002afc Section 0 lto-llvm-ea22a7.o(.text.EXTI2_IRQHandler) - [Anonymous Symbol] 0x08002b48 Section 0 lto-llvm-ea22a7.o(.text.EXTI3_IRQHandler) - [Anonymous Symbol] 0x08002b94 Section 0 lto-llvm-ea22a7.o(.text.EXTI9_5_IRQHandler) - FFT_Init 0x08002c05 Thumb Code 1320 lto-llvm-ea22a7.o(.text.FFT_Init) - [Anonymous Symbol] 0x08002c04 Section 0 lto-llvm-ea22a7.o(.text.FFT_Init) - __arm_cp.8_8 0x08002fb4 Number 4 lto-llvm-ea22a7.o(.text.FFT_Init) - __arm_cp.8_9 0x08002fb8 Number 4 lto-llvm-ea22a7.o(.text.FFT_Init) - __arm_cp.8_10 0x08002fbc Number 4 lto-llvm-ea22a7.o(.text.FFT_Init) - __arm_cp.8_11 0x08002fc0 Number 4 lto-llvm-ea22a7.o(.text.FFT_Init) - __arm_cp.8_12 0x08002fc4 Number 4 lto-llvm-ea22a7.o(.text.FFT_Init) - __arm_cp.8_13 0x08002fc8 Number 4 lto-llvm-ea22a7.o(.text.FFT_Init) - __arm_cp.8_14 0x08002fcc Number 4 lto-llvm-ea22a7.o(.text.FFT_Init) - FFT_PreInit 0x08003131 Thumb Code 2132 lto-llvm-ea22a7.o(.text.FFT_PreInit) - [Anonymous Symbol] 0x08003130 Section 0 lto-llvm-ea22a7.o(.text.FFT_PreInit) - __arm_cp.7_37 0x08003488 Number 4 lto-llvm-ea22a7.o(.text.FFT_PreInit) - __arm_cp.7_39 0x08003498 Number 4 lto-llvm-ea22a7.o(.text.FFT_PreInit) - __arm_cp.7_40 0x0800349c Number 4 lto-llvm-ea22a7.o(.text.FFT_PreInit) - __arm_cp.7_41 0x080034a0 Number 4 lto-llvm-ea22a7.o(.text.FFT_PreInit) - __arm_cp.7_42 0x080034a4 Number 4 lto-llvm-ea22a7.o(.text.FFT_PreInit) - __arm_cp.7_43 0x080034a8 Number 4 lto-llvm-ea22a7.o(.text.FFT_PreInit) - __arm_cp.7_44 0x080034ac Number 4 lto-llvm-ea22a7.o(.text.FFT_PreInit) - __arm_cp.7_45 0x080034b0 Number 4 lto-llvm-ea22a7.o(.text.FFT_PreInit) - __arm_cp.7_46 0x080034b4 Number 4 lto-llvm-ea22a7.o(.text.FFT_PreInit) - __arm_cp.7_47 0x080034b8 Number 4 lto-llvm-ea22a7.o(.text.FFT_PreInit) - __arm_cp.7_48 0x080034bc Number 4 lto-llvm-ea22a7.o(.text.FFT_PreInit) - __arm_cp.7_49 0x080034c0 Number 4 lto-llvm-ea22a7.o(.text.FFT_PreInit) - __arm_cp.7_50 0x080034c4 Number 4 lto-llvm-ea22a7.o(.text.FFT_PreInit) - FFT_afterPrintFFT 0x08003985 Thumb Code 1076 lto-llvm-ea22a7.o(.text.FFT_afterPrintFFT) - [Anonymous Symbol] 0x08003984 Section 0 lto-llvm-ea22a7.o(.text.FFT_afterPrintFFT) - FFT_printWaterfallDMA 0x08003db9 Thumb Code 1918 lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) - [Anonymous Symbol] 0x08003db8 Section 0 lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) - __arm_cp.10_1 0x08004104 Number 4 lto-llvm-ea22a7.o(.text.FFT_printWaterfallDMA) - FRONTPANEL_BUTTONHANDLER_AGC 0x08004539 Thumb Code 124 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC) - [Anonymous Symbol] 0x08004538 Section 0 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC) - FRONTPANEL_BUTTONHANDLER_AGC_SPEED 0x080045b5 Thumb Code 286 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) - [Anonymous Symbol] 0x080045b4 Section 0 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED) - FRONTPANEL_BUTTONHANDLER_ATT 0x080046d5 Thumb Code 92 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATT) - [Anonymous Symbol] 0x080046d4 Section 0 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATT) - FRONTPANEL_BUTTONHANDLER_ATTHOLD 0x08004731 Thumb Code 132 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) - [Anonymous Symbol] 0x08004730 Section 0 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ATTHOLD) - FRONTPANEL_BUTTONHANDLER_ArB 0x080047b5 Thumb Code 172 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ArB) - [Anonymous Symbol] 0x080047b4 Section 0 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_ArB) - FRONTPANEL_BUTTONHANDLER_AsB 0x08004861 Thumb Code 252 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) - [Anonymous Symbol] 0x08004860 Section 0 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_AsB) - FRONTPANEL_BUTTONHANDLER_BANDMAP 0x0800495d Thumb Code 136 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) - [Anonymous Symbol] 0x0800495c Section 0 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BANDMAP) - FRONTPANEL_BUTTONHANDLER_BAND_N 0x080049e5 Thumb Code 274 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_N) - [Anonymous Symbol] 0x080049e4 Section 0 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_N) - FRONTPANEL_BUTTONHANDLER_BAND_P 0x08004af9 Thumb Code 274 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_P) - [Anonymous Symbol] 0x08004af8 Section 0 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BAND_P) - FRONTPANEL_BUTTONHANDLER_BW 0x08004c0d Thumb Code 460 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) - [Anonymous Symbol] 0x08004c0c Section 0 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_BW) - FRONTPANEL_BUTTONHANDLER_CLAR 0x08004dd9 Thumb Code 44 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_CLAR) - [Anonymous Symbol] 0x08004dd8 Section 0 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_CLAR) - FRONTPANEL_BUTTONHANDLER_FAST 0x08004e05 Thumb Code 44 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_FAST) - [Anonymous Symbol] 0x08004e04 Section 0 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_FAST) - FRONTPANEL_BUTTONHANDLER_HPF 0x08004e31 Thumb Code 338 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) - [Anonymous Symbol] 0x08004e30 Section 0 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_HPF) - FRONTPANEL_BUTTONHANDLER_KEYER 0x08004f85 Thumb Code 124 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_KEYER) - [Anonymous Symbol] 0x08004f84 Section 0 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_KEYER) - FRONTPANEL_BUTTONHANDLER_LOCK 0x08005001 Thumb Code 58 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_LOCK) - [Anonymous Symbol] 0x08005000 Section 0 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_LOCK) - FRONTPANEL_BUTTONHANDLER_MODE_N 0x0800503d Thumb Code 180 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_N) - [Anonymous Symbol] 0x0800503c Section 0 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_N) - FRONTPANEL_BUTTONHANDLER_MODE_P 0x080050f1 Thumb Code 340 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) - [Anonymous Symbol] 0x080050f0 Section 0 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MODE_P) - FRONTPANEL_BUTTONHANDLER_MUTE 0x08005245 Thumb Code 40 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MUTE) - [Anonymous Symbol] 0x08005244 Section 0 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_MUTE) - FRONTPANEL_BUTTONHANDLER_NOTCH 0x0800526d Thumb Code 300 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) - [Anonymous Symbol] 0x0800526c Section 0 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_NOTCH) - FRONTPANEL_BUTTONHANDLER_PRE 0x08005399 Thumb Code 86 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_PRE) - [Anonymous Symbol] 0x08005398 Section 0 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_PRE) - FRONTPANEL_BUTTONHANDLER_RF_POWER 0x080053f1 Thumb Code 286 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) - [Anonymous Symbol] 0x080053f0 Section 0 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_RF_POWER) - FRONTPANEL_BUTTONHANDLER_TUNE 0x08005511 Thumb Code 56 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_TUNE) - [Anonymous Symbol] 0x08005510 Section 0 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_TUNE) - FRONTPANEL_BUTTONHANDLER_VOLUME 0x08005549 Thumb Code 286 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) - [Anonymous Symbol] 0x08005548 Section 0 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_VOLUME) - FRONTPANEL_BUTTONHANDLER_WPM 0x08005669 Thumb Code 286 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) - [Anonymous Symbol] 0x08005668 Section 0 lto-llvm-ea22a7.o(.text.FRONTPANEL_BUTTONHANDLER_WPM) - FRONTPANEL_ENCODER_Rotated 0x08005789 Thumb Code 432 lto-llvm-ea22a7.o(.text.FRONTPANEL_ENCODER_Rotated) - [Anonymous Symbol] 0x08005788 Section 0 lto-llvm-ea22a7.o(.text.FRONTPANEL_ENCODER_Rotated) - FRONTPANEL_Process 0x08005939 Thumb Code 1430 lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) - [Anonymous Symbol] 0x08005938 Section 0 lto-llvm-ea22a7.o(.text.FRONTPANEL_Process) - HAL_ADCEx_InjectedConfigChannel 0x08005ed1 Thumb Code 340 lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedConfigChannel) - [Anonymous Symbol] 0x08005ed0 Section 0 lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedConfigChannel) - HAL_ADCEx_InjectedStart 0x08006025 Thumb Code 206 lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedStart) - [Anonymous Symbol] 0x08006024 Section 0 lto-llvm-ea22a7.o(.text.HAL_ADCEx_InjectedStart) - HAL_ADC_ConfigChannel 0x080060f5 Thumb Code 300 lto-llvm-ea22a7.o(.text.HAL_ADC_ConfigChannel) - [Anonymous Symbol] 0x080060f4 Section 0 lto-llvm-ea22a7.o(.text.HAL_ADC_ConfigChannel) - HAL_ADC_Init 0x08006221 Thumb Code 542 lto-llvm-ea22a7.o(.text.HAL_ADC_Init) - [Anonymous Symbol] 0x08006220 Section 0 lto-llvm-ea22a7.o(.text.HAL_ADC_Init) - HAL_DMA_IRQHandler 0x08006441 Thumb Code 442 lto-llvm-ea22a7.o(.text.HAL_DMA_IRQHandler) - [Anonymous Symbol] 0x08006440 Section 0 lto-llvm-ea22a7.o(.text.HAL_DMA_IRQHandler) - HAL_DMA_Init 0x080065fd Thumb Code 376 lto-llvm-ea22a7.o(.text.HAL_DMA_Init) - [Anonymous Symbol] 0x080065fc Section 0 lto-llvm-ea22a7.o(.text.HAL_DMA_Init) - DMA_CalcBaseAndBitshift.flagBitshiftOffset 0x0800676c Number 0 lto-llvm-ea22a7.o(.text.HAL_DMA_Init) - HAL_DMA_PollForTransfer 0x08006775 Thumb Code 388 lto-llvm-ea22a7.o(.text.HAL_DMA_PollForTransfer) - [Anonymous Symbol] 0x08006774 Section 0 lto-llvm-ea22a7.o(.text.HAL_DMA_PollForTransfer) - HAL_GPIO_EXTI_IRQHandler 0x080068f9 Thumb Code 3104 lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) - [Anonymous Symbol] 0x080068f8 Section 0 lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) - __arm_cp.237_5 0x08006e0c Number 4 lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) - __arm_cp.237_6 0x08006e10 Number 4 lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) - __arm_cp.237_7 0x08006e14 Number 4 lto-llvm-ea22a7.o(.text.HAL_GPIO_EXTI_IRQHandler) - HAL_GPIO_Init 0x08007519 Thumb Code 432 lto-llvm-ea22a7.o(.text.HAL_GPIO_Init) - [Anonymous Symbol] 0x08007518 Section 0 lto-llvm-ea22a7.o(.text.HAL_GPIO_Init) - HAL_I2SEx_FullDuplex_IRQHandler 0x080076c9 Thumb Code 1014 lto-llvm-ea22a7.o(.text.HAL_I2SEx_FullDuplex_IRQHandler) - [Anonymous Symbol] 0x080076c8 Section 0 lto-llvm-ea22a7.o(.text.HAL_I2SEx_FullDuplex_IRQHandler) - HAL_I2S_MspInit 0x08007ac1 Thumb Code 396 lto-llvm-ea22a7.o(.text.HAL_I2S_MspInit) - [Anonymous Symbol] 0x08007ac0 Section 0 lto-llvm-ea22a7.o(.text.HAL_I2S_MspInit) - HAL_PCD_DataOutStageCallback 0x08007c4d Thumb Code 198 lto-llvm-ea22a7.o(.text.HAL_PCD_DataOutStageCallback) - [Anonymous Symbol] 0x08007c4c Section 0 lto-llvm-ea22a7.o(.text.HAL_PCD_DataOutStageCallback) - HAL_RTC_Init 0x08007d15 Thumb Code 362 lto-llvm-ea22a7.o(.text.HAL_RTC_Init) - [Anonymous Symbol] 0x08007d14 Section 0 lto-llvm-ea22a7.o(.text.HAL_RTC_Init) - HAL_SPI_TransmitReceive 0x08007e81 Thumb Code 732 lto-llvm-ea22a7.o(.text.HAL_SPI_TransmitReceive) - [Anonymous Symbol] 0x08007e80 Section 0 lto-llvm-ea22a7.o(.text.HAL_SPI_TransmitReceive) - HAL_SRAM_Init 0x0800815d Thumb Code 354 lto-llvm-ea22a7.o(.text.HAL_SRAM_Init) - [Anonymous Symbol] 0x0800815c Section 0 lto-llvm-ea22a7.o(.text.HAL_SRAM_Init) - HAL_TIM_Base_Init 0x080082c1 Thumb Code 986 lto-llvm-ea22a7.o(.text.HAL_TIM_Base_Init) - [Anonymous Symbol] 0x080082c0 Section 0 lto-llvm-ea22a7.o(.text.HAL_TIM_Base_Init) - HAL_TIM_ConfigClockSource 0x0800869d Thumb Code 400 lto-llvm-ea22a7.o(.text.HAL_TIM_ConfigClockSource) - [Anonymous Symbol] 0x0800869c Section 0 lto-llvm-ea22a7.o(.text.HAL_TIM_ConfigClockSource) - HAL_TIM_IRQHandler 0x0800882d Thumb Code 188 lto-llvm-ea22a7.o(.text.HAL_TIM_IRQHandler) - [Anonymous Symbol] 0x0800882c Section 0 lto-llvm-ea22a7.o(.text.HAL_TIM_IRQHandler) - [Anonymous Symbol] 0x080088e8 Section 0 lto-llvm-ea22a7.o(.text.HardFault_Handler) - I2SEx_TxRxDMACplt 0x08008975 Thumb Code 422 lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMACplt) - [Anonymous Symbol] 0x08008974 Section 0 lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMACplt) - I2SEx_TxRxDMAError 0x08008b1d Thumb Code 70 lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAError) - [Anonymous Symbol] 0x08008b1c Section 0 lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAError) - I2SEx_TxRxDMAHalfCplt 0x08008b65 Thumb Code 112 lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAHalfCplt) - [Anonymous Symbol] 0x08008b64 Section 0 lto-llvm-ea22a7.o(.text.I2SEx_TxRxDMAHalfCplt) - I2S_IRQHandler 0x08008bd5 Thumb Code 228 lto-llvm-ea22a7.o(.text.I2S_IRQHandler) - [Anonymous Symbol] 0x08008bd4 Section 0 lto-llvm-ea22a7.o(.text.I2S_IRQHandler) - JumpToBootloader 0x08008cb9 Thumb Code 764 lto-llvm-ea22a7.o(.text.JumpToBootloader) - [Anonymous Symbol] 0x08008cb8 Section 0 lto-llvm-ea22a7.o(.text.JumpToBootloader) - LCDDriver_Fill 0x08008fb5 Thumb Code 600 lto-llvm-ea22a7.o(.text.LCDDriver_Fill) - [Anonymous Symbol] 0x08008fb4 Section 0 lto-llvm-ea22a7.o(.text.LCDDriver_Fill) - LCDDriver_Fill_RectXY 0x0800920d Thumb Code 402 lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) - [Anonymous Symbol] 0x0800920c Section 0 lto-llvm-ea22a7.o(.text.LCDDriver_Fill_RectXY) - LCDDriver_Fill_Triangle 0x080093a1 Thumb Code 452 lto-llvm-ea22a7.o(.text.LCDDriver_Fill_Triangle) - [Anonymous Symbol] 0x080093a0 Section 0 lto-llvm-ea22a7.o(.text.LCDDriver_Fill_Triangle) - LCDDriver_Init 0x08009565 Thumb Code 736 lto-llvm-ea22a7.o(.text.LCDDriver_Init) - [Anonymous Symbol] 0x08009564 Section 0 lto-llvm-ea22a7.o(.text.LCDDriver_Init) - LCDDriver_drawChar 0x08009845 Thumb Code 872 lto-llvm-ea22a7.o(.text.LCDDriver_drawChar) - [Anonymous Symbol] 0x08009844 Section 0 lto-llvm-ea22a7.o(.text.LCDDriver_drawChar) - LCDDriver_drawLine 0x08009bad Thumb Code 284 lto-llvm-ea22a7.o(.text.LCDDriver_drawLine) - [Anonymous Symbol] 0x08009bac Section 0 lto-llvm-ea22a7.o(.text.LCDDriver_drawLine) - LCDDriver_drawRectXY 0x08009cc9 Thumb Code 188 lto-llvm-ea22a7.o(.text.LCDDriver_drawRectXY) - [Anonymous Symbol] 0x08009cc8 Section 0 lto-llvm-ea22a7.o(.text.LCDDriver_drawRectXY) - LCDDriver_getTextBounds 0x08009d85 Thumb Code 452 lto-llvm-ea22a7.o(.text.LCDDriver_getTextBounds) - [Anonymous Symbol] 0x08009d84 Section 0 lto-llvm-ea22a7.o(.text.LCDDriver_getTextBounds) - LCDDriver_printText 0x08009f49 Thumb Code 190 lto-llvm-ea22a7.o(.text.LCDDriver_printText) - [Anonymous Symbol] 0x08009f48 Section 0 lto-llvm-ea22a7.o(.text.LCDDriver_printText) - LCDDriver_printTextFont 0x0800a009 Thumb Code 886 lto-llvm-ea22a7.o(.text.LCDDriver_printTextFont) - [Anonymous Symbol] 0x0800a008 Section 0 lto-llvm-ea22a7.o(.text.LCDDriver_printTextFont) - LCD_displayFreqInfo 0x0800a381 Thumb Code 760 lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) - [Anonymous Symbol] 0x0800a380 Section 0 lto-llvm-ea22a7.o(.text.LCD_displayFreqInfo) - LCD_displayStatusInfoBar 0x0800a679 Thumb Code 7092 lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) - [Anonymous Symbol] 0x0800a678 Section 0 lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) - __arm_cp.54_52 0x0800aa9c Number 4 lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) - __arm_cp.54_53 0x0800aaa0 Number 4 lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) - __arm_cp.54_54 0x0800aaa4 Number 4 lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) - __arm_cp.54_59 0x0800b940 Number 4 lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) - __arm_cp.54_60 0x0800b944 Number 4 lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) - __arm_cp.54_61 0x0800b948 Number 4 lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) - __arm_cp.54_62 0x0800b94c Number 4 lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) - __arm_cp.54_63 0x0800b950 Number 4 lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) - __arm_cp.54_64 0x0800b954 Number 4 lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) - __arm_cp.54_65 0x0800b958 Number 4 lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) - __arm_cp.54_66 0x0800b95c Number 4 lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoBar) - LCD_displayStatusInfoGUI 0x0800c22d Thumb Code 2008 lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) - [Anonymous Symbol] 0x0800c22c Section 0 lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) - __arm_cp.53_15 0x0800c6f4 Number 4 lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) - MODE_DESCR 0x0800c9bc Number 0 lto-llvm-ea22a7.o(.text.LCD_displayStatusInfoGUI) - LCD_displayTopButtons 0x0800ca05 Thumb Code 1476 lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) - [Anonymous Symbol] 0x0800ca04 Section 0 lto-llvm-ea22a7.o(.text.LCD_displayTopButtons) - LCD_doEvents 0x0800cfc9 Thumb Code 634 lto-llvm-ea22a7.o(.text.LCD_doEvents) - [Anonymous Symbol] 0x0800cfc8 Section 0 lto-llvm-ea22a7.o(.text.LCD_doEvents) - LCD_showError 0x0800d245 Thumb Code 432 lto-llvm-ea22a7.o(.text.LCD_showError) - [Anonymous Symbol] 0x0800d244 Section 0 lto-llvm-ea22a7.o(.text.LCD_showError) - LoadCalibration 0x0800d3f5 Thumb Code 988 lto-llvm-ea22a7.o(.text.LoadCalibration) - [Anonymous Symbol] 0x0800d3f4 Section 0 lto-llvm-ea22a7.o(.text.LoadCalibration) - MX_USB_DevDisconnect 0x0800d7d1 Thumb Code 942 lto-llvm-ea22a7.o(.text.MX_USB_DevDisconnect) - [Anonymous Symbol] 0x0800d7d0 Section 0 lto-llvm-ea22a7.o(.text.MX_USB_DevDisconnect) - [Anonymous Symbol] 0x0800db80 Section 0 lto-llvm-ea22a7.o(.text.MemManage_Handler) - ModulateFM 0x0800dc11 Thumb Code 856 lto-llvm-ea22a7.o(.text.ModulateFM) - [Anonymous Symbol] 0x0800dc10 Section 0 lto-llvm-ea22a7.o(.text.ModulateFM) - [Anonymous Symbol] 0x0800df68 Section 0 lto-llvm-ea22a7.o(.text.NMI_Handler) - [Anonymous Symbol] 0x0800dfb4 Section 0 lto-llvm-ea22a7.o(.text.OTG_FS_IRQHandler) - [Anonymous Symbol] 0x0800f77c Section 0 lto-llvm-ea22a7.o(.text.PendSV_Handler) - RF_UNIT_ProcessSensors 0x0800f7c9 Thumb Code 512 lto-llvm-ea22a7.o(.text.RF_UNIT_ProcessSensors) - [Anonymous Symbol] 0x0800f7c8 Section 0 lto-llvm-ea22a7.o(.text.RF_UNIT_ProcessSensors) - ReinitAudioFilters 0x0800f9c9 Thumb Code 2120 lto-llvm-ea22a7.o(.text.ReinitAudioFilters) - [Anonymous Symbol] 0x0800f9c8 Section 0 lto-llvm-ea22a7.o(.text.ReinitAudioFilters) - [Anonymous Symbol] 0x08010210 Section 0 lto-llvm-ea22a7.o(.text.SPI3_IRQHandler) - SPI_Transmit 0x08010265 Thumb Code 2048 lto-llvm-ea22a7.o(.text.SPI_Transmit) - [Anonymous Symbol] 0x08010264 Section 0 lto-llvm-ea22a7.o(.text.SPI_Transmit) - [Anonymous Symbol] 0x08010a64 Section 0 lto-llvm-ea22a7.o(.text.SVC_Handler) - SWR_DrawGraphCol 0x08010ab1 Thumb Code 508 lto-llvm-ea22a7.o(.text.SWR_DrawGraphCol) - [Anonymous Symbol] 0x08010ab0 Section 0 lto-llvm-ea22a7.o(.text.SWR_DrawGraphCol) - SWR_EncRotate 0x08010cad Thumb Code 458 lto-llvm-ea22a7.o(.text.SWR_EncRotate) - [Anonymous Symbol] 0x08010cac Section 0 lto-llvm-ea22a7.o(.text.SWR_EncRotate) - SWR_Start 0x08010e79 Thumb Code 2264 lto-llvm-ea22a7.o(.text.SWR_Start) - [Anonymous Symbol] 0x08010e78 Section 0 lto-llvm-ea22a7.o(.text.SWR_Start) - SYSMENU_HANDL_ADCMENU 0x08011751 Thumb Code 72 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADCMENU) - [Anonymous Symbol] 0x08011750 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADCMENU) - SYSMENU_HANDL_ADC_DRIVER 0x08011799 Thumb Code 80 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_DRIVER) - [Anonymous Symbol] 0x08011798 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_DRIVER) - SYSMENU_HANDL_ADC_SHDN 0x080117e9 Thumb Code 34 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_SHDN) - [Anonymous Symbol] 0x080117e8 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_ADC_SHDN) - SYSMENU_HANDL_AUDIOMENU 0x0801180d Thumb Code 72 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIOMENU) - [Anonymous Symbol] 0x0801180c Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIOMENU) - SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET 0x08011855 Thumb Code 44 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) - [Anonymous Symbol] 0x08011854 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET) - SYSMENU_HANDL_AUDIO_AM_LPF_pass 0x08011881 Thumb Code 782 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) - [Anonymous Symbol] 0x08011880 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_AM_LPF_pass) - SYSMENU_HANDL_AUDIO_Beeper 0x08011b91 Thumb Code 26 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_Beeper) - [Anonymous Symbol] 0x08011b90 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_Beeper) - SYSMENU_HANDL_AUDIO_CW_HPF_pass 0x08011bad Thumb Code 130 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) - [Anonymous Symbol] 0x08011bac Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_HPF_pass) - SYSMENU_HANDL_AUDIO_CW_LPF_pass 0x08011c31 Thumb Code 748 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) - [Anonymous Symbol] 0x08011c30 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_CW_LPF_pass) - SYSMENU_HANDL_AUDIO_DNR_AVERAGE 0x08011f1d Thumb Code 34 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) - [Anonymous Symbol] 0x08011f1c Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE) - SYSMENU_HANDL_AUDIO_DNR_MINMAL 0x08011f41 Thumb Code 34 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) - [Anonymous Symbol] 0x08011f40 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_MINMAL) - SYSMENU_HANDL_AUDIO_DNR_THRES 0x08011f65 Thumb Code 34 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_THRES) - [Anonymous Symbol] 0x08011f64 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_DNR_THRES) - SYSMENU_HANDL_AUDIO_FMSquelch 0x08011f89 Thumb Code 82 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FMSquelch) - [Anonymous Symbol] 0x08011f88 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FMSquelch) - SYSMENU_HANDL_AUDIO_FM_LPF_pass 0x08011fdd Thumb Code 358 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) - [Anonymous Symbol] 0x08011fdc Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_FM_LPF_pass) - SYSMENU_HANDL_AUDIO_IFGain 0x08012145 Thumb Code 34 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_IFGain) - [Anonymous Symbol] 0x08012144 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_IFGain) - SYSMENU_HANDL_AUDIO_MIC_EQ_HIG 0x08012169 Thumb Code 52 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) - [Anonymous Symbol] 0x08012168 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG) - SYSMENU_HANDL_AUDIO_MIC_EQ_LOW 0x0801219d Thumb Code 52 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) - [Anonymous Symbol] 0x0801219c Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW) - SYSMENU_HANDL_AUDIO_MIC_EQ_MID 0x080121d1 Thumb Code 52 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) - [Anonymous Symbol] 0x080121d0 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID) - SYSMENU_HANDL_AUDIO_MIC_Gain 0x08012205 Thumb Code 34 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_Gain) - [Anonymous Symbol] 0x08012204 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_MIC_Gain) - SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed 0x08012229 Thumb Code 34 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) - [Anonymous Symbol] 0x08012228 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed) - SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed 0x0801224d Thumb Code 34 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) - [Anonymous Symbol] 0x0801224c Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed) - SYSMENU_HANDL_AUDIO_RX_EQ_HIG 0x08012271 Thumb Code 52 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) - [Anonymous Symbol] 0x08012270 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG) - SYSMENU_HANDL_AUDIO_RX_EQ_LOW 0x080122a5 Thumb Code 52 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) - [Anonymous Symbol] 0x080122a4 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW) - SYSMENU_HANDL_AUDIO_RX_EQ_MID 0x080122d9 Thumb Code 52 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) - [Anonymous Symbol] 0x080122d8 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_RX_EQ_MID) - SYSMENU_HANDL_AUDIO_SSB_HPF_pass 0x0801230d Thumb Code 252 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) - [Anonymous Symbol] 0x0801230c Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass) - SYSMENU_HANDL_AUDIO_SSB_LPF_pass 0x08012409 Thumb Code 484 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) - [Anonymous Symbol] 0x08012408 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass) - SYSMENU_HANDL_AUDIO_TX_AGCSpeed 0x080125ed Thumb Code 34 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) - [Anonymous Symbol] 0x080125ec Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed) - SYSMENU_HANDL_AUDIO_VOLUME 0x08012611 Thumb Code 34 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_VOLUME) - [Anonymous Symbol] 0x08012610 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_AUDIO_VOLUME) - SYSMENU_HANDL_Bootloader 0x08012635 Thumb Code 144 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_Bootloader) - [Anonymous Symbol] 0x08012634 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_Bootloader) - SYSMENU_HANDL_CALIBRATIONMENU 0x080126c5 Thumb Code 88 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIBRATIONMENU) - [Anonymous Symbol] 0x080126c4 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIBRATIONMENU) - SYSMENU_HANDL_CALIB_CICCOMP_SHIFT 0x0801271d Thumb Code 32 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) - [Anonymous Symbol] 0x0801271c Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT) - SYSMENU_HANDL_CALIB_CIC_SHIFT 0x0801273d Thumb Code 32 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) - [Anonymous Symbol] 0x0801273c Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_CIC_SHIFT) - SYSMENU_HANDL_CALIB_DAC_SHIFT 0x0801275d Thumb Code 32 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) - [Anonymous Symbol] 0x0801275c Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_DAC_SHIFT) - SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE 0x0801277d Thumb Code 36 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) - [Anonymous Symbol] 0x0801277c Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE) - SYSMENU_HANDL_CALIB_ENCODER2_INVERT 0x080127a1 Thumb Code 24 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) - [Anonymous Symbol] 0x080127a0 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT) - SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE 0x080127b9 Thumb Code 36 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) - [Anonymous Symbol] 0x080127b8 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE) - SYSMENU_HANDL_CALIB_ENCODER_INVERT 0x080127dd Thumb Code 24 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) - [Anonymous Symbol] 0x080127dc Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_INVERT) - SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING 0x080127f5 Thumb Code 24 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) - [Anonymous Symbol] 0x080127f4 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING) - SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE 0x0801280d Thumb Code 30 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) - [Anonymous Symbol] 0x0801280c Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE) - SYSMENU_HANDL_CALIB_RF_GAIN_HF 0x0801282d Thumb Code 212 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) - [Anonymous Symbol] 0x0801282c Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF) - SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH 0x08012901 Thumb Code 212 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) - [Anonymous Symbol] 0x08012900 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH) - SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW 0x080129d5 Thumb Code 212 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) - [Anonymous Symbol] 0x080129d4 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW) - SYSMENU_HANDL_CALIB_RF_GAIN_LF 0x08012aa9 Thumb Code 212 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) - [Anonymous Symbol] 0x08012aa8 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_RF_GAIN_LF) - SYSMENU_HANDL_CALIB_SWR_TRANS_RATE 0x08012b7d Thumb Code 84 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) - [Anonymous Symbol] 0x08012b7c Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE) - SYSMENU_HANDL_CALIB_S_METER 0x08012bd1 Thumb Code 36 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_S_METER) - [Anonymous Symbol] 0x08012bd0 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_S_METER) - SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT 0x08012bf5 Thumb Code 32 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) - [Anonymous Symbol] 0x08012bf4 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT) - SYSMENU_HANDL_CALIB_VOLT 0x08012c15 Thumb Code 84 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_VOLT) - [Anonymous Symbol] 0x08012c14 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CALIB_VOLT) - SYSMENU_HANDL_CWMENU 0x08012c69 Thumb Code 170 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) - [Anonymous Symbol] 0x08012c68 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CWMENU) - SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ 0x08012d15 Thumb Code 44 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) - [Anonymous Symbol] 0x08012d14 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ) - SYSMENU_HANDL_CW_GaussFilter 0x08012d41 Thumb Code 34 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GaussFilter) - [Anonymous Symbol] 0x08012d40 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_GaussFilter) - SYSMENU_HANDL_CW_Key_timeout 0x08012d65 Thumb Code 44 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Key_timeout) - [Anonymous Symbol] 0x08012d64 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Key_timeout) - SYSMENU_HANDL_CW_Keyer 0x08012d91 Thumb Code 26 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer) - [Anonymous Symbol] 0x08012d90 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer) - SYSMENU_HANDL_CW_Keyer_WPM 0x08012dad Thumb Code 34 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer_WPM) - [Anonymous Symbol] 0x08012dac Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_Keyer_WPM) - SYSMENU_HANDL_CW_SelfHear 0x08012dd1 Thumb Code 26 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_SelfHear) - [Anonymous Symbol] 0x08012dd0 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_CW_SelfHear) - SYSMENU_HANDL_LCDMENU 0x08012ded Thumb Code 72 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_LCDMENU) - [Anonymous Symbol] 0x08012dec Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_LCDMENU) - SYSMENU_HANDL_SCREEN_COLOR_THEME 0x08012e35 Thumb Code 180 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) - [Anonymous Symbol] 0x08012e34 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_COLOR_THEME) - SYSMENU_HANDL_SCREEN_FFT_Averaging 0x08012ee9 Thumb Code 34 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) - [Anonymous Symbol] 0x08012ee8 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Averaging) - SYSMENU_HANDL_SCREEN_FFT_Background 0x08012f0d Thumb Code 26 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Background) - [Anonymous Symbol] 0x08012f0c Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Background) - SYSMENU_HANDL_SCREEN_FFT_Color 0x08012f29 Thumb Code 36 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Color) - [Anonymous Symbol] 0x08012f28 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Color) - SYSMENU_HANDL_SCREEN_FFT_Compressor 0x08012f4d Thumb Code 26 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) - [Anonymous Symbol] 0x08012f4c Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Compressor) - SYSMENU_HANDL_SCREEN_FFT_Enabled 0x08012f69 Thumb Code 26 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) - [Anonymous Symbol] 0x08012f68 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Enabled) - SYSMENU_HANDL_SCREEN_FFT_Grid 0x08012f85 Thumb Code 38 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Grid) - [Anonymous Symbol] 0x08012f84 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Grid) - SYSMENU_HANDL_SCREEN_FFT_Window 0x08012fad Thumb Code 46 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Window) - [Anonymous Symbol] 0x08012fac Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Window) - SYSMENU_HANDL_SCREEN_FFT_Zoom 0x08012fdd Thumb Code 122 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) - [Anonymous Symbol] 0x08012fdc Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SCREEN_FFT_Zoom) - SYSMENU_HANDL_SERVICESMENU 0x08013059 Thumb Code 180 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) - [Anonymous Symbol] 0x08013058 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SERVICESMENU) - SYSMENU_HANDL_SETTIME 0x0801310d Thumb Code 1718 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) - [Anonymous Symbol] 0x0801310c Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SETTIME) - SYSMENU_HANDL_SWR_BAND_START 0x080137c5 Thumb Code 108 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_BAND_START) - [Anonymous Symbol] 0x080137c4 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_BAND_START) - SYSMENU_HANDL_SWR_HF_START 0x08013831 Thumb Code 60 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_HF_START) - [Anonymous Symbol] 0x08013830 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_SWR_HF_START) - SYSMENU_HANDL_TRXMENU 0x0801386d Thumb Code 72 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRXMENU) - [Anonymous Symbol] 0x0801386c Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRXMENU) - SYSMENU_HANDL_TRX_ATT_STEP 0x080138b5 Thumb Code 34 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ATT_STEP) - [Anonymous Symbol] 0x080138b4 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ATT_STEP) - SYSMENU_HANDL_TRX_AutoGain 0x080138d9 Thumb Code 34 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_AutoGain) - [Anonymous Symbol] 0x080138d8 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_AutoGain) - SYSMENU_HANDL_TRX_BandMap 0x080138fd Thumb Code 26 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_BandMap) - [Anonymous Symbol] 0x080138fc Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_BandMap) - SYSMENU_HANDL_TRX_DEBUG_CONSOLE 0x08013919 Thumb Code 26 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) - [Anonymous Symbol] 0x08013918 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE) - SYSMENU_HANDL_TRX_ENC_ACCELERATE 0x08013935 Thumb Code 26 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) - [Anonymous Symbol] 0x08013934 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_ENC_ACCELERATE) - SYSMENU_HANDL_TRX_FRQ_FAST_STEP 0x08013951 Thumb Code 168 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) - [Anonymous Symbol] 0x08013950 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP) - SYSMENU_HANDL_TRX_FRQ_STEP 0x080139f9 Thumb Code 148 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_STEP) - [Anonymous Symbol] 0x080139f8 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_FRQ_STEP) - SYSMENU_HANDL_TRX_LINEIN 0x08013a8d Thumb Code 46 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_LINEIN) - [Anonymous Symbol] 0x08013a8c Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_LINEIN) - SYSMENU_HANDL_TRX_MICIN 0x08013abd Thumb Code 42 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_MICIN) - [Anonymous Symbol] 0x08013abc Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_MICIN) - SYSMENU_HANDL_TRX_RFPower 0x08013ae9 Thumb Code 34 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_RFPower) - [Anonymous Symbol] 0x08013ae8 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_RFPower) - SYSMENU_HANDL_TRX_SHIFT_INTERVAL 0x08013b0d Thumb Code 44 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) - [Anonymous Symbol] 0x08013b0c Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL) - SYSMENU_HANDL_TRX_SetCallsign 0x08013b39 Thumb Code 376 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SetCallsign) - [Anonymous Symbol] 0x08013b38 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_SetCallsign) - SYSMENU_HANDL_TRX_TRANSV_ENABLE 0x08013cb1 Thumb Code 26 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) - [Anonymous Symbol] 0x08013cb0 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_ENABLE) - SYSMENU_HANDL_TRX_TRANSV_OFFSET 0x08013ccd Thumb Code 38 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) - [Anonymous Symbol] 0x08013ccc Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TRANSV_OFFSET) - SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE 0x08013cf5 Thumb Code 26 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) - [Anonymous Symbol] 0x08013cf4 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE) - SYSMENU_HANDL_TRX_USBIN 0x08013d11 Thumb Code 42 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_USBIN) - [Anonymous Symbol] 0x08013d10 Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_HANDL_TRX_USBIN) - SYSMENU_drawSystemMenu 0x08013d3d Thumb Code 1268 lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) - [Anonymous Symbol] 0x08013d3c Section 0 lto-llvm-ea22a7.o(.text.SYSMENU_drawSystemMenu) - SaveCalibration 0x08014231 Thumb Code 636 lto-llvm-ea22a7.o(.text.SaveCalibration) - [Anonymous Symbol] 0x08014230 Section 0 lto-llvm-ea22a7.o(.text.SaveCalibration) - SaveSettings 0x080144ad Thumb Code 324 lto-llvm-ea22a7.o(.text.SaveSettings) - [Anonymous Symbol] 0x080144ac Section 0 lto-llvm-ea22a7.o(.text.SaveSettings) - SaveSettingsToEEPROM 0x080145f1 Thumb Code 632 lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) - [Anonymous Symbol] 0x080145f0 Section 0 lto-llvm-ea22a7.o(.text.SaveSettingsToEEPROM) - [Anonymous Symbol] 0x08014868 Section 0 lto-llvm-ea22a7.o(.text.SysTick_Handler) - [Anonymous Symbol] 0x080148c0 Section 0 lto-llvm-ea22a7.o(.text.SystemInit) - [Anonymous Symbol] 0x080148dc Section 0 lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) - [Anonymous Symbol] 0x08014a5c Section 0 lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) - __arm_cp.219_13 0x08014d30 Number 4 lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) - __arm_cp.219_14 0x08014d34 Number 4 lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) - __arm_cp.219_15 0x08015730 Number 4 lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) - __arm_cp.219_16 0x08015734 Number 4 lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) - __arm_cp.219_17 0x08015738 Number 4 lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) - __arm_cp.219_18 0x0801573c Number 4 lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) - __arm_cp.219_19 0x0801590c Number 4 lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) - __arm_cp.219_20 0x08015910 Number 4 lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) - __arm_cp.219_21 0x08015914 Number 4 lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) - __arm_cp.219_22 0x08016b2c Number 4 lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) - __arm_cp.219_23 0x08016b30 Number 4 lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) - [Anonymous Symbol] 0x08017194 Section 0 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_48 0x080175d8 Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_49 0x080175dc Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_50 0x080175e0 Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_51 0x080175e4 Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_52 0x080175e8 Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_53 0x080175ec Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_54 0x08017a30 Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_57 0x08017a3c Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_58 0x08017a40 Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_59 0x08017a44 Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_60 0x08017a48 Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_61 0x08017a4c Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_63 0x08017a54 Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_64 0x08017a58 Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_66 0x08018450 Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_67 0x08018454 Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_77 0x08018e30 Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_78 0x08018e34 Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_79 0x08018e38 Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_82 0x08018e44 Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_83 0x08019698 Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_85 0x080196a0 Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_86 0x080196a4 Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_87 0x080196a8 Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_89 0x0801a0a4 Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_90 0x0801a0a8 Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_91 0x0801a0ac Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_92 0x0801a0b0 Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_93 0x0801a0b4 Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_94 0x0801a0b8 Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_95 0x0801a0bc Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_96 0x0801a0c0 Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_97 0x0801a0c4 Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_98 0x0801a3ec Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_99 0x0801abbc Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_100 0x0801abc0 Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_101 0x0801abc4 Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_102 0x0801abc8 Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_103 0x0801abcc Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_104 0x0801abd0 Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - __arm_cp.222_105 0x0801abd4 Number 4 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - [Anonymous Symbol] 0x0801ad0c Section 0 lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) - __arm_cp.224_29 0x0801b298 Number 4 lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) - __arm_cp.224_30 0x0801b29c Number 4 lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) - __arm_cp.224_31 0x0801b2a0 Number 4 lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) - __arm_cp.224_32 0x0801b2a4 Number 4 lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) - __arm_cp.224_33 0x0801b2a8 Number 4 lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) - __arm_cp.224_34 0x0801b2ac Number 4 lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) - __arm_cp.224_36 0x0801b2b0 Number 4 lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) - __arm_cp.224_38 0x0801b56c Number 4 lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) - __arm_cp.224_39 0x0801b570 Number 4 lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) - __arm_cp.224_41 0x0801b574 Number 4 lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) - __arm_cp.224_43 0x0801b578 Number 4 lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) - __arm_cp.224_44 0x0801b57c Number 4 lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) - __arm_cp.224_45 0x0801b580 Number 4 lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) - __arm_cp.224_51 0x0801bbc4 Number 4 lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) - __arm_cp.224_52 0x0801c400 Number 4 lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) - __arm_cp.224_53 0x0801c404 Number 4 lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) - __arm_cp.224_54 0x0801cb88 Number 4 lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) - __arm_cp.224_55 0x0801cd3c Number 4 lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) - __arm_cp.224_56 0x0801cd98 Number 4 lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) - [Anonymous Symbol] 0x0801d298 Section 0 lto-llvm-ea22a7.o(.text.TIM7_IRQHandler) - [Anonymous Symbol] 0x0801d33c Section 0 lto-llvm-ea22a7.o(.text.TIM8_UP_TIM13_IRQHandler) - TRX_Restart_Mode 0x0801d3ad Thumb Code 2438 lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) - [Anonymous Symbol] 0x0801d3ac Section 0 lto-llvm-ea22a7.o(.text.TRX_Restart_Mode) - TRX_key_change 0x0801dd35 Thumb Code 310 lto-llvm-ea22a7.o(.text.TRX_key_change) - [Anonymous Symbol] 0x0801dd34 Section 0 lto-llvm-ea22a7.o(.text.TRX_key_change) - TRX_setFrequency 0x0801de71 Thumb Code 1040 lto-llvm-ea22a7.o(.text.TRX_setFrequency) - [Anonymous Symbol] 0x0801de70 Section 0 lto-llvm-ea22a7.o(.text.TRX_setFrequency) - TRX_setMode 0x0801e281 Thumb Code 308 lto-llvm-ea22a7.o(.text.TRX_setMode) - [Anonymous Symbol] 0x0801e280 Section 0 lto-llvm-ea22a7.o(.text.TRX_setMode) - UA3REO_I2SEx_DMAErr 0x0801e3b5 Thumb Code 228 lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_DMAErr) - [Anonymous Symbol] 0x0801e3b4 Section 0 lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_DMAErr) - UA3REO_I2SEx_TxRxDMACplt 0x0801e499 Thumb Code 114 lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMACplt) - [Anonymous Symbol] 0x0801e498 Section 0 lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMACplt) - UA3REO_I2SEx_TxRxDMAHalfCplt 0x0801e50d Thumb Code 112 lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMAHalfCplt) - [Anonymous Symbol] 0x0801e50c Section 0 lto-llvm-ea22a7.o(.text.UA3REO_I2SEx_TxRxDMAHalfCplt) - USBD_AUDIO_StartTransmit 0x0801e57d Thumb Code 228 lto-llvm-ea22a7.o(.text.USBD_AUDIO_StartTransmit) - [Anonymous Symbol] 0x0801e57c Section 0 lto-llvm-ea22a7.o(.text.USBD_AUDIO_StartTransmit) - USBD_FS_ConfigStrDescriptor 0x0801e661 Thumb Code 48 lto-llvm-ea22a7.o(.text.USBD_FS_ConfigStrDescriptor) - [Anonymous Symbol] 0x0801e660 Section 0 lto-llvm-ea22a7.o(.text.USBD_FS_ConfigStrDescriptor) - USBD_FS_DeviceDescriptor 0x0801e691 Thumb Code 16 lto-llvm-ea22a7.o(.text.USBD_FS_DeviceDescriptor) - [Anonymous Symbol] 0x0801e690 Section 0 lto-llvm-ea22a7.o(.text.USBD_FS_DeviceDescriptor) - USBD_FS_Interface1StrDescriptor 0x0801e6a1 Thumb Code 56 lto-llvm-ea22a7.o(.text.USBD_FS_Interface1StrDescriptor) - [Anonymous Symbol] 0x0801e6a0 Section 0 lto-llvm-ea22a7.o(.text.USBD_FS_Interface1StrDescriptor) - USBD_FS_Interface2StrDescriptor 0x0801e6d9 Thumb Code 48 lto-llvm-ea22a7.o(.text.USBD_FS_Interface2StrDescriptor) - [Anonymous Symbol] 0x0801e6d8 Section 0 lto-llvm-ea22a7.o(.text.USBD_FS_Interface2StrDescriptor) - USBD_FS_Interface3StrDescriptor 0x0801e709 Thumb Code 48 lto-llvm-ea22a7.o(.text.USBD_FS_Interface3StrDescriptor) - [Anonymous Symbol] 0x0801e708 Section 0 lto-llvm-ea22a7.o(.text.USBD_FS_Interface3StrDescriptor) - USBD_FS_LangIDStrDescriptor 0x0801e739 Thumb Code 16 lto-llvm-ea22a7.o(.text.USBD_FS_LangIDStrDescriptor) - [Anonymous Symbol] 0x0801e738 Section 0 lto-llvm-ea22a7.o(.text.USBD_FS_LangIDStrDescriptor) - USBD_FS_ManufacturerStrDescriptor 0x0801e749 Thumb Code 28 lto-llvm-ea22a7.o(.text.USBD_FS_ManufacturerStrDescriptor) - [Anonymous Symbol] 0x0801e748 Section 0 lto-llvm-ea22a7.o(.text.USBD_FS_ManufacturerStrDescriptor) - USBD_FS_ProductStrDescriptor 0x0801e765 Thumb Code 40 lto-llvm-ea22a7.o(.text.USBD_FS_ProductStrDescriptor) - [Anonymous Symbol] 0x0801e764 Section 0 lto-llvm-ea22a7.o(.text.USBD_FS_ProductStrDescriptor) - USBD_FS_SerialStrDescriptor 0x0801e78d Thumb Code 364 lto-llvm-ea22a7.o(.text.USBD_FS_SerialStrDescriptor) - [Anonymous Symbol] 0x0801e78c Section 0 lto-llvm-ea22a7.o(.text.USBD_FS_SerialStrDescriptor) - USBD_GetString 0x0801e8f9 Thumb Code 206 lto-llvm-ea22a7.o(.text.USBD_GetString) - [Anonymous Symbol] 0x0801e8f8 Section 0 lto-llvm-ea22a7.o(.text.USBD_GetString) - USBD_LL_CloseEP 0x0801e9c9 Thumb Code 220 lto-llvm-ea22a7.o(.text.USBD_LL_CloseEP) - [Anonymous Symbol] 0x0801e9c8 Section 0 lto-llvm-ea22a7.o(.text.USBD_LL_CloseEP) - USBD_LL_OpenEP 0x0801eaa5 Thumb Code 216 lto-llvm-ea22a7.o(.text.USBD_LL_OpenEP) - [Anonymous Symbol] 0x0801eaa4 Section 0 lto-llvm-ea22a7.o(.text.USBD_LL_OpenEP) - USBD_LL_StallEP 0x0801eb7d Thumb Code 264 lto-llvm-ea22a7.o(.text.USBD_LL_StallEP) - [Anonymous Symbol] 0x0801eb7c Section 0 lto-llvm-ea22a7.o(.text.USBD_LL_StallEP) - USBD_Restart 0x0801ec85 Thumb Code 1926 lto-llvm-ea22a7.o(.text.USBD_Restart) - [Anonymous Symbol] 0x0801ec84 Section 0 lto-llvm-ea22a7.o(.text.USBD_Restart) - USBD_UA3REO_DataIn 0x0801f40d Thumb Code 384 lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataIn) - [Anonymous Symbol] 0x0801f40c Section 0 lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataIn) - USBD_UA3REO_DataOut 0x0801f58d Thumb Code 234 lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataOut) - [Anonymous Symbol] 0x0801f58c Section 0 lto-llvm-ea22a7.o(.text.USBD_UA3REO_DataOut) - USBD_UA3REO_DeInit 0x0801f679 Thumb Code 174 lto-llvm-ea22a7.o(.text.USBD_UA3REO_DeInit) - [Anonymous Symbol] 0x0801f678 Section 0 lto-llvm-ea22a7.o(.text.USBD_UA3REO_DeInit) - USBD_UA3REO_EP0_RxReady 0x0801f729 Thumb Code 104 lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_RxReady) - [Anonymous Symbol] 0x0801f728 Section 0 lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_RxReady) - USBD_UA3REO_EP0_TxReady 0x0801f791 Thumb Code 4 lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_TxReady) - [Anonymous Symbol] 0x0801f790 Section 0 lto-llvm-ea22a7.o(.text.USBD_UA3REO_EP0_TxReady) - USBD_UA3REO_GetDeviceQualifierDescriptor 0x0801f795 Thumb Code 16 lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetDeviceQualifierDescriptor) - [Anonymous Symbol] 0x0801f794 Section 0 lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetDeviceQualifierDescriptor) - USBD_UA3REO_GetFSCfgDesc 0x0801f7a5 Thumb Code 18 lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetFSCfgDesc) - [Anonymous Symbol] 0x0801f7a4 Section 0 lto-llvm-ea22a7.o(.text.USBD_UA3REO_GetFSCfgDesc) - USBD_UA3REO_Init 0x0801f7b9 Thumb Code 358 lto-llvm-ea22a7.o(.text.USBD_UA3REO_Init) - [Anonymous Symbol] 0x0801f7b8 Section 0 lto-llvm-ea22a7.o(.text.USBD_UA3REO_Init) - USBD_UA3REO_IsoINIncomplete 0x0801f921 Thumb Code 4 lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoINIncomplete) - [Anonymous Symbol] 0x0801f920 Section 0 lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoINIncomplete) - USBD_UA3REO_IsoOutIncomplete 0x0801f925 Thumb Code 4 lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoOutIncomplete) - [Anonymous Symbol] 0x0801f924 Section 0 lto-llvm-ea22a7.o(.text.USBD_UA3REO_IsoOutIncomplete) - USBD_UA3REO_SOF 0x0801f929 Thumb Code 24 lto-llvm-ea22a7.o(.text.USBD_UA3REO_SOF) - [Anonymous Symbol] 0x0801f928 Section 0 lto-llvm-ea22a7.o(.text.USBD_UA3REO_SOF) - USBD_UA3REO_Setup 0x0801f941 Thumb Code 1108 lto-llvm-ea22a7.o(.text.USBD_UA3REO_Setup) - [Anonymous Symbol] 0x0801f940 Section 0 lto-llvm-ea22a7.o(.text.USBD_UA3REO_Setup) - USB_EP0StartXfer 0x0801fd95 Thumb Code 248 lto-llvm-ea22a7.o(.text.USB_EP0StartXfer) - [Anonymous Symbol] 0x0801fd94 Section 0 lto-llvm-ea22a7.o(.text.USB_EP0StartXfer) - USB_EPStartXfer 0x0801fe8d Thumb Code 512 lto-llvm-ea22a7.o(.text.USB_EPStartXfer) - [Anonymous Symbol] 0x0801fe8c Section 0 lto-llvm-ea22a7.o(.text.USB_EPStartXfer) - [Anonymous Symbol] 0x0802008c Section 0 lto-llvm-ea22a7.o(.text.UsageFault_Handler) - WM8731_RX_mode 0x08020119 Thumb Code 1010 lto-llvm-ea22a7.o(.text.WM8731_RX_mode) - [Anonymous Symbol] 0x08020118 Section 0 lto-llvm-ea22a7.o(.text.WM8731_RX_mode) - WM8731_TXRX_mode 0x0802050d Thumb Code 1610 lto-llvm-ea22a7.o(.text.WM8731_TXRX_mode) - [Anonymous Symbol] 0x0802050c Section 0 lto-llvm-ea22a7.o(.text.WM8731_TXRX_mode) - WM8731_start_i2s_and_dma 0x08020b59 Thumb Code 1014 lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) - [Anonymous Symbol] 0x08020b58 Section 0 lto-llvm-ea22a7.o(.text.WM8731_start_i2s_and_dma) - addSymbols 0x08020f51 Thumb Code 124 lto-llvm-ea22a7.o(.text.addSymbols) - [Anonymous Symbol] 0x08020f50 Section 0 lto-llvm-ea22a7.o(.text.addSymbols) - arm_add_f32 0x08020fcd Thumb Code 296 lto-llvm-ea22a7.o(.text.arm_add_f32) - [Anonymous Symbol] 0x08020fcc Section 0 lto-llvm-ea22a7.o(.text.arm_add_f32) - arm_biquad_cascade_df2T_f32 0x080210f5 Thumb Code 1240 lto-llvm-ea22a7.o(.text.arm_biquad_cascade_df2T_f32) - [Anonymous Symbol] 0x080210f4 Section 0 lto-llvm-ea22a7.o(.text.arm_biquad_cascade_df2T_f32) - arm_fir_decimate_f32 0x080215cd Thumb Code 2916 lto-llvm-ea22a7.o(.text.arm_fir_decimate_f32) - [Anonymous Symbol] 0x080215cc Section 0 lto-llvm-ea22a7.o(.text.arm_fir_decimate_f32) - arm_fir_f32 0x08022131 Thumb Code 1688 lto-llvm-ea22a7.o(.text.arm_fir_f32) - [Anonymous Symbol] 0x08022130 Section 0 lto-llvm-ea22a7.o(.text.arm_fir_f32) - __arm_cp.275_1 0x08022478 Number 4 lto-llvm-ea22a7.o(.text.arm_fir_f32) - arm_max_f32 0x080227c9 Thumb Code 914 lto-llvm-ea22a7.o(.text.arm_max_f32) - [Anonymous Symbol] 0x080227c8 Section 0 lto-llvm-ea22a7.o(.text.arm_max_f32) - arm_min_f32 0x08022b5d Thumb Code 814 lto-llvm-ea22a7.o(.text.arm_min_f32) - [Anonymous Symbol] 0x08022b5c Section 0 lto-llvm-ea22a7.o(.text.arm_min_f32) - arm_mult_f32 0x08022e8d Thumb Code 288 lto-llvm-ea22a7.o(.text.arm_mult_f32) - [Anonymous Symbol] 0x08022e8c Section 0 lto-llvm-ea22a7.o(.text.arm_mult_f32) - arm_quick_sort_core_f32 0x08022fad Thumb Code 584 lto-llvm-ea22a7.o(.text.arm_quick_sort_core_f32) - [Anonymous Symbol] 0x08022fac Section 0 lto-llvm-ea22a7.o(.text.arm_quick_sort_core_f32) - arm_rms_f32 0x080231f5 Thumb Code 264 lto-llvm-ea22a7.o(.text.arm_rms_f32) - [Anonymous Symbol] 0x080231f4 Section 0 lto-llvm-ea22a7.o(.text.arm_rms_f32) - arm_sub_f32 0x080232fd Thumb Code 296 lto-llvm-ea22a7.o(.text.arm_sub_f32) - [Anonymous Symbol] 0x080232fc Section 0 lto-llvm-ea22a7.o(.text.arm_sub_f32) - drawSystemMenuElement 0x08023425 Thumb Code 532 lto-llvm-ea22a7.o(.text.drawSystemMenuElement) - [Anonymous Symbol] 0x08023424 Section 0 lto-llvm-ea22a7.o(.text.drawSystemMenuElement) - eventSecRotateSystemMenu 0x08023639 Thumb Code 1106 lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) - [Anonymous Symbol] 0x08023638 Section 0 lto-llvm-ea22a7.o(.text.eventSecRotateSystemMenu) - getBandFromFreq 0x08023a8d Thumb Code 674 lto-llvm-ea22a7.o(.text.getBandFromFreq) - [Anonymous Symbol] 0x08023a8c Section 0 lto-llvm-ea22a7.o(.text.getBandFromFreq) - getModeFromFreq 0x08023d31 Thumb Code 326 lto-llvm-ea22a7.o(.text.getModeFromFreq) - [Anonymous Symbol] 0x08023d30 Section 0 lto-llvm-ea22a7.o(.text.getModeFromFreq) - i2c_endTransmission 0x08023e79 Thumb Code 272 lto-llvm-ea22a7.o(.text.i2c_endTransmission) - [Anonymous Symbol] 0x08023e78 Section 0 lto-llvm-ea22a7.o(.text.i2c_endTransmission) - i2c_get_ack 0x08023f89 Thumb Code 260 lto-llvm-ea22a7.o(.text.i2c_get_ack) - [Anonymous Symbol] 0x08023f88 Section 0 lto-llvm-ea22a7.o(.text.i2c_get_ack) - i2c_shift_out 0x0802408d Thumb Code 158 lto-llvm-ea22a7.o(.text.i2c_shift_out) - [Anonymous Symbol] 0x0802408c Section 0 lto-llvm-ea22a7.o(.text.i2c_shift_out) - [Anonymous Symbol] 0x0802412c Section 0 lto-llvm-ea22a7.o(.text.main) - AHBPrescTable 0x0802729c Number 0 lto-llvm-ea22a7.o(.text.main) - processAutoNotchReduction 0x080272ad Thumb Code 1676 lto-llvm-ea22a7.o(.text.processAutoNotchReduction) - [Anonymous Symbol] 0x080272ac Section 0 lto-llvm-ea22a7.o(.text.processAutoNotchReduction) - __arm_cp.3_3 0x08027374 Number 4 lto-llvm-ea22a7.o(.text.processAutoNotchReduction) - __arm_cp.3_4 0x08027378 Number 4 lto-llvm-ea22a7.o(.text.processAutoNotchReduction) - readFromCircleBuffer32 0x08027939 Thumb Code 326 lto-llvm-ea22a7.o(.text.readFromCircleBuffer32) - [Anonymous Symbol] 0x08027938 Section 0 lto-llvm-ea22a7.o(.text.readFromCircleBuffer32) - sendToDebug_float32 0x08027a81 Thumb Code 224 lto-llvm-ea22a7.o(.text.sendToDebug_float32) - [Anonymous Symbol] 0x08027a80 Section 0 lto-llvm-ea22a7.o(.text.sendToDebug_float32) - sendToDebug_int16 0x08027b61 Thumb Code 202 lto-llvm-ea22a7.o(.text.sendToDebug_int16) - [Anonymous Symbol] 0x08027b60 Section 0 lto-llvm-ea22a7.o(.text.sendToDebug_int16) - sendToDebug_str3 0x08027c2d Thumb Code 438 lto-llvm-ea22a7.o(.text.sendToDebug_str3) - [Anonymous Symbol] 0x08027c2c Section 0 lto-llvm-ea22a7.o(.text.sendToDebug_str3) - sendToDebug_strln 0x08027de5 Thumb Code 234 lto-llvm-ea22a7.o(.text.sendToDebug_strln) - [Anonymous Symbol] 0x08027de4 Section 0 lto-llvm-ea22a7.o(.text.sendToDebug_strln) - sendToDebug_uint16 0x08027ed1 Thumb Code 186 lto-llvm-ea22a7.o(.text.sendToDebug_uint16) - [Anonymous Symbol] 0x08027ed0 Section 0 lto-llvm-ea22a7.o(.text.sendToDebug_uint16) - sendToDebug_uint32 0x08027f8d Thumb Code 200 lto-llvm-ea22a7.o(.text.sendToDebug_uint32) - [Anonymous Symbol] 0x08027f8c Section 0 lto-llvm-ea22a7.o(.text.sendToDebug_uint32) - sendToDebug_uint8 0x08028055 Thumb Code 202 lto-llvm-ea22a7.o(.text.sendToDebug_uint8) - [Anonymous Symbol] 0x08028054 Section 0 lto-llvm-ea22a7.o(.text.sendToDebug_uint8) - setFT450Mode 0x08028121 Thumb Code 188 lto-llvm-ea22a7.o(.text.setFT450Mode) - [Anonymous Symbol] 0x08028120 Section 0 lto-llvm-ea22a7.o(.text.setFT450Mode) - CL$$btod_d2e 0x080281dc Section 62 btod.o(CL$$btod_d2e) - CL$$btod_d2e_denorm_low 0x0802821a Section 70 btod.o(CL$$btod_d2e_denorm_low) - CL$$btod_d2e_norm_op1 0x08028260 Section 96 btod.o(CL$$btod_d2e_norm_op1) - CL$$btod_div_common 0x080282c0 Section 824 btod.o(CL$$btod_div_common) - CL$$btod_e2e 0x080285f8 Section 220 btod.o(CL$$btod_e2e) - CL$$btod_ediv 0x080286d4 Section 42 btod.o(CL$$btod_ediv) - CL$$btod_emul 0x080286fe Section 42 btod.o(CL$$btod_emul) - CL$$btod_mult_common 0x08028728 Section 580 btod.o(CL$$btod_mult_common) - i.__ARM_fpclassify 0x0802896c Section 0 fpclassify.o(i.__ARM_fpclassify) - i.__ARM_fpclassifyf 0x0802899c Section 0 fpclassifyf.o(i.__ARM_fpclassifyf) - i.__hardfp_acos 0x080289c8 Section 0 acos.o(i.__hardfp_acos) - i.__hardfp_acosh 0x08028d20 Section 0 acosh.o(i.__hardfp_acosh) - i.__hardfp_asinf 0x08028ea0 Section 0 asinf.o(i.__hardfp_asinf) - i.__hardfp_atan2f 0x08028fcc Section 0 atan2f.o(i.__hardfp_atan2f) - i.__hardfp_cos 0x08029278 Section 0 cos.o(i.__hardfp_cos) - i.__hardfp_cosh 0x08029340 Section 0 cosh.o(i.__hardfp_cosh) - i.__hardfp_exp 0x080294d8 Section 0 exp.o(i.__hardfp_exp) - i.__hardfp_exp2f 0x08029830 Section 0 exp2f.o(i.__hardfp_exp2f) - i.__hardfp_fmodf 0x080299f8 Section 0 fmodf.o(i.__hardfp_fmodf) - i.__hardfp_frexpf 0x08029aa8 Section 0 frexpf.o(i.__hardfp_frexpf) - i.__hardfp_log 0x08029b00 Section 0 log.o(i.__hardfp_log) - i.__ieee754_rem_pio2 0x08029ec8 Section 0 rred.o(i.__ieee754_rem_pio2) - i.__kernel_cos 0x0802a300 Section 0 cos_i.o(i.__kernel_cos) - i.__kernel_poly 0x0802a470 Section 0 poly.o(i.__kernel_poly) - i.__kernel_sin 0x0802a568 Section 0 sin_i.o(i.__kernel_sin) - i.__mathlib_dbl_divzero 0x0802a698 Section 0 dunder.o(i.__mathlib_dbl_divzero) - i.__mathlib_dbl_infnan 0x0802a6c8 Section 0 dunder.o(i.__mathlib_dbl_infnan) - i.__mathlib_dbl_invalid 0x0802a6e0 Section 0 dunder.o(i.__mathlib_dbl_invalid) - i.__mathlib_dbl_overflow 0x0802a700 Section 0 dunder.o(i.__mathlib_dbl_overflow) - i.__mathlib_dbl_posinfnan 0x0802a720 Section 0 dunder.o(i.__mathlib_dbl_posinfnan) - i.__mathlib_dbl_underflow 0x0802a738 Section 0 dunder.o(i.__mathlib_dbl_underflow) - i.__mathlib_expm1 0x0802a758 Section 0 expm1_i.o(i.__mathlib_expm1) - i.__mathlib_flt_infnan 0x0802ac4c Section 0 funder.o(i.__mathlib_flt_infnan) - i.__mathlib_flt_infnan2 0x0802ac52 Section 0 funder.o(i.__mathlib_flt_infnan2) - i.__mathlib_flt_invalid 0x0802ac58 Section 0 funder.o(i.__mathlib_flt_invalid) - i.__mathlib_flt_overflow 0x0802ac68 Section 0 funder.o(i.__mathlib_flt_overflow) - i.__mathlib_flt_underflow 0x0802ac78 Section 0 funder.o(i.__mathlib_flt_underflow) - i.__mathlib_log1p 0x0802ac88 Section 0 log1p_i.o(i.__mathlib_log1p) - i._is_digit 0x0802b0e0 Section 0 __printf_wp.o(i._is_digit) - i.ceilf 0x0802b0f0 Section 0 ceilf.o(i.ceilf) - i.cos 0x0802b15c Section 0 cos.o(i.cos) - i.exp 0x0802b16c Section 0 exp.o(i.exp) - i.exp2f 0x0802b17c Section 0 exp2f.o(i.exp2f) - i.fabs 0x0802b18c Section 0 fabs.o(i.fabs) - i.floorf 0x0802b1a4 Section 0 floorf.o(i.floorf) - i.fmodf 0x0802b214 Section 0 fmodf.o(i.fmodf) - i.log 0x0802b228 Section 0 log.o(i.log) - i.round 0x0802b238 Section 0 round.o(i.round) - i.sqrt 0x0802b310 Section 0 sqrt.o(i.sqrt) - i.sqrtf 0x0802b37e Section 0 sqrtf.o(i.sqrtf) - locale$$code 0x0802b3bc Section 44 lc_numeric_c.o(locale$$code) - locale$$code 0x0802b3e8 Section 44 lc_ctype_c.o(locale$$code) - $v0 0x0802b414 Number 0 basic.o(x$fpl$basic) - x$fpl$basic 0x0802b414 Section 24 basic.o(x$fpl$basic) - $v0 0x0802b42c Number 0 d2f.o(x$fpl$d2f) - x$fpl$d2f 0x0802b42c Section 98 d2f.o(x$fpl$d2f) - $v0 0x0802b490 Number 0 daddsub_clz.o(x$fpl$dadd) - x$fpl$dadd 0x0802b490 Section 336 daddsub_clz.o(x$fpl$dadd) - _dadd1 0x0802b4a1 Thumb Code 0 daddsub_clz.o(x$fpl$dadd) - $v0 0x0802b5e0 Number 0 dcmpi.o(x$fpl$dcmpinf) - x$fpl$dcmpinf 0x0802b5e0 Section 24 dcmpi.o(x$fpl$dcmpinf) - $v0 0x0802b5f8 Number 0 ddiv.o(x$fpl$ddiv) - x$fpl$ddiv 0x0802b5f8 Section 688 ddiv.o(x$fpl$ddiv) - ddiv_entry 0x0802b5ff Thumb Code 0 ddiv.o(x$fpl$ddiv) - $v0 0x0802b8a8 Number 0 deqf.o(x$fpl$deqf) - x$fpl$deqf 0x0802b8a8 Section 120 deqf.o(x$fpl$deqf) - $v0 0x0802b920 Number 0 dfix.o(x$fpl$dfix) - x$fpl$dfix 0x0802b920 Section 94 dfix.o(x$fpl$dfix) - $v0 0x0802b980 Number 0 dfixu.o(x$fpl$dfixu) - x$fpl$dfixu 0x0802b980 Section 90 dfixu.o(x$fpl$dfixu) - $v0 0x0802b9da Number 0 dflt_clz.o(x$fpl$dflt) - x$fpl$dflt 0x0802b9da Section 46 dflt_clz.o(x$fpl$dflt) - $v0 0x0802ba08 Number 0 dflt_clz.o(x$fpl$dfltu) - x$fpl$dfltu 0x0802ba08 Section 38 dflt_clz.o(x$fpl$dfltu) - $v0 0x0802ba30 Number 0 dgeqf.o(x$fpl$dgeqf) - x$fpl$dgeqf 0x0802ba30 Section 120 dgeqf.o(x$fpl$dgeqf) - $v0 0x0802baa8 Number 0 dleqf.o(x$fpl$dleqf) - x$fpl$dleqf 0x0802baa8 Section 120 dleqf.o(x$fpl$dleqf) - $v0 0x0802bb20 Number 0 dmul.o(x$fpl$dmul) - x$fpl$dmul 0x0802bb20 Section 340 dmul.o(x$fpl$dmul) - $v0 0x0802bc74 Number 0 dnaninf.o(x$fpl$dnaninf) - x$fpl$dnaninf 0x0802bc74 Section 156 dnaninf.o(x$fpl$dnaninf) - $v0 0x0802bd10 Number 0 dretinf.o(x$fpl$dretinf) - x$fpl$dretinf 0x0802bd10 Section 12 dretinf.o(x$fpl$dretinf) - $v0 0x0802bd1c Number 0 drleqf.o(x$fpl$drleqf) - x$fpl$drleqf 0x0802bd1c Section 108 drleqf.o(x$fpl$drleqf) - $v0 0x0802bd88 Number 0 drnd.o(x$fpl$drnd) - x$fpl$drnd 0x0802bd88 Section 180 drnd.o(x$fpl$drnd) - $v0 0x0802be3c Number 0 daddsub_clz.o(x$fpl$drsb) - x$fpl$drsb 0x0802be3c Section 22 daddsub_clz.o(x$fpl$drsb) - $v0 0x0802be54 Number 0 dsqrt_umaal.o(x$fpl$dsqrt) - x$fpl$dsqrt 0x0802be54 Section 408 dsqrt_umaal.o(x$fpl$dsqrt) - $v0 0x0802bfec Number 0 daddsub_clz.o(x$fpl$dsub) - x$fpl$dsub 0x0802bfec Section 468 daddsub_clz.o(x$fpl$dsub) - _dsub1 0x0802bffd Thumb Code 0 daddsub_clz.o(x$fpl$dsub) - $v0 0x0802c1c0 Number 0 f2d.o(x$fpl$f2d) - x$fpl$f2d 0x0802c1c0 Section 86 f2d.o(x$fpl$f2d) - $v0 0x0802c216 Number 0 dcmp.o(x$fpl$fcmp) - x$fpl$fcmp 0x0802c216 Section 84 dcmp.o(x$fpl$fcmp) - $v0 0x0802c26a Number 0 fnaninf.o(x$fpl$fnaninf) - x$fpl$fnaninf 0x0802c26a Section 140 fnaninf.o(x$fpl$fnaninf) - $v0 0x0802c2f6 Number 0 fpinit.o(x$fpl$fpinit) - x$fpl$fpinit 0x0802c2f6 Section 26 fpinit.o(x$fpl$fpinit) - $v0 0x0802c310 Number 0 frem_clz.o(x$fpl$frem) - x$fpl$frem 0x0802c310 Section 244 frem_clz.o(x$fpl$frem) - $v0 0x0802c404 Number 0 fretinf.o(x$fpl$fretinf) - x$fpl$fretinf 0x0802c404 Section 10 fretinf.o(x$fpl$fretinf) - $v0 0x0802c410 Number 0 ffixll.o(x$fpl$llsfromf) - x$fpl$llsfromf 0x0802c410 Section 134 ffixll.o(x$fpl$llsfromf) - $v0 0x0802c496 Number 0 printf1.o(x$fpl$printf1) - x$fpl$printf1 0x0802c496 Section 4 printf1.o(x$fpl$printf1) - $v0 0x0802c49a Number 0 printf2.o(x$fpl$printf2) - x$fpl$printf2 0x0802c49a Section 4 printf2.o(x$fpl$printf2) - x$fpl$usenofp 0x0802c49e Section 0 usenofp.o(x$fpl$usenofp) - initial_mbstate 0x0802c4a0 Data 8 _printf_wctomb.o(.constdata) - .constdata 0x0802c4a0 Section 8 _printf_wctomb.o(.constdata) - uc_hextab 0x0802c4a8 Data 20 _printf_hex_int.o(.constdata) - .constdata 0x0802c4a8 Section 40 _printf_hex_int.o(.constdata) - lc_hextab 0x0802c4bc Data 20 _printf_hex_int.o(.constdata) - maptable 0x0802c4d0 Data 17 __printf_flags_ss_wp.o(.constdata) - .constdata 0x0802c4d0 Section 17 __printf_flags_ss_wp.o(.constdata) - pS 0x0802c4e8 Data 48 acos.o(.constdata) - .constdata 0x0802c4e8 Section 80 acos.o(.constdata) - qS 0x0802c518 Data 32 acos.o(.constdata) - one 0x0802c538 Data 8 cosh.o(.constdata) - .constdata 0x0802c538 Section 8 cosh.o(.constdata) - lc_hextab 0x0802c540 Data 19 _printf_fp_hex.o(.constdata) - .constdata 0x0802c540 Section 38 _printf_fp_hex.o(.constdata) - uc_hextab 0x0802c553 Data 19 _printf_fp_hex.o(.constdata) - halF 0x0802c568 Data 16 exp.o(.constdata) - .constdata 0x0802c568 Section 88 exp.o(.constdata) - ln2HI 0x0802c578 Data 16 exp.o(.constdata) - ln2LO 0x0802c588 Data 16 exp.o(.constdata) - P 0x0802c598 Data 40 exp.o(.constdata) - Q 0x0802c5c0 Data 40 expm1_i.o(.constdata) - .constdata 0x0802c5c0 Section 40 expm1_i.o(.constdata) - Lg2 0x0802c5e8 Data 24 log.o(.constdata) - .constdata 0x0802c5e8 Section 56 log.o(.constdata) - Lg 0x0802c600 Data 32 log.o(.constdata) - Lp 0x0802c620 Data 56 log1p_i.o(.constdata) - .constdata 0x0802c620 Section 56 log1p_i.o(.constdata) - tenpwrs_x 0x0802c658 Data 60 bigflt0.o(.constdata) - .constdata 0x0802c658 Section 148 bigflt0.o(.constdata) - tenpwrs_i 0x0802c694 Data 64 bigflt0.o(.constdata) - .constdata 0x0802c6f0 Section 8 qnan.o(.constdata) - twotokover4top 0x0802c6f8 Data 16 exp2f.o(.constdata) - .constdata 0x0802c6f8 Section 48 exp2f.o(.constdata) - twotokover4bot 0x0802c708 Data 16 exp2f.o(.constdata) - twotokover4all 0x0802c718 Data 16 exp2f.o(.constdata) - C 0x0802c728 Data 48 cos_i.o(.constdata) - .constdata 0x0802c728 Section 48 cos_i.o(.constdata) - pio2s 0x0802c758 Data 48 rred.o(.constdata) - .constdata 0x0802c758 Section 204 rred.o(.constdata) - twooverpi 0x0802c788 Data 156 rred.o(.constdata) - S 0x0802c828 Data 40 sin_i.o(.constdata) - .constdata 0x0802c828 Section 40 sin_i.o(.constdata) - .L__const.SYSMENU_HANDL_TRX_FRQ_FAST_STEP.freq_steps 0x0802c850 Data 12 lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_FAST_STEP.freq_steps) - .L__const.SYSMENU_HANDL_TRX_FRQ_STEP.freq_steps 0x0802c85c Data 10 lto-llvm-ea22a7.o(.rodata..L__const.SYSMENU_HANDL_TRX_FRQ_STEP.freq_steps) - .Lswitch.table.ua3reo_dev_cat_parseCommand.77 0x0802c868 Data 44 lto-llvm-ea22a7.o(.rodata..Lswitch.table.ua3reo_dev_cat_parseCommand.77) - .compoundliteral.1.106 0x0802c894 Data 100 lto-llvm-ea22a7.o(.rodata..compoundliteral.1.106) - [Anonymous Symbol] 0x0802c894 Section 0 lto-llvm-ea22a7.o(.rodata..compoundliteral.1.106) - .compoundliteral.10.75 0x0802c8f8 Data 24 lto-llvm-ea22a7.o(.rodata..compoundliteral.10.75) - [Anonymous Symbol] 0x0802c8f8 Section 0 lto-llvm-ea22a7.o(.rodata..compoundliteral.10.75) - .compoundliteral.10.99 0x0802c910 Data 16 lto-llvm-ea22a7.o(.rodata..compoundliteral.10.99) - [Anonymous Symbol] 0x0802c910 Section 0 lto-llvm-ea22a7.o(.rodata..compoundliteral.10.99) - .compoundliteral.105 0x0802c920 Data 100 lto-llvm-ea22a7.o(.rodata..compoundliteral.105) - [Anonymous Symbol] 0x0802c920 Section 0 lto-llvm-ea22a7.o(.rodata..compoundliteral.105) - .compoundliteral.11.100 0x0802c984 Data 16 lto-llvm-ea22a7.o(.rodata..compoundliteral.11.100) - [Anonymous Symbol] 0x0802c984 Section 0 lto-llvm-ea22a7.o(.rodata..compoundliteral.11.100) - .compoundliteral.12.76 0x0802c994 Data 36 lto-llvm-ea22a7.o(.rodata..compoundliteral.12.76) - [Anonymous Symbol] 0x0802c994 Section 0 lto-llvm-ea22a7.o(.rodata..compoundliteral.12.76) - .compoundliteral.14.77 0x0802c9b8 Data 36 lto-llvm-ea22a7.o(.rodata..compoundliteral.14.77) - [Anonymous Symbol] 0x0802c9b8 Section 0 lto-llvm-ea22a7.o(.rodata..compoundliteral.14.77) - .compoundliteral.16.78 0x0802c9dc Data 36 lto-llvm-ea22a7.o(.rodata..compoundliteral.16.78) - [Anonymous Symbol] 0x0802c9dc Section 0 lto-llvm-ea22a7.o(.rodata..compoundliteral.16.78) - .compoundliteral.18.79 0x0802ca00 Data 36 lto-llvm-ea22a7.o(.rodata..compoundliteral.18.79) - [Anonymous Symbol] 0x0802ca00 Section 0 lto-llvm-ea22a7.o(.rodata..compoundliteral.18.79) - .compoundliteral.2.107 0x0802ca24 Data 100 lto-llvm-ea22a7.o(.rodata..compoundliteral.2.107) - [Anonymous Symbol] 0x0802ca24 Section 0 lto-llvm-ea22a7.o(.rodata..compoundliteral.2.107) - .compoundliteral.2.70 0x0802ca88 Data 36 lto-llvm-ea22a7.o(.rodata..compoundliteral.2.70) - [Anonymous Symbol] 0x0802ca88 Section 0 lto-llvm-ea22a7.o(.rodata..compoundliteral.2.70) - .compoundliteral.20.80 0x0802caac Data 108 lto-llvm-ea22a7.o(.rodata..compoundliteral.20.80) - [Anonymous Symbol] 0x0802caac Section 0 lto-llvm-ea22a7.o(.rodata..compoundliteral.20.80) - .compoundliteral.22.81 0x0802cb18 Data 84 lto-llvm-ea22a7.o(.rodata..compoundliteral.22.81) - [Anonymous Symbol] 0x0802cb18 Section 0 lto-llvm-ea22a7.o(.rodata..compoundliteral.22.81) - .compoundliteral.3.108 0x0802cb6c Data 100 lto-llvm-ea22a7.o(.rodata..compoundliteral.3.108) - [Anonymous Symbol] 0x0802cb6c Section 0 lto-llvm-ea22a7.o(.rodata..compoundliteral.3.108) - .compoundliteral.4.71 0x0802cbd0 Data 36 lto-llvm-ea22a7.o(.rodata..compoundliteral.4.71) - [Anonymous Symbol] 0x0802cbd0 Section 0 lto-llvm-ea22a7.o(.rodata..compoundliteral.4.71) - .compoundliteral.6.73 0x0802cbf4 Data 12 lto-llvm-ea22a7.o(.rodata..compoundliteral.6.73) - [Anonymous Symbol] 0x0802cbf4 Section 0 lto-llvm-ea22a7.o(.rodata..compoundliteral.6.73) - .compoundliteral.637 0x0802cc00 Data 22004 lto-llvm-ea22a7.o(.rodata..compoundliteral.637) - .compoundliteral.69 0x080321f4 Data 12 lto-llvm-ea22a7.o(.rodata..compoundliteral.69) - [Anonymous Symbol] 0x080321f4 Section 0 lto-llvm-ea22a7.o(.rodata..compoundliteral.69) - .compoundliteral.8.74 0x08032200 Data 60 lto-llvm-ea22a7.o(.rodata..compoundliteral.8.74) - [Anonymous Symbol] 0x08032200 Section 0 lto-llvm-ea22a7.o(.rodata..compoundliteral.8.74) - .compoundliteral.8.97 0x0803223c Data 16 lto-llvm-ea22a7.o(.rodata..compoundliteral.8.97) - [Anonymous Symbol] 0x0803223c Section 0 lto-llvm-ea22a7.o(.rodata..compoundliteral.8.97) - .compoundliteral.9.98 0x0803224c Data 16 lto-llvm-ea22a7.o(.rodata..compoundliteral.9.98) - [Anonymous Symbol] 0x0803224c Section 0 lto-llvm-ea22a7.o(.rodata..compoundliteral.9.98) - BANDS 0x0803225c Data 288 lto-llvm-ea22a7.o(.rodata.BANDS) - [Anonymous Symbol] 0x0803225c Section 0 lto-llvm-ea22a7.o(.rodata.BANDS) - COLOR_THEMES 0x0803237c Data 204 lto-llvm-ea22a7.o(.rodata.COLOR_THEMES) - [Anonymous Symbol] 0x0803237c Section 0 lto-llvm-ea22a7.o(.rodata.COLOR_THEMES) - COLOR_THEMES.296 0x08032448 Data 204 lto-llvm-ea22a7.o(.rodata.COLOR_THEMES.296) - [Anonymous Symbol] 0x08032448 Section 0 lto-llvm-ea22a7.o(.rodata.COLOR_THEMES.296) - FIR_HILB_I_coeffs 0x08032514 Data 260 lto-llvm-ea22a7.o(.rodata.FIR_HILB_I_coeffs) - [Anonymous Symbol] 0x08032514 Section 0 lto-llvm-ea22a7.o(.rodata.FIR_HILB_I_coeffs) - FIR_HILB_Q_coeffs 0x08032618 Data 260 lto-llvm-ea22a7.o(.rodata.FIR_HILB_Q_coeffs) - [Anonymous Symbol] 0x08032618 Section 0 lto-llvm-ea22a7.o(.rodata.FIR_HILB_Q_coeffs) - FirZoomFFTDecimate 0x0803271c Data 204 lto-llvm-ea22a7.o(.rodata.FirZoomFFTDecimate) - [Anonymous Symbol] 0x0803271c Section 0 lto-llvm-ea22a7.o(.rodata.FirZoomFFTDecimate) - FreeSans12pt7b 0x080327e8 Data 12 lto-llvm-ea22a7.o(.rodata.FreeSans12pt7b) - [Anonymous Symbol] 0x080327e8 Section 0 lto-llvm-ea22a7.o(.rodata.FreeSans12pt7b) - FreeSans12pt7bBitmaps 0x080327f4 Data 1969 lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bBitmaps) - [Anonymous Symbol] 0x080327f4 Section 0 lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bBitmaps) - FreeSans12pt7bGlyphs 0x08032fa6 Data 760 lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bGlyphs) - [Anonymous Symbol] 0x08032fa6 Section 0 lto-llvm-ea22a7.o(.rodata.FreeSans12pt7bGlyphs) - FreeSans36pt7b 0x080332a0 Data 12 lto-llvm-ea22a7.o(.rodata.FreeSans36pt7b) - [Anonymous Symbol] 0x080332a0 Section 0 lto-llvm-ea22a7.o(.rodata.FreeSans36pt7b) - FreeSans36pt7bBitmaps 0x080332ac Data 17285 lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bBitmaps) - [Anonymous Symbol] 0x080332ac Section 0 lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bBitmaps) - FreeSans36pt7bGlyphs 0x08037632 Data 760 lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bGlyphs) - [Anonymous Symbol] 0x08037632 Section 0 lto-llvm-ea22a7.o(.rodata.FreeSans36pt7bGlyphs) - FreeSans7pt7b 0x0803792c Data 12 lto-llvm-ea22a7.o(.rodata.FreeSans7pt7b) - [Anonymous Symbol] 0x0803792c Section 0 lto-llvm-ea22a7.o(.rodata.FreeSans7pt7b) - FreeSans7pt7bBitmaps 0x08037938 Data 688 lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bBitmaps) - [Anonymous Symbol] 0x08037938 Section 0 lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bBitmaps) - FreeSans7pt7bGlyphs 0x08037be8 Data 760 lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bGlyphs) - [Anonymous Symbol] 0x08037be8 Section 0 lto-llvm-ea22a7.o(.rodata.FreeSans7pt7bGlyphs) - FreeSans9pt7b 0x08037ee0 Data 12 lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b) - [Anonymous Symbol] 0x08037ee0 Section 0 lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b) - FreeSans9pt7b.622 0x08037eec Data 12 lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b.622) - [Anonymous Symbol] 0x08037eec Section 0 lto-llvm-ea22a7.o(.rodata.FreeSans9pt7b.622) - FreeSans9pt7bBitmaps 0x08037ef8 Data 1150 lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps) - [Anonymous Symbol] 0x08037ef8 Section 0 lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps) - FreeSans9pt7bBitmaps.635 0x08038376 Data 1150 lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps.635) - [Anonymous Symbol] 0x08038376 Section 0 lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bBitmaps.635) - FreeSans9pt7bGlyphs 0x080387f4 Data 760 lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs) - [Anonymous Symbol] 0x080387f4 Section 0 lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs) - FreeSans9pt7bGlyphs.636 0x08038aec Data 760 lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs.636) - [Anonymous Symbol] 0x08038aec Section 0 lto-llvm-ea22a7.o(.rodata.FreeSans9pt7bGlyphs.636) - IIR_Biquad_Filters 0x08038de4 Data 828 lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) - [Anonymous Symbol] 0x08038de4 Section 0 lto-llvm-ea22a7.o(.rodata.IIR_Biquad_Filters) - Quito32pt7b 0x08039120 Data 12 lto-llvm-ea22a7.o(.rodata.Quito32pt7b) - [Anonymous Symbol] 0x08039120 Section 0 lto-llvm-ea22a7.o(.rodata.Quito32pt7b) - Quito32pt7bBitmaps 0x0803912c Data 26199 lto-llvm-ea22a7.o(.rodata.Quito32pt7bBitmaps) - [Anonymous Symbol] 0x0803912c Section 0 lto-llvm-ea22a7.o(.rodata.Quito32pt7bBitmaps) - Quito32pt7bGlyphs 0x0803f784 Data 760 lto-llvm-ea22a7.o(.rodata.Quito32pt7bGlyphs) - [Anonymous Symbol] 0x0803f784 Section 0 lto-llvm-ea22a7.o(.rodata.Quito32pt7bGlyphs) - USBD_UA3REO_CfgFSDesc 0x0803fa7c Data 314 lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_CfgFSDesc) - [Anonymous Symbol] 0x0803fa7c Section 0 lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_CfgFSDesc) - USBD_UA3REO_DeviceQualifierDesc 0x0803fbb8 Data 10 lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_DeviceQualifierDesc) - [Anonymous Symbol] 0x0803fbb8 Section 0 lto-llvm-ea22a7.o(.rodata.USBD_UA3REO_DeviceQualifierDesc) - armBitRevIndexTable512 0x0803fbc2 Data 896 lto-llvm-ea22a7.o(.rodata.armBitRevIndexTable512) - [Anonymous Symbol] 0x0803fbc2 Section 0 lto-llvm-ea22a7.o(.rodata.armBitRevIndexTable512) - mag_coeffs 0x0803ff44 Data 68 lto-llvm-ea22a7.o(.rodata.mag_coeffs) - [Anonymous Symbol] 0x0803ff44 Section 0 lto-llvm-ea22a7.o(.rodata.mag_coeffs) - rastr_font 0x0803ff88 Data 1280 lto-llvm-ea22a7.o(.rodata.rastr_font) - [Anonymous Symbol] 0x0803ff88 Section 0 lto-llvm-ea22a7.o(.rodata.rastr_font) - sinTable_f32 0x08040488 Data 2052 lto-llvm-ea22a7.o(.rodata.sinTable_f32) - [Anonymous Symbol] 0x08040488 Section 0 lto-llvm-ea22a7.o(.rodata.sinTable_f32) - .L.str.20.634 0x08040c8c Data 32 lto-llvm-ea22a7.o(.rodata.str1.1) - [Anonymous Symbol] 0x08040c8c Section 0 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.2 0x08040cac Data 4 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.8.646 0x08040cb0 Data 28 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.11.649 0x08040ccc Data 24 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.10.648 0x08040ce4 Data 17 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.1.219 0x08040cf5 Data 11 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.3.220 0x08040d00 Data 12 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.4.461 0x08040d0c Data 24 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.2.221 0x08040d24 Data 12 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.12.650 0x08040d30 Data 10 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.218 0x08040d3a Data 10 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.1.155 0x08040d44 Data 39 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.154 0x08040d6b Data 81 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.16 0x08040dbc Data 2 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.19.476 0x08040dbe Data 3 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.8.465 0x08040dbf Data 2 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.19.248 0x08040dc1 Data 62 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.9.466 0x08040dfd Data 2 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.18.247 0x08040dff Data 62 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.49.341 0x08040e3d Data 10 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.48.287 0x08040e45 Data 2 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.53.508 0x08040e47 Data 9 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.61.516 0x08040e50 Data 8 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.59.514 0x08040e58 Data 6 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.28.484 0x08040e5e Data 6 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.25.481 0x08040e64 Data 6 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.43.498 0x08040e6a Data 6 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.51.506 0x08040e70 Data 6 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.45.500 0x08040e76 Data 6 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.57.512 0x08040e7c Data 6 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.49.504 0x08040e82 Data 6 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.32.488 0x08040e88 Data 6 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.1.458 0x08040e8e Data 5 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.55.510 0x08040e93 Data 5 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.11.468 0x08040e98 Data 5 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.7.464 0x08040e9d Data 5 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.65.520 0x08040ea2 Data 5 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.47.502 0x08040ea7 Data 5 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.27.483 0x08040eac Data 6 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.36.492 0x08040eb2 Data 6 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.3.460 0x08040eb8 Data 8 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.30.486 0x08040ec0 Data 5 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.12.469 0x08040ec5 Data 5 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.63.518 0x08040eca Data 5 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.35.491 0x08040ecf Data 6 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.64.519 0x08040ed5 Data 5 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.34.490 0x08040eda Data 6 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.33.489 0x08040ee0 Data 6 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.41.496 0x08040ee6 Data 7 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.21.478 0x08040eed Data 3 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.58.513 0x08040ef0 Data 3 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.26.482 0x08040ef3 Data 3 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.24.480 0x08040ef6 Data 3 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.17.175 0x08040ef7 Data 2 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.23.479 0x08040ef9 Data 3 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.42.497 0x08040efc Data 3 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.229 0x08040eff Data 4 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.1.230 0x08040f03 Data 4 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.17.302 0x08040f07 Data 12 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.8.333 0x08040f13 Data 13 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.18 0x08040f1e Data 2 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.4.179 0x08040f20 Data 4 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.39.494 0x08040f24 Data 3 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.2.459 0x08040f27 Data 3 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.37.493 0x08040f2a Data 3 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.3.328 0x08040f2d Data 16 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.176 0x08040f3d Data 4 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.87 0x08040f41 Data 15 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.5.180 0x08040f50 Data 5 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.84 0x08040f55 Data 11 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.14.471 0x08040f60 Data 3 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.82.284 0x08040f63 Data 11 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.85 0x08040f6e Data 16 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.40.495 0x08040f7e Data 3 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.457 0x08040f81 Data 3 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.54.509 0x08040f84 Data 3 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.6.181 0x08040f87 Data 5 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.2.231 0x08040f8c Data 5 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.7.236 0x08040f91 Data 5 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.50.505 0x08040f96 Data 3 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.6.235 0x08040f99 Data 3 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.4.233 0x08040f9c Data 4 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.5.234 0x08040fa0 Data 4 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.17.245 0x08040fa4 Data 50 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.44.299 0x08040fd6 Data 13 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.60.515 0x08040fe3 Data 3 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.12.337 0x08040fe6 Data 7 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.10.335 0x08040fed Data 7 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.11.336 0x08040ff4 Data 8 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.52.507 0x08040ffc Data 3 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.10.239 0x08040fff Data 5 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.9.238 0x08041004 Data 3 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.88 0x08041007 Data 12 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.86 0x08041013 Data 8 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.44.499 0x0804101b Data 3 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.53 0x0804101e Data 4 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.71 0x08041022 Data 7 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.70 0x08041029 Data 9 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.18.303 0x08041032 Data 22 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.56.511 0x08041048 Data 3 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.29.485 0x0804104b Data 3 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.10.467 0x0804104e Data 3 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.48.503 0x08041051 Data 3 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.6.463 0x08041054 Data 3 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.31.487 0x08041057 Data 3 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.6.331 0x0804105a Data 15 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.3.178 0x08041064 Data 5 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.3.232 0x08041069 Data 5 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.8.237 0x0804106e Data 5 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.83 0x08041073 Data 15 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.36 0x08041082 Data 6 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.11.240 0x08041088 Data 5 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.62.517 0x0804108a Data 3 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.46.501 0x0804108d Data 3 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.38 0x08041090 Data 9 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.39 0x08041099 Data 6 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.18.475 0x0804109c Data 3 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.36.321 0x0804109f Data 17 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.38.323 0x080410b0 Data 13 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.37.322 0x080410bd Data 16 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.64.293 0x080410cd Data 12 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.31.263 0x080410d9 Data 31 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.31.316 0x080410f8 Data 11 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.34.319 0x08041103 Data 10 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.62.291 0x0804110d Data 9 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.20.305 0x08041116 Data 14 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.587 0x08041124 Data 28 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.63.292 0x08041140 Data 15 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.75 0x0804114f Data 18 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.74 0x08041161 Data 17 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.21.306 0x08041172 Data 12 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.14.339 0x0804117e Data 19 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.9.334 0x08041191 Data 14 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.60.289 0x0804119f Data 12 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.56.281 0x080411ab Data 15 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.16.301 0x080411ba Data 7 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.57.282 0x080411c1 Data 13 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.76 0x080411ce Data 18 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.7.332 0x080411e0 Data 19 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.2.268 0x080411f3 Data 20 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.1.269 0x08041202 Data 5 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.10.624 0x08041207 Data 25 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.5.619 0x08041220 Data 22 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.66.295 0x08041236 Data 14 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.77 0x08041244 Data 19 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.29.314 0x08041257 Data 11 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.32.317 0x08041262 Data 12 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.35.320 0x0804126e Data 11 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str 0x08041279 Data 20 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.22.307 0x0804128d Data 12 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.4.329 0x08041299 Data 15 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.5 0x080412a8 Data 6 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.19 0x080412ae Data 4 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.11 0x080412b2 Data 4 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.9 0x080412b6 Data 4 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.7 0x080412ba Data 4 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.1 0x080412be Data 5 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.5.72 0x080412bf Data 4 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.3 0x080412c3 Data 4 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.17 0x080412c7 Data 4 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.15 0x080412cb Data 4 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.21 0x080412cf Data 3 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.13 0x080412d2 Data 4 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.59.288 0x080412d6 Data 9 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.13.338 0x080412df Data 9 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.19.304 0x080412e8 Data 9 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.58.283 0x080412f1 Data 12 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.69 0x080412fd Data 13 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.1.326 0x0804130a Data 9 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.5.330 0x08041313 Data 10 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.42.298 0x0804131d Data 13 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.2.327 0x0804132a Data 11 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.39.324 0x08041335 Data 7 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.45.300 0x0804133c Data 16 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.68 0x0804134c Data 11 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.325 0x08041357 Data 9 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.43 0x08041360 Data 9 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.61.290 0x08041369 Data 10 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.1.588 0x08041373 Data 23 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.65.294 0x0804138a Data 15 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.55.280 0x08041399 Data 9 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.54.279 0x080413a2 Data 17 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.53.278 0x080413b3 Data 16 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.51.276 0x080413c3 Data 15 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.52.277 0x080413d2 Data 12 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.50.275 0x080413de Data 13 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.22.251 0x080413eb Data 29 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.32.244 0x08041408 Data 43 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.23.308 0x08041433 Data 13 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.25.310 0x08041440 Data 12 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.24.309 0x0804144c Data 13 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.27.312 0x08041459 Data 12 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.28.313 0x08041465 Data 12 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.26.311 0x08041471 Data 12 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.15.243 0x0804147d Data 33 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.16.246 0x0804149e Data 37 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.81 0x080414c3 Data 10 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.78 0x080414cd Data 10 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.80 0x080414d7 Data 17 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.79 0x080414da Data 14 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.41.297 0x080414e8 Data 19 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.18.632 0x080414fb Data 26 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.11.625 0x08041515 Data 31 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.15.629 0x08041534 Data 32 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.16.630 0x08041554 Data 26 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.19.633 0x0804156e Data 32 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.14.628 0x0804158e Data 15 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.6.620 0x0804159d Data 14 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.13.627 0x080415ab Data 14 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.12.626 0x080415b9 Data 21 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.17.631 0x080415ce Data 19 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.3.617 0x080415e1 Data 26 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.4.618 0x080415fb Data 21 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.9.623 0x08041610 Data 19 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.73 0x08041623 Data 16 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.72 0x08041633 Data 15 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.40 0x08041642 Data 15 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.16.473 0x08041651 Data 3 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.30.315 0x08041654 Data 11 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.33.318 0x0804165f Data 10 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.67 0x08041669 Data 11 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.15.340 0x08041674 Data 24 lto-llvm-ea22a7.o(.rodata.str1.1) - .L.str.13.651 0x0804168c Data 14 lto-llvm-ea22a7.o(.rodata.str1.4) - [Anonymous Symbol] 0x0804168c Section 0 lto-llvm-ea22a7.o(.rodata.str1.4) - sysmenu_adc_handlers 0x0804169c Data 32 lto-llvm-ea22a7.o(.rodata.sysmenu_adc_handlers) - [Anonymous Symbol] 0x0804169c Section 0 lto-llvm-ea22a7.o(.rodata.sysmenu_adc_handlers) - sysmenu_adc_item_count 0x080416bc Data 1 lto-llvm-ea22a7.o(.rodata.sysmenu_adc_item_count) - [Anonymous Symbol] 0x080416bc Section 0 lto-llvm-ea22a7.o(.rodata.sysmenu_adc_item_count) - sysmenu_audio_handlers 0x080416c0 Data 384 lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) - [Anonymous Symbol] 0x080416c0 Section 0 lto-llvm-ea22a7.o(.rodata.sysmenu_audio_handlers) - sysmenu_audio_item_count 0x08041840 Data 1 lto-llvm-ea22a7.o(.rodata.sysmenu_audio_item_count) - [Anonymous Symbol] 0x08041840 Section 0 lto-llvm-ea22a7.o(.rodata.sysmenu_audio_item_count) - sysmenu_calibration_handlers 0x08041844 Data 272 lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) - [Anonymous Symbol] 0x08041844 Section 0 lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_handlers) - sysmenu_calibration_item_count 0x08041954 Data 1 lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_item_count) - [Anonymous Symbol] 0x08041954 Section 0 lto-llvm-ea22a7.o(.rodata.sysmenu_calibration_item_count) - sysmenu_cw_handlers 0x08041958 Data 96 lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) - [Anonymous Symbol] 0x08041958 Section 0 lto-llvm-ea22a7.o(.rodata.sysmenu_cw_handlers) - sysmenu_cw_item_count 0x080419b8 Data 1 lto-llvm-ea22a7.o(.rodata.sysmenu_cw_item_count) - [Anonymous Symbol] 0x080419b8 Section 0 lto-llvm-ea22a7.o(.rodata.sysmenu_cw_item_count) - sysmenu_handlers 0x080419bc Data 144 lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) - [Anonymous Symbol] 0x080419bc Section 0 lto-llvm-ea22a7.o(.rodata.sysmenu_handlers) - sysmenu_item_count 0x08041a4c Data 1 lto-llvm-ea22a7.o(.rodata.sysmenu_item_count) - [Anonymous Symbol] 0x08041a4c Section 0 lto-llvm-ea22a7.o(.rodata.sysmenu_item_count) - sysmenu_screen_handlers 0x08041a50 Data 144 lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) - [Anonymous Symbol] 0x08041a50 Section 0 lto-llvm-ea22a7.o(.rodata.sysmenu_screen_handlers) - sysmenu_screen_item_count 0x08041ae0 Data 1 lto-llvm-ea22a7.o(.rodata.sysmenu_screen_item_count) - [Anonymous Symbol] 0x08041ae0 Section 0 lto-llvm-ea22a7.o(.rodata.sysmenu_screen_item_count) - sysmenu_services_handlers 0x08041ae4 Data 32 lto-llvm-ea22a7.o(.rodata.sysmenu_services_handlers) - [Anonymous Symbol] 0x08041ae4 Section 0 lto-llvm-ea22a7.o(.rodata.sysmenu_services_handlers) - sysmenu_services_item_count 0x08041b04 Data 1 lto-llvm-ea22a7.o(.rodata.sysmenu_services_item_count) - [Anonymous Symbol] 0x08041b04 Section 0 lto-llvm-ea22a7.o(.rodata.sysmenu_services_item_count) - sysmenu_trx_handlers 0x08041b08 Data 256 lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) - [Anonymous Symbol] 0x08041b08 Section 0 lto-llvm-ea22a7.o(.rodata.sysmenu_trx_handlers) - sysmenu_trx_item_count 0x08041c08 Data 1 lto-llvm-ea22a7.o(.rodata.sysmenu_trx_item_count) - [Anonymous Symbol] 0x08041c08 Section 0 lto-llvm-ea22a7.o(.rodata.sysmenu_trx_item_count) - twiddleCoef_512 0x08041c0c Data 4096 lto-llvm-ea22a7.o(.rodata.twiddleCoef_512) - [Anonymous Symbol] 0x08041c0c Section 0 lto-llvm-ea22a7.o(.rodata.twiddleCoef_512) - locale$$data 0x08042c4c Section 28 lc_numeric_c.o(locale$$data) - __lcnum_c_name 0x08042c50 Data 2 lc_numeric_c.o(locale$$data) - __lcnum_c_start 0x08042c58 Data 0 lc_numeric_c.o(locale$$data) - __lcnum_c_point 0x08042c64 Data 0 lc_numeric_c.o(locale$$data) - __lcnum_c_thousands 0x08042c66 Data 0 lc_numeric_c.o(locale$$data) - __lcnum_c_grouping 0x08042c67 Data 0 lc_numeric_c.o(locale$$data) - __lcnum_c_end 0x08042c68 Data 0 lc_numeric_c.o(locale$$data) - locale$$data 0x08042c68 Section 272 lc_ctype_c.o(locale$$data) - __lcctype_c_name 0x08042c6c Data 2 lc_ctype_c.o(locale$$data) - __lcctype_c_start 0x08042c74 Data 0 lc_ctype_c.o(locale$$data) - __lcctype_c_end 0x08042d78 Data 0 lc_ctype_c.o(locale$$data) - AGC_RX_ringbuffer 0x10000000 Data 2304 lto-llvm-ea22a7.o(.IRAM2) - [Anonymous Symbol] 0x10000000 Section 0 lto-llvm-ea22a7.o(.IRAM2) - .compoundliteral.1 0x10000900 Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.1) - [Anonymous Symbol] 0x10000900 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.1) - .compoundliteral.10 0x10000a2c Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.10) - [Anonymous Symbol] 0x10000a2c Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.10) - .compoundliteral.13 0x10000b58 Data 60 lto-llvm-ea22a7.o(.data..compoundliteral.13) - [Anonymous Symbol] 0x10000b58 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.13) - .compoundliteral.14 0x10000b94 Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.14) - [Anonymous Symbol] 0x10000b94 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.14) - .compoundliteral.17 0x10000cc0 Data 60 lto-llvm-ea22a7.o(.data..compoundliteral.17) - [Anonymous Symbol] 0x10000cc0 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.17) - .compoundliteral.18 0x10000cfc Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.18) - [Anonymous Symbol] 0x10000cfc Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.18) - .compoundliteral.21 0x10000e28 Data 60 lto-llvm-ea22a7.o(.data..compoundliteral.21) - [Anonymous Symbol] 0x10000e28 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.21) - .compoundliteral.22 0x10000e64 Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.22) - [Anonymous Symbol] 0x10000e64 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.22) - .compoundliteral.25 0x10000f90 Data 60 lto-llvm-ea22a7.o(.data..compoundliteral.25) - [Anonymous Symbol] 0x10000f90 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.25) - .compoundliteral.26 0x10000fcc Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.26) - [Anonymous Symbol] 0x10000fcc Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.26) - .compoundliteral.29 0x100010f8 Data 60 lto-llvm-ea22a7.o(.data..compoundliteral.29) - [Anonymous Symbol] 0x100010f8 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.29) - .compoundliteral.3 0x10001134 Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.3) - [Anonymous Symbol] 0x10001134 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.3) - .compoundliteral.30 0x10001260 Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.30) - [Anonymous Symbol] 0x10001260 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.30) - .compoundliteral.33 0x1000138c Data 60 lto-llvm-ea22a7.o(.data..compoundliteral.33) - [Anonymous Symbol] 0x1000138c Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.33) - .compoundliteral.34 0x100013c8 Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.34) - [Anonymous Symbol] 0x100013c8 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.34) - .compoundliteral.37 0x100014f4 Data 60 lto-llvm-ea22a7.o(.data..compoundliteral.37) - [Anonymous Symbol] 0x100014f4 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.37) - .compoundliteral.38 0x10001530 Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.38) - [Anonymous Symbol] 0x10001530 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.38) - .compoundliteral.41 0x1000165c Data 60 lto-llvm-ea22a7.o(.data..compoundliteral.41) - [Anonymous Symbol] 0x1000165c Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.41) - .compoundliteral.42 0x10001698 Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.42) - [Anonymous Symbol] 0x10001698 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.42) - .compoundliteral.45 0x100017c4 Data 60 lto-llvm-ea22a7.o(.data..compoundliteral.45) - [Anonymous Symbol] 0x100017c4 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.45) - .compoundliteral.46 0x10001800 Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.46) - [Anonymous Symbol] 0x10001800 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.46) - .compoundliteral.48 0x1000192c Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.48) - [Anonymous Symbol] 0x1000192c Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.48) - .compoundliteral.5 0x10001a58 Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.5) - [Anonymous Symbol] 0x10001a58 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.5) - .compoundliteral.50 0x10001b84 Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.50) - [Anonymous Symbol] 0x10001b84 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.50) - .compoundliteral.52 0x10001cb0 Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.52) - [Anonymous Symbol] 0x10001cb0 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.52) - .compoundliteral.54 0x10001ddc Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.54) - [Anonymous Symbol] 0x10001ddc Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.54) - .compoundliteral.56 0x10001f08 Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.56) - [Anonymous Symbol] 0x10001f08 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.56) - .compoundliteral.58 0x10002034 Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.58) - [Anonymous Symbol] 0x10002034 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.58) - .compoundliteral.60 0x10002160 Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.60) - [Anonymous Symbol] 0x10002160 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.60) - .compoundliteral.62 0x1000228c Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.62) - [Anonymous Symbol] 0x1000228c Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.62) - .compoundliteral.64 0x100023b8 Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.64) - [Anonymous Symbol] 0x100023b8 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.64) - .compoundliteral.66 0x100024e4 Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.66) - [Anonymous Symbol] 0x100024e4 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.66) - .compoundliteral.68 0x10002610 Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.68) - [Anonymous Symbol] 0x10002610 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.68) - .compoundliteral.7 0x1000273c Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.7) - [Anonymous Symbol] 0x1000273c Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.7) - ALC_need_gain 0x10002868 Data 4 lto-llvm-ea22a7.o(.data.ALC_need_gain) - [Anonymous Symbol] 0x10002868 Section 0 lto-llvm-ea22a7.o(.data.ALC_need_gain) - EQ_MIC_MID_FILTER 0x1000286c Data 12 lto-llvm-ea22a7.o(.data.EQ_MIC_MID_FILTER) - [Anonymous Symbol] 0x1000286c Section 0 lto-llvm-ea22a7.o(.data.EQ_MIC_MID_FILTER) - EQ_RX_HIG_FILTER 0x10002878 Data 12 lto-llvm-ea22a7.o(.data.EQ_RX_HIG_FILTER) - [Anonymous Symbol] 0x10002878 Section 0 lto-llvm-ea22a7.o(.data.EQ_RX_HIG_FILTER) - EQ_RX_LOW_FILTER 0x10002884 Data 12 lto-llvm-ea22a7.o(.data.EQ_RX_LOW_FILTER) - [Anonymous Symbol] 0x10002884 Section 0 lto-llvm-ea22a7.o(.data.EQ_RX_LOW_FILTER) - FRONTPANEL_check_ENC2SW.ENC2SW_Last 0x10002890 Data 1 lto-llvm-ea22a7.o(.data.FRONTPANEL_check_ENC2SW.ENC2SW_Last) - [Anonymous Symbol] 0x10002890 Section 0 lto-llvm-ea22a7.o(.data.FRONTPANEL_check_ENC2SW.ENC2SW_Last) - I2C_WM8731 0x10002894 Data 120 lto-llvm-ea22a7.o(.data.I2C_WM8731) - [Anonymous Symbol] 0x10002894 Section 0 lto-llvm-ea22a7.o(.data.I2C_WM8731) - IIR_biquad_Zoom_FFT_Q 0x1000290c Data 12 lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_Q) - [Anonymous Symbol] 0x1000290c Section 0 lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_Q) - LCD_displayStatusInfoBar.TRX_RX_dBm_averaging 0x10002918 Data 4 lto-llvm-ea22a7.o(.data.LCD_displayStatusInfoBar.TRX_RX_dBm_averaging) - [Anonymous Symbol] 0x10002918 Section 0 lto-llvm-ea22a7.o(.data.LCD_displayStatusInfoBar.TRX_RX_dBm_averaging) - LCD_last_s_meter 0x1000291c Data 4 lto-llvm-ea22a7.o(.data.LCD_last_s_meter) - [Anonymous Symbol] 0x1000291c Section 0 lto-llvm-ea22a7.o(.data.LCD_last_s_meter) - LCD_last_showed_freq_hz 0x10002920 Data 2 lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) - [Anonymous Symbol] 0x10002920 Section 0 lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_hz) - LCD_last_showed_freq_mhz 0x10002922 Data 2 lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) - [Anonymous Symbol] 0x10002922 Section 0 lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_mhz) - Last_showed_Hours 0x10002924 Data 1 lto-llvm-ea22a7.o(.data.Last_showed_Hours) - [Anonymous Symbol] 0x10002924 Section 0 lto-llvm-ea22a7.o(.data.Last_showed_Hours) - Last_showed_Seconds 0x10002925 Data 1 lto-llvm-ea22a7.o(.data.Last_showed_Seconds) - [Anonymous Symbol] 0x10002925 Section 0 lto-llvm-ea22a7.o(.data.Last_showed_Seconds) - Power_Up 0x10002926 Data 1 lto-llvm-ea22a7.o(.data.Power_Up) - [Anonymous Symbol] 0x10002926 Section 0 lto-llvm-ea22a7.o(.data.Power_Up) - Read_Data 0x10002927 Data 1 lto-llvm-ea22a7.o(.data.Read_Data) - [Anonymous Symbol] 0x10002927 Section 0 lto-llvm-ea22a7.o(.data.Read_Data) - Sector_Erase 0x10002928 Data 1 lto-llvm-ea22a7.o(.data.Sector_Erase) - [Anonymous Symbol] 0x10002928 Section 0 lto-llvm-ea22a7.o(.data.Sector_Erase) - TRX_MAX_TX_Amplitude 0x1000292c Data 4 lto-llvm-ea22a7.o(.data.TRX_MAX_TX_Amplitude) - [Anonymous Symbol] 0x1000292c Section 0 lto-llvm-ea22a7.o(.data.TRX_MAX_TX_Amplitude) - TRX_RX_dBm 0x10002930 Data 4 lto-llvm-ea22a7.o(.data.TRX_RX_dBm) - [Anonymous Symbol] 0x10002930 Section 0 lto-llvm-ea22a7.o(.data.TRX_RX_dBm) - USBD_AUDIO_fops_FS 0x10002934 Data 8 lto-llvm-ea22a7.o(.data.USBD_AUDIO_fops_FS) - [Anonymous Symbol] 0x10002934 Section 0 lto-llvm-ea22a7.o(.data.USBD_AUDIO_fops_FS) - USBD_DEBUG_fops_FS 0x1000293c Data 16 lto-llvm-ea22a7.o(.data.USBD_DEBUG_fops_FS) - [Anonymous Symbol] 0x1000293c Section 0 lto-llvm-ea22a7.o(.data.USBD_DEBUG_fops_FS) - USBD_FS_DeviceDesc 0x1000294c Data 18 lto-llvm-ea22a7.o(.data.USBD_FS_DeviceDesc) - [Anonymous Symbol] 0x1000294c Section 0 lto-llvm-ea22a7.o(.data.USBD_FS_DeviceDesc) - USBD_LangIDDesc 0x10002960 Data 4 lto-llvm-ea22a7.o(.data.USBD_LangIDDesc) - [Anonymous Symbol] 0x10002960 Section 0 lto-llvm-ea22a7.o(.data.USBD_LangIDDesc) - lineCoding 0x10002964 Data 7 lto-llvm-ea22a7.o(.data.lineCoding) - [Anonymous Symbol] 0x10002964 Section 0 lto-llvm-ea22a7.o(.data.lineCoding) - sysmenu_item_count_selected 0x1000296c Data 4 lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) - [Anonymous Symbol] 0x1000296c Section 0 lto-llvm-ea22a7.o(.data.sysmenu_item_count_selected) - .compoundliteral.4.103 0x10002970 Data 40 lto-llvm-ea22a7.o(.bss..compoundliteral.4.103) - [Anonymous Symbol] 0x10002970 Section 0 lto-llvm-ea22a7.o(.bss..compoundliteral.4.103) - .compoundliteral.5.104 0x10002998 Data 100 lto-llvm-ea22a7.o(.bss..compoundliteral.5.104) - [Anonymous Symbol] 0x10002998 Section 0 lto-llvm-ea22a7.o(.bss..compoundliteral.5.104) - .compoundliteral.6.101 0x100029fc Data 40 lto-llvm-ea22a7.o(.bss..compoundliteral.6.101) - [Anonymous Symbol] 0x100029fc Section 0 lto-llvm-ea22a7.o(.bss..compoundliteral.6.101) - AGC_RX_need_gain_db_old 0x10002a24 Data 4 lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db_old) - [Anonymous Symbol] 0x10002a24 Section 0 lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db_old) - AUDIOPROC_samples 0x10002a28 Data 4 lto-llvm-ea22a7.o(.bss.AUDIOPROC_samples) - [Anonymous Symbol] 0x10002a28 Section 0 lto-llvm-ea22a7.o(.bss.AUDIOPROC_samples) - CALIBRATE 0x10002a2c Data 32 lto-llvm-ea22a7.o(.bss.CALIBRATE) - [Anonymous Symbol] 0x10002a2c Section 0 lto-llvm-ea22a7.o(.bss.CALIBRATE) - CAT_UserRxBufferFS 0x10002a4c Data 32 lto-llvm-ea22a7.o(.bss.CAT_UserRxBufferFS) - [Anonymous Symbol] 0x10002a4c Section 0 lto-llvm-ea22a7.o(.bss.CAT_UserRxBufferFS) - CPULOAD_SleepCounter 0x10002a6c Data 4 lto-llvm-ea22a7.o(.bss.CPULOAD_SleepCounter) - [Anonymous Symbol] 0x10002a6c Section 0 lto-llvm-ea22a7.o(.bss.CPULOAD_SleepCounter) - CPULOAD_SleepingTime 0x10002a70 Data 4 lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) - [Anonymous Symbol] 0x10002a70 Section 0 lto-llvm-ea22a7.o(.bss.CPULOAD_SleepingTime) - CPULOAD_startWorkTime 0x10002a74 Data 4 lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) - [Anonymous Symbol] 0x10002a74 Section 0 lto-llvm-ea22a7.o(.bss.CPULOAD_startWorkTime) - DC_Filter_State.0.1 0x10002a78 Data 4 lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.1) - [Anonymous Symbol] 0x10002a78 Section 0 lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.1) - DC_Filter_State.1.1 0x10002a7c Data 4 lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.1) - [Anonymous Symbol] 0x10002a7c Section 0 lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.1) - DC_Filter_State.2.1 0x10002a80 Data 4 lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.1) - [Anonymous Symbol] 0x10002a80 Section 0 lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.1) - DC_Filter_State.3.1 0x10002a84 Data 4 lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.1) - [Anonymous Symbol] 0x10002a84 Section 0 lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.1) - DC_Filter_State.4.1 0x10002a88 Data 4 lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.1) - [Anonymous Symbol] 0x10002a88 Section 0 lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.1) - DC_Filter_State.5.1 0x10002a8c Data 4 lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.1) - [Anonymous Symbol] 0x10002a8c Section 0 lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.1) - DEBUG_UserTxBufferFS 0x10002a90 Data 64 lto-llvm-ea22a7.o(.bss.DEBUG_UserTxBufferFS) - [Anonymous Symbol] 0x10002a90 Section 0 lto-llvm-ea22a7.o(.bss.DEBUG_UserTxBufferFS) - DECIMATE_ZOOM_FFT_Q 0x10002ad0 Data 12 lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_Q) - [Anonymous Symbol] 0x10002ad0 Section 0 lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_Q) - DFM_RX_Squelched 0x10002adc Data 1 lto-llvm-ea22a7.o(.bss.DFM_RX_Squelched) - [Anonymous Symbol] 0x10002adc Section 0 lto-llvm-ea22a7.o(.bss.DFM_RX_Squelched) - DFM_RX_fm_sql_avg 0x10002ae0 Data 4 lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_avg) - [Anonymous Symbol] 0x10002ae0 Section 0 lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_avg) - DFM_RX_hpf_prev_a 0x10002ae4 Data 4 lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_a) - [Anonymous Symbol] 0x10002ae4 Section 0 lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_a) - DFM_RX_i_prev 0x10002ae8 Data 4 lto-llvm-ea22a7.o(.bss.DFM_RX_i_prev) - [Anonymous Symbol] 0x10002ae8 Section 0 lto-llvm-ea22a7.o(.bss.DFM_RX_i_prev) - DFM_RX_lpf_prev 0x10002aec Data 4 lto-llvm-ea22a7.o(.bss.DFM_RX_lpf_prev) - [Anonymous Symbol] 0x10002aec Section 0 lto-llvm-ea22a7.o(.bss.DFM_RX_lpf_prev) - DemodulateFM.squelch_buf 0x10002af0 Data 768 lto-llvm-ea22a7.o(.bss.DemodulateFM.squelch_buf) - [Anonymous Symbol] 0x10002af0 Section 0 lto-llvm-ea22a7.o(.bss.DemodulateFM.squelch_buf) - ENCODER2_AValDeb 0x10002df0 Data 4 lto-llvm-ea22a7.o(.bss.ENCODER2_AValDeb) - [Anonymous Symbol] 0x10002df0 Section 0 lto-llvm-ea22a7.o(.bss.ENCODER2_AValDeb) - ENCODER_AValDeb 0x10002df4 Data 4 lto-llvm-ea22a7.o(.bss.ENCODER_AValDeb) - [Anonymous Symbol] 0x10002df4 Section 0 lto-llvm-ea22a7.o(.bss.ENCODER_AValDeb) - EQ_MIC_MID_FILTER_Coeffs 0x10002df8 Data 20 lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_Coeffs) - [Anonymous Symbol] 0x10002df8 Section 0 lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_Coeffs) - EQ_MIC_MID_FILTER_State 0x10002e0c Data 8 lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_State) - [Anonymous Symbol] 0x10002e0c Section 0 lto-llvm-ea22a7.o(.bss.EQ_MIC_MID_FILTER_State) - EQ_RX_HIG_FILTER_Coeffs 0x10002e14 Data 20 lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_Coeffs) - [Anonymous Symbol] 0x10002e14 Section 0 lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_Coeffs) - EQ_RX_HIG_FILTER_State 0x10002e28 Data 8 lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_State) - [Anonymous Symbol] 0x10002e28 Section 0 lto-llvm-ea22a7.o(.bss.EQ_RX_HIG_FILTER_State) - EQ_RX_LOW_FILTER_Coeffs 0x10002e30 Data 20 lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_Coeffs) - [Anonymous Symbol] 0x10002e30 Section 0 lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_Coeffs) - FFTInput 0x10002e44 Data 4096 lto-llvm-ea22a7.o(.bss.FFTInput) - [Anonymous Symbol] 0x10002e44 Section 0 lto-llvm-ea22a7.o(.bss.FFTInput) - FFTInputCharge 0x10003e44 Data 4096 lto-llvm-ea22a7.o(.bss.FFTInputCharge) - [Anonymous Symbol] 0x10003e44 Section 0 lto-llvm-ea22a7.o(.bss.FFTInputCharge) - FFTInput_I_A 0x10004e44 Data 2048 lto-llvm-ea22a7.o(.bss.FFTInput_I_A) - [Anonymous Symbol] 0x10004e44 Section 0 lto-llvm-ea22a7.o(.bss.FFTInput_I_A) - FFTInput_Q_A 0x10005644 Data 2048 lto-llvm-ea22a7.o(.bss.FFTInput_Q_A) - [Anonymous Symbol] 0x10005644 Section 0 lto-llvm-ea22a7.o(.bss.FFTInput_Q_A) - FFTInput_Q_B 0x10005e44 Data 2048 lto-llvm-ea22a7.o(.bss.FFTInput_Q_B) - [Anonymous Symbol] 0x10005e44 Section 0 lto-llvm-ea22a7.o(.bss.FFTInput_Q_B) - FFTInput_tmp 0x10006644 Data 1920 lto-llvm-ea22a7.o(.bss.FFTInput_tmp) - [Anonymous Symbol] 0x10006644 Section 0 lto-llvm-ea22a7.o(.bss.FFTInput_tmp) - FFT_FPS 0x10006dc4 Data 2 lto-llvm-ea22a7.o(.bss.FFT_FPS) - [Anonymous Symbol] 0x10006dc4 Section 0 lto-llvm-ea22a7.o(.bss.FFT_FPS) - FFT_buff_index 0x10006dc8 Data 4 lto-llvm-ea22a7.o(.bss.FFT_buff_index) - [Anonymous Symbol] 0x10006dc8 Section 0 lto-llvm-ea22a7.o(.bss.FFT_buff_index) - FFT_new_buffer_ready 0x10006dcc Data 1 lto-llvm-ea22a7.o(.bss.FFT_new_buffer_ready) - [Anonymous Symbol] 0x10006dcc Section 0 lto-llvm-ea22a7.o(.bss.FFT_new_buffer_ready) - FFT_sortInstance.0 0x10006dcd Data 1 lto-llvm-ea22a7.o(.bss.FFT_sortInstance.0) - [Anonymous Symbol] 0x10006dcd Section 0 lto-llvm-ea22a7.o(.bss.FFT_sortInstance.0) - FIR_RX_Hilbert_I 0x10006dd0 Data 12 lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_I) - [Anonymous Symbol] 0x10006dd0 Section 0 lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_I) - FIR_TX_Hilbert_I 0x10006ddc Data 12 lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_I) - [Anonymous Symbol] 0x10006ddc Section 0 lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_I) - FPGA_Audio_RXBuffer_Index 0x10006de8 Data 4 lto-llvm-ea22a7.o(.bss.FPGA_Audio_RXBuffer_Index) - [Anonymous Symbol] 0x10006de8 Section 0 lto-llvm-ea22a7.o(.bss.FPGA_Audio_RXBuffer_Index) - FPGA_Buffer_underrun 0x10006dec Data 1 lto-llvm-ea22a7.o(.bss.FPGA_Buffer_underrun) - [Anonymous Symbol] 0x10006dec Section 0 lto-llvm-ea22a7.o(.bss.FPGA_Buffer_underrun) - FPGA_GPIO_InitStruct 0x10006df0 Data 20 lto-llvm-ea22a7.o(.bss.FPGA_GPIO_InitStruct) - [Anonymous Symbol] 0x10006df0 Section 0 lto-llvm-ea22a7.o(.bss.FPGA_GPIO_InitStruct) - FPGA_NeedGetParams 0x10006e04 Data 1 lto-llvm-ea22a7.o(.bss.FPGA_NeedGetParams) - [Anonymous Symbol] 0x10006e04 Section 0 lto-llvm-ea22a7.o(.bss.FPGA_NeedGetParams) - FPGA_bus_stop 0x10006e05 Data 1 lto-llvm-ea22a7.o(.bss.FPGA_bus_stop) - [Anonymous Symbol] 0x10006e05 Section 0 lto-llvm-ea22a7.o(.bss.FPGA_bus_stop) - FRONTPANEL_ENCODER_checkRotate.ENCfirst 0x10006e06 Data 1 lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCfirst) - [Anonymous Symbol] 0x10006e06 Section 0 lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCfirst) - FRONTPANEL_ENCODER_checkRotate.ENCstartMeasureTime 0x10006e08 Data 4 lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCstartMeasureTime) - [Anonymous Symbol] 0x10006e08 Section 0 lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCstartMeasureTime) - Fir_RX_Hilbert_State_Q 0x10006e0c Data 1024 lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_Q) - [Anonymous Symbol] 0x10006e0c Section 0 lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_Q) - Fir_Tx_Hilbert_State_Q 0x1000720c Data 1024 lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_Q) - [Anonymous Symbol] 0x1000720c Section 0 lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_Q) - Hours 0x1000760c Data 1 lto-llvm-ea22a7.o(.bss.Hours) - [Anonymous Symbol] 0x1000760c Section 0 lto-llvm-ea22a7.o(.bss.Hours) - IIR_RX_GAUSS_State 0x10007610 Data 120 lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS_State) - [Anonymous Symbol] 0x10007610 Section 0 lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS_State) - IIR_RX_HPF_I 0x10007688 Data 12 lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I) - [Anonymous Symbol] 0x10007688 Section 0 lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I) - IIR_RX_LPF_I 0x10007694 Data 12 lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I) - [Anonymous Symbol] 0x10007694 Section 0 lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I) - IIR_RX_LPF_Q_State 0x100076a0 Data 120 lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q_State) - [Anonymous Symbol] 0x100076a0 Section 0 lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q_State) - IIR_RX_Squelch_HPF 0x10007718 Data 12 lto-llvm-ea22a7.o(.bss.IIR_RX_Squelch_HPF) - [Anonymous Symbol] 0x10007718 Section 0 lto-llvm-ea22a7.o(.bss.IIR_RX_Squelch_HPF) - IIR_TX_HPF_I_State 0x10007724 Data 120 lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I_State) - [Anonymous Symbol] 0x10007724 Section 0 lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I_State) - IIR_TX_LPF_I 0x1000779c Data 12 lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I) - [Anonymous Symbol] 0x1000779c Section 0 lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I) - InitSettings.already_inited 0x100077a8 Data 1 lto-llvm-ea22a7.o(.bss.InitSettings.already_inited) - [Anonymous Symbol] 0x100077a8 Section 0 lto-llvm-ea22a7.o(.bss.InitSettings.already_inited) - KEYER_symbol_start_time 0x100077ac Data 4 lto-llvm-ea22a7.o(.bss.KEYER_symbol_start_time) - [Anonymous Symbol] 0x100077ac Section 0 lto-llvm-ea22a7.o(.bss.KEYER_symbol_start_time) - KEYER_symbol_status 0x100077b0 Data 4 lto-llvm-ea22a7.o(.bss.KEYER_symbol_status) - [Anonymous Symbol] 0x100077b0 Section 0 lto-llvm-ea22a7.o(.bss.KEYER_symbol_status) - LCD_busy 0x100077b4 Data 1 lto-llvm-ea22a7.o(.bss.LCD_busy) - [Anonymous Symbol] 0x100077b4 Section 0 lto-llvm-ea22a7.o(.bss.LCD_busy) - LCD_freq_string_hz 0x100077b5 Data 6 lto-llvm-ea22a7.o(.bss.LCD_freq_string_hz) - [Anonymous Symbol] 0x100077b5 Section 0 lto-llvm-ea22a7.o(.bss.LCD_freq_string_hz) - LCD_freq_string_mhz 0x100077bb Data 6 lto-llvm-ea22a7.o(.bss.LCD_freq_string_mhz) - [Anonymous Symbol] 0x100077bb Section 0 lto-llvm-ea22a7.o(.bss.LCD_freq_string_mhz) - LCD_systemMenuOpened 0x100077c1 Data 1 lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) - [Anonymous Symbol] 0x100077c1 Section 0 lto-llvm-ea22a7.o(.bss.LCD_systemMenuOpened) - MemManage_Handler.i 0x100077c4 Data 4 lto-llvm-ea22a7.o(.bss.MemManage_Handler.i) - [Anonymous Symbol] 0x100077c4 Section 0 lto-llvm-ea22a7.o(.bss.MemManage_Handler.i) - ModulateFM.fm_mod_accum 0x100077c8 Data 4 lto-llvm-ea22a7.o(.bss.ModulateFM.fm_mod_accum) - [Anonymous Symbol] 0x100077c8 Section 0 lto-llvm-ea22a7.o(.bss.ModulateFM.fm_mod_accum) - ModulateFM.hpf_prev_b 0x100077cc Data 4 lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_b) - [Anonymous Symbol] 0x100077cc Section 0 lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_b) - NeedSaveCalibration 0x100077d0 Data 1 lto-llvm-ea22a7.o(.bss.NeedSaveCalibration) - [Anonymous Symbol] 0x100077d0 Section 0 lto-llvm-ea22a7.o(.bss.NeedSaveCalibration) - Processor_NeedRXBuffer 0x100077d1 Data 1 lto-llvm-ea22a7.o(.bss.Processor_NeedRXBuffer) - [Anonymous Symbol] 0x100077d1 Section 0 lto-llvm-ea22a7.o(.bss.Processor_NeedRXBuffer) - Processor_RX_Power_value 0x100077d4 Data 4 lto-llvm-ea22a7.o(.bss.Processor_RX_Power_value) - [Anonymous Symbol] 0x100077d4 Section 0 lto-llvm-ea22a7.o(.bss.Processor_RX_Power_value) - Processor_selected_RFpower_amplitude 0x100077d8 Data 4 lto-llvm-ea22a7.o(.bss.Processor_selected_RFpower_amplitude) - [Anonymous Symbol] 0x100077d8 Section 0 lto-llvm-ea22a7.o(.bss.Processor_selected_RFpower_amplitude) - RF_UNIT_ProcessSensors.TRX_VLT_backward 0x100077dc Data 4 lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_backward) - [Anonymous Symbol] 0x100077dc Section 0 lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_backward) - RX_USB_AUDIO_underrun 0x100077e0 Data 1 lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_underrun) - [Anonymous Symbol] 0x100077e0 Section 0 lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_underrun) - SPI_busy 0x100077e1 Data 1 lto-llvm-ea22a7.o(.bss.SPI_busy) - [Anonymous Symbol] 0x100077e1 Section 0 lto-llvm-ea22a7.o(.bss.SPI_busy) - SPI_process 0x100077e2 Data 1 lto-llvm-ea22a7.o(.bss.SPI_process) - [Anonymous Symbol] 0x100077e2 Section 0 lto-llvm-ea22a7.o(.bss.SPI_process) - SYSMENU_HANDL_SETTIME.Hours 0x100077e3 Data 1 lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Hours) - [Anonymous Symbol] 0x100077e3 Section 0 lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Hours) - SYSMENU_HANDL_SETTIME.Seconds 0x100077e4 Data 1 lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Seconds) - [Anonymous Symbol] 0x100077e4 Section 0 lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Seconds) - Seconds 0x100077e5 Data 1 lto-llvm-ea22a7.o(.bss.Seconds) - [Anonymous Symbol] 0x100077e5 Section 0 lto-llvm-ea22a7.o(.bss.Seconds) - TIM3_IRQHandler.ENC2lastClkVal 0x100077e6 Data 1 lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2lastClkVal) - [Anonymous Symbol] 0x100077e6 Section 0 lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2lastClkVal) - TIM6_DAC_IRQHandler.HX8357B_BUG_redraw_counter 0x100077e7 Data 1 lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.HX8357B_BUG_redraw_counter) - [Anonymous Symbol] 0x100077e7 Section 0 lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.HX8357B_BUG_redraw_counter) - TIM6_DAC_IRQHandler.fpga_stuck_errors 0x100077e8 Data 2 lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.fpga_stuck_errors) - [Anonymous Symbol] 0x100077e8 Section 0 lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.fpga_stuck_errors) - TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_I 0x100077ec Data 4 lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_I) - [Anonymous Symbol] 0x100077ec Section 0 lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_I) - TRX 0x100077f0 Data 404 lto-llvm-ea22a7.o(.bss.TRX) - [Anonymous Symbol] 0x100077f0 Section 0 lto-llvm-ea22a7.o(.bss.TRX) - TRX_ADC_MINAMPLITUDE 0x10007984 Data 2 lto-llvm-ea22a7.o(.bss.TRX_ADC_MINAMPLITUDE) - [Anonymous Symbol] 0x10007984 Section 0 lto-llvm-ea22a7.o(.bss.TRX_ADC_MINAMPLITUDE) - TRX_ADC_OTR 0x10007986 Data 1 lto-llvm-ea22a7.o(.bss.TRX_ADC_OTR) - [Anonymous Symbol] 0x10007986 Section 0 lto-llvm-ea22a7.o(.bss.TRX_ADC_OTR) - TRX_ALC 0x10007988 Data 4 lto-llvm-ea22a7.o(.bss.TRX_ALC) - [Anonymous Symbol] 0x10007988 Section 0 lto-llvm-ea22a7.o(.bss.TRX_ALC) - TRX_AutoGain_Stage 0x1000798c Data 1 lto-llvm-ea22a7.o(.bss.TRX_AutoGain_Stage) - [Anonymous Symbol] 0x1000798c Section 0 lto-llvm-ea22a7.o(.bss.TRX_AutoGain_Stage) - TRX_DoAutoGain.skip_cycles 0x1000798d Data 1 lto-llvm-ea22a7.o(.bss.TRX_DoAutoGain.skip_cycles) - [Anonymous Symbol] 0x1000798d Section 0 lto-llvm-ea22a7.o(.bss.TRX_DoAutoGain.skip_cycles) - TRX_PWR_Backward 0x10007990 Data 4 lto-llvm-ea22a7.o(.bss.TRX_PWR_Backward) - [Anonymous Symbol] 0x10007990 Section 0 lto-llvm-ea22a7.o(.bss.TRX_PWR_Backward) - TRX_RX_IQ_swap 0x10007994 Data 1 lto-llvm-ea22a7.o(.bss.TRX_RX_IQ_swap) - [Anonymous Symbol] 0x10007994 Section 0 lto-llvm-ea22a7.o(.bss.TRX_RX_IQ_swap) - TRX_Temporary_Mute_StartTime 0x10007998 Data 4 lto-llvm-ea22a7.o(.bss.TRX_Temporary_Mute_StartTime) - [Anonymous Symbol] 0x10007998 Section 0 lto-llvm-ea22a7.o(.bss.TRX_Temporary_Mute_StartTime) - TRX_Temporary_Stop_BandMap 0x1000799c Data 1 lto-llvm-ea22a7.o(.bss.TRX_Temporary_Stop_BandMap) - [Anonymous Symbol] 0x1000799c Section 0 lto-llvm-ea22a7.o(.bss.TRX_Temporary_Stop_BandMap) - TRX_Tune 0x1000799d Data 1 lto-llvm-ea22a7.o(.bss.TRX_Tune) - [Anonymous Symbol] 0x1000799d Section 0 lto-llvm-ea22a7.o(.bss.TRX_Tune) - TRX_freq_phrase_tx 0x100079a0 Data 4 lto-llvm-ea22a7.o(.bss.TRX_freq_phrase_tx) - [Anonymous Symbol] 0x100079a0 Section 0 lto-llvm-ea22a7.o(.bss.TRX_freq_phrase_tx) - TRX_key_dot_hard 0x100079a4 Data 1 lto-llvm-ea22a7.o(.bss.TRX_key_dot_hard) - [Anonymous Symbol] 0x100079a4 Section 0 lto-llvm-ea22a7.o(.bss.TRX_key_dot_hard) - TRX_key_serial 0x100079a5 Data 1 lto-llvm-ea22a7.o(.bss.TRX_key_serial) - [Anonymous Symbol] 0x100079a5 Section 0 lto-llvm-ea22a7.o(.bss.TRX_key_serial) - TRX_ptt_soft 0x100079a6 Data 1 lto-llvm-ea22a7.o(.bss.TRX_ptt_soft) - [Anonymous Symbol] 0x100079a6 Section 0 lto-llvm-ea22a7.o(.bss.TRX_ptt_soft) - TX_USB_AUDIO_SAMPLES 0x100079a8 Data 4 lto-llvm-ea22a7.o(.bss.TX_USB_AUDIO_SAMPLES) - [Anonymous Symbol] 0x100079a8 Section 0 lto-llvm-ea22a7.o(.bss.TX_USB_AUDIO_SAMPLES) - Tooltip_DiplayStartTime 0x100079ac Data 4 lto-llvm-ea22a7.o(.bss.Tooltip_DiplayStartTime) - [Anonymous Symbol] 0x100079ac Section 0 lto-llvm-ea22a7.o(.bss.Tooltip_DiplayStartTime) - Tooltip_string 0x100079b0 Data 64 lto-llvm-ea22a7.o(.bss.Tooltip_string) - [Anonymous Symbol] 0x100079b0 Section 0 lto-llvm-ea22a7.o(.bss.Tooltip_string) - USBD_SetConfig.cfgidx 0x100079f0 Data 1 lto-llvm-ea22a7.o(.bss.USBD_SetConfig.cfgidx) - [Anonymous Symbol] 0x100079f0 Section 0 lto-llvm-ea22a7.o(.bss.USBD_SetConfig.cfgidx) - USB_AUDIO_need_rx_buffer 0x100079f1 Data 1 lto-llvm-ea22a7.o(.bss.USB_AUDIO_need_rx_buffer) - [Anonymous Symbol] 0x100079f1 Section 0 lto-llvm-ea22a7.o(.bss.USB_AUDIO_need_rx_buffer) - UsageFault_Handler.i 0x100079f4 Data 4 lto-llvm-ea22a7.o(.bss.UsageFault_Handler.i) - [Anonymous Symbol] 0x100079f4 Section 0 lto-llvm-ea22a7.o(.bss.UsageFault_Handler.i) - WM8731_Beeping 0x100079f8 Data 1 lto-llvm-ea22a7.o(.bss.WM8731_Beeping) - [Anonymous Symbol] 0x100079f8 Section 0 lto-llvm-ea22a7.o(.bss.WM8731_Beeping) - WM8731_Buffer_underrun 0x100079f9 Data 1 lto-llvm-ea22a7.o(.bss.WM8731_Buffer_underrun) - [Anonymous Symbol] 0x100079f9 Section 0 lto-llvm-ea22a7.o(.bss.WM8731_Buffer_underrun) - WM8731_DMA_samples 0x100079fc Data 4 lto-llvm-ea22a7.o(.bss.WM8731_DMA_samples) - [Anonymous Symbol] 0x100079fc Section 0 lto-llvm-ea22a7.o(.bss.WM8731_DMA_samples) - bandmap_line_tmp 0x10007a00 Data 960 lto-llvm-ea22a7.o(.bss.bandmap_line_tmp) - [Anonymous Symbol] 0x10007a00 Section 0 lto-llvm-ea22a7.o(.bss.bandmap_line_tmp) - bw_line_center 0x10007dc0 Data 2 lto-llvm-ea22a7.o(.bss.bw_line_center) - [Anonymous Symbol] 0x10007dc0 Section 0 lto-llvm-ea22a7.o(.bss.bw_line_center) - bw_line_start 0x10007dc2 Data 2 lto-llvm-ea22a7.o(.bss.bw_line_start) - [Anonymous Symbol] 0x10007dc2 Section 0 lto-llvm-ea22a7.o(.bss.bw_line_start) - cat_buffer 0x10007dc4 Data 64 lto-llvm-ea22a7.o(.bss.cat_buffer) - [Anonymous Symbol] 0x10007dc4 Section 0 lto-llvm-ea22a7.o(.bss.cat_buffer) - cat_buffer_head 0x10007e04 Data 1 lto-llvm-ea22a7.o(.bss.cat_buffer_head) - [Anonymous Symbol] 0x10007e04 Section 0 lto-llvm-ea22a7.o(.bss.cat_buffer_head) - currentFFTFreq 0x10007e08 Data 4 lto-llvm-ea22a7.o(.bss.currentFFTFreq) - [Anonymous Symbol] 0x10007e08 Section 0 lto-llvm-ea22a7.o(.bss.currentFFTFreq) - current_cw_power 0x10007e0c Data 4 lto-llvm-ea22a7.o(.bss.current_cw_power) - [Anonymous Symbol] 0x10007e0c Section 0 lto-llvm-ea22a7.o(.bss.current_cw_power) - data 0x10007e10 Data 1800 lto-llvm-ea22a7.o(.bss.data) - [Anonymous Symbol] 0x10007e10 Section 0 lto-llvm-ea22a7.o(.bss.data) - debug_tx_fifo 0x10008518 Data 256 lto-llvm-ea22a7.o(.bss.debug_tx_fifo) - [Anonymous Symbol] 0x10008518 Section 0 lto-llvm-ea22a7.o(.bss.debug_tx_fifo) - debug_tx_fifo_head 0x10008618 Data 2 lto-llvm-ea22a7.o(.bss.debug_tx_fifo_head) - [Anonymous Symbol] 0x10008618 Section 0 lto-llvm-ea22a7.o(.bss.debug_tx_fifo_head) - decimZoomFFTIState 0x1000861c Data 2060 lto-llvm-ea22a7.o(.bss.decimZoomFFTIState) - [Anonymous Symbol] 0x1000861c Section 0 lto-llvm-ea22a7.o(.bss.decimZoomFFTIState) - decimZoomFFTQState 0x10008e28 Data 2060 lto-llvm-ea22a7.o(.bss.decimZoomFFTQState) - [Anonymous Symbol] 0x10008e28 Section 0 lto-llvm-ea22a7.o(.bss.decimZoomFFTQState) - endFreq 0x10009634 Data 4 lto-llvm-ea22a7.o(.bss.endFreq) - [Anonymous Symbol] 0x10009634 Section 0 lto-llvm-ea22a7.o(.bss.endFreq) - graph_sweep_x 0x10009638 Data 2 lto-llvm-ea22a7.o(.bss.graph_sweep_x) - [Anonymous Symbol] 0x10009638 Section 0 lto-llvm-ea22a7.o(.bss.graph_sweep_x) - greetings_buff 0x1000963a Data 32 lto-llvm-ea22a7.o(.bss.greetings_buff) - [Anonymous Symbol] 0x1000963a Section 0 lto-llvm-ea22a7.o(.bss.greetings_buff) - hadc2 0x1000965c Data 72 lto-llvm-ea22a7.o(.bss.hadc2) - [Anonymous Symbol] 0x1000965c Section 0 lto-llvm-ea22a7.o(.bss.hadc2) - hdma_memtomem_dma2_stream0 0x100096a4 Data 96 lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream0) - [Anonymous Symbol] 0x100096a4 Section 0 lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream0) - hdma_memtomem_dma2_stream3 0x10009704 Data 96 lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream3) - [Anonymous Symbol] 0x10009704 Section 0 lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream3) - hdma_memtomem_dma2_stream4 0x10009764 Data 96 lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream4) - [Anonymous Symbol] 0x10009764 Section 0 lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream4) - hdma_memtomem_dma2_stream7 0x100097c4 Data 96 lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream7) - [Anonymous Symbol] 0x100097c4 Section 0 lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream7) - hdma_spi3_tx 0x10009824 Data 96 lto-llvm-ea22a7.o(.bss.hdma_spi3_tx) - [Anonymous Symbol] 0x10009824 Section 0 lto-llvm-ea22a7.o(.bss.hdma_spi3_tx) - hspi2 0x10009884 Data 88 lto-llvm-ea22a7.o(.bss.hspi2) - [Anonymous Symbol] 0x10009884 Section 0 lto-llvm-ea22a7.o(.bss.hspi2) - hsram1 0x100098dc Data 80 lto-llvm-ea22a7.o(.bss.hsram1) - [Anonymous Symbol] 0x100098dc Section 0 lto-llvm-ea22a7.o(.bss.hsram1) - htim4 0x1000992c Data 64 lto-llvm-ea22a7.o(.bss.htim4) - [Anonymous Symbol] 0x1000992c Section 0 lto-llvm-ea22a7.o(.bss.htim4) - htim6 0x1000996c Data 64 lto-llvm-ea22a7.o(.bss.htim6) - [Anonymous Symbol] 0x1000996c Section 0 lto-llvm-ea22a7.o(.bss.htim6) - htim8 0x100099ac Data 64 lto-llvm-ea22a7.o(.bss.htim8) - [Anonymous Symbol] 0x100099ac Section 0 lto-llvm-ea22a7.o(.bss.htim8) - lms2_Norm_instance.1 0x100099ec Data 4 lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.1) - [Anonymous Symbol] 0x100099ec Section 0 lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.1) - lms2_Norm_instance.3 0x100099f0 Data 4 lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.3) - [Anonymous Symbol] 0x100099f0 Section 0 lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.3) - lms2_Norm_instance.5 0x100099f4 Data 4 lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.5) - [Anonymous Symbol] 0x100099f4 Section 0 lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.5) - lms2_normCoeff_f32 0x100099f8 Data 128 lto-llvm-ea22a7.o(.bss.lms2_normCoeff_f32) - [Anonymous Symbol] 0x100099f8 Section 0 lto-llvm-ea22a7.o(.bss.lms2_normCoeff_f32) - lms2_reference 0x10009a78 Data 512 lto-llvm-ea22a7.o(.bss.lms2_reference) - [Anonymous Symbol] 0x10009a78 Section 0 lto-llvm-ea22a7.o(.bss.lms2_reference) - lms2_stateF32 0x10009c78 Data 380 lto-llvm-ea22a7.o(.bss.lms2_stateF32) - [Anonymous Symbol] 0x10009c78 Section 0 lto-llvm-ea22a7.o(.bss.lms2_stateF32) - maxValueFFT_rx 0x10009df4 Data 4 lto-llvm-ea22a7.o(.bss.maxValueFFT_rx) - [Anonymous Symbol] 0x10009df4 Section 0 lto-llvm-ea22a7.o(.bss.maxValueFFT_rx) - now_freq 0x10009df8 Data 4 lto-llvm-ea22a7.o(.bss.now_freq) - [Anonymous Symbol] 0x10009df8 Section 0 lto-llvm-ea22a7.o(.bss.now_freq) - palette_bg_gradient 0x10009dfc Data 102 lto-llvm-ea22a7.o(.bss.palette_bg_gradient) - [Anonymous Symbol] 0x10009dfc Section 0 lto-llvm-ea22a7.o(.bss.palette_bg_gradient) - palette_bw_bg_colors 0x10009e62 Data 102 lto-llvm-ea22a7.o(.bss.palette_bw_bg_colors) - [Anonymous Symbol] 0x10009e62 Section 0 lto-llvm-ea22a7.o(.bss.palette_bw_bg_colors) - print_fft_dma_estimated_size 0x10009ec8 Data 1 lto-llvm-ea22a7.o(.bss.print_fft_dma_estimated_size) - [Anonymous Symbol] 0x10009ec8 Section 0 lto-llvm-ea22a7.o(.bss.print_fft_dma_estimated_size) - print_wtf_yindex 0x10009eca Data 2 lto-llvm-ea22a7.o(.bss.print_wtf_yindex) - [Anonymous Symbol] 0x10009eca Section 0 lto-llvm-ea22a7.o(.bss.print_wtf_yindex) - processRxAudio.teta3 0x10009ecc Data 4 lto-llvm-ea22a7.o(.bss.processRxAudio.teta3) - [Anonymous Symbol] 0x10009ecc Section 0 lto-llvm-ea22a7.o(.bss.processRxAudio.teta3) - reference_index_new 0x10009ed0 Data 4 lto-llvm-ea22a7.o(.bss.reference_index_new) - [Anonymous Symbol] 0x10009ed0 Section 0 lto-llvm-ea22a7.o(.bss.reference_index_new) - rx_buffer_head 0x10009ed4 Data 2 lto-llvm-ea22a7.o(.bss.rx_buffer_head) - [Anonymous Symbol] 0x10009ed4 Section 0 lto-llvm-ea22a7.o(.bss.rx_buffer_head) - settings_bank 0x10009ed6 Data 1 lto-llvm-ea22a7.o(.bss.settings_bank) - [Anonymous Symbol] 0x10009ed6 Section 0 lto-llvm-ea22a7.o(.bss.settings_bank) - sysmenu_hiddenmenu_enabled 0x10009ed7 Data 1 lto-llvm-ea22a7.o(.bss.sysmenu_hiddenmenu_enabled) - [Anonymous Symbol] 0x10009ed7 Section 0 lto-llvm-ea22a7.o(.bss.sysmenu_hiddenmenu_enabled) - sysmenu_services_opened 0x10009ed8 Data 1 lto-llvm-ea22a7.o(.bss.sysmenu_services_opened) - [Anonymous Symbol] 0x10009ed8 Section 0 lto-llvm-ea22a7.o(.bss.sysmenu_services_opened) - sysmenu_swr_opened 0x10009ed9 Data 1 lto-llvm-ea22a7.o(.bss.sysmenu_swr_opened) - [Anonymous Symbol] 0x10009ed9 Section 0 lto-llvm-ea22a7.o(.bss.sysmenu_swr_opened) - sysmenu_trx_selected_callsign_char_index 0x10009eda Data 1 lto-llvm-ea22a7.o(.bss.sysmenu_trx_selected_callsign_char_index) - [Anonymous Symbol] 0x10009eda Section 0 lto-llvm-ea22a7.o(.bss.sysmenu_trx_selected_callsign_char_index) - systemMenuIndex 0x10009edb Data 1 lto-llvm-ea22a7.o(.bss.systemMenuIndex) - [Anonymous Symbol] 0x10009edb Section 0 lto-llvm-ea22a7.o(.bss.systemMenuIndex) - systemMenuRootIndex 0x10009edc Data 1 lto-llvm-ea22a7.o(.bss.systemMenuRootIndex) - [Anonymous Symbol] 0x10009edc Section 0 lto-llvm-ea22a7.o(.bss.systemMenuRootIndex) - text_cursor_x 0x10009ede Data 2 lto-llvm-ea22a7.o(.bss.text_cursor_x) - [Anonymous Symbol] 0x10009ede Section 0 lto-llvm-ea22a7.o(.bss.text_cursor_x) - tick_start_time 0x10009ee0 Data 4 lto-llvm-ea22a7.o(.bss.tick_start_time) - [Anonymous Symbol] 0x10009ee0 Section 0 lto-llvm-ea22a7.o(.bss.tick_start_time) - tim6_delay 0x10009ee4 Data 4 lto-llvm-ea22a7.o(.bss.tim6_delay) - [Anonymous Symbol] 0x10009ee4 Section 0 lto-llvm-ea22a7.o(.bss.tim6_delay) - two_signal_gen_position 0x10009ee8 Data 4 lto-llvm-ea22a7.o(.bss.two_signal_gen_position) - [Anonymous Symbol] 0x10009ee8 Section 0 lto-llvm-ea22a7.o(.bss.two_signal_gen_position) - uwTick 0x10009eec Data 4 lto-llvm-ea22a7.o(.bss.uwTick) - [Anonymous Symbol] 0x10009eec Section 0 lto-llvm-ea22a7.o(.bss.uwTick) - uwTickPrio 0x10009ef0 Data 1 lto-llvm-ea22a7.o(.bss.uwTickPrio) - [Anonymous Symbol] 0x10009ef0 Section 0 lto-llvm-ea22a7.o(.bss.uwTickPrio) - verify_clone 0x10009ef1 Data 404 lto-llvm-ea22a7.o(.bss.verify_clone) - [Anonymous Symbol] 0x10009ef1 Section 0 lto-llvm-ea22a7.o(.bss.verify_clone) - wtf_buffer_freqs 0x1000a088 Data 220 lto-llvm-ea22a7.o(.bss.wtf_buffer_freqs) - [Anonymous Symbol] 0x1000a088 Section 0 lto-llvm-ea22a7.o(.bss.wtf_buffer_freqs) - Heap_Mem 0x1000a168 Data 7936 startup_stm32f407xx.o(HEAP) - HEAP 0x1000a168 Section 7936 startup_stm32f407xx.o(HEAP) - Stack_Mem 0x1000c068 Data 7936 startup_stm32f407xx.o(STACK) - STACK 0x1000c068 Section 7936 startup_stm32f407xx.o(STACK) - __initial_sp 0x1000df68 Data 0 startup_stm32f407xx.o(STACK) - Processor_AudioBuffer_A 0x20000000 Data 1536 lto-llvm-ea22a7.o(.IRAM1) - [Anonymous Symbol] 0x20000000 Section 0 lto-llvm-ea22a7.o(.IRAM1) - Processor_AudioBuffer_B 0x20000600 Data 1536 lto-llvm-ea22a7.o(.IRAM1) - FPGA_Audio_Buffer_RX_Q_tmp 0x20000c00 Data 768 lto-llvm-ea22a7.o(.IRAM1) - FPGA_Audio_Buffer_RX_I_tmp 0x20000f00 Data 768 lto-llvm-ea22a7.o(.IRAM1) - FPGA_Audio_Buffer_TX_Q_tmp 0x20001200 Data 768 lto-llvm-ea22a7.o(.IRAM1) - FPGA_Audio_Buffer_TX_I_tmp 0x20001500 Data 768 lto-llvm-ea22a7.o(.IRAM1) - fft_output_buffer 0x20001800 Data 48000 lto-llvm-ea22a7.o(.IRAM1) - indexed_wtf_buffer 0x2000d380 Data 26400 lto-llvm-ea22a7.o(.IRAM1) - wtf_output_line 0x20013aa0 Data 960 lto-llvm-ea22a7.o(.IRAM1) - FPGA_Audio_Buffer_RX_Q 0x20013e60 Data 1536 lto-llvm-ea22a7.o(.IRAM1) - FPGA_Audio_Buffer_RX_I 0x20014460 Data 1536 lto-llvm-ea22a7.o(.IRAM1) - FPGA_Audio_SendBuffer_Q 0x20014a60 Data 1536 lto-llvm-ea22a7.o(.IRAM1) - FPGA_Audio_SendBuffer_I 0x20015060 Data 1536 lto-llvm-ea22a7.o(.IRAM1) - fillxy_color 0x20015660 Data 2 lto-llvm-ea22a7.o(.IRAM1) - USB_AUDIO_rx_buffer_a 0x20015662 Data 1152 lto-llvm-ea22a7.o(.IRAM1) - USB_AUDIO_rx_buffer_b 0x20015ae2 Data 1152 lto-llvm-ea22a7.o(.IRAM1) - USB_AUDIO_tx_buffer 0x20015f62 Data 2304 lto-llvm-ea22a7.o(.IRAM1) - CODEC_Audio_Buffer_RX 0x20016864 Data 3072 lto-llvm-ea22a7.o(.IRAM1) - CODEC_Audio_Buffer_TX 0x20017464 Data 3072 lto-llvm-ea22a7.o(.IRAM1) - .compoundliteral 0x20018064 Data 300 lto-llvm-ea22a7.o(.data..compoundliteral) - [Anonymous Symbol] 0x20018064 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral) - .compoundliteral.11 0x20018190 Data 60 lto-llvm-ea22a7.o(.data..compoundliteral.11) - [Anonymous Symbol] 0x20018190 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.11) - .compoundliteral.12 0x200181cc Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.12) - [Anonymous Symbol] 0x200181cc Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.12) - .compoundliteral.15 0x200182f8 Data 60 lto-llvm-ea22a7.o(.data..compoundliteral.15) - [Anonymous Symbol] 0x200182f8 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.15) - .compoundliteral.16 0x20018334 Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.16) - [Anonymous Symbol] 0x20018334 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.16) - .compoundliteral.19 0x20018460 Data 60 lto-llvm-ea22a7.o(.data..compoundliteral.19) - [Anonymous Symbol] 0x20018460 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.19) - .compoundliteral.2 0x2001849c Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.2) - [Anonymous Symbol] 0x2001849c Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.2) - .compoundliteral.20 0x200185c8 Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.20) - [Anonymous Symbol] 0x200185c8 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.20) - .compoundliteral.23 0x200186f4 Data 60 lto-llvm-ea22a7.o(.data..compoundliteral.23) - [Anonymous Symbol] 0x200186f4 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.23) - .compoundliteral.24 0x20018730 Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.24) - [Anonymous Symbol] 0x20018730 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.24) - .compoundliteral.27 0x2001885c Data 60 lto-llvm-ea22a7.o(.data..compoundliteral.27) - [Anonymous Symbol] 0x2001885c Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.27) - .compoundliteral.28 0x20018898 Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.28) - [Anonymous Symbol] 0x20018898 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.28) - .compoundliteral.31 0x200189c4 Data 60 lto-llvm-ea22a7.o(.data..compoundliteral.31) - [Anonymous Symbol] 0x200189c4 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.31) - .compoundliteral.32 0x20018a00 Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.32) - [Anonymous Symbol] 0x20018a00 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.32) - .compoundliteral.35 0x20018b2c Data 60 lto-llvm-ea22a7.o(.data..compoundliteral.35) - [Anonymous Symbol] 0x20018b2c Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.35) - .compoundliteral.36 0x20018b68 Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.36) - [Anonymous Symbol] 0x20018b68 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.36) - .compoundliteral.39 0x20018c94 Data 60 lto-llvm-ea22a7.o(.data..compoundliteral.39) - [Anonymous Symbol] 0x20018c94 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.39) - .compoundliteral.4 0x20018cd0 Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.4) - [Anonymous Symbol] 0x20018cd0 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.4) - .compoundliteral.40 0x20018dfc Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.40) - [Anonymous Symbol] 0x20018dfc Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.40) - .compoundliteral.43 0x20018f28 Data 60 lto-llvm-ea22a7.o(.data..compoundliteral.43) - [Anonymous Symbol] 0x20018f28 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.43) - .compoundliteral.44 0x20018f64 Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.44) - [Anonymous Symbol] 0x20018f64 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.44) - .compoundliteral.47 0x20019090 Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.47) - [Anonymous Symbol] 0x20019090 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.47) - .compoundliteral.49 0x200191bc Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.49) - [Anonymous Symbol] 0x200191bc Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.49) - .compoundliteral.51 0x200192e8 Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.51) - [Anonymous Symbol] 0x200192e8 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.51) - .compoundliteral.53 0x20019414 Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.53) - [Anonymous Symbol] 0x20019414 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.53) - .compoundliteral.55 0x20019540 Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.55) - [Anonymous Symbol] 0x20019540 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.55) - .compoundliteral.57 0x2001966c Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.57) - [Anonymous Symbol] 0x2001966c Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.57) - .compoundliteral.59 0x20019798 Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.59) - [Anonymous Symbol] 0x20019798 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.59) - .compoundliteral.6 0x200198c4 Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.6) - [Anonymous Symbol] 0x200198c4 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.6) - .compoundliteral.61 0x200199f0 Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.61) - [Anonymous Symbol] 0x200199f0 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.61) - .compoundliteral.63 0x20019b1c Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.63) - [Anonymous Symbol] 0x20019b1c Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.63) - .compoundliteral.65 0x20019c48 Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.65) - [Anonymous Symbol] 0x20019c48 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.65) - .compoundliteral.67 0x20019d74 Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.67) - [Anonymous Symbol] 0x20019d74 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.67) - .compoundliteral.8 0x20019ea0 Data 300 lto-llvm-ea22a7.o(.data..compoundliteral.8) - [Anonymous Symbol] 0x20019ea0 Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.8) - .compoundliteral.9 0x20019fcc Data 60 lto-llvm-ea22a7.o(.data..compoundliteral.9) - [Anonymous Symbol] 0x20019fcc Section 0 lto-llvm-ea22a7.o(.data..compoundliteral.9) - COLOR 0x2001a008 Data 4 lto-llvm-ea22a7.o(.data.COLOR) - [Anonymous Symbol] 0x2001a008 Section 0 lto-llvm-ea22a7.o(.data.COLOR) - EQ_MIC_HIG_FILTER 0x2001a00c Data 12 lto-llvm-ea22a7.o(.data.EQ_MIC_HIG_FILTER) - [Anonymous Symbol] 0x2001a00c Section 0 lto-llvm-ea22a7.o(.data.EQ_MIC_HIG_FILTER) - EQ_MIC_LOW_FILTER 0x2001a018 Data 12 lto-llvm-ea22a7.o(.data.EQ_MIC_LOW_FILTER) - [Anonymous Symbol] 0x2001a018 Section 0 lto-llvm-ea22a7.o(.data.EQ_MIC_LOW_FILTER) - EQ_RX_MID_FILTER 0x2001a024 Data 12 lto-llvm-ea22a7.o(.data.EQ_RX_MID_FILTER) - [Anonymous Symbol] 0x2001a024 Section 0 lto-llvm-ea22a7.o(.data.EQ_RX_MID_FILTER) - FPGA_NeedRestart 0x2001a030 Data 1 lto-llvm-ea22a7.o(.data.FPGA_NeedRestart) - [Anonymous Symbol] 0x2001a030 Section 0 lto-llvm-ea22a7.o(.data.FPGA_NeedRestart) - FS_Desc 0x2001a034 Data 36 lto-llvm-ea22a7.o(.data.FS_Desc) - [Anonymous Symbol] 0x2001a034 Section 0 lto-llvm-ea22a7.o(.data.FS_Desc) - Get_Status 0x2001a058 Data 1 lto-llvm-ea22a7.o(.data.Get_Status) - [Anonymous Symbol] 0x2001a058 Section 0 lto-llvm-ea22a7.o(.data.Get_Status) - IIR_biquad_Zoom_FFT_I 0x2001a05c Data 12 lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_I) - [Anonymous Symbol] 0x2001a05c Section 0 lto-llvm-ea22a7.o(.data.IIR_biquad_Zoom_FFT_I) - LCD_last_showed_freq_khz 0x2001a068 Data 2 lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) - [Anonymous Symbol] 0x2001a068 Section 0 lto-llvm-ea22a7.o(.data.LCD_last_showed_freq_khz) - Last_showed_Minutes 0x2001a06a Data 1 lto-llvm-ea22a7.o(.data.Last_showed_Minutes) - [Anonymous Symbol] 0x2001a06a Section 0 lto-llvm-ea22a7.o(.data.Last_showed_Minutes) - ModulateFM.modulation_index 0x2001a06c Data 4 lto-llvm-ea22a7.o(.data.ModulateFM.modulation_index) - [Anonymous Symbol] 0x2001a06c Section 0 lto-llvm-ea22a7.o(.data.ModulateFM.modulation_index) - PERIPH_FrontPanel_BottomScroll_Buttons 0x2001a070 Data 576 lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) - [Anonymous Symbol] 0x2001a070 Section 0 lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons) - PERIPH_FrontPanel_BottomScroll_Buttons_Active 0x2001a2b0 Data 4 lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons_Active) - [Anonymous Symbol] 0x2001a2b0 Section 0 lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_BottomScroll_Buttons_Active) - PERIPH_FrontPanel_Static_Buttons 0x2001a2b4 Data 144 lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_Static_Buttons) - [Anonymous Symbol] 0x2001a2b4 Section 0 lto-llvm-ea22a7.o(.data.PERIPH_FrontPanel_Static_Buttons) - Page_Program 0x2001a344 Data 1 lto-llvm-ea22a7.o(.data.Page_Program) - [Anonymous Symbol] 0x2001a344 Section 0 lto-llvm-ea22a7.o(.data.Page_Program) - Power_Down 0x2001a345 Data 1 lto-llvm-ea22a7.o(.data.Power_Down) - [Anonymous Symbol] 0x2001a345 Section 0 lto-llvm-ea22a7.o(.data.Power_Down) - SystemCoreClock 0x2001a348 Data 4 lto-llvm-ea22a7.o(.data.SystemCoreClock) - [Anonymous Symbol] 0x2001a348 Section 0 lto-llvm-ea22a7.o(.data.SystemCoreClock) - TRX_InVoltage 0x2001a34c Data 4 lto-llvm-ea22a7.o(.data.TRX_InVoltage) - [Anonymous Symbol] 0x2001a34c Section 0 lto-llvm-ea22a7.o(.data.TRX_InVoltage) - USBD_CAT_fops_FS 0x2001a350 Data 16 lto-llvm-ea22a7.o(.data.USBD_CAT_fops_FS) - [Anonymous Symbol] 0x2001a350 Section 0 lto-llvm-ea22a7.o(.data.USBD_CAT_fops_FS) - USBD_StringSerial 0x2001a360 Data 26 lto-llvm-ea22a7.o(.data.USBD_StringSerial) - [Anonymous Symbol] 0x2001a360 Section 0 lto-llvm-ea22a7.o(.data.USBD_StringSerial) - USBD_UA3REO 0x2001a37c Data 56 lto-llvm-ea22a7.o(.data.USBD_UA3REO) - [Anonymous Symbol] 0x2001a37c Section 0 lto-llvm-ea22a7.o(.data.USBD_UA3REO) - Write_Enable 0x2001a3b4 Data 1 lto-llvm-ea22a7.o(.data.Write_Enable) - [Anonymous Symbol] 0x2001a3b4 Section 0 lto-llvm-ea22a7.o(.data.Write_Enable) - graph_selected_x 0x2001a3b6 Data 2 lto-llvm-ea22a7.o(.data.graph_selected_x) - [Anonymous Symbol] 0x2001a3b6 Section 0 lto-llvm-ea22a7.o(.data.graph_selected_x) - grid_lines_pos 0x2001a3b8 Data 80 lto-llvm-ea22a7.o(.data.grid_lines_pos) - [Anonymous Symbol] 0x2001a3b8 Section 0 lto-llvm-ea22a7.o(.data.grid_lines_pos) - lineCoding.532 0x2001a408 Data 7 lto-llvm-ea22a7.o(.data.lineCoding.532) - [Anonymous Symbol] 0x2001a408 Section 0 lto-llvm-ea22a7.o(.data.lineCoding.532) - processTxAudio.tone_counter 0x2001a410 Data 4 lto-llvm-ea22a7.o(.data.processTxAudio.tone_counter) - [Anonymous Symbol] 0x2001a410 Section 0 lto-llvm-ea22a7.o(.data.processTxAudio.tone_counter) - sysmenu_handlers_selected 0x2001a414 Data 4 lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) - [Anonymous Symbol] 0x2001a414 Section 0 lto-llvm-ea22a7.o(.data.sysmenu_handlers_selected) - sysmenu_y 0x2001a418 Data 2 lto-llvm-ea22a7.o(.data.sysmenu_y) - [Anonymous Symbol] 0x2001a418 Section 0 lto-llvm-ea22a7.o(.data.sysmenu_y) - version_string 0x2001a41a Data 19 lto-llvm-ea22a7.o(.data.version_string) - [Anonymous Symbol] 0x2001a41a Section 0 lto-llvm-ea22a7.o(.data.version_string) - .bss 0x2001a430 Section 96 libspace.o(.bss) - .compoundliteral.7.102 0x2001a490 Data 100 lto-llvm-ea22a7.o(.bss..compoundliteral.7.102) - [Anonymous Symbol] 0x2001a490 Section 0 lto-llvm-ea22a7.o(.bss..compoundliteral.7.102) - AGC_RX_need_gain_db 0x2001a4f4 Data 4 lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db) - [Anonymous Symbol] 0x2001a4f4 Section 0 lto-llvm-ea22a7.o(.bss.AGC_RX_need_gain_db) - Address 0x2001a4f8 Data 3 lto-llvm-ea22a7.o(.bss.Address) - [Anonymous Symbol] 0x2001a4f8 Section 0 lto-llvm-ea22a7.o(.bss.Address) - BusFault_Handler.i 0x2001a4fc Data 4 lto-llvm-ea22a7.o(.bss.BusFault_Handler.i) - [Anonymous Symbol] 0x2001a4fc Section 0 lto-llvm-ea22a7.o(.bss.BusFault_Handler.i) - CAT_UserTxBufferFS 0x2001a500 Data 32 lto-llvm-ea22a7.o(.bss.CAT_UserTxBufferFS) - [Anonymous Symbol] 0x2001a500 Section 0 lto-llvm-ea22a7.o(.bss.CAT_UserTxBufferFS) - CPULOAD_WorkingTime 0x2001a520 Data 4 lto-llvm-ea22a7.o(.bss.CPULOAD_WorkingTime) - [Anonymous Symbol] 0x2001a520 Section 0 lto-llvm-ea22a7.o(.bss.CPULOAD_WorkingTime) - CPULOAD_startSleepTime 0x2001a524 Data 4 lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) - [Anonymous Symbol] 0x2001a524 Section 0 lto-llvm-ea22a7.o(.bss.CPULOAD_startSleepTime) - CPULOAD_status 0x2001a528 Data 1 lto-llvm-ea22a7.o(.bss.CPULOAD_status) - [Anonymous Symbol] 0x2001a528 Section 0 lto-llvm-ea22a7.o(.bss.CPULOAD_status) - CPU_LOAD.0 0x2001a52c Data 4 lto-llvm-ea22a7.o(.bss.CPU_LOAD.0) - [Anonymous Symbol] 0x2001a52c Section 0 lto-llvm-ea22a7.o(.bss.CPU_LOAD.0) - DC_Filter_State.0.0 0x2001a530 Data 4 lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.0) - [Anonymous Symbol] 0x2001a530 Section 0 lto-llvm-ea22a7.o(.bss.DC_Filter_State.0.0) - DC_Filter_State.1.0 0x2001a534 Data 4 lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.0) - [Anonymous Symbol] 0x2001a534 Section 0 lto-llvm-ea22a7.o(.bss.DC_Filter_State.1.0) - DC_Filter_State.2.0 0x2001a538 Data 4 lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.0) - [Anonymous Symbol] 0x2001a538 Section 0 lto-llvm-ea22a7.o(.bss.DC_Filter_State.2.0) - DC_Filter_State.3.0 0x2001a53c Data 4 lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.0) - [Anonymous Symbol] 0x2001a53c Section 0 lto-llvm-ea22a7.o(.bss.DC_Filter_State.3.0) - DC_Filter_State.4.0 0x2001a540 Data 4 lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.0) - [Anonymous Symbol] 0x2001a540 Section 0 lto-llvm-ea22a7.o(.bss.DC_Filter_State.4.0) - DC_Filter_State.5.0 0x2001a544 Data 4 lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.0) - [Anonymous Symbol] 0x2001a544 Section 0 lto-llvm-ea22a7.o(.bss.DC_Filter_State.5.0) - DEBUG_UserRxBufferFS 0x2001a548 Data 8 lto-llvm-ea22a7.o(.bss.DEBUG_UserRxBufferFS) - [Anonymous Symbol] 0x2001a548 Section 0 lto-llvm-ea22a7.o(.bss.DEBUG_UserRxBufferFS) - DECIMATE_ZOOM_FFT_I 0x2001a550 Data 12 lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_I) - [Anonymous Symbol] 0x2001a550 Section 0 lto-llvm-ea22a7.o(.bss.DECIMATE_ZOOM_FFT_I) - DFM_RX_fm_sql_count 0x2001a55c Data 4 lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_count) - [Anonymous Symbol] 0x2001a55c Section 0 lto-llvm-ea22a7.o(.bss.DFM_RX_fm_sql_count) - DFM_RX_hpf_prev_b 0x2001a560 Data 4 lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_b) - [Anonymous Symbol] 0x2001a560 Section 0 lto-llvm-ea22a7.o(.bss.DFM_RX_hpf_prev_b) - DFM_RX_q_prev 0x2001a564 Data 4 lto-llvm-ea22a7.o(.bss.DFM_RX_q_prev) - [Anonymous Symbol] 0x2001a564 Section 0 lto-llvm-ea22a7.o(.bss.DFM_RX_q_prev) - DoRxAGC.ring_position 0x2001a568 Data 4 lto-llvm-ea22a7.o(.bss.DoRxAGC.ring_position) - [Anonymous Symbol] 0x2001a568 Section 0 lto-llvm-ea22a7.o(.bss.DoRxAGC.ring_position) - EEPROM_Busy 0x2001a56c Data 1 lto-llvm-ea22a7.o(.bss.EEPROM_Busy) - [Anonymous Symbol] 0x2001a56c Section 0 lto-llvm-ea22a7.o(.bss.EEPROM_Busy) - EEPROM_Enabled 0x2001a56d Data 1 lto-llvm-ea22a7.o(.bss.EEPROM_Enabled) - [Anonymous Symbol] 0x2001a56d Section 0 lto-llvm-ea22a7.o(.bss.EEPROM_Enabled) - ENCODER_slowler 0x2001a570 Data 4 lto-llvm-ea22a7.o(.bss.ENCODER_slowler) - [Anonymous Symbol] 0x2001a570 Section 0 lto-llvm-ea22a7.o(.bss.ENCODER_slowler) - EQ_MIC_HIG_FILTER_Coeffs 0x2001a574 Data 20 lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_Coeffs) - [Anonymous Symbol] 0x2001a574 Section 0 lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_Coeffs) - EQ_MIC_HIG_FILTER_State 0x2001a588 Data 8 lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_State) - [Anonymous Symbol] 0x2001a588 Section 0 lto-llvm-ea22a7.o(.bss.EQ_MIC_HIG_FILTER_State) - EQ_MIC_LOW_FILTER_Coeffs 0x2001a590 Data 20 lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_Coeffs) - [Anonymous Symbol] 0x2001a590 Section 0 lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_Coeffs) - EQ_MIC_LOW_FILTER_State 0x2001a5a4 Data 8 lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_State) - [Anonymous Symbol] 0x2001a5a4 Section 0 lto-llvm-ea22a7.o(.bss.EQ_MIC_LOW_FILTER_State) - EQ_RX_LOW_FILTER_State 0x2001a5ac Data 8 lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_State) - [Anonymous Symbol] 0x2001a5ac Section 0 lto-llvm-ea22a7.o(.bss.EQ_RX_LOW_FILTER_State) - EQ_RX_MID_FILTER_Coeffs 0x2001a5b4 Data 20 lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_Coeffs) - [Anonymous Symbol] 0x2001a5b4 Section 0 lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_Coeffs) - EQ_RX_MID_FILTER_State 0x2001a5c8 Data 8 lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_State) - [Anonymous Symbol] 0x2001a5c8 Section 0 lto-llvm-ea22a7.o(.bss.EQ_RX_MID_FILTER_State) - FFTInput_I_B 0x2001a5d0 Data 2048 lto-llvm-ea22a7.o(.bss.FFTInput_I_B) - [Anonymous Symbol] 0x2001a5d0 Section 0 lto-llvm-ea22a7.o(.bss.FFTInput_I_B) - FFTOutput_mean 0x2001add0 Data 1920 lto-llvm-ea22a7.o(.bss.FFTOutput_mean) - [Anonymous Symbol] 0x2001add0 Section 0 lto-llvm-ea22a7.o(.bss.FFTOutput_mean) - FFT_buff_current 0x2001b550 Data 1 lto-llvm-ea22a7.o(.bss.FFT_buff_current) - [Anonymous Symbol] 0x2001b550 Section 0 lto-llvm-ea22a7.o(.bss.FFT_buff_current) - FFT_need_fft 0x2001b551 Data 1 lto-llvm-ea22a7.o(.bss.FFT_need_fft) - [Anonymous Symbol] 0x2001b551 Section 0 lto-llvm-ea22a7.o(.bss.FFT_need_fft) - FFT_sortInstance.1 0x2001b552 Data 1 lto-llvm-ea22a7.o(.bss.FFT_sortInstance.1) - [Anonymous Symbol] 0x2001b552 Section 0 lto-llvm-ea22a7.o(.bss.FFT_sortInstance.1) - FIFO_Events_busy 0x2001b553 Data 1 lto-llvm-ea22a7.o(.bss.FIFO_Events_busy) - [Anonymous Symbol] 0x2001b553 Section 0 lto-llvm-ea22a7.o(.bss.FIFO_Events_busy) - FIR_RX_Hilbert_Q 0x2001b554 Data 12 lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_Q) - [Anonymous Symbol] 0x2001b554 Section 0 lto-llvm-ea22a7.o(.bss.FIR_RX_Hilbert_Q) - FIR_TX_Hilbert_Q 0x2001b560 Data 12 lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_Q) - [Anonymous Symbol] 0x2001b560 Section 0 lto-llvm-ea22a7.o(.bss.FIR_TX_Hilbert_Q) - FPGA_Audio_Buffer_State 0x2001b56c Data 1 lto-llvm-ea22a7.o(.bss.FPGA_Audio_Buffer_State) - [Anonymous Symbol] 0x2001b56c Section 0 lto-llvm-ea22a7.o(.bss.FPGA_Audio_Buffer_State) - FPGA_Audio_TXBuffer_Index 0x2001b570 Data 4 lto-llvm-ea22a7.o(.bss.FPGA_Audio_TXBuffer_Index) - [Anonymous Symbol] 0x2001b570 Section 0 lto-llvm-ea22a7.o(.bss.FPGA_Audio_TXBuffer_Index) - FPGA_NeedSendParams 0x2001b574 Data 1 lto-llvm-ea22a7.o(.bss.FPGA_NeedSendParams) - [Anonymous Symbol] 0x2001b574 Section 0 lto-llvm-ea22a7.o(.bss.FPGA_NeedSendParams) - FPGA_restart.FPGA_restart_state 0x2001b575 Data 1 lto-llvm-ea22a7.o(.bss.FPGA_restart.FPGA_restart_state) - [Anonymous Symbol] 0x2001b575 Section 0 lto-llvm-ea22a7.o(.bss.FPGA_restart.FPGA_restart_state) - FPGA_samples 0x2001b578 Data 4 lto-llvm-ea22a7.o(.bss.FPGA_samples) - [Anonymous Symbol] 0x2001b578 Section 0 lto-llvm-ea22a7.o(.bss.FPGA_samples) - FRONTPANEL_ENCODER_checkRotate.ENCAcceleration 0x2001b57c Data 4 lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCAcceleration) - [Anonymous Symbol] 0x2001b57c Section 0 lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCAcceleration) - FRONTPANEL_ENCODER_checkRotate.ENClastClkVal 0x2001b580 Data 1 lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENClastClkVal) - [Anonymous Symbol] 0x2001b580 Section 0 lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENClastClkVal) - FRONTPANEL_ENCODER_checkRotate.ENCticksInInterval 0x2001b582 Data 2 lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCticksInInterval) - [Anonymous Symbol] 0x2001b582 Section 0 lto-llvm-ea22a7.o(.bss.FRONTPANEL_ENCODER_checkRotate.ENCticksInInterval) - FRONTPanel_MCP3008_1_Enabled 0x2001b584 Data 1 lto-llvm-ea22a7.o(.bss.FRONTPanel_MCP3008_1_Enabled) - [Anonymous Symbol] 0x2001b584 Section 0 lto-llvm-ea22a7.o(.bss.FRONTPanel_MCP3008_1_Enabled) - FSMC_Initialized 0x2001b588 Data 1 lto-llvm-ea22a7.o(.bss.FSMC_Initialized) - [Anonymous Symbol] 0x2001b588 Section 0 lto-llvm-ea22a7.o(.bss.FSMC_Initialized) - Fir_RX_Hilbert_State_I 0x2001b58c Data 1024 lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_I) - [Anonymous Symbol] 0x2001b58c Section 0 lto-llvm-ea22a7.o(.bss.Fir_RX_Hilbert_State_I) - Fir_Tx_Hilbert_State_I 0x2001b98c Data 1024 lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_I) - [Anonymous Symbol] 0x2001b98c Section 0 lto-llvm-ea22a7.o(.bss.Fir_Tx_Hilbert_State_I) - HardFault_Handler.i 0x2001bd8c Data 4 lto-llvm-ea22a7.o(.bss.HardFault_Handler.i) - [Anonymous Symbol] 0x2001bd8c Section 0 lto-llvm-ea22a7.o(.bss.HardFault_Handler.i) - IIR_RX_GAUSS 0x2001bd90 Data 12 lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS) - [Anonymous Symbol] 0x2001bd90 Section 0 lto-llvm-ea22a7.o(.bss.IIR_RX_GAUSS) - IIR_RX_HPF_I_State 0x2001bd9c Data 120 lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I_State) - [Anonymous Symbol] 0x2001bd9c Section 0 lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_I_State) - IIR_RX_HPF_SQL_State 0x2001be14 Data 120 lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_SQL_State) - [Anonymous Symbol] 0x2001be14 Section 0 lto-llvm-ea22a7.o(.bss.IIR_RX_HPF_SQL_State) - IIR_RX_LPF_I_State 0x2001be8c Data 120 lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I_State) - [Anonymous Symbol] 0x2001be8c Section 0 lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_I_State) - IIR_RX_LPF_Q 0x2001bf04 Data 12 lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q) - [Anonymous Symbol] 0x2001bf04 Section 0 lto-llvm-ea22a7.o(.bss.IIR_RX_LPF_Q) - IIR_TX_HPF_I 0x2001bf10 Data 12 lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I) - [Anonymous Symbol] 0x2001bf10 Section 0 lto-llvm-ea22a7.o(.bss.IIR_TX_HPF_I) - IIR_TX_LPF_I_State 0x2001bf1c Data 120 lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I_State) - [Anonymous Symbol] 0x2001bf1c Section 0 lto-llvm-ea22a7.o(.bss.IIR_TX_LPF_I_State) - LCD_UpdateQuery 0x2001bf94 Data 15 lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) - [Anonymous Symbol] 0x2001bf94 Section 0 lto-llvm-ea22a7.o(.bss.LCD_UpdateQuery) - LCD_bw_trapez_stripe_pos 0x2001bfa4 Data 1 lto-llvm-ea22a7.o(.bss.LCD_bw_trapez_stripe_pos) - [Anonymous Symbol] 0x2001bfa4 Section 0 lto-llvm-ea22a7.o(.bss.LCD_bw_trapez_stripe_pos) - LCD_displayStatusInfoBar.smeter_peak_settime 0x2001bfa8 Data 4 lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_settime) - [Anonymous Symbol] 0x2001bfa8 Section 0 lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_settime) - LCD_displayStatusInfoBar.smeter_peak_x 0x2001bfac Data 2 lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_x) - [Anonymous Symbol] 0x2001bfac Section 0 lto-llvm-ea22a7.o(.bss.LCD_displayStatusInfoBar.smeter_peak_x) - LCD_freq_string_khz 0x2001bfae Data 6 lto-llvm-ea22a7.o(.bss.LCD_freq_string_khz) - [Anonymous Symbol] 0x2001bfae Section 0 lto-llvm-ea22a7.o(.bss.LCD_freq_string_khz) - LCD_inited 0x2001bfb4 Data 1 lto-llvm-ea22a7.o(.bss.LCD_inited) - [Anonymous Symbol] 0x2001bfb4 Section 0 lto-llvm-ea22a7.o(.bss.LCD_inited) - LCD_last_showed_freq 0x2001bfb8 Data 4 lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) - [Anonymous Symbol] 0x2001bfb8 Section 0 lto-llvm-ea22a7.o(.bss.LCD_last_showed_freq) - LastMute 0x2001bfbc Data 1 lto-llvm-ea22a7.o(.bss.LastMute) - [Anonymous Symbol] 0x2001bfbc Section 0 lto-llvm-ea22a7.o(.bss.LastMute) - Lastfreq 0x2001bfc0 Data 4 lto-llvm-ea22a7.o(.bss.Lastfreq) - [Anonymous Symbol] 0x2001bfc0 Section 0 lto-llvm-ea22a7.o(.bss.Lastfreq) - Minutes 0x2001bfc4 Data 1 lto-llvm-ea22a7.o(.bss.Minutes) - [Anonymous Symbol] 0x2001bfc4 Section 0 lto-llvm-ea22a7.o(.bss.Minutes) - ModulateFM.hpf_prev_a 0x2001bfc8 Data 4 lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_a) - [Anonymous Symbol] 0x2001bfc8 Section 0 lto-llvm-ea22a7.o(.bss.ModulateFM.hpf_prev_a) - NeedReinitAudioFilters 0x2001bfcc Data 1 lto-llvm-ea22a7.o(.bss.NeedReinitAudioFilters) - [Anonymous Symbol] 0x2001bfcc Section 0 lto-llvm-ea22a7.o(.bss.NeedReinitAudioFilters) - NeedReinitAudioFiltersClean 0x2001bfcd Data 1 lto-llvm-ea22a7.o(.bss.NeedReinitAudioFiltersClean) - [Anonymous Symbol] 0x2001bfcd Section 0 lto-llvm-ea22a7.o(.bss.NeedReinitAudioFiltersClean) - NeedSaveSettings 0x2001bfce Data 1 lto-llvm-ea22a7.o(.bss.NeedSaveSettings) - [Anonymous Symbol] 0x2001bfce Section 0 lto-llvm-ea22a7.o(.bss.NeedSaveSettings) - PERIPH_FrontPanel_BottomScroll_index 0x2001bfcf Data 1 lto-llvm-ea22a7.o(.bss.PERIPH_FrontPanel_BottomScroll_index) - [Anonymous Symbol] 0x2001bfcf Section 0 lto-llvm-ea22a7.o(.bss.PERIPH_FrontPanel_BottomScroll_index) - Processor_AudioBuffer_ReadyBuffer 0x2001bfd0 Data 4 lto-llvm-ea22a7.o(.bss.Processor_AudioBuffer_ReadyBuffer) - [Anonymous Symbol] 0x2001bfd0 Section 0 lto-llvm-ea22a7.o(.bss.Processor_AudioBuffer_ReadyBuffer) - Processor_NeedTXBuffer 0x2001bfd4 Data 1 lto-llvm-ea22a7.o(.bss.Processor_NeedTXBuffer) - [Anonymous Symbol] 0x2001bfd4 Section 0 lto-llvm-ea22a7.o(.bss.Processor_NeedTXBuffer) - Processor_TX_MAX_amplitude_OUT 0x2001bfd8 Data 4 lto-llvm-ea22a7.o(.bss.Processor_TX_MAX_amplitude_OUT) - [Anonymous Symbol] 0x2001bfd8 Section 0 lto-llvm-ea22a7.o(.bss.Processor_TX_MAX_amplitude_OUT) - RF_UNIT_ProcessSensors.TRX_VLT_forward 0x2001bfdc Data 4 lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_forward) - [Anonymous Symbol] 0x2001bfdc Section 0 lto-llvm-ea22a7.o(.bss.RF_UNIT_ProcessSensors.TRX_VLT_forward) - RX_USB_AUDIO_SAMPLES 0x2001bfe0 Data 4 lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_SAMPLES) - [Anonymous Symbol] 0x2001bfe0 Section 0 lto-llvm-ea22a7.o(.bss.RX_USB_AUDIO_SAMPLES) - SYSMENU_HANDL_SETTIME.Minutes 0x2001bfe4 Data 1 lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Minutes) - [Anonymous Symbol] 0x2001bfe4 Section 0 lto-llvm-ea22a7.o(.bss.SYSMENU_HANDL_SETTIME.Minutes) - TIM3_IRQHandler.ENC2first 0x2001bfe5 Data 1 lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2first) - [Anonymous Symbol] 0x2001bfe5 Section 0 lto-llvm-ea22a7.o(.bss.TIM3_IRQHandler.ENC2first) - TIM6_DAC_IRQHandler.needPrintFFT 0x2001bfe6 Data 1 lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.needPrintFFT) - [Anonymous Symbol] 0x2001bfe6 Section 0 lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.needPrintFFT) - TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_Q 0x2001bfe8 Data 4 lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_Q) - [Anonymous Symbol] 0x2001bfe8 Section 0 lto-llvm-ea22a7.o(.bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_Q) - TRX_ADC_MAXAMPLITUDE 0x2001bfec Data 2 lto-llvm-ea22a7.o(.bss.TRX_ADC_MAXAMPLITUDE) - [Anonymous Symbol] 0x2001bfec Section 0 lto-llvm-ea22a7.o(.bss.TRX_ADC_MAXAMPLITUDE) - TRX_DAC_OTR 0x2001bfee Data 1 lto-llvm-ea22a7.o(.bss.TRX_DAC_OTR) - [Anonymous Symbol] 0x2001bfee Section 0 lto-llvm-ea22a7.o(.bss.TRX_DAC_OTR) - TRX_IQ_phase_error 0x2001bff0 Data 4 lto-llvm-ea22a7.o(.bss.TRX_IQ_phase_error) - [Anonymous Symbol] 0x2001bff0 Section 0 lto-llvm-ea22a7.o(.bss.TRX_IQ_phase_error) - TRX_Inited 0x2001bff4 Data 1 lto-llvm-ea22a7.o(.bss.TRX_Inited) - [Anonymous Symbol] 0x2001bff4 Section 0 lto-llvm-ea22a7.o(.bss.TRX_Inited) - TRX_Key_Timeout_est 0x2001bff8 Data 4 lto-llvm-ea22a7.o(.bss.TRX_Key_Timeout_est) - [Anonymous Symbol] 0x2001bff8 Section 0 lto-llvm-ea22a7.o(.bss.TRX_Key_Timeout_est) - TRX_Mute 0x2001bffc Data 1 lto-llvm-ea22a7.o(.bss.TRX_Mute) - [Anonymous Symbol] 0x2001bffc Section 0 lto-llvm-ea22a7.o(.bss.TRX_Mute) - TRX_NeedGoToBootloader 0x2001bffd Data 1 lto-llvm-ea22a7.o(.bss.TRX_NeedGoToBootloader) - [Anonymous Symbol] 0x2001bffd Section 0 lto-llvm-ea22a7.o(.bss.TRX_NeedGoToBootloader) - TRX_PWR_Forward 0x2001c000 Data 4 lto-llvm-ea22a7.o(.bss.TRX_PWR_Forward) - [Anonymous Symbol] 0x2001c000 Section 0 lto-llvm-ea22a7.o(.bss.TRX_PWR_Forward) - TRX_SHIFT 0x2001c004 Data 4 lto-llvm-ea22a7.o(.bss.TRX_SHIFT) - [Anonymous Symbol] 0x2001c004 Section 0 lto-llvm-ea22a7.o(.bss.TRX_SHIFT) - TRX_SWR 0x2001c008 Data 4 lto-llvm-ea22a7.o(.bss.TRX_SWR) - [Anonymous Symbol] 0x2001c008 Section 0 lto-llvm-ea22a7.o(.bss.TRX_SWR) - TRX_TXRXMode 0x2001c00c Data 4 lto-llvm-ea22a7.o(.bss.TRX_TXRXMode) - [Anonymous Symbol] 0x2001c00c Section 0 lto-llvm-ea22a7.o(.bss.TRX_TXRXMode) - TRX_TX_IQ_swap 0x2001c010 Data 1 lto-llvm-ea22a7.o(.bss.TRX_TX_IQ_swap) - [Anonymous Symbol] 0x2001c010 Section 0 lto-llvm-ea22a7.o(.bss.TRX_TX_IQ_swap) - TRX_freq_phrase 0x2001c014 Data 4 lto-llvm-ea22a7.o(.bss.TRX_freq_phrase) - [Anonymous Symbol] 0x2001c014 Section 0 lto-llvm-ea22a7.o(.bss.TRX_freq_phrase) - TRX_key_dash_hard 0x2001c018 Data 1 lto-llvm-ea22a7.o(.bss.TRX_key_dash_hard) - [Anonymous Symbol] 0x2001c018 Section 0 lto-llvm-ea22a7.o(.bss.TRX_key_dash_hard) - TRX_old_key_serial 0x2001c019 Data 1 lto-llvm-ea22a7.o(.bss.TRX_old_key_serial) - [Anonymous Symbol] 0x2001c019 Section 0 lto-llvm-ea22a7.o(.bss.TRX_old_key_serial) - TRX_old_ptt_soft 0x2001c01a Data 1 lto-llvm-ea22a7.o(.bss.TRX_old_ptt_soft) - [Anonymous Symbol] 0x2001c01a Section 0 lto-llvm-ea22a7.o(.bss.TRX_old_ptt_soft) - TRX_ptt_hard 0x2001c01b Data 1 lto-llvm-ea22a7.o(.bss.TRX_ptt_hard) - [Anonymous Symbol] 0x2001c01b Section 0 lto-llvm-ea22a7.o(.bss.TRX_ptt_hard) - TimeMenuSelection 0x2001c01c Data 1 lto-llvm-ea22a7.o(.bss.TimeMenuSelection) - [Anonymous Symbol] 0x2001c01c Section 0 lto-llvm-ea22a7.o(.bss.TimeMenuSelection) - Tooltip_first_draw 0x2001c01d Data 1 lto-llvm-ea22a7.o(.bss.Tooltip_first_draw) - [Anonymous Symbol] 0x2001c01d Section 0 lto-llvm-ea22a7.o(.bss.Tooltip_first_draw) - USBD_StrDesc 0x2001c020 Data 512 lto-llvm-ea22a7.o(.bss.USBD_StrDesc) - [Anonymous Symbol] 0x2001c020 Section 0 lto-llvm-ea22a7.o(.bss.USBD_StrDesc) - USBD_inited 0x2001c220 Data 1 lto-llvm-ea22a7.o(.bss.USBD_inited) - [Anonymous Symbol] 0x2001c220 Section 0 lto-llvm-ea22a7.o(.bss.USBD_inited) - USB_AUDIO_Inited 0x2001c221 Data 1 lto-llvm-ea22a7.o(.bss.USB_AUDIO_Inited) - [Anonymous Symbol] 0x2001c221 Section 0 lto-llvm-ea22a7.o(.bss.USB_AUDIO_Inited) - USB_AUDIO_current_rx_buffer 0x2001c222 Data 1 lto-llvm-ea22a7.o(.bss.USB_AUDIO_current_rx_buffer) - [Anonymous Symbol] 0x2001c222 Section 0 lto-llvm-ea22a7.o(.bss.USB_AUDIO_current_rx_buffer) - USB_LastActiveTime 0x2001c224 Data 4 lto-llvm-ea22a7.o(.bss.USB_LastActiveTime) - [Anonymous Symbol] 0x2001c224 Section 0 lto-llvm-ea22a7.o(.bss.USB_LastActiveTime) - WM8731_DMA_state 0x2001c228 Data 1 lto-llvm-ea22a7.o(.bss.WM8731_DMA_state) - [Anonymous Symbol] 0x2001c228 Section 0 lto-llvm-ea22a7.o(.bss.WM8731_DMA_state) - WM8731_Muting 0x2001c229 Data 1 lto-llvm-ea22a7.o(.bss.WM8731_Muting) - [Anonymous Symbol] 0x2001c229 Section 0 lto-llvm-ea22a7.o(.bss.WM8731_Muting) - autogain_wait_reaction 0x2001c22c Data 4 lto-llvm-ea22a7.o(.bss.autogain_wait_reaction) - [Anonymous Symbol] 0x2001c22c Section 0 lto-llvm-ea22a7.o(.bss.autogain_wait_reaction) - bw_line_end 0x2001c230 Data 2 lto-llvm-ea22a7.o(.bss.bw_line_end) - [Anonymous Symbol] 0x2001c230 Section 0 lto-llvm-ea22a7.o(.bss.bw_line_end) - bw_line_width 0x2001c232 Data 2 lto-llvm-ea22a7.o(.bss.bw_line_width) - [Anonymous Symbol] 0x2001c232 Section 0 lto-llvm-ea22a7.o(.bss.bw_line_width) - command_to_parse 0x2001c234 Data 64 lto-llvm-ea22a7.o(.bss.command_to_parse) - [Anonymous Symbol] 0x2001c234 Section 0 lto-llvm-ea22a7.o(.bss.command_to_parse) - current_if_gain 0x2001c274 Data 4 lto-llvm-ea22a7.o(.bss.current_if_gain) - [Anonymous Symbol] 0x2001c274 Section 0 lto-llvm-ea22a7.o(.bss.current_if_gain) - debug_tx_fifo_tail 0x2001c278 Data 2 lto-llvm-ea22a7.o(.bss.debug_tx_fifo_tail) - [Anonymous Symbol] 0x2001c278 Section 0 lto-llvm-ea22a7.o(.bss.debug_tx_fifo_tail) - fft_header 0x2001c27a Data 960 lto-llvm-ea22a7.o(.bss.fft_header) - [Anonymous Symbol] 0x2001c27a Section 0 lto-llvm-ea22a7.o(.bss.fft_header) - freq_step 0x2001c63c Data 4 lto-llvm-ea22a7.o(.bss.freq_step) - [Anonymous Symbol] 0x2001c63c Section 0 lto-llvm-ea22a7.o(.bss.freq_step) - hUsbDeviceFS 0x2001c640 Data 804 lto-llvm-ea22a7.o(.bss.hUsbDeviceFS) - [Anonymous Symbol] 0x2001c640 Section 0 lto-llvm-ea22a7.o(.bss.hUsbDeviceFS) - hadc1 0x2001c964 Data 72 lto-llvm-ea22a7.o(.bss.hadc1) - [Anonymous Symbol] 0x2001c964 Section 0 lto-llvm-ea22a7.o(.bss.hadc1) - hdma_i2s3_ext_rx 0x2001c9ac Data 96 lto-llvm-ea22a7.o(.bss.hdma_i2s3_ext_rx) - [Anonymous Symbol] 0x2001c9ac Section 0 lto-llvm-ea22a7.o(.bss.hdma_i2s3_ext_rx) - hdma_memtomem_dma2_stream1 0x2001ca0c Data 96 lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream1) - [Anonymous Symbol] 0x2001ca0c Section 0 lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream1) - hdma_memtomem_dma2_stream2 0x2001ca6c Data 96 lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream2) - [Anonymous Symbol] 0x2001ca6c Section 0 lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream2) - hdma_memtomem_dma2_stream5 0x2001cacc Data 96 lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream5) - [Anonymous Symbol] 0x2001cacc Section 0 lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream5) - hdma_memtomem_dma2_stream6 0x2001cb2c Data 96 lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream6) - [Anonymous Symbol] 0x2001cb2c Section 0 lto-llvm-ea22a7.o(.bss.hdma_memtomem_dma2_stream6) - hi2s3 0x2001cb8c Data 72 lto-llvm-ea22a7.o(.bss.hi2s3) - [Anonymous Symbol] 0x2001cb8c Section 0 lto-llvm-ea22a7.o(.bss.hi2s3) - hpcd_USB_OTG_FS 0x2001cbd4 Data 1032 lto-llvm-ea22a7.o(.bss.hpcd_USB_OTG_FS) - [Anonymous Symbol] 0x2001cbd4 Section 0 lto-llvm-ea22a7.o(.bss.hpcd_USB_OTG_FS) - hrtc 0x2001cfdc Data 32 lto-llvm-ea22a7.o(.bss.hrtc) - [Anonymous Symbol] 0x2001cfdc Section 0 lto-llvm-ea22a7.o(.bss.hrtc) - htim3 0x2001cffc Data 64 lto-llvm-ea22a7.o(.bss.htim3) - [Anonymous Symbol] 0x2001cffc Section 0 lto-llvm-ea22a7.o(.bss.htim3) - htim5 0x2001d03c Data 64 lto-llvm-ea22a7.o(.bss.htim5) - [Anonymous Symbol] 0x2001d03c Section 0 lto-llvm-ea22a7.o(.bss.htim5) - htim7 0x2001d07c Data 64 lto-llvm-ea22a7.o(.bss.htim7) - [Anonymous Symbol] 0x2001d07c Section 0 lto-llvm-ea22a7.o(.bss.htim7) - lms2_Norm_instance.0 0x2001d0bc Data 1 lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.0) - [Anonymous Symbol] 0x2001d0bc Section 0 lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.0) - lms2_Norm_instance.2 0x2001d0c0 Data 4 lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.2) - [Anonymous Symbol] 0x2001d0c0 Section 0 lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.2) - lms2_Norm_instance.4 0x2001d0c4 Data 4 lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.4) - [Anonymous Symbol] 0x2001d0c4 Section 0 lto-llvm-ea22a7.o(.bss.lms2_Norm_instance.4) - lms2_errsig2 0x2001d0c8 Data 256 lto-llvm-ea22a7.o(.bss.lms2_errsig2) - [Anonymous Symbol] 0x2001d0c8 Section 0 lto-llvm-ea22a7.o(.bss.lms2_errsig2) - maxValueFFT_tx 0x2001d1c8 Data 4 lto-llvm-ea22a7.o(.bss.maxValueFFT_tx) - [Anonymous Symbol] 0x2001d1c8 Section 0 lto-llvm-ea22a7.o(.bss.maxValueFFT_tx) - ms10_counter 0x2001d1cc Data 4 lto-llvm-ea22a7.o(.bss.ms10_counter) - [Anonymous Symbol] 0x2001d1cc Section 0 lto-llvm-ea22a7.o(.bss.ms10_counter) - palette_bw_fft_colors 0x2001d1d0 Data 102 lto-llvm-ea22a7.o(.bss.palette_bw_fft_colors) - [Anonymous Symbol] 0x2001d1d0 Section 0 lto-llvm-ea22a7.o(.bss.palette_bw_fft_colors) - palette_fft 0x2001d236 Data 102 lto-llvm-ea22a7.o(.bss.palette_fft) - [Anonymous Symbol] 0x2001d236 Section 0 lto-llvm-ea22a7.o(.bss.palette_fft) - powerdown_start_delay 0x2001d29c Data 4 lto-llvm-ea22a7.o(.bss.powerdown_start_delay) - [Anonymous Symbol] 0x2001d29c Section 0 lto-llvm-ea22a7.o(.bss.powerdown_start_delay) - processAutoNotchReduction.temporary_stop 0x2001d2a0 Data 4 lto-llvm-ea22a7.o(.bss.processAutoNotchReduction.temporary_stop) - [Anonymous Symbol] 0x2001d2a0 Section 0 lto-llvm-ea22a7.o(.bss.processAutoNotchReduction.temporary_stop) - processRxAudio.teta1 0x2001d2a4 Data 4 lto-llvm-ea22a7.o(.bss.processRxAudio.teta1) - [Anonymous Symbol] 0x2001d2a4 Section 0 lto-llvm-ea22a7.o(.bss.processRxAudio.teta1) - profiles 0x2001d2a8 Data 140 lto-llvm-ea22a7.o(.bss.profiles) - [Anonymous Symbol] 0x2001d2a8 Section 0 lto-llvm-ea22a7.o(.bss.profiles) - read_clone 0x2001d334 Data 404 lto-llvm-ea22a7.o(.bss.read_clone) - [Anonymous Symbol] 0x2001d334 Section 0 lto-llvm-ea22a7.o(.bss.read_clone) - reference_index_old 0x2001d4c8 Data 4 lto-llvm-ea22a7.o(.bss.reference_index_old) - [Anonymous Symbol] 0x2001d4c8 Section 0 lto-llvm-ea22a7.o(.bss.reference_index_old) - rx_buffer_step 0x2001d4cc Data 2 lto-llvm-ea22a7.o(.bss.rx_buffer_step) - [Anonymous Symbol] 0x2001d4cc Section 0 lto-llvm-ea22a7.o(.bss.rx_buffer_step) - startFreq 0x2001d4d0 Data 4 lto-llvm-ea22a7.o(.bss.startFreq) - [Anonymous Symbol] 0x2001d4d0 Section 0 lto-llvm-ea22a7.o(.bss.startFreq) - sysmenu_i 0x2001d4d4 Data 1 lto-llvm-ea22a7.o(.bss.sysmenu_i) - [Anonymous Symbol] 0x2001d4d4 Section 0 lto-llvm-ea22a7.o(.bss.sysmenu_i) - sysmenu_onroot 0x2001d4d5 Data 1 lto-llvm-ea22a7.o(.bss.sysmenu_onroot) - [Anonymous Symbol] 0x2001d4d5 Section 0 lto-llvm-ea22a7.o(.bss.sysmenu_onroot) - sysmenu_timeMenuOpened 0x2001d4d6 Data 1 lto-llvm-ea22a7.o(.bss.sysmenu_timeMenuOpened) - [Anonymous Symbol] 0x2001d4d6 Section 0 lto-llvm-ea22a7.o(.bss.sysmenu_timeMenuOpened) - sysmenu_trx_setCallsign_menu_opened 0x2001d4d7 Data 1 lto-llvm-ea22a7.o(.bss.sysmenu_trx_setCallsign_menu_opened) - [Anonymous Symbol] 0x2001d4d7 Section 0 lto-llvm-ea22a7.o(.bss.sysmenu_trx_setCallsign_menu_opened) - temp_buff 0x2001d4d8 Data 256 lto-llvm-ea22a7.o(.bss.temp_buff) - [Anonymous Symbol] 0x2001d4d8 Section 0 lto-llvm-ea22a7.o(.bss.temp_buff) - volume_gain 0x2001d5d8 Data 4 lto-llvm-ea22a7.o(.bss.volume_gain) - [Anonymous Symbol] 0x2001d5d8 Section 0 lto-llvm-ea22a7.o(.bss.volume_gain) - window_multipliers 0x2001d5dc Data 2048 lto-llvm-ea22a7.o(.bss.window_multipliers) - [Anonymous Symbol] 0x2001d5dc Section 0 lto-llvm-ea22a7.o(.bss.window_multipliers) - write_clone 0x2001dddc Data 404 lto-llvm-ea22a7.o(.bss.write_clone) - [Anonymous Symbol] 0x2001dddc Section 0 lto-llvm-ea22a7.o(.bss.write_clone) - zoomed_width 0x2001df70 Data 4 lto-llvm-ea22a7.o(.bss.zoomed_width) - [Anonymous Symbol] 0x2001df70 Section 0 lto-llvm-ea22a7.o(.bss.zoomed_width) - - Global Symbols - - Symbol Name Value Ov Type Size Object(Section) - - BuildAttributes$$THM_ISAv4$E$P$D$K$B$S$7EM$VFPi3$EXTD16$VFPS$VFMA$PE$A:L22UL41UL21$X:L11$S22US41US21$IEEE1$IW$~IW$USESV6$~STKCKD$USESV7$~SHL$OTIME$ROPI$IEEEX$EBA8$UX$STANDARDLIB$REQ8$PRES8$EABIv2 0x00000000 Number 0 anon$$obj.o ABSOLUTE - _printf_flags 0x00000000 Number 0 printf_stubs.o ABSOLUTE - _printf_return_value 0x00000000 Number 0 printf_stubs.o ABSOLUTE - _printf_sizespec 0x00000000 Number 0 printf_stubs.o ABSOLUTE - _printf_widthprec 0x00000000 Number 0 printf_stubs.o ABSOLUTE - __ARM_exceptions_init - Undefined Weak Reference - __alloca_initialize - Undefined Weak Reference - __arm_preinit_ - Undefined Weak Reference - __cpp_initialize__aeabi_ - Undefined Weak Reference - __cxa_finalize - Undefined Weak Reference - __sigvec_lookup - Undefined Weak Reference - __user_heap_extent - Undefined Weak Reference - _atexit_init - Undefined Weak Reference - _call_atexit_fns - Undefined Weak Reference - _clock_init - Undefined Weak Reference - _fp_trap_init - Undefined Weak Reference - _fp_trap_shutdown - Undefined Weak Reference - _get_lc_collate - Undefined Weak Reference - _get_lc_monetary - Undefined Weak Reference - _get_lc_time - Undefined Weak Reference - _getenv_init - Undefined Weak Reference - _handle_redirection - Undefined Weak Reference - _initio - Undefined Weak Reference - _mutex_acquire - Undefined Weak Reference - _mutex_free - Undefined Weak Reference - _mutex_release - Undefined Weak Reference - _printf_mbtowc - Undefined Weak Reference - _printf_wc - Undefined Weak Reference - _rand_init - Undefined Weak Reference - _signal_finish - Undefined Weak Reference - _signal_init - Undefined Weak Reference - _terminateio - Undefined Weak Reference - __Vectors_Size 0x00000188 Number 0 startup_stm32f407xx.o ABSOLUTE - __Vectors 0x08000000 Data 4 startup_stm32f407xx.o(RESET) - __Vectors_End 0x08000188 Data 0 startup_stm32f407xx.o(RESET) - __main 0x08000189 Thumb Code 8 __main.o(!!!main) - __scatterload 0x08000191 Thumb Code 0 __scatter.o(!!!scatter) - __scatterload_rt2 0x08000191 Thumb Code 44 __scatter.o(!!!scatter) - __scatterload_rt2_thumb_only 0x08000191 Thumb Code 0 __scatter.o(!!!scatter) - __scatterload_null 0x0800019f Thumb Code 0 __scatter.o(!!!scatter) - __decompress 0x080001c5 Thumb Code 90 __dczerorl2.o(!!dczerorl2) - __decompress1 0x080001c5 Thumb Code 0 __dczerorl2.o(!!dczerorl2) - __scatterload_zeroinit 0x08000221 Thumb Code 28 __scatter_zi.o(!!handler_zi) - _printf_f 0x0800023d Thumb Code 0 _printf_f.o(.ARM.Collect$$_printf_percent$$00000003) - _printf_percent 0x0800023d Thumb Code 0 _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) - _printf_e 0x08000243 Thumb Code 0 _printf_e.o(.ARM.Collect$$_printf_percent$$00000004) - _printf_g 0x08000249 Thumb Code 0 _printf_g.o(.ARM.Collect$$_printf_percent$$00000005) - _printf_a 0x0800024f Thumb Code 0 _printf_a.o(.ARM.Collect$$_printf_percent$$00000006) - _printf_i 0x08000255 Thumb Code 0 _printf_i.o(.ARM.Collect$$_printf_percent$$00000008) - _printf_d 0x0800025b Thumb Code 0 _printf_d.o(.ARM.Collect$$_printf_percent$$00000009) - _printf_u 0x08000261 Thumb Code 0 _printf_u.o(.ARM.Collect$$_printf_percent$$0000000A) - _printf_o 0x08000267 Thumb Code 0 _printf_o.o(.ARM.Collect$$_printf_percent$$0000000B) - _printf_x 0x0800026d Thumb Code 0 _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) - _printf_l 0x08000273 Thumb Code 0 _printf_l.o(.ARM.Collect$$_printf_percent$$00000012) - _printf_c 0x0800027d Thumb Code 0 _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) - _printf_lc 0x08000283 Thumb Code 0 _printf_lc.o(.ARM.Collect$$_printf_percent$$00000015) - _printf_percent_end 0x08000289 Thumb Code 0 _printf_percent_end.o(.ARM.Collect$$_printf_percent$$00000017) - __rt_lib_init 0x0800028d Thumb Code 0 libinit.o(.ARM.Collect$$libinit$$00000000) - __rt_lib_init_fp_1 0x0800028f Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$00000001) - __rt_lib_init_heap_2 0x08000293 Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$00000005) - __rt_lib_init_preinit_1 0x08000293 Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$00000004) - __rt_lib_init_heap_1 0x0800029b Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$0000000A) - __rt_lib_init_lc_common 0x0800029b Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$0000000F) - __rt_lib_init_rand_1 0x0800029b Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$0000000E) - __rt_lib_init_user_alloc_1 0x0800029b Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$0000000C) - __rt_lib_init_lc_collate_1 0x080002a1 Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$00000011) - __rt_lib_init_lc_ctype_2 0x080002a1 Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$00000012) - __rt_lib_init_lc_ctype_1 0x080002ad Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$00000013) - __rt_lib_init_lc_monetary_1 0x080002ad Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$00000015) - __rt_lib_init_lc_numeric_2 0x080002ad Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$00000016) - __rt_lib_init_alloca_1 0x080002b7 Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$0000002E) - __rt_lib_init_argv_1 0x080002b7 Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$0000002C) - __rt_lib_init_atexit_1 0x080002b7 Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$0000001B) - __rt_lib_init_clock_1 0x080002b7 Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$00000021) - __rt_lib_init_cpp_1 0x080002b7 Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$00000032) - __rt_lib_init_exceptions_1 0x080002b7 Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$00000030) - __rt_lib_init_fp_trap_1 0x080002b7 Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$0000001F) - __rt_lib_init_getenv_1 0x080002b7 Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$00000023) - __rt_lib_init_lc_numeric_1 0x080002b7 Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$00000017) - __rt_lib_init_lc_time_1 0x080002b7 Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$00000019) - __rt_lib_init_return 0x080002b7 Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$00000033) - __rt_lib_init_signal_1 0x080002b7 Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$0000001D) - __rt_lib_init_stdio_1 0x080002b7 Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$00000025) - __rt_lib_shutdown 0x080002b9 Thumb Code 0 libshutdown.o(.ARM.Collect$$libshutdown$$00000000) - __rt_lib_shutdown_cpp_1 0x080002bb Thumb Code 0 libshutdown2.o(.ARM.Collect$$libshutdown$$00000002) - __rt_lib_shutdown_fp_trap_1 0x080002bb Thumb Code 0 libshutdown2.o(.ARM.Collect$$libshutdown$$00000007) - __rt_lib_shutdown_heap_1 0x080002bb Thumb Code 0 libshutdown2.o(.ARM.Collect$$libshutdown$$0000000F) - __rt_lib_shutdown_return 0x080002bb Thumb Code 0 libshutdown2.o(.ARM.Collect$$libshutdown$$00000010) - __rt_lib_shutdown_signal_1 0x080002bb Thumb Code 0 libshutdown2.o(.ARM.Collect$$libshutdown$$0000000A) - __rt_lib_shutdown_stdio_1 0x080002bb Thumb Code 0 libshutdown2.o(.ARM.Collect$$libshutdown$$00000004) - __rt_lib_shutdown_user_alloc_1 0x080002bb Thumb Code 0 libshutdown2.o(.ARM.Collect$$libshutdown$$0000000C) - __rt_entry 0x080002bd Thumb Code 0 __rtentry.o(.ARM.Collect$$rtentry$$00000000) - __rt_entry_presh_1 0x080002bd Thumb Code 0 __rtentry2.o(.ARM.Collect$$rtentry$$00000002) - __rt_entry_sh 0x080002bd Thumb Code 0 __rtentry4.o(.ARM.Collect$$rtentry$$00000004) - __rt_entry_li 0x080002c3 Thumb Code 0 __rtentry2.o(.ARM.Collect$$rtentry$$0000000A) - __rt_entry_postsh_1 0x080002c3 Thumb Code 0 __rtentry2.o(.ARM.Collect$$rtentry$$00000009) - __rt_entry_main 0x080002c7 Thumb Code 0 __rtentry2.o(.ARM.Collect$$rtentry$$0000000D) - __rt_entry_postli_1 0x080002c7 Thumb Code 0 __rtentry2.o(.ARM.Collect$$rtentry$$0000000C) - __rt_exit 0x080002cf Thumb Code 0 rtexit.o(.ARM.Collect$$rtexit$$00000000) - __rt_exit_ls 0x080002d1 Thumb Code 0 rtexit2.o(.ARM.Collect$$rtexit$$00000003) - __rt_exit_prels_1 0x080002d1 Thumb Code 0 rtexit2.o(.ARM.Collect$$rtexit$$00000002) - __rt_exit_exit 0x080002d5 Thumb Code 0 rtexit2.o(.ARM.Collect$$rtexit$$00000004) - Reset_Handler 0x080002dd Thumb Code 8 startup_stm32f407xx.o(.text) - ADC_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - CAN1_RX0_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - CAN1_RX1_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - CAN1_SCE_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - CAN1_TX_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - CAN2_RX0_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - CAN2_RX1_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - CAN2_SCE_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - CAN2_TX_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - DCMI_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - DMA1_Stream1_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - DMA1_Stream2_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - DMA1_Stream3_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - DMA1_Stream4_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - DMA1_Stream6_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - DMA1_Stream7_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - DMA2_Stream0_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - DMA2_Stream3_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - DMA2_Stream4_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - DMA2_Stream7_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - ETH_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - ETH_WKUP_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - EXTI4_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - FLASH_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - FMC_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - FPU_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - HASH_RNG_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - I2C1_ER_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - I2C1_EV_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - I2C2_ER_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - I2C2_EV_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - I2C3_ER_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - I2C3_EV_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - OTG_FS_WKUP_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - OTG_HS_EP1_IN_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - OTG_HS_EP1_OUT_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - OTG_HS_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - OTG_HS_WKUP_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - PVD_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - RCC_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - RTC_Alarm_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - RTC_WKUP_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - SDIO_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - SPI1_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - SPI2_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - TAMP_STAMP_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - TIM1_BRK_TIM9_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - TIM1_CC_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - TIM1_TRG_COM_TIM11_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - TIM1_UP_TIM10_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - TIM2_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - TIM8_BRK_TIM12_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - TIM8_CC_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - TIM8_TRG_COM_TIM14_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - UART4_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - UART5_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - USART1_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - USART2_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - USART3_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - USART6_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - WWDG_IRQHandler 0x080002f7 Thumb Code 0 startup_stm32f407xx.o(.text) - __user_initial_stackheap 0x080002f9 Thumb Code 0 startup_stm32f407xx.o(.text) - malloc 0x0800031d Thumb Code 94 h1_alloc.o(.text) - free 0x0800037b Thumb Code 78 h1_free.o(.text) - __2sprintf 0x080003c9 Thumb Code 34 noretval__2sprintf.o(.text) - _printf_pre_padding 0x080003f1 Thumb Code 44 _printf_pad.o(.text) - _printf_post_padding 0x0800041d Thumb Code 34 _printf_pad.o(.text) - _printf_truncate_signed 0x0800043f Thumb Code 18 _printf_truncate.o(.text) - _printf_truncate_unsigned 0x08000451 Thumb Code 18 _printf_truncate.o(.text) - _printf_str 0x08000463 Thumb Code 82 _printf_str.o(.text) - _printf_int_dec 0x080004b5 Thumb Code 104 _printf_dec.o(.text) - _printf_wctomb 0x0800052d Thumb Code 182 _printf_wctomb.o(.text) - _printf_int_oct 0x080005e9 Thumb Code 74 _printf_oct_int.o(.text) - _printf_longlong_oct 0x080005e9 Thumb Code 0 _printf_oct_int.o(.text) - _printf_int_hex 0x0800063d Thumb Code 84 _printf_hex_int.o(.text) - _printf_longlong_hex 0x0800063d Thumb Code 0 _printf_hex_int.o(.text) - __printf 0x08000695 Thumb Code 388 __printf_flags_ss_wp.o(.text) - atoi 0x0800081d Thumb Code 26 atoi.o(.text) - memcmp 0x08000837 Thumb Code 88 memcmp.o(.text) - strcpy 0x0800088f Thumb Code 72 strcpy.o(.text) - strlen 0x080008d7 Thumb Code 62 strlen.o(.text) - strcat 0x08000915 Thumb Code 24 strcat.o(.text) - strncpy 0x0800092d Thumb Code 86 strncpy.o(.text) - strcmp 0x08000989 Thumb Code 124 strcmpv7em.o(.text) - __use_two_region_memory 0x08000a05 Thumb Code 2 heapauxi.o(.text) - __rt_heap_escrow 0x08000a07 Thumb Code 2 heapauxi.o(.text) - __rt_heap_expand 0x08000a09 Thumb Code 2 heapauxi.o(.text) - __rt_heap_descriptor 0x08000a0d Thumb Code 8 rt_heap_descriptor_intlibspace.o(.text) - __aeabi_errno_addr 0x08000a15 Thumb Code 8 rt_errno_addr_intlibspace.o(.text) - __errno$intlibspace 0x08000a15 Thumb Code 0 rt_errno_addr_intlibspace.o(.text) - __rt_errno_addr$intlibspace 0x08000a15 Thumb Code 0 rt_errno_addr_intlibspace.o(.text) - __use_no_heap 0x08000a1d Thumb Code 2 hguard.o(.text) - __heap$guard 0x08000a1f Thumb Code 2 hguard.o(.text) - _terminate_user_alloc 0x08000a21 Thumb Code 2 init_alloc.o(.text) - _init_user_alloc 0x08000a23 Thumb Code 2 init_alloc.o(.text) - __Heap_Full 0x08000a25 Thumb Code 34 init_alloc.o(.text) - __Heap_Broken 0x08000a47 Thumb Code 6 init_alloc.o(.text) - _init_alloc 0x08000a4d Thumb Code 94 init_alloc.o(.text) - __Heap_Initialize 0x08000aab Thumb Code 10 h1_init.o(.text) - __Heap_DescSize 0x08000ab5 Thumb Code 4 h1_init.o(.text) - __read_errno 0x08000ab9 Thumb Code 10 _rserrno.o(.text) - __set_errno 0x08000ac3 Thumb Code 12 _rserrno.o(.text) - _printf_int_common 0x08000acf Thumb Code 178 _printf_intcommon.o(.text) - _printf_fp_dec_real 0x08000d31 Thumb Code 620 _printf_fp_dec.o(.text) - _printf_fp_hex_real 0x08000f9d Thumb Code 756 _printf_fp_hex.o(.text) - _printf_char_common 0x080012a3 Thumb Code 32 _printf_char_common.o(.text) - _sputc 0x080012c9 Thumb Code 10 _sputc.o(.text) - _printf_cs_common 0x080012d3 Thumb Code 20 _printf_char.o(.text) - _printf_char 0x080012e7 Thumb Code 16 _printf_char.o(.text) - _printf_string 0x080012f7 Thumb Code 8 _printf_char.o(.text) - _printf_lcs_common 0x080012ff Thumb Code 20 _printf_wchar.o(.text) - _printf_wchar 0x08001313 Thumb Code 16 _printf_wchar.o(.text) - _printf_wstring 0x08001323 Thumb Code 8 _printf_wchar.o(.text) - strtol 0x0800132b Thumb Code 112 strtol.o(.text) - _c16rtomb 0x0800139b Thumb Code 72 _c16rtomb.o(.text) - _wcrtomb 0x0800139b Thumb Code 0 _c16rtomb.o(.text) - __aeabi_memcpy4 0x080013e3 Thumb Code 0 rt_memcpy_w.o(.text) - __aeabi_memcpy8 0x080013e3 Thumb Code 0 rt_memcpy_w.o(.text) - __rt_memcpy_w 0x080013e3 Thumb Code 100 rt_memcpy_w.o(.text) - _memcpy_lastbytes_aligned 0x0800142b Thumb Code 0 rt_memcpy_w.o(.text) - __aeabi_memclr 0x08001447 Thumb Code 0 rt_memclr.o(.text) - __rt_memclr 0x08001447 Thumb Code 68 rt_memclr.o(.text) - _memset 0x0800144b Thumb Code 0 rt_memclr.o(.text) - __user_libspace 0x0800148d Thumb Code 8 libspace.o(.text) - __user_perproc_libspace 0x0800148d Thumb Code 0 libspace.o(.text) - __user_perthread_libspace 0x0800148d Thumb Code 0 libspace.o(.text) - __rt_ctype_table 0x08001495 Thumb Code 16 rt_ctype_table.o(.text) - __rt_locale 0x080014a5 Thumb Code 8 rt_locale_intlibspace.o(.text) - __Heap_ProvideMemory 0x080014ad Thumb Code 52 h1_extend.o(.text) - _maybe_terminate_alloc 0x080014ad Thumb Code 0 maybetermalloc1.o(.text) - _ll_udiv10 0x080014e1 Thumb Code 138 lludiv10.o(.text) - _printf_fp_infnan 0x0800156d Thumb Code 112 _printf_fp_infnan.o(.text) - _strtoul 0x080015ed Thumb Code 158 _strtoul.o(.text) - _btod_etento 0x0800168d Thumb Code 224 bigflt0.o(.text) - __rt_SIGRTMEM 0x08001771 Thumb Code 14 defsig_rtmem_outer.o(.text) - __aeabi_memclr4 0x0800177f Thumb Code 0 rt_memclr_w.o(.text) - __aeabi_memclr8 0x0800177f Thumb Code 0 rt_memclr_w.o(.text) - __rt_memclr_w 0x0800177f Thumb Code 78 rt_memclr_w.o(.text) - _memset_w 0x08001783 Thumb Code 0 rt_memclr_w.o(.text) - __I$use$semihosting 0x080017cd Thumb Code 0 use_no_semi.o(.text) - __use_no_semihosting_swi 0x080017cd Thumb Code 2 use_no_semi.o(.text) - __semihosting_library_function 0x080017cf Thumb Code 0 indicate_semi.o(.text) - __user_setup_stackheap 0x080017cf Thumb Code 74 sys_stackheap_outer.o(.text) - _chval 0x08001819 Thumb Code 28 _chval.o(.text) - exit 0x08001835 Thumb Code 18 exit.o(.text) - __sig_exit 0x08001847 Thumb Code 10 defsig_exit.o(.text) - __rt_SIGRTMEM_inner 0x08001851 Thumb Code 22 defsig_rtmem_inner.o(.text) - _sys_exit 0x080018a1 Thumb Code 8 sys_exit.o(.text) - __default_signal_display 0x080018ad Thumb Code 50 defsig_general.o(.text) - _ttywrch 0x080018df Thumb Code 14 sys_wrch.o(.text) - __aeabi_uldivmod 0x080018ed Thumb Code 0 lludivv7m.o(.text) - _ll_udiv 0x080018ed Thumb Code 240 lludivv7m.o(.text) - __aeabi_memcpy 0x080019dd Thumb Code 0 rt_memcpy_v6.o(.text) - __rt_memcpy 0x080019dd Thumb Code 138 rt_memcpy_v6.o(.text) - _memcpy_lastbytes 0x08001a43 Thumb Code 0 rt_memcpy_v6.o(.text) - __aeabi_memset 0x08001a67 Thumb Code 16 aeabi_memset.o(.text) - __aeabi_memset4 0x08001a77 Thumb Code 16 aeabi_memset4.o(.text) - __aeabi_memset8 0x08001a77 Thumb Code 0 aeabi_memset4.o(.text) - BusFault_Handler 0x08001ba9 Thumb Code 140 lto-llvm-ea22a7.o(.text.BusFault_Handler) - DMA1_Stream0_IRQHandler 0x08001fcd Thumb Code 82 lto-llvm-ea22a7.o(.text.DMA1_Stream0_IRQHandler) - DMA1_Stream5_IRQHandler 0x08002021 Thumb Code 82 lto-llvm-ea22a7.o(.text.DMA1_Stream5_IRQHandler) - DMA2_Stream1_IRQHandler 0x08002075 Thumb Code 82 lto-llvm-ea22a7.o(.text.DMA2_Stream1_IRQHandler) - DMA2_Stream2_IRQHandler 0x080020c9 Thumb Code 82 lto-llvm-ea22a7.o(.text.DMA2_Stream2_IRQHandler) - DMA2_Stream5_IRQHandler 0x0800211d Thumb Code 22 lto-llvm-ea22a7.o(.text.DMA2_Stream5_IRQHandler) - DMA2_Stream6_IRQHandler 0x08002135 Thumb Code 92 lto-llvm-ea22a7.o(.text.DMA2_Stream6_IRQHandler) - DebugMon_Handler 0x08002191 Thumb Code 74 lto-llvm-ea22a7.o(.text.DebugMon_Handler) - EXTI0_IRQHandler 0x080029ed Thumb Code 94 lto-llvm-ea22a7.o(.text.EXTI0_IRQHandler) - EXTI15_10_IRQHandler 0x08002a4d Thumb Code 78 lto-llvm-ea22a7.o(.text.EXTI15_10_IRQHandler) - EXTI1_IRQHandler 0x08002a9d Thumb Code 94 lto-llvm-ea22a7.o(.text.EXTI1_IRQHandler) - EXTI2_IRQHandler 0x08002afd Thumb Code 76 lto-llvm-ea22a7.o(.text.EXTI2_IRQHandler) - EXTI3_IRQHandler 0x08002b49 Thumb Code 76 lto-llvm-ea22a7.o(.text.EXTI3_IRQHandler) - EXTI9_5_IRQHandler 0x08002b95 Thumb Code 112 lto-llvm-ea22a7.o(.text.EXTI9_5_IRQHandler) - HardFault_Handler 0x080088e9 Thumb Code 140 lto-llvm-ea22a7.o(.text.HardFault_Handler) - MemManage_Handler 0x0800db81 Thumb Code 144 lto-llvm-ea22a7.o(.text.MemManage_Handler) - NMI_Handler 0x0800df69 Thumb Code 74 lto-llvm-ea22a7.o(.text.NMI_Handler) - OTG_FS_IRQHandler 0x0800dfb5 Thumb Code 6086 lto-llvm-ea22a7.o(.text.OTG_FS_IRQHandler) - PendSV_Handler 0x0800f77d Thumb Code 74 lto-llvm-ea22a7.o(.text.PendSV_Handler) - SPI3_IRQHandler 0x08010211 Thumb Code 82 lto-llvm-ea22a7.o(.text.SPI3_IRQHandler) - SVC_Handler 0x08010a65 Thumb Code 74 lto-llvm-ea22a7.o(.text.SVC_Handler) - SysTick_Handler 0x08014869 Thumb Code 86 lto-llvm-ea22a7.o(.text.SysTick_Handler) - SystemInit 0x080148c1 Thumb Code 26 lto-llvm-ea22a7.o(.text.SystemInit) - TIM3_IRQHandler 0x080148dd Thumb Code 382 lto-llvm-ea22a7.o(.text.TIM3_IRQHandler) - TIM4_IRQHandler 0x08014a5d Thumb Code 10040 lto-llvm-ea22a7.o(.text.TIM4_IRQHandler) - TIM5_IRQHandler 0x08017195 Thumb Code 15224 lto-llvm-ea22a7.o(.text.TIM5_IRQHandler) - TIM6_DAC_IRQHandler 0x0801ad0d Thumb Code 9612 lto-llvm-ea22a7.o(.text.TIM6_DAC_IRQHandler) - TIM7_IRQHandler 0x0801d299 Thumb Code 162 lto-llvm-ea22a7.o(.text.TIM7_IRQHandler) - TIM8_UP_TIM13_IRQHandler 0x0801d33d Thumb Code 112 lto-llvm-ea22a7.o(.text.TIM8_UP_TIM13_IRQHandler) - UsageFault_Handler 0x0802008d Thumb Code 140 lto-llvm-ea22a7.o(.text.UsageFault_Handler) - main 0x0802412d Thumb Code 12672 lto-llvm-ea22a7.o(.text.main) - _btod_d2e 0x080281dd Thumb Code 62 btod.o(CL$$btod_d2e) - _d2e_denorm_low 0x0802821b Thumb Code 70 btod.o(CL$$btod_d2e_denorm_low) - _d2e_norm_op1 0x08028261 Thumb Code 96 btod.o(CL$$btod_d2e_norm_op1) - __btod_div_common 0x080282c1 Thumb Code 696 btod.o(CL$$btod_div_common) - _e2e 0x080285f9 Thumb Code 220 btod.o(CL$$btod_e2e) - _btod_ediv 0x080286d5 Thumb Code 42 btod.o(CL$$btod_ediv) - _btod_emul 0x080286ff Thumb Code 42 btod.o(CL$$btod_emul) - __btod_mult_common 0x08028729 Thumb Code 580 btod.o(CL$$btod_mult_common) - __ARM_fpclassify 0x0802896d Thumb Code 48 fpclassify.o(i.__ARM_fpclassify) - __ARM_fpclassifyf 0x0802899d Thumb Code 38 fpclassifyf.o(i.__ARM_fpclassifyf) - __hardfp_acos 0x080289c9 Thumb Code 738 acos.o(i.__hardfp_acos) - __hardfp_acosh 0x08028d21 Thumb Code 332 acosh.o(i.__hardfp_acosh) - __hardfp_asinf 0x08028ea1 Thumb Code 258 asinf.o(i.__hardfp_asinf) - __hardfp_atan2f 0x08028fcd Thumb Code 594 atan2f.o(i.__hardfp_atan2f) - __hardfp_cos 0x08029279 Thumb Code 180 cos.o(i.__hardfp_cos) - __hardfp_cosh 0x08029341 Thumb Code 358 cosh.o(i.__hardfp_cosh) - __hardfp_exp 0x080294d9 Thumb Code 714 exp.o(i.__hardfp_exp) - __hardfp_exp2f 0x08029831 Thumb Code 406 exp2f.o(i.__hardfp_exp2f) - __hardfp_fmodf 0x080299f9 Thumb Code 176 fmodf.o(i.__hardfp_fmodf) - __hardfp_frexpf 0x08029aa9 Thumb Code 80 frexpf.o(i.__hardfp_frexpf) - __hardfp_log 0x08029b01 Thumb Code 872 log.o(i.__hardfp_log) - __ieee754_rem_pio2 0x08029ec9 Thumb Code 938 rred.o(i.__ieee754_rem_pio2) - __kernel_cos 0x0802a301 Thumb Code 322 cos_i.o(i.__kernel_cos) - __kernel_poly 0x0802a471 Thumb Code 248 poly.o(i.__kernel_poly) - __kernel_sin 0x0802a569 Thumb Code 280 sin_i.o(i.__kernel_sin) - __mathlib_dbl_divzero 0x0802a699 Thumb Code 28 dunder.o(i.__mathlib_dbl_divzero) - __mathlib_dbl_infnan 0x0802a6c9 Thumb Code 20 dunder.o(i.__mathlib_dbl_infnan) - __mathlib_dbl_invalid 0x0802a6e1 Thumb Code 24 dunder.o(i.__mathlib_dbl_invalid) - __mathlib_dbl_overflow 0x0802a701 Thumb Code 24 dunder.o(i.__mathlib_dbl_overflow) - __mathlib_dbl_posinfnan 0x0802a721 Thumb Code 20 dunder.o(i.__mathlib_dbl_posinfnan) - __mathlib_dbl_underflow 0x0802a739 Thumb Code 24 dunder.o(i.__mathlib_dbl_underflow) - __mathlib_expm1 0x0802a759 Thumb Code 1268 expm1_i.o(i.__mathlib_expm1) - __mathlib_flt_infnan 0x0802ac4d Thumb Code 6 funder.o(i.__mathlib_flt_infnan) - __mathlib_flt_infnan2 0x0802ac53 Thumb Code 6 funder.o(i.__mathlib_flt_infnan2) - __mathlib_flt_invalid 0x0802ac59 Thumb Code 10 funder.o(i.__mathlib_flt_invalid) - __mathlib_flt_overflow 0x0802ac69 Thumb Code 10 funder.o(i.__mathlib_flt_overflow) - __mathlib_flt_underflow 0x0802ac79 Thumb Code 10 funder.o(i.__mathlib_flt_underflow) - __mathlib_log1p 0x0802ac89 Thumb Code 982 log1p_i.o(i.__mathlib_log1p) - _is_digit 0x0802b0e1 Thumb Code 14 __printf_wp.o(i._is_digit) - ceilf 0x0802b0f1 Thumb Code 100 ceilf.o(i.ceilf) - cos 0x0802b15d Thumb Code 16 cos.o(i.cos) - exp 0x0802b16d Thumb Code 16 exp.o(i.exp) - exp2f 0x0802b17d Thumb Code 16 exp2f.o(i.exp2f) - fabs 0x0802b18d Thumb Code 24 fabs.o(i.fabs) - floorf 0x0802b1a5 Thumb Code 100 floorf.o(i.floorf) - fmodf 0x0802b215 Thumb Code 20 fmodf.o(i.fmodf) - log 0x0802b229 Thumb Code 16 log.o(i.log) - round 0x0802b239 Thumb Code 192 round.o(i.round) - sqrt 0x0802b311 Thumb Code 110 sqrt.o(i.sqrt) - sqrtf 0x0802b37f Thumb Code 62 sqrtf.o(i.sqrtf) - _get_lc_numeric 0x0802b3bd Thumb Code 44 lc_numeric_c.o(locale$$code) - _get_lc_ctype 0x0802b3e9 Thumb Code 44 lc_ctype_c.o(locale$$code) - __aeabi_dneg 0x0802b415 Thumb Code 0 basic.o(x$fpl$basic) - _dneg 0x0802b415 Thumb Code 6 basic.o(x$fpl$basic) - __aeabi_fneg 0x0802b41b Thumb Code 0 basic.o(x$fpl$basic) - _fneg 0x0802b41b Thumb Code 6 basic.o(x$fpl$basic) - _dabs 0x0802b421 Thumb Code 6 basic.o(x$fpl$basic) - _fabs 0x0802b427 Thumb Code 6 basic.o(x$fpl$basic) - __aeabi_d2f 0x0802b42d Thumb Code 0 d2f.o(x$fpl$d2f) - _d2f 0x0802b42d Thumb Code 98 d2f.o(x$fpl$d2f) - __aeabi_dadd 0x0802b491 Thumb Code 0 daddsub_clz.o(x$fpl$dadd) - _dadd 0x0802b491 Thumb Code 332 daddsub_clz.o(x$fpl$dadd) - __fpl_dcmp_Inf 0x0802b5e1 Thumb Code 24 dcmpi.o(x$fpl$dcmpinf) - __aeabi_ddiv 0x0802b5f9 Thumb Code 0 ddiv.o(x$fpl$ddiv) - _ddiv 0x0802b5f9 Thumb Code 552 ddiv.o(x$fpl$ddiv) - __aeabi_cdcmpeq 0x0802b8a9 Thumb Code 0 deqf.o(x$fpl$deqf) - _dcmpeq 0x0802b8a9 Thumb Code 120 deqf.o(x$fpl$deqf) - __aeabi_d2iz 0x0802b921 Thumb Code 0 dfix.o(x$fpl$dfix) - _dfix 0x0802b921 Thumb Code 94 dfix.o(x$fpl$dfix) - __aeabi_d2uiz 0x0802b981 Thumb Code 0 dfixu.o(x$fpl$dfixu) - _dfixu 0x0802b981 Thumb Code 90 dfixu.o(x$fpl$dfixu) - __aeabi_i2d 0x0802b9db Thumb Code 0 dflt_clz.o(x$fpl$dflt) - _dflt 0x0802b9db Thumb Code 46 dflt_clz.o(x$fpl$dflt) - __aeabi_ui2d 0x0802ba09 Thumb Code 0 dflt_clz.o(x$fpl$dfltu) - _dfltu 0x0802ba09 Thumb Code 38 dflt_clz.o(x$fpl$dfltu) - __aeabi_cdcmpge 0x0802ba31 Thumb Code 0 dgeqf.o(x$fpl$dgeqf) - _dcmpge 0x0802ba31 Thumb Code 120 dgeqf.o(x$fpl$dgeqf) - __aeabi_cdcmple 0x0802baa9 Thumb Code 0 dleqf.o(x$fpl$dleqf) - _dcmple 0x0802baa9 Thumb Code 120 dleqf.o(x$fpl$dleqf) - __fpl_dcmple_InfNaN 0x0802bb0b Thumb Code 0 dleqf.o(x$fpl$dleqf) - __aeabi_dmul 0x0802bb21 Thumb Code 0 dmul.o(x$fpl$dmul) - _dmul 0x0802bb21 Thumb Code 332 dmul.o(x$fpl$dmul) - __fpl_dnaninf 0x0802bc75 Thumb Code 156 dnaninf.o(x$fpl$dnaninf) - __fpl_dretinf 0x0802bd11 Thumb Code 12 dretinf.o(x$fpl$dretinf) - __aeabi_cdrcmple 0x0802bd1d Thumb Code 0 drleqf.o(x$fpl$drleqf) - _drcmple 0x0802bd1d Thumb Code 108 drleqf.o(x$fpl$drleqf) - _drnd 0x0802bd89 Thumb Code 180 drnd.o(x$fpl$drnd) - __aeabi_drsub 0x0802be3d Thumb Code 0 daddsub_clz.o(x$fpl$drsb) - _drsb 0x0802be3d Thumb Code 22 daddsub_clz.o(x$fpl$drsb) - _dsqrt 0x0802be55 Thumb Code 404 dsqrt_umaal.o(x$fpl$dsqrt) - __aeabi_dsub 0x0802bfed Thumb Code 0 daddsub_clz.o(x$fpl$dsub) - _dsub 0x0802bfed Thumb Code 464 daddsub_clz.o(x$fpl$dsub) - __aeabi_f2d 0x0802c1c1 Thumb Code 0 f2d.o(x$fpl$f2d) - _f2d 0x0802c1c1 Thumb Code 86 f2d.o(x$fpl$f2d) - __aeabi_dcmpeq 0x0802c217 Thumb Code 0 dcmp.o(x$fpl$fcmp) - _deq 0x0802c217 Thumb Code 14 dcmp.o(x$fpl$fcmp) - _dneq 0x0802c225 Thumb Code 14 dcmp.o(x$fpl$fcmp) - __aeabi_dcmpgt 0x0802c233 Thumb Code 0 dcmp.o(x$fpl$fcmp) - _dgr 0x0802c233 Thumb Code 14 dcmp.o(x$fpl$fcmp) - __aeabi_dcmpge 0x0802c241 Thumb Code 0 dcmp.o(x$fpl$fcmp) - _dgeq 0x0802c241 Thumb Code 14 dcmp.o(x$fpl$fcmp) - __aeabi_dcmple 0x0802c24f Thumb Code 0 dcmp.o(x$fpl$fcmp) - _dleq 0x0802c24f Thumb Code 14 dcmp.o(x$fpl$fcmp) - __aeabi_dcmplt 0x0802c25d Thumb Code 0 dcmp.o(x$fpl$fcmp) - _dls 0x0802c25d Thumb Code 14 dcmp.o(x$fpl$fcmp) - __fpl_fnaninf 0x0802c26b Thumb Code 140 fnaninf.o(x$fpl$fnaninf) - _fp_init 0x0802c2f7 Thumb Code 26 fpinit.o(x$fpl$fpinit) - __fplib_config_fpu_vfp 0x0802c30f Thumb Code 0 fpinit.o(x$fpl$fpinit) - __fplib_config_pureend_doubles 0x0802c30f Thumb Code 0 fpinit.o(x$fpl$fpinit) - _frem 0x0802c311 Thumb Code 240 frem_clz.o(x$fpl$frem) - __fpl_fretinf 0x0802c405 Thumb Code 10 fretinf.o(x$fpl$fretinf) - __aeabi_f2lz 0x0802c411 Thumb Code 0 ffixll.o(x$fpl$llsfromf) - _ll_sfrom_f 0x0802c411 Thumb Code 134 ffixll.o(x$fpl$llsfromf) - _printf_fp_dec 0x0802c497 Thumb Code 4 printf1.o(x$fpl$printf1) - _printf_fp_hex 0x0802c49b Thumb Code 4 printf2.o(x$fpl$printf2) - __I$use$fp 0x0802c49e Number 0 usenofp.o(x$fpl$usenofp) - __mathlib_zero 0x0802c6f0 Data 8 qnan.o(.constdata) - Region$$Table$$Base 0x08042c0c Number 0 anon$$obj.o(Region$$Table) - Region$$Table$$Limit 0x08042c4c Number 0 anon$$obj.o(Region$$Table) - __ctype 0x08042c75 Data 0 lc_ctype_c.o(locale$$data) - __libspace_start 0x2001a430 Data 96 libspace.o(.bss) - __temporary_stack_top$libspace 0x2001a490 Data 0 libspace.o(.bss) - - - -============================================================================== - -Memory Map of the image - - Image Entry point : 0x08000189 - - Load Region LR_IROM1 (Base: 0x08000000, Size: 0x0005fb20, Max: 0x00080000, ABSOLUTE, COMPRESSED[0x00044ca8]) - - Execution Region ER_IROM1 (Exec base: 0x08000000, Load base: 0x08000000, Size: 0x00042d78, Max: 0x00080000, ABSOLUTE) - - Exec Addr Load Addr Size Type Attr Idx E Section Name Object - - 0x08000000 0x08000000 0x00000188 Data RO 3 RESET startup_stm32f407xx.o - 0x08000188 0x08000188 0x00000008 Code RO 241 * !!!main c_w.l(__main.o) - 0x08000190 0x08000190 0x00000034 Code RO 1886 !!!scatter c_w.l(__scatter.o) - 0x080001c4 0x080001c4 0x0000005a Code RO 1884 !!dczerorl2 c_w.l(__dczerorl2.o) - 0x0800021e 0x0800021e 0x00000002 PAD - 0x08000220 0x08000220 0x0000001c Code RO 1888 !!handler_zi c_w.l(__scatter_zi.o) - 0x0800023c 0x0800023c 0x00000000 Code RO 223 .ARM.Collect$$_printf_percent$$00000000 c_w.l(_printf_percent.o) - 0x0800023c 0x0800023c 0x00000006 Code RO 219 .ARM.Collect$$_printf_percent$$00000003 c_w.l(_printf_f.o) - 0x08000242 0x08000242 0x00000006 Code RO 220 .ARM.Collect$$_printf_percent$$00000004 c_w.l(_printf_e.o) - 0x08000248 0x08000248 0x00000006 Code RO 221 .ARM.Collect$$_printf_percent$$00000005 c_w.l(_printf_g.o) - 0x0800024e 0x0800024e 0x00000006 Code RO 222 .ARM.Collect$$_printf_percent$$00000006 c_w.l(_printf_a.o) - 0x08000254 0x08000254 0x00000006 Code RO 216 .ARM.Collect$$_printf_percent$$00000008 c_w.l(_printf_i.o) - 0x0800025a 0x0800025a 0x00000006 Code RO 217 .ARM.Collect$$_printf_percent$$00000009 c_w.l(_printf_d.o) - 0x08000260 0x08000260 0x00000006 Code RO 218 .ARM.Collect$$_printf_percent$$0000000A c_w.l(_printf_u.o) - 0x08000266 0x08000266 0x00000006 Code RO 215 .ARM.Collect$$_printf_percent$$0000000B c_w.l(_printf_o.o) - 0x0800026c 0x0800026c 0x00000006 Code RO 214 .ARM.Collect$$_printf_percent$$0000000C c_w.l(_printf_x.o) - 0x08000272 0x08000272 0x0000000a Code RO 346 .ARM.Collect$$_printf_percent$$00000012 c_w.l(_printf_l.o) - 0x0800027c 0x0800027c 0x00000006 Code RO 213 .ARM.Collect$$_printf_percent$$00000013 c_w.l(_printf_c.o) - 0x08000282 0x08000282 0x00000006 Code RO 224 .ARM.Collect$$_printf_percent$$00000015 c_w.l(_printf_lc.o) - 0x08000288 0x08000288 0x00000004 Code RO 345 .ARM.Collect$$_printf_percent$$00000017 c_w.l(_printf_percent_end.o) - 0x0800028c 0x0800028c 0x00000002 Code RO 640 .ARM.Collect$$libinit$$00000000 c_w.l(libinit.o) - 0x0800028e 0x0800028e 0x00000004 Code RO 357 .ARM.Collect$$libinit$$00000001 c_w.l(libinit2.o) - 0x08000292 0x08000292 0x00000000 Code RO 360 .ARM.Collect$$libinit$$00000004 c_w.l(libinit2.o) - 0x08000292 0x08000292 0x00000008 Code RO 361 .ARM.Collect$$libinit$$00000005 c_w.l(libinit2.o) - 0x0800029a 0x0800029a 0x00000000 Code RO 363 .ARM.Collect$$libinit$$0000000A c_w.l(libinit2.o) - 0x0800029a 0x0800029a 0x00000000 Code RO 365 .ARM.Collect$$libinit$$0000000C c_w.l(libinit2.o) - 0x0800029a 0x0800029a 0x00000000 Code RO 367 .ARM.Collect$$libinit$$0000000E c_w.l(libinit2.o) - 0x0800029a 0x0800029a 0x00000006 Code RO 368 .ARM.Collect$$libinit$$0000000F c_w.l(libinit2.o) - 0x080002a0 0x080002a0 0x00000000 Code RO 370 .ARM.Collect$$libinit$$00000011 c_w.l(libinit2.o) - 0x080002a0 0x080002a0 0x0000000c Code RO 371 .ARM.Collect$$libinit$$00000012 c_w.l(libinit2.o) - 0x080002ac 0x080002ac 0x00000000 Code RO 372 .ARM.Collect$$libinit$$00000013 c_w.l(libinit2.o) - 0x080002ac 0x080002ac 0x00000000 Code RO 374 .ARM.Collect$$libinit$$00000015 c_w.l(libinit2.o) - 0x080002ac 0x080002ac 0x0000000a Code RO 375 .ARM.Collect$$libinit$$00000016 c_w.l(libinit2.o) - 0x080002b6 0x080002b6 0x00000000 Code RO 376 .ARM.Collect$$libinit$$00000017 c_w.l(libinit2.o) - 0x080002b6 0x080002b6 0x00000000 Code RO 378 .ARM.Collect$$libinit$$00000019 c_w.l(libinit2.o) - 0x080002b6 0x080002b6 0x00000000 Code RO 380 .ARM.Collect$$libinit$$0000001B c_w.l(libinit2.o) - 0x080002b6 0x080002b6 0x00000000 Code RO 382 .ARM.Collect$$libinit$$0000001D c_w.l(libinit2.o) - 0x080002b6 0x080002b6 0x00000000 Code RO 384 .ARM.Collect$$libinit$$0000001F c_w.l(libinit2.o) - 0x080002b6 0x080002b6 0x00000000 Code RO 386 .ARM.Collect$$libinit$$00000021 c_w.l(libinit2.o) - 0x080002b6 0x080002b6 0x00000000 Code RO 388 .ARM.Collect$$libinit$$00000023 c_w.l(libinit2.o) - 0x080002b6 0x080002b6 0x00000000 Code RO 390 .ARM.Collect$$libinit$$00000025 c_w.l(libinit2.o) - 0x080002b6 0x080002b6 0x00000000 Code RO 394 .ARM.Collect$$libinit$$0000002C c_w.l(libinit2.o) - 0x080002b6 0x080002b6 0x00000000 Code RO 396 .ARM.Collect$$libinit$$0000002E c_w.l(libinit2.o) - 0x080002b6 0x080002b6 0x00000000 Code RO 398 .ARM.Collect$$libinit$$00000030 c_w.l(libinit2.o) - 0x080002b6 0x080002b6 0x00000000 Code RO 400 .ARM.Collect$$libinit$$00000032 c_w.l(libinit2.o) - 0x080002b6 0x080002b6 0x00000002 Code RO 401 .ARM.Collect$$libinit$$00000033 c_w.l(libinit2.o) - 0x080002b8 0x080002b8 0x00000002 Code RO 706 .ARM.Collect$$libshutdown$$00000000 c_w.l(libshutdown.o) - 0x080002ba 0x080002ba 0x00000000 Code RO 660 .ARM.Collect$$libshutdown$$00000002 c_w.l(libshutdown2.o) - 0x080002ba 0x080002ba 0x00000000 Code RO 662 .ARM.Collect$$libshutdown$$00000004 c_w.l(libshutdown2.o) - 0x080002ba 0x080002ba 0x00000000 Code RO 665 .ARM.Collect$$libshutdown$$00000007 c_w.l(libshutdown2.o) - 0x080002ba 0x080002ba 0x00000000 Code RO 668 .ARM.Collect$$libshutdown$$0000000A c_w.l(libshutdown2.o) - 0x080002ba 0x080002ba 0x00000000 Code RO 670 .ARM.Collect$$libshutdown$$0000000C c_w.l(libshutdown2.o) - 0x080002ba 0x080002ba 0x00000000 Code RO 673 .ARM.Collect$$libshutdown$$0000000F c_w.l(libshutdown2.o) - 0x080002ba 0x080002ba 0x00000002 Code RO 674 .ARM.Collect$$libshutdown$$00000010 c_w.l(libshutdown2.o) - 0x080002bc 0x080002bc 0x00000000 Code RO 297 .ARM.Collect$$rtentry$$00000000 c_w.l(__rtentry.o) - 0x080002bc 0x080002bc 0x00000000 Code RO 500 .ARM.Collect$$rtentry$$00000002 c_w.l(__rtentry2.o) - 0x080002bc 0x080002bc 0x00000006 Code RO 512 .ARM.Collect$$rtentry$$00000004 c_w.l(__rtentry4.o) - 0x080002c2 0x080002c2 0x00000000 Code RO 502 .ARM.Collect$$rtentry$$00000009 c_w.l(__rtentry2.o) - 0x080002c2 0x080002c2 0x00000004 Code RO 503 .ARM.Collect$$rtentry$$0000000A c_w.l(__rtentry2.o) - 0x080002c6 0x080002c6 0x00000000 Code RO 505 .ARM.Collect$$rtentry$$0000000C c_w.l(__rtentry2.o) - 0x080002c6 0x080002c6 0x00000008 Code RO 506 .ARM.Collect$$rtentry$$0000000D c_w.l(__rtentry2.o) - 0x080002ce 0x080002ce 0x00000002 Code RO 647 .ARM.Collect$$rtexit$$00000000 c_w.l(rtexit.o) - 0x080002d0 0x080002d0 0x00000000 Code RO 680 .ARM.Collect$$rtexit$$00000002 c_w.l(rtexit2.o) - 0x080002d0 0x080002d0 0x00000004 Code RO 681 .ARM.Collect$$rtexit$$00000003 c_w.l(rtexit2.o) - 0x080002d4 0x080002d4 0x00000006 Code RO 682 .ARM.Collect$$rtexit$$00000004 c_w.l(rtexit2.o) - 0x080002da 0x080002da 0x00000002 PAD - 0x080002dc 0x080002dc 0x00000040 Code RO 4 .text startup_stm32f407xx.o - 0x0800031c 0x0800031c 0x0000005e Code RO 91 .text c_w.l(h1_alloc.o) - 0x0800037a 0x0800037a 0x0000004e Code RO 93 .text c_w.l(h1_free.o) - 0x080003c8 0x080003c8 0x00000028 Code RO 151 .text c_w.l(noretval__2sprintf.o) - 0x080003f0 0x080003f0 0x0000004e Code RO 155 .text c_w.l(_printf_pad.o) - 0x0800043e 0x0800043e 0x00000024 Code RO 157 .text c_w.l(_printf_truncate.o) - 0x08000462 0x08000462 0x00000052 Code RO 159 .text c_w.l(_printf_str.o) - 0x080004b4 0x080004b4 0x00000078 Code RO 161 .text c_w.l(_printf_dec.o) - 0x0800052c 0x0800052c 0x000000bc Code RO 163 .text c_w.l(_printf_wctomb.o) - 0x080005e8 0x080005e8 0x00000054 Code RO 168 .text c_w.l(_printf_oct_int.o) - 0x0800063c 0x0800063c 0x00000058 Code RO 175 .text c_w.l(_printf_hex_int.o) - 0x08000694 0x08000694 0x00000188 Code RO 210 .text c_w.l(__printf_flags_ss_wp.o) - 0x0800081c 0x0800081c 0x0000001a Code RO 225 .text c_w.l(atoi.o) - 0x08000836 0x08000836 0x00000058 Code RO 227 .text c_w.l(memcmp.o) - 0x0800088e 0x0800088e 0x00000048 Code RO 229 .text c_w.l(strcpy.o) - 0x080008d6 0x080008d6 0x0000003e Code RO 231 .text c_w.l(strlen.o) - 0x08000914 0x08000914 0x00000018 Code RO 233 .text c_w.l(strcat.o) - 0x0800092c 0x0800092c 0x00000056 Code RO 235 .text c_w.l(strncpy.o) - 0x08000982 0x08000982 0x00000006 PAD - 0x08000988 0x08000988 0x0000007c Code RO 237 .text c_w.l(strcmpv7em.o) - 0x08000a04 0x08000a04 0x00000006 Code RO 239 .text c_w.l(heapauxi.o) - 0x08000a0a 0x08000a0a 0x00000002 PAD - 0x08000a0c 0x08000a0c 0x00000008 Code RO 301 .text c_w.l(rt_heap_descriptor_intlibspace.o) - 0x08000a14 0x08000a14 0x00000008 Code RO 306 .text c_w.l(rt_errno_addr_intlibspace.o) - 0x08000a1c 0x08000a1c 0x00000004 Code RO 308 .text c_w.l(hguard.o) - 0x08000a20 0x08000a20 0x0000008a Code RO 310 .text c_w.l(init_alloc.o) - 0x08000aaa 0x08000aaa 0x0000000e Code RO 314 .text c_w.l(h1_init.o) - 0x08000ab8 0x08000ab8 0x00000016 Code RO 326 .text c_w.l(_rserrno.o) - 0x08000ace 0x08000ace 0x000000b2 Code RO 328 .text c_w.l(_printf_intcommon.o) - 0x08000b80 0x08000b80 0x0000041c Code RO 330 .text c_w.l(_printf_fp_dec.o) - 0x08000f9c 0x08000f9c 0x000002fc Code RO 334 .text c_w.l(_printf_fp_hex.o) - 0x08001298 0x08001298 0x00000030 Code RO 337 .text c_w.l(_printf_char_common.o) - 0x080012c8 0x080012c8 0x0000000a Code RO 339 .text c_w.l(_sputc.o) - 0x080012d2 0x080012d2 0x0000002c Code RO 341 .text c_w.l(_printf_char.o) - 0x080012fe 0x080012fe 0x0000002c Code RO 343 .text c_w.l(_printf_wchar.o) - 0x0800132a 0x0800132a 0x00000070 Code RO 347 .text c_w.l(strtol.o) - 0x0800139a 0x0800139a 0x00000048 Code RO 349 .text c_w.l(_c16rtomb.o) - 0x080013e2 0x080013e2 0x00000064 Code RO 351 .text c_w.l(rt_memcpy_w.o) - 0x08001446 0x08001446 0x00000044 Code RO 353 .text c_w.l(rt_memclr.o) - 0x0800148a 0x0800148a 0x00000002 PAD - 0x0800148c 0x0800148c 0x00000008 Code RO 496 .text c_w.l(libspace.o) - 0x08001494 0x08001494 0x00000010 Code RO 514 .text c_w.l(rt_ctype_table.o) - 0x080014a4 0x080014a4 0x00000008 Code RO 519 .text c_w.l(rt_locale_intlibspace.o) - 0x080014ac 0x080014ac 0x00000000 Code RO 523 .text c_w.l(maybetermalloc1.o) - 0x080014ac 0x080014ac 0x00000034 Code RO 525 .text c_w.l(h1_extend.o) - 0x080014e0 0x080014e0 0x0000008a Code RO 529 .text c_w.l(lludiv10.o) - 0x0800156a 0x0800156a 0x00000002 PAD - 0x0800156c 0x0800156c 0x00000080 Code RO 531 .text c_w.l(_printf_fp_infnan.o) - 0x080015ec 0x080015ec 0x0000009e Code RO 535 .text c_w.l(_strtoul.o) - 0x0800168a 0x0800168a 0x00000002 PAD - 0x0800168c 0x0800168c 0x000000e4 Code RO 537 .text c_w.l(bigflt0.o) - 0x08001770 0x08001770 0x0000000e Code RO 567 .text c_w.l(defsig_rtmem_outer.o) - 0x0800177e 0x0800177e 0x0000004e Code RO 571 .text c_w.l(rt_memclr_w.o) - 0x080017cc 0x080017cc 0x00000002 Code RO 609 .text c_w.l(use_no_semi.o) - 0x080017ce 0x080017ce 0x00000000 Code RO 611 .text c_w.l(indicate_semi.o) - 0x080017ce 0x080017ce 0x0000004a Code RO 612 .text c_w.l(sys_stackheap_outer.o) - 0x08001818 0x08001818 0x0000001c Code RO 618 .text c_w.l(_chval.o) - 0x08001834 0x08001834 0x00000012 Code RO 625 .text c_w.l(exit.o) - 0x08001846 0x08001846 0x0000000a Code RO 627 .text c_w.l(defsig_exit.o) - 0x08001850 0x08001850 0x00000050 Code RO 629 .text c_w.l(defsig_rtmem_inner.o) - 0x080018a0 0x080018a0 0x0000000c Code RO 643 .text c_w.l(sys_exit.o) - 0x080018ac 0x080018ac 0x00000032 Code RO 657 .text c_w.l(defsig_general.o) - 0x080018de 0x080018de 0x0000000e Code RO 675 .text c_w.l(sys_wrch.o) - 0x080018ec 0x080018ec 0x000000f0 Code RO 1794 .text c_w.l(lludivv7m.o) - 0x080019dc 0x080019dc 0x0000008a Code RO 1796 .text c_w.l(rt_memcpy_v6.o) - 0x08001a66 0x08001a66 0x00000010 Code RO 1798 .text c_w.l(aeabi_memset.o) - 0x08001a76 0x08001a76 0x00000010 Code RO 1800 .text c_w.l(aeabi_memset4.o) - 0x08001a86 0x08001a86 0x00000002 PAD - 0x08001a88 0x08001a88 0x00000004 Code RO 1048 .text.AUDIO_DeInit_FS lto-llvm-ea22a7.o - 0x08001a8c 0x08001a8c 0x0000011c Code RO 1046 .text.AUDIO_Init_FS lto-llvm-ea22a7.o - 0x08001ba8 0x08001ba8 0x0000008c Code RO 1118 .text.BusFault_Handler lto-llvm-ea22a7.o - 0x08001c34 0x08001c34 0x00000038 Code RO 1054 .text.CAT_Control_FS lto-llvm-ea22a7.o - 0x08001c6c 0x08001c6c 0x00000004 Code RO 1052 .text.CAT_DeInit_FS lto-llvm-ea22a7.o - 0x08001c70 0x08001c70 0x00000028 Code RO 1050 .text.CAT_Init_FS lto-llvm-ea22a7.o - 0x08001c98 0x08001c98 0x000000a6 Code RO 1056 .text.CAT_Receive_FS lto-llvm-ea22a7.o - 0x08001d3e 0x08001d3e 0x00000002 PAD - 0x08001d40 0x08001d40 0x00000062 Code RO 1064 .text.DEBUG_Control_FS lto-llvm-ea22a7.o - 0x08001da2 0x08001da2 0x00000002 PAD - 0x08001da4 0x08001da4 0x00000004 Code RO 1062 .text.DEBUG_DeInit_FS lto-llvm-ea22a7.o - 0x08001da8 0x08001da8 0x00000028 Code RO 1060 .text.DEBUG_Init_FS lto-llvm-ea22a7.o - 0x08001dd0 0x08001dd0 0x00000044 Code RO 1066 .text.DEBUG_Receive_FS lto-llvm-ea22a7.o - 0x08001e14 0x08001e14 0x000001b6 Code RO 1068 .text.DEBUG_Transmit_FIFO_Events lto-llvm-ea22a7.o - 0x08001fca 0x08001fca 0x00000002 PAD - 0x08001fcc 0x08001fcc 0x00000052 Code RO 1138 .text.DMA1_Stream0_IRQHandler lto-llvm-ea22a7.o - 0x0800201e 0x0800201e 0x00000002 PAD - 0x08002020 0x08002020 0x00000052 Code RO 1140 .text.DMA1_Stream5_IRQHandler lto-llvm-ea22a7.o - 0x08002072 0x08002072 0x00000002 PAD - 0x08002074 0x08002074 0x00000052 Code RO 1160 .text.DMA2_Stream1_IRQHandler lto-llvm-ea22a7.o - 0x080020c6 0x080020c6 0x00000002 PAD - 0x080020c8 0x080020c8 0x00000052 Code RO 1162 .text.DMA2_Stream2_IRQHandler lto-llvm-ea22a7.o - 0x0800211a 0x0800211a 0x00000002 PAD - 0x0800211c 0x0800211c 0x00000016 Code RO 1166 .text.DMA2_Stream5_IRQHandler lto-llvm-ea22a7.o - 0x08002132 0x08002132 0x00000002 PAD - 0x08002134 0x08002134 0x0000005c Code RO 1168 .text.DMA2_Stream6_IRQHandler lto-llvm-ea22a7.o - 0x08002190 0x08002190 0x0000004a Code RO 1124 .text.DebugMon_Handler lto-llvm-ea22a7.o - 0x080021da 0x080021da 0x00000002 PAD - 0x080021dc 0x080021dc 0x00000398 Code RO 708 .text.DoRxAGC lto-llvm-ea22a7.o - 0x08002574 0x08002574 0x00000198 Code RO 844 .text.EEPROM_Read_Data lto-llvm-ea22a7.o - 0x0800270c 0x0800270c 0x000000c8 Code RO 842 .text.EEPROM_WaitWrite lto-llvm-ea22a7.o - 0x080027d4 0x080027d4 0x00000218 Code RO 848 .text.EEPROM_Write_Data lto-llvm-ea22a7.o - 0x080029ec 0x080029ec 0x0000005e Code RO 1130 .text.EXTI0_IRQHandler lto-llvm-ea22a7.o - 0x08002a4a 0x08002a4a 0x00000002 PAD - 0x08002a4c 0x08002a4c 0x0000004e Code RO 1148 .text.EXTI15_10_IRQHandler lto-llvm-ea22a7.o - 0x08002a9a 0x08002a9a 0x00000002 PAD - 0x08002a9c 0x08002a9c 0x0000005e Code RO 1132 .text.EXTI1_IRQHandler lto-llvm-ea22a7.o - 0x08002afa 0x08002afa 0x00000002 PAD - 0x08002afc 0x08002afc 0x0000004c Code RO 1134 .text.EXTI2_IRQHandler lto-llvm-ea22a7.o - 0x08002b48 0x08002b48 0x0000004c Code RO 1136 .text.EXTI3_IRQHandler lto-llvm-ea22a7.o - 0x08002b94 0x08002b94 0x00000070 Code RO 1142 .text.EXTI9_5_IRQHandler lto-llvm-ea22a7.o - 0x08002c04 0x08002c04 0x00000528 Code RO 724 .text.FFT_Init lto-llvm-ea22a7.o - 0x0800312c 0x0800312c 0x00000004 PAD - 0x08003130 0x08003130 0x00000854 Code RO 722 .text.FFT_PreInit lto-llvm-ea22a7.o - 0x08003984 0x08003984 0x00000434 Code RO 726 .text.FFT_afterPrintFFT lto-llvm-ea22a7.o - 0x08003db8 0x08003db8 0x0000077e Code RO 728 .text.FFT_printWaterfallDMA lto-llvm-ea22a7.o - 0x08004536 0x08004536 0x00000002 PAD - 0x08004538 0x08004538 0x0000007c Code RO 772 .text.FRONTPANEL_BUTTONHANDLER_AGC lto-llvm-ea22a7.o - 0x080045b4 0x080045b4 0x0000011e Code RO 774 .text.FRONTPANEL_BUTTONHANDLER_AGC_SPEED lto-llvm-ea22a7.o - 0x080046d2 0x080046d2 0x00000002 PAD - 0x080046d4 0x080046d4 0x0000005c Code RO 752 .text.FRONTPANEL_BUTTONHANDLER_ATT lto-llvm-ea22a7.o - 0x08004730 0x08004730 0x00000084 Code RO 754 .text.FRONTPANEL_BUTTONHANDLER_ATTHOLD lto-llvm-ea22a7.o - 0x080047b4 0x080047b4 0x000000ac Code RO 762 .text.FRONTPANEL_BUTTONHANDLER_ArB lto-llvm-ea22a7.o - 0x08004860 0x08004860 0x000000fc Code RO 760 .text.FRONTPANEL_BUTTONHANDLER_AsB lto-llvm-ea22a7.o - 0x0800495c 0x0800495c 0x00000088 Code RO 784 .text.FRONTPANEL_BUTTONHANDLER_BANDMAP lto-llvm-ea22a7.o - 0x080049e4 0x080049e4 0x00000112 Code RO 800 .text.FRONTPANEL_BUTTONHANDLER_BAND_N lto-llvm-ea22a7.o - 0x08004af6 0x08004af6 0x00000002 PAD - 0x08004af8 0x08004af8 0x00000112 Code RO 798 .text.FRONTPANEL_BUTTONHANDLER_BAND_P lto-llvm-ea22a7.o - 0x08004c0a 0x08004c0a 0x00000002 PAD - 0x08004c0c 0x08004c0c 0x000001cc Code RO 756 .text.FRONTPANEL_BUTTONHANDLER_BW lto-llvm-ea22a7.o - 0x08004dd8 0x08004dd8 0x0000002c Code RO 776 .text.FRONTPANEL_BUTTONHANDLER_CLAR lto-llvm-ea22a7.o - 0x08004e04 0x08004e04 0x0000002c Code RO 770 .text.FRONTPANEL_BUTTONHANDLER_FAST lto-llvm-ea22a7.o - 0x08004e30 0x08004e30 0x00000152 Code RO 758 .text.FRONTPANEL_BUTTONHANDLER_HPF lto-llvm-ea22a7.o - 0x08004f82 0x08004f82 0x00000002 PAD - 0x08004f84 0x08004f84 0x0000007c Code RO 788 .text.FRONTPANEL_BUTTONHANDLER_KEYER lto-llvm-ea22a7.o - 0x08005000 0x08005000 0x0000003a Code RO 780 .text.FRONTPANEL_BUTTONHANDLER_LOCK lto-llvm-ea22a7.o - 0x0800503a 0x0800503a 0x00000002 PAD - 0x0800503c 0x0800503c 0x000000b4 Code RO 794 .text.FRONTPANEL_BUTTONHANDLER_MODE_N lto-llvm-ea22a7.o - 0x080050f0 0x080050f0 0x00000154 Code RO 796 .text.FRONTPANEL_BUTTONHANDLER_MODE_P lto-llvm-ea22a7.o - 0x08005244 0x08005244 0x00000028 Code RO 778 .text.FRONTPANEL_BUTTONHANDLER_MUTE lto-llvm-ea22a7.o - 0x0800526c 0x0800526c 0x0000012c Code RO 768 .text.FRONTPANEL_BUTTONHANDLER_NOTCH lto-llvm-ea22a7.o - 0x08005398 0x08005398 0x00000056 Code RO 750 .text.FRONTPANEL_BUTTONHANDLER_PRE lto-llvm-ea22a7.o - 0x080053ee 0x080053ee 0x00000002 PAD - 0x080053f0 0x080053f0 0x0000011e Code RO 764 .text.FRONTPANEL_BUTTONHANDLER_RF_POWER lto-llvm-ea22a7.o - 0x0800550e 0x0800550e 0x00000002 PAD - 0x08005510 0x08005510 0x00000038 Code RO 766 .text.FRONTPANEL_BUTTONHANDLER_TUNE lto-llvm-ea22a7.o - 0x08005548 0x08005548 0x0000011e Code RO 782 .text.FRONTPANEL_BUTTONHANDLER_VOLUME lto-llvm-ea22a7.o - 0x08005666 0x08005666 0x00000002 PAD - 0x08005668 0x08005668 0x0000011e Code RO 786 .text.FRONTPANEL_BUTTONHANDLER_WPM lto-llvm-ea22a7.o - 0x08005786 0x08005786 0x00000002 PAD - 0x08005788 0x08005788 0x000001b0 Code RO 790 .text.FRONTPANEL_ENCODER_Rotated lto-llvm-ea22a7.o - 0x08005938 0x08005938 0x00000596 Code RO 792 .text.FRONTPANEL_Process lto-llvm-ea22a7.o - 0x08005ece 0x08005ece 0x00000002 PAD - 0x08005ed0 0x08005ed0 0x00000154 Code RO 1178 .text.HAL_ADCEx_InjectedConfigChannel lto-llvm-ea22a7.o - 0x08006024 0x08006024 0x000000ce Code RO 1176 .text.HAL_ADCEx_InjectedStart lto-llvm-ea22a7.o - 0x080060f2 0x080060f2 0x00000002 PAD - 0x080060f4 0x080060f4 0x0000012c Code RO 1174 .text.HAL_ADC_ConfigChannel lto-llvm-ea22a7.o - 0x08006220 0x08006220 0x0000021e Code RO 1172 .text.HAL_ADC_Init lto-llvm-ea22a7.o - 0x0800643e 0x0800643e 0x00000002 PAD - 0x08006440 0x08006440 0x000001ba Code RO 1188 .text.HAL_DMA_IRQHandler lto-llvm-ea22a7.o - 0x080065fa 0x080065fa 0x00000002 PAD - 0x080065fc 0x080065fc 0x00000178 Code RO 1184 .text.HAL_DMA_Init lto-llvm-ea22a7.o - 0x08006774 0x08006774 0x00000184 Code RO 1186 .text.HAL_DMA_PollForTransfer lto-llvm-ea22a7.o - 0x080068f8 0x080068f8 0x00000c20 Code RO 1182 .text.HAL_GPIO_EXTI_IRQHandler lto-llvm-ea22a7.o - 0x08007518 0x08007518 0x000001b0 Code RO 1180 .text.HAL_GPIO_Init lto-llvm-ea22a7.o - 0x080076c8 0x080076c8 0x000003f6 Code RO 1200 .text.HAL_I2SEx_FullDuplex_IRQHandler lto-llvm-ea22a7.o - 0x08007abe 0x08007abe 0x00000002 PAD - 0x08007ac0 0x08007ac0 0x0000018c Code RO 1170 .text.HAL_I2S_MspInit lto-llvm-ea22a7.o - 0x08007c4c 0x08007c4c 0x000000c6 Code RO 1220 .text.HAL_PCD_DataOutStageCallback lto-llvm-ea22a7.o - 0x08007d12 0x08007d12 0x00000002 PAD - 0x08007d14 0x08007d14 0x0000016a Code RO 1202 .text.HAL_RTC_Init lto-llvm-ea22a7.o - 0x08007e7e 0x08007e7e 0x00000002 PAD - 0x08007e80 0x08007e80 0x000002dc Code RO 1204 .text.HAL_SPI_TransmitReceive lto-llvm-ea22a7.o - 0x0800815c 0x0800815c 0x00000162 Code RO 1190 .text.HAL_SRAM_Init lto-llvm-ea22a7.o - 0x080082be 0x080082be 0x00000002 PAD - 0x080082c0 0x080082c0 0x000003da Code RO 1206 .text.HAL_TIM_Base_Init lto-llvm-ea22a7.o - 0x0800869a 0x0800869a 0x00000002 PAD - 0x0800869c 0x0800869c 0x00000190 Code RO 1210 .text.HAL_TIM_ConfigClockSource lto-llvm-ea22a7.o - 0x0800882c 0x0800882c 0x000000bc Code RO 1208 .text.HAL_TIM_IRQHandler lto-llvm-ea22a7.o - 0x080088e8 0x080088e8 0x0000008c Code RO 1114 .text.HardFault_Handler lto-llvm-ea22a7.o - 0x08008974 0x08008974 0x000001a6 Code RO 1196 .text.I2SEx_TxRxDMACplt lto-llvm-ea22a7.o - 0x08008b1a 0x08008b1a 0x00000002 PAD - 0x08008b1c 0x08008b1c 0x00000046 Code RO 1198 .text.I2SEx_TxRxDMAError lto-llvm-ea22a7.o - 0x08008b62 0x08008b62 0x00000002 PAD - 0x08008b64 0x08008b64 0x00000070 Code RO 1194 .text.I2SEx_TxRxDMAHalfCplt lto-llvm-ea22a7.o - 0x08008bd4 0x08008bd4 0x000000e4 Code RO 1192 .text.I2S_IRQHandler lto-llvm-ea22a7.o - 0x08008cb8 0x08008cb8 0x000002fc Code RO 720 .text.JumpToBootloader lto-llvm-ea22a7.o - 0x08008fb4 0x08008fb4 0x00000258 Code RO 830 .text.LCDDriver_Fill lto-llvm-ea22a7.o - 0x0800920c 0x0800920c 0x00000192 Code RO 832 .text.LCDDriver_Fill_RectXY lto-llvm-ea22a7.o - 0x0800939e 0x0800939e 0x00000002 PAD - 0x080093a0 0x080093a0 0x000001c4 Code RO 838 .text.LCDDriver_Fill_Triangle lto-llvm-ea22a7.o - 0x08009564 0x08009564 0x000002e0 Code RO 828 .text.LCDDriver_Init lto-llvm-ea22a7.o - 0x08009844 0x08009844 0x00000368 Code RO 820 .text.LCDDriver_drawChar lto-llvm-ea22a7.o - 0x08009bac 0x08009bac 0x0000011c Code RO 834 .text.LCDDriver_drawLine lto-llvm-ea22a7.o - 0x08009cc8 0x08009cc8 0x000000bc Code RO 836 .text.LCDDriver_drawRectXY lto-llvm-ea22a7.o - 0x08009d84 0x08009d84 0x000001c4 Code RO 826 .text.LCDDriver_getTextBounds lto-llvm-ea22a7.o - 0x08009f48 0x08009f48 0x000000be Code RO 822 .text.LCDDriver_printText lto-llvm-ea22a7.o - 0x0800a006 0x0800a006 0x00000002 PAD - 0x0800a008 0x0800a008 0x00000376 Code RO 824 .text.LCDDriver_printTextFont lto-llvm-ea22a7.o - 0x0800a37e 0x0800a37e 0x00000002 PAD - 0x0800a380 0x0800a380 0x000002f8 Code RO 812 .text.LCD_displayFreqInfo lto-llvm-ea22a7.o - 0x0800a678 0x0800a678 0x00001bb4 Code RO 816 .text.LCD_displayStatusInfoBar lto-llvm-ea22a7.o - 0x0800c22c 0x0800c22c 0x000007d8 Code RO 814 .text.LCD_displayStatusInfoGUI lto-llvm-ea22a7.o - 0x0800ca04 0x0800ca04 0x000005c4 Code RO 810 .text.LCD_displayTopButtons lto-llvm-ea22a7.o - 0x0800cfc8 0x0800cfc8 0x0000027a Code RO 808 .text.LCD_doEvents lto-llvm-ea22a7.o - 0x0800d242 0x0800d242 0x00000002 PAD - 0x0800d244 0x0800d244 0x000001b0 Code RO 818 .text.LCD_showError lto-llvm-ea22a7.o - 0x0800d3f4 0x0800d3f4 0x000003dc Code RO 852 .text.LoadCalibration lto-llvm-ea22a7.o - 0x0800d7d0 0x0800d7d0 0x000003ae Code RO 1218 .text.MX_USB_DevDisconnect lto-llvm-ea22a7.o - 0x0800db7e 0x0800db7e 0x00000002 PAD - 0x0800db80 0x0800db80 0x00000090 Code RO 1116 .text.MemManage_Handler lto-llvm-ea22a7.o - 0x0800dc10 0x0800dc10 0x00000358 Code RO 712 .text.ModulateFM lto-llvm-ea22a7.o - 0x0800df68 0x0800df68 0x0000004a Code RO 1112 .text.NMI_Handler lto-llvm-ea22a7.o - 0x0800dfb2 0x0800dfb2 0x00000002 PAD - 0x0800dfb4 0x0800dfb4 0x000017c6 Code RO 1164 .text.OTG_FS_IRQHandler lto-llvm-ea22a7.o - 0x0800f77a 0x0800f77a 0x00000002 PAD - 0x0800f77c 0x0800f77c 0x0000004a Code RO 1126 .text.PendSV_Handler lto-llvm-ea22a7.o - 0x0800f7c6 0x0800f7c6 0x00000002 PAD - 0x0800f7c8 0x0800f7c8 0x00000200 Code RO 840 .text.RF_UNIT_ProcessSensors lto-llvm-ea22a7.o - 0x0800f9c8 0x0800f9c8 0x00000848 Code RO 710 .text.ReinitAudioFilters lto-llvm-ea22a7.o - 0x08010210 0x08010210 0x00000052 Code RO 1154 .text.SPI3_IRQHandler lto-llvm-ea22a7.o - 0x08010262 0x08010262 0x00000002 PAD - 0x08010264 0x08010264 0x00000800 Code RO 748 .text.SPI_Transmit lto-llvm-ea22a7.o - 0x08010a64 0x08010a64 0x0000004a Code RO 1122 .text.SVC_Handler lto-llvm-ea22a7.o - 0x08010aae 0x08010aae 0x00000002 PAD - 0x08010ab0 0x08010ab0 0x000001fc Code RO 858 .text.SWR_DrawGraphCol lto-llvm-ea22a7.o - 0x08010cac 0x08010cac 0x000001ca Code RO 860 .text.SWR_EncRotate lto-llvm-ea22a7.o - 0x08010e76 0x08010e76 0x00000002 PAD - 0x08010e78 0x08010e78 0x000008d8 Code RO 856 .text.SWR_Start lto-llvm-ea22a7.o - 0x08011750 0x08011750 0x00000048 Code RO 870 .text.SYSMENU_HANDL_ADCMENU lto-llvm-ea22a7.o - 0x08011798 0x08011798 0x00000050 Code RO 918 .text.SYSMENU_HANDL_ADC_DRIVER lto-llvm-ea22a7.o - 0x080117e8 0x080117e8 0x00000022 Code RO 920 .text.SYSMENU_HANDL_ADC_SHDN lto-llvm-ea22a7.o - 0x0801180a 0x0801180a 0x00000002 PAD - 0x0801180c 0x0801180c 0x00000048 Code RO 864 .text.SYSMENU_HANDL_AUDIOMENU lto-llvm-ea22a7.o - 0x08011854 0x08011854 0x0000002c Code RO 956 .text.SYSMENU_HANDL_AUDIO_AGC_GAIN_TARGET lto-llvm-ea22a7.o - 0x08011880 0x08011880 0x0000030e Code RO 974 .text.SYSMENU_HANDL_AUDIO_AM_LPF_pass lto-llvm-ea22a7.o - 0x08011b8e 0x08011b8e 0x00000002 PAD - 0x08011b90 0x08011b90 0x0000001a Code RO 998 .text.SYSMENU_HANDL_AUDIO_Beeper lto-llvm-ea22a7.o - 0x08011baa 0x08011baa 0x00000002 PAD - 0x08011bac 0x08011bac 0x00000082 Code RO 970 .text.SYSMENU_HANDL_AUDIO_CW_HPF_pass lto-llvm-ea22a7.o - 0x08011c2e 0x08011c2e 0x00000002 PAD - 0x08011c30 0x08011c30 0x000002ec Code RO 972 .text.SYSMENU_HANDL_AUDIO_CW_LPF_pass lto-llvm-ea22a7.o - 0x08011f1c 0x08011f1c 0x00000022 Code RO 962 .text.SYSMENU_HANDL_AUDIO_DNR_AVERAGE lto-llvm-ea22a7.o - 0x08011f3e 0x08011f3e 0x00000002 PAD - 0x08011f40 0x08011f40 0x00000022 Code RO 964 .text.SYSMENU_HANDL_AUDIO_DNR_MINMAL lto-llvm-ea22a7.o - 0x08011f62 0x08011f62 0x00000002 PAD - 0x08011f64 0x08011f64 0x00000022 Code RO 960 .text.SYSMENU_HANDL_AUDIO_DNR_THRES lto-llvm-ea22a7.o - 0x08011f86 0x08011f86 0x00000002 PAD - 0x08011f88 0x08011f88 0x00000052 Code RO 978 .text.SYSMENU_HANDL_AUDIO_FMSquelch lto-llvm-ea22a7.o - 0x08011fda 0x08011fda 0x00000002 PAD - 0x08011fdc 0x08011fdc 0x00000166 Code RO 976 .text.SYSMENU_HANDL_AUDIO_FM_LPF_pass lto-llvm-ea22a7.o - 0x08012142 0x08012142 0x00000002 PAD - 0x08012144 0x08012144 0x00000022 Code RO 954 .text.SYSMENU_HANDL_AUDIO_IFGain lto-llvm-ea22a7.o - 0x08012166 0x08012166 0x00000002 PAD - 0x08012168 0x08012168 0x00000034 Code RO 984 .text.SYSMENU_HANDL_AUDIO_MIC_EQ_HIG lto-llvm-ea22a7.o - 0x0801219c 0x0801219c 0x00000034 Code RO 980 .text.SYSMENU_HANDL_AUDIO_MIC_EQ_LOW lto-llvm-ea22a7.o - 0x080121d0 0x080121d0 0x00000034 Code RO 982 .text.SYSMENU_HANDL_AUDIO_MIC_EQ_MID lto-llvm-ea22a7.o - 0x08012204 0x08012204 0x00000022 Code RO 958 .text.SYSMENU_HANDL_AUDIO_MIC_Gain lto-llvm-ea22a7.o - 0x08012226 0x08012226 0x00000002 PAD - 0x08012228 0x08012228 0x00000022 Code RO 994 .text.SYSMENU_HANDL_AUDIO_RX_AGC_CW_Speed lto-llvm-ea22a7.o - 0x0801224a 0x0801224a 0x00000002 PAD - 0x0801224c 0x0801224c 0x00000022 Code RO 992 .text.SYSMENU_HANDL_AUDIO_RX_AGC_SSB_Speed lto-llvm-ea22a7.o - 0x0801226e 0x0801226e 0x00000002 PAD - 0x08012270 0x08012270 0x00000034 Code RO 990 .text.SYSMENU_HANDL_AUDIO_RX_EQ_HIG lto-llvm-ea22a7.o - 0x080122a4 0x080122a4 0x00000034 Code RO 986 .text.SYSMENU_HANDL_AUDIO_RX_EQ_LOW lto-llvm-ea22a7.o - 0x080122d8 0x080122d8 0x00000034 Code RO 988 .text.SYSMENU_HANDL_AUDIO_RX_EQ_MID lto-llvm-ea22a7.o - 0x0801230c 0x0801230c 0x000000fc Code RO 966 .text.SYSMENU_HANDL_AUDIO_SSB_HPF_pass lto-llvm-ea22a7.o - 0x08012408 0x08012408 0x000001e4 Code RO 968 .text.SYSMENU_HANDL_AUDIO_SSB_LPF_pass lto-llvm-ea22a7.o - 0x080125ec 0x080125ec 0x00000022 Code RO 996 .text.SYSMENU_HANDL_AUDIO_TX_AGCSpeed lto-llvm-ea22a7.o - 0x0801260e 0x0801260e 0x00000002 PAD - 0x08012610 0x08012610 0x00000022 Code RO 952 .text.SYSMENU_HANDL_AUDIO_VOLUME lto-llvm-ea22a7.o - 0x08012632 0x08012632 0x00000002 PAD - 0x08012634 0x08012634 0x00000090 Code RO 876 .text.SYSMENU_HANDL_Bootloader lto-llvm-ea22a7.o - 0x080126c4 0x080126c4 0x00000058 Code RO 878 .text.SYSMENU_HANDL_CALIBRATIONMENU lto-llvm-ea22a7.o - 0x0801271c 0x0801271c 0x00000020 Code RO 894 .text.SYSMENU_HANDL_CALIB_CICCOMP_SHIFT lto-llvm-ea22a7.o - 0x0801273c 0x0801273c 0x00000020 Code RO 892 .text.SYSMENU_HANDL_CALIB_CIC_SHIFT lto-llvm-ea22a7.o - 0x0801275c 0x0801275c 0x00000020 Code RO 898 .text.SYSMENU_HANDL_CALIB_DAC_SHIFT lto-llvm-ea22a7.o - 0x0801277c 0x0801277c 0x00000024 Code RO 886 .text.SYSMENU_HANDL_CALIB_ENCODER2_DEBOUNCE lto-llvm-ea22a7.o - 0x080127a0 0x080127a0 0x00000018 Code RO 882 .text.SYSMENU_HANDL_CALIB_ENCODER2_INVERT lto-llvm-ea22a7.o - 0x080127b8 0x080127b8 0x00000024 Code RO 884 .text.SYSMENU_HANDL_CALIB_ENCODER_DEBOUNCE lto-llvm-ea22a7.o - 0x080127dc 0x080127dc 0x00000018 Code RO 880 .text.SYSMENU_HANDL_CALIB_ENCODER_INVERT lto-llvm-ea22a7.o - 0x080127f4 0x080127f4 0x00000018 Code RO 890 .text.SYSMENU_HANDL_CALIB_ENCODER_ON_FALLING lto-llvm-ea22a7.o - 0x0801280c 0x0801280c 0x0000001e Code RO 888 .text.SYSMENU_HANDL_CALIB_ENCODER_SLOW_RATE lto-llvm-ea22a7.o - 0x0801282a 0x0801282a 0x00000002 PAD - 0x0801282c 0x0801282c 0x000000d4 Code RO 904 .text.SYSMENU_HANDL_CALIB_RF_GAIN_HF lto-llvm-ea22a7.o - 0x08012900 0x08012900 0x000000d4 Code RO 906 .text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_HIGH lto-llvm-ea22a7.o - 0x080129d4 0x080129d4 0x000000d4 Code RO 902 .text.SYSMENU_HANDL_CALIB_RF_GAIN_HF_LOW lto-llvm-ea22a7.o - 0x08012aa8 0x08012aa8 0x000000d4 Code RO 900 .text.SYSMENU_HANDL_CALIB_RF_GAIN_LF lto-llvm-ea22a7.o - 0x08012b7c 0x08012b7c 0x00000054 Code RO 910 .text.SYSMENU_HANDL_CALIB_SWR_TRANS_RATE lto-llvm-ea22a7.o - 0x08012bd0 0x08012bd0 0x00000024 Code RO 908 .text.SYSMENU_HANDL_CALIB_S_METER lto-llvm-ea22a7.o - 0x08012bf4 0x08012bf4 0x00000020 Code RO 896 .text.SYSMENU_HANDL_CALIB_TXCICCOMP_SHIFT lto-llvm-ea22a7.o - 0x08012c14 0x08012c14 0x00000054 Code RO 912 .text.SYSMENU_HANDL_CALIB_VOLT lto-llvm-ea22a7.o - 0x08012c68 0x08012c68 0x000000aa Code RO 866 .text.SYSMENU_HANDL_CWMENU lto-llvm-ea22a7.o - 0x08012d12 0x08012d12 0x00000002 PAD - 0x08012d14 0x08012d14 0x0000002c Code RO 942 .text.SYSMENU_HANDL_CW_GENERATOR_SHIFT_HZ lto-llvm-ea22a7.o - 0x08012d40 0x08012d40 0x00000022 Code RO 950 .text.SYSMENU_HANDL_CW_GaussFilter lto-llvm-ea22a7.o - 0x08012d62 0x08012d62 0x00000002 PAD - 0x08012d64 0x08012d64 0x0000002c Code RO 940 .text.SYSMENU_HANDL_CW_Key_timeout lto-llvm-ea22a7.o - 0x08012d90 0x08012d90 0x0000001a Code RO 946 .text.SYSMENU_HANDL_CW_Keyer lto-llvm-ea22a7.o - 0x08012daa 0x08012daa 0x00000002 PAD - 0x08012dac 0x08012dac 0x00000022 Code RO 948 .text.SYSMENU_HANDL_CW_Keyer_WPM lto-llvm-ea22a7.o - 0x08012dce 0x08012dce 0x00000002 PAD - 0x08012dd0 0x08012dd0 0x0000001a Code RO 944 .text.SYSMENU_HANDL_CW_SelfHear lto-llvm-ea22a7.o - 0x08012dea 0x08012dea 0x00000002 PAD - 0x08012dec 0x08012dec 0x00000048 Code RO 868 .text.SYSMENU_HANDL_LCDMENU lto-llvm-ea22a7.o - 0x08012e34 0x08012e34 0x000000b4 Code RO 924 .text.SYSMENU_HANDL_SCREEN_COLOR_THEME lto-llvm-ea22a7.o - 0x08012ee8 0x08012ee8 0x00000022 Code RO 936 .text.SYSMENU_HANDL_SCREEN_FFT_Averaging lto-llvm-ea22a7.o - 0x08012f0a 0x08012f0a 0x00000002 PAD - 0x08012f0c 0x08012f0c 0x0000001a Code RO 930 .text.SYSMENU_HANDL_SCREEN_FFT_Background lto-llvm-ea22a7.o - 0x08012f26 0x08012f26 0x00000002 PAD - 0x08012f28 0x08012f28 0x00000024 Code RO 926 .text.SYSMENU_HANDL_SCREEN_FFT_Color lto-llvm-ea22a7.o - 0x08012f4c 0x08012f4c 0x0000001a Code RO 934 .text.SYSMENU_HANDL_SCREEN_FFT_Compressor lto-llvm-ea22a7.o - 0x08012f66 0x08012f66 0x00000002 PAD - 0x08012f68 0x08012f68 0x0000001a Code RO 932 .text.SYSMENU_HANDL_SCREEN_FFT_Enabled lto-llvm-ea22a7.o - 0x08012f82 0x08012f82 0x00000002 PAD - 0x08012f84 0x08012f84 0x00000026 Code RO 928 .text.SYSMENU_HANDL_SCREEN_FFT_Grid lto-llvm-ea22a7.o - 0x08012faa 0x08012faa 0x00000002 PAD - 0x08012fac 0x08012fac 0x0000002e Code RO 938 .text.SYSMENU_HANDL_SCREEN_FFT_Window lto-llvm-ea22a7.o - 0x08012fda 0x08012fda 0x00000002 PAD - 0x08012fdc 0x08012fdc 0x0000007a Code RO 922 .text.SYSMENU_HANDL_SCREEN_FFT_Zoom lto-llvm-ea22a7.o - 0x08013056 0x08013056 0x00000002 PAD - 0x08013058 0x08013058 0x000000b4 Code RO 872 .text.SYSMENU_HANDL_SERVICESMENU lto-llvm-ea22a7.o - 0x0801310c 0x0801310c 0x000006b6 Code RO 874 .text.SYSMENU_HANDL_SETTIME lto-llvm-ea22a7.o - 0x080137c2 0x080137c2 0x00000002 PAD - 0x080137c4 0x080137c4 0x0000006c Code RO 914 .text.SYSMENU_HANDL_SWR_BAND_START lto-llvm-ea22a7.o - 0x08013830 0x08013830 0x0000003c Code RO 916 .text.SYSMENU_HANDL_SWR_HF_START lto-llvm-ea22a7.o - 0x0801386c 0x0801386c 0x00000048 Code RO 862 .text.SYSMENU_HANDL_TRXMENU lto-llvm-ea22a7.o - 0x080138b4 0x080138b4 0x00000022 Code RO 1016 .text.SYSMENU_HANDL_TRX_ATT_STEP lto-llvm-ea22a7.o - 0x080138d6 0x080138d6 0x00000002 PAD - 0x080138d8 0x080138d8 0x00000022 Code RO 1004 .text.SYSMENU_HANDL_TRX_AutoGain lto-llvm-ea22a7.o - 0x080138fa 0x080138fa 0x00000002 PAD - 0x080138fc 0x080138fc 0x0000001a Code RO 1002 .text.SYSMENU_HANDL_TRX_BandMap lto-llvm-ea22a7.o - 0x08013916 0x08013916 0x00000002 PAD - 0x08013918 0x08013918 0x0000001a Code RO 1018 .text.SYSMENU_HANDL_TRX_DEBUG_CONSOLE lto-llvm-ea22a7.o - 0x08013932 0x08013932 0x00000002 PAD - 0x08013934 0x08013934 0x0000001a Code RO 1014 .text.SYSMENU_HANDL_TRX_ENC_ACCELERATE lto-llvm-ea22a7.o - 0x0801394e 0x0801394e 0x00000002 PAD - 0x08013950 0x08013950 0x000000a8 Code RO 1012 .text.SYSMENU_HANDL_TRX_FRQ_FAST_STEP lto-llvm-ea22a7.o - 0x080139f8 0x080139f8 0x00000094 Code RO 1010 .text.SYSMENU_HANDL_TRX_FRQ_STEP lto-llvm-ea22a7.o - 0x08013a8c 0x08013a8c 0x0000002e Code RO 1022 .text.SYSMENU_HANDL_TRX_LINEIN lto-llvm-ea22a7.o - 0x08013aba 0x08013aba 0x00000002 PAD - 0x08013abc 0x08013abc 0x0000002a Code RO 1020 .text.SYSMENU_HANDL_TRX_MICIN lto-llvm-ea22a7.o - 0x08013ae6 0x08013ae6 0x00000002 PAD - 0x08013ae8 0x08013ae8 0x00000022 Code RO 1000 .text.SYSMENU_HANDL_TRX_RFPower lto-llvm-ea22a7.o - 0x08013b0a 0x08013b0a 0x00000002 PAD - 0x08013b0c 0x08013b0c 0x0000002c Code RO 1008 .text.SYSMENU_HANDL_TRX_SHIFT_INTERVAL lto-llvm-ea22a7.o - 0x08013b38 0x08013b38 0x00000178 Code RO 1026 .text.SYSMENU_HANDL_TRX_SetCallsign lto-llvm-ea22a7.o - 0x08013cb0 0x08013cb0 0x0000001a Code RO 1028 .text.SYSMENU_HANDL_TRX_TRANSV_ENABLE lto-llvm-ea22a7.o - 0x08013cca 0x08013cca 0x00000002 PAD - 0x08013ccc 0x08013ccc 0x00000026 Code RO 1030 .text.SYSMENU_HANDL_TRX_TRANSV_OFFSET lto-llvm-ea22a7.o - 0x08013cf2 0x08013cf2 0x00000002 PAD - 0x08013cf4 0x08013cf4 0x0000001a Code RO 1006 .text.SYSMENU_HANDL_TRX_TWO_SIGNAL_TUNE lto-llvm-ea22a7.o - 0x08013d0e 0x08013d0e 0x00000002 PAD - 0x08013d10 0x08013d10 0x0000002a Code RO 1024 .text.SYSMENU_HANDL_TRX_USBIN lto-llvm-ea22a7.o - 0x08013d3a 0x08013d3a 0x00000002 PAD - 0x08013d3c 0x08013d3c 0x000004f4 Code RO 1032 .text.SYSMENU_drawSystemMenu lto-llvm-ea22a7.o - 0x08014230 0x08014230 0x0000027c Code RO 854 .text.SaveCalibration lto-llvm-ea22a7.o - 0x080144ac 0x080144ac 0x00000144 Code RO 850 .text.SaveSettings lto-llvm-ea22a7.o - 0x080145f0 0x080145f0 0x00000278 Code RO 846 .text.SaveSettingsToEEPROM lto-llvm-ea22a7.o - 0x08014868 0x08014868 0x00000056 Code RO 1128 .text.SysTick_Handler lto-llvm-ea22a7.o - 0x080148be 0x080148be 0x00000002 PAD - 0x080148c0 0x080148c0 0x0000001a Code RO 1216 .text.SystemInit lto-llvm-ea22a7.o - 0x080148da 0x080148da 0x00000002 PAD - 0x080148dc 0x080148dc 0x0000017e Code RO 1144 .text.TIM3_IRQHandler lto-llvm-ea22a7.o - 0x08014a5a 0x08014a5a 0x00000002 PAD - 0x08014a5c 0x08014a5c 0x00002738 Code RO 1146 .text.TIM4_IRQHandler lto-llvm-ea22a7.o - 0x08017194 0x08017194 0x00003b78 Code RO 1152 .text.TIM5_IRQHandler lto-llvm-ea22a7.o - 0x0801ad0c 0x0801ad0c 0x0000258c Code RO 1156 .text.TIM6_DAC_IRQHandler lto-llvm-ea22a7.o - 0x0801d298 0x0801d298 0x000000a2 Code RO 1158 .text.TIM7_IRQHandler lto-llvm-ea22a7.o - 0x0801d33a 0x0801d33a 0x00000002 PAD - 0x0801d33c 0x0801d33c 0x00000070 Code RO 1150 .text.TIM8_UP_TIM13_IRQHandler lto-llvm-ea22a7.o - 0x0801d3ac 0x0801d3ac 0x00000986 Code RO 1042 .text.TRX_Restart_Mode lto-llvm-ea22a7.o - 0x0801dd32 0x0801dd32 0x00000002 PAD - 0x0801dd34 0x0801dd34 0x00000136 Code RO 1044 .text.TRX_key_change lto-llvm-ea22a7.o - 0x0801de6a 0x0801de6a 0x00000006 PAD - 0x0801de70 0x0801de70 0x00000410 Code RO 1038 .text.TRX_setFrequency lto-llvm-ea22a7.o - 0x0801e280 0x0801e280 0x00000134 Code RO 1040 .text.TRX_setMode lto-llvm-ea22a7.o - 0x0801e3b4 0x0801e3b4 0x000000e4 Code RO 1104 .text.UA3REO_I2SEx_DMAErr lto-llvm-ea22a7.o - 0x0801e498 0x0801e498 0x00000072 Code RO 1102 .text.UA3REO_I2SEx_TxRxDMACplt lto-llvm-ea22a7.o - 0x0801e50a 0x0801e50a 0x00000002 PAD - 0x0801e50c 0x0801e50c 0x00000070 Code RO 1100 .text.UA3REO_I2SEx_TxRxDMAHalfCplt lto-llvm-ea22a7.o - 0x0801e57c 0x0801e57c 0x000000e4 Code RO 1094 .text.USBD_AUDIO_StartTransmit lto-llvm-ea22a7.o - 0x0801e660 0x0801e660 0x00000030 Code RO 1240 .text.USBD_FS_ConfigStrDescriptor lto-llvm-ea22a7.o - 0x0801e690 0x0801e690 0x00000010 Code RO 1230 .text.USBD_FS_DeviceDescriptor lto-llvm-ea22a7.o - 0x0801e6a0 0x0801e6a0 0x00000038 Code RO 1242 .text.USBD_FS_Interface1StrDescriptor lto-llvm-ea22a7.o - 0x0801e6d8 0x0801e6d8 0x00000030 Code RO 1244 .text.USBD_FS_Interface2StrDescriptor lto-llvm-ea22a7.o - 0x0801e708 0x0801e708 0x00000030 Code RO 1246 .text.USBD_FS_Interface3StrDescriptor lto-llvm-ea22a7.o - 0x0801e738 0x0801e738 0x00000010 Code RO 1232 .text.USBD_FS_LangIDStrDescriptor lto-llvm-ea22a7.o - 0x0801e748 0x0801e748 0x0000001c Code RO 1234 .text.USBD_FS_ManufacturerStrDescriptor lto-llvm-ea22a7.o - 0x0801e764 0x0801e764 0x00000028 Code RO 1236 .text.USBD_FS_ProductStrDescriptor lto-llvm-ea22a7.o - 0x0801e78c 0x0801e78c 0x0000016c Code RO 1238 .text.USBD_FS_SerialStrDescriptor lto-llvm-ea22a7.o - 0x0801e8f8 0x0801e8f8 0x000000ce Code RO 1228 .text.USBD_GetString lto-llvm-ea22a7.o - 0x0801e9c6 0x0801e9c6 0x00000002 PAD - 0x0801e9c8 0x0801e9c8 0x000000dc Code RO 1224 .text.USBD_LL_CloseEP lto-llvm-ea22a7.o - 0x0801eaa4 0x0801eaa4 0x000000d8 Code RO 1222 .text.USBD_LL_OpenEP lto-llvm-ea22a7.o - 0x0801eb7c 0x0801eb7c 0x00000108 Code RO 1226 .text.USBD_LL_StallEP lto-llvm-ea22a7.o - 0x0801ec84 0x0801ec84 0x00000786 Code RO 1096 .text.USBD_Restart lto-llvm-ea22a7.o - 0x0801f40a 0x0801f40a 0x00000002 PAD - 0x0801f40c 0x0801f40c 0x00000180 Code RO 1080 .text.USBD_UA3REO_DataIn lto-llvm-ea22a7.o - 0x0801f58c 0x0801f58c 0x000000ea Code RO 1082 .text.USBD_UA3REO_DataOut lto-llvm-ea22a7.o - 0x0801f676 0x0801f676 0x00000002 PAD - 0x0801f678 0x0801f678 0x000000ae Code RO 1072 .text.USBD_UA3REO_DeInit lto-llvm-ea22a7.o - 0x0801f726 0x0801f726 0x00000002 PAD - 0x0801f728 0x0801f728 0x00000068 Code RO 1078 .text.USBD_UA3REO_EP0_RxReady lto-llvm-ea22a7.o - 0x0801f790 0x0801f790 0x00000004 Code RO 1076 .text.USBD_UA3REO_EP0_TxReady lto-llvm-ea22a7.o - 0x0801f794 0x0801f794 0x00000010 Code RO 1092 .text.USBD_UA3REO_GetDeviceQualifierDescriptor lto-llvm-ea22a7.o - 0x0801f7a4 0x0801f7a4 0x00000012 Code RO 1090 .text.USBD_UA3REO_GetFSCfgDesc lto-llvm-ea22a7.o - 0x0801f7b6 0x0801f7b6 0x00000002 PAD - 0x0801f7b8 0x0801f7b8 0x00000166 Code RO 1070 .text.USBD_UA3REO_Init lto-llvm-ea22a7.o - 0x0801f91e 0x0801f91e 0x00000002 PAD - 0x0801f920 0x0801f920 0x00000004 Code RO 1086 .text.USBD_UA3REO_IsoINIncomplete lto-llvm-ea22a7.o - 0x0801f924 0x0801f924 0x00000004 Code RO 1088 .text.USBD_UA3REO_IsoOutIncomplete lto-llvm-ea22a7.o - 0x0801f928 0x0801f928 0x00000018 Code RO 1084 .text.USBD_UA3REO_SOF lto-llvm-ea22a7.o - 0x0801f940 0x0801f940 0x00000454 Code RO 1074 .text.USBD_UA3REO_Setup lto-llvm-ea22a7.o - 0x0801fd94 0x0801fd94 0x000000f8 Code RO 1214 .text.USB_EP0StartXfer lto-llvm-ea22a7.o - 0x0801fe8c 0x0801fe8c 0x00000200 Code RO 1212 .text.USB_EPStartXfer lto-llvm-ea22a7.o - 0x0802008c 0x0802008c 0x0000008c Code RO 1120 .text.UsageFault_Handler lto-llvm-ea22a7.o - 0x08020118 0x08020118 0x000003f2 Code RO 1106 .text.WM8731_RX_mode lto-llvm-ea22a7.o - 0x0802050a 0x0802050a 0x00000002 PAD - 0x0802050c 0x0802050c 0x0000064a Code RO 1108 .text.WM8731_TXRX_mode lto-llvm-ea22a7.o - 0x08020b56 0x08020b56 0x00000002 PAD - 0x08020b58 0x08020b58 0x000003f6 Code RO 1098 .text.WM8731_start_i2s_and_dma lto-llvm-ea22a7.o - 0x08020f4e 0x08020f4e 0x00000002 PAD - 0x08020f50 0x08020f50 0x0000007c Code RO 746 .text.addSymbols lto-llvm-ea22a7.o - 0x08020fcc 0x08020fcc 0x00000128 Code RO 1248 .text.arm_add_f32 lto-llvm-ea22a7.o - 0x080210f4 0x080210f4 0x000004d8 Code RO 1254 .text.arm_biquad_cascade_df2T_f32 lto-llvm-ea22a7.o - 0x080215cc 0x080215cc 0x00000b64 Code RO 1256 .text.arm_fir_decimate_f32 lto-llvm-ea22a7.o - 0x08022130 0x08022130 0x00000698 Code RO 1258 .text.arm_fir_f32 lto-llvm-ea22a7.o - 0x080227c8 0x080227c8 0x00000392 Code RO 1260 .text.arm_max_f32 lto-llvm-ea22a7.o - 0x08022b5a 0x08022b5a 0x00000002 PAD - 0x08022b5c 0x08022b5c 0x0000032e Code RO 1262 .text.arm_min_f32 lto-llvm-ea22a7.o - 0x08022e8a 0x08022e8a 0x00000002 PAD - 0x08022e8c 0x08022e8c 0x00000120 Code RO 1250 .text.arm_mult_f32 lto-llvm-ea22a7.o - 0x08022fac 0x08022fac 0x00000248 Code RO 1266 .text.arm_quick_sort_core_f32 lto-llvm-ea22a7.o - 0x080231f4 0x080231f4 0x00000108 Code RO 1264 .text.arm_rms_f32 lto-llvm-ea22a7.o - 0x080232fc 0x080232fc 0x00000128 Code RO 1252 .text.arm_sub_f32 lto-llvm-ea22a7.o - 0x08023424 0x08023424 0x00000214 Code RO 1034 .text.drawSystemMenuElement lto-llvm-ea22a7.o - 0x08023638 0x08023638 0x00000452 Code RO 1036 .text.eventSecRotateSystemMenu lto-llvm-ea22a7.o - 0x08023a8a 0x08023a8a 0x00000002 PAD - 0x08023a8c 0x08023a8c 0x000002a2 Code RO 716 .text.getBandFromFreq lto-llvm-ea22a7.o - 0x08023d2e 0x08023d2e 0x00000002 PAD - 0x08023d30 0x08023d30 0x00000146 Code RO 718 .text.getModeFromFreq lto-llvm-ea22a7.o - 0x08023e76 0x08023e76 0x00000002 PAD - 0x08023e78 0x08023e78 0x00000110 Code RO 806 .text.i2c_endTransmission lto-llvm-ea22a7.o - 0x08023f88 0x08023f88 0x00000104 Code RO 802 .text.i2c_get_ack lto-llvm-ea22a7.o - 0x0802408c 0x0802408c 0x0000009e Code RO 804 .text.i2c_shift_out lto-llvm-ea22a7.o - 0x0802412a 0x0802412a 0x00000002 PAD - 0x0802412c 0x0802412c 0x00003180 Code RO 1110 .text.main lto-llvm-ea22a7.o - 0x080272ac 0x080272ac 0x0000068c Code RO 714 .text.processAutoNotchReduction lto-llvm-ea22a7.o - 0x08027938 0x08027938 0x00000146 Code RO 730 .text.readFromCircleBuffer32 lto-llvm-ea22a7.o - 0x08027a7e 0x08027a7e 0x00000002 PAD - 0x08027a80 0x08027a80 0x000000e0 Code RO 744 .text.sendToDebug_float32 lto-llvm-ea22a7.o - 0x08027b60 0x08027b60 0x000000ca Code RO 742 .text.sendToDebug_int16 lto-llvm-ea22a7.o - 0x08027c2a 0x08027c2a 0x00000002 PAD - 0x08027c2c 0x08027c2c 0x000001b6 Code RO 734 .text.sendToDebug_str3 lto-llvm-ea22a7.o - 0x08027de2 0x08027de2 0x00000002 PAD - 0x08027de4 0x08027de4 0x000000ea Code RO 732 .text.sendToDebug_strln lto-llvm-ea22a7.o - 0x08027ece 0x08027ece 0x00000002 PAD - 0x08027ed0 0x08027ed0 0x000000ba Code RO 738 .text.sendToDebug_uint16 lto-llvm-ea22a7.o - 0x08027f8a 0x08027f8a 0x00000002 PAD - 0x08027f8c 0x08027f8c 0x000000c8 Code RO 740 .text.sendToDebug_uint32 lto-llvm-ea22a7.o - 0x08028054 0x08028054 0x000000ca Code RO 736 .text.sendToDebug_uint8 lto-llvm-ea22a7.o - 0x0802811e 0x0802811e 0x00000002 PAD - 0x08028120 0x08028120 0x000000bc Code RO 1058 .text.setFT450Mode lto-llvm-ea22a7.o - 0x080281dc 0x080281dc 0x0000003e Code RO 540 CL$$btod_d2e c_w.l(btod.o) - 0x0802821a 0x0802821a 0x00000046 Code RO 542 CL$$btod_d2e_denorm_low c_w.l(btod.o) - 0x08028260 0x08028260 0x00000060 Code RO 541 CL$$btod_d2e_norm_op1 c_w.l(btod.o) - 0x080282c0 0x080282c0 0x00000338 Code RO 550 CL$$btod_div_common c_w.l(btod.o) - 0x080285f8 0x080285f8 0x000000dc Code RO 547 CL$$btod_e2e c_w.l(btod.o) - 0x080286d4 0x080286d4 0x0000002a Code RO 544 CL$$btod_ediv c_w.l(btod.o) - 0x080286fe 0x080286fe 0x0000002a Code RO 543 CL$$btod_emul c_w.l(btod.o) - 0x08028728 0x08028728 0x00000244 Code RO 549 CL$$btod_mult_common c_w.l(btod.o) - 0x0802896c 0x0802896c 0x00000030 Code RO 606 i.__ARM_fpclassify m_wm.l(fpclassify.o) - 0x0802899c 0x0802899c 0x00000026 Code RO 452 i.__ARM_fpclassifyf m_wm.l(fpclassifyf.o) - 0x080289c2 0x080289c2 0x00000006 PAD - 0x080289c8 0x080289c8 0x00000358 Code RO 251 i.__hardfp_acos m_wm.l(acos.o) - 0x08028d20 0x08028d20 0x00000180 Code RO 258 i.__hardfp_acosh m_wm.l(acosh.o) - 0x08028ea0 0x08028ea0 0x0000012c Code RO 264 i.__hardfp_asinf m_wm.l(asinf.o) - 0x08028fcc 0x08028fcc 0x000002ac Code RO 270 i.__hardfp_atan2f m_wm.l(atan2f.o) - 0x08029278 0x08029278 0x000000c8 Code RO 1822 i.__hardfp_cos m_wm.l(cos.o) - 0x08029340 0x08029340 0x00000198 Code RO 276 i.__hardfp_cosh m_wm.l(cosh.o) - 0x080294d8 0x080294d8 0x00000358 Code RO 429 i.__hardfp_exp m_wm.l(exp.o) - 0x08029830 0x08029830 0x000001c8 Code RO 1828 i.__hardfp_exp2f m_wm.l(exp2f.o) - 0x080299f8 0x080299f8 0x000000b0 Code RO 1841 i.__hardfp_fmodf m_wm.l(fmodf.o) - 0x08029aa8 0x08029aa8 0x00000054 Code RO 283 i.__hardfp_frexpf m_wm.l(frexpf.o) - 0x08029afc 0x08029afc 0x00000004 PAD - 0x08029b00 0x08029b00 0x000003c4 Code RO 468 i.__hardfp_log m_wm.l(log.o) - 0x08029ec4 0x08029ec4 0x00000004 PAD - 0x08029ec8 0x08029ec8 0x00000438 Code RO 1868 i.__ieee754_rem_pio2 m_wm.l(rred.o) - 0x0802a300 0x0802a300 0x00000170 Code RO 1865 i.__kernel_cos m_wm.l(cos_i.o) - 0x0802a470 0x0802a470 0x000000f8 Code RO 478 i.__kernel_poly m_wm.l(poly.o) - 0x0802a568 0x0802a568 0x00000130 Code RO 1873 i.__kernel_sin m_wm.l(sin_i.o) - 0x0802a698 0x0802a698 0x00000030 Code RO 415 i.__mathlib_dbl_divzero m_wm.l(dunder.o) - 0x0802a6c8 0x0802a6c8 0x00000014 Code RO 416 i.__mathlib_dbl_infnan m_wm.l(dunder.o) - 0x0802a6dc 0x0802a6dc 0x00000004 PAD - 0x0802a6e0 0x0802a6e0 0x00000020 Code RO 418 i.__mathlib_dbl_invalid m_wm.l(dunder.o) - 0x0802a700 0x0802a700 0x00000020 Code RO 419 i.__mathlib_dbl_overflow m_wm.l(dunder.o) - 0x0802a720 0x0802a720 0x00000014 Code RO 420 i.__mathlib_dbl_posinfnan m_wm.l(dunder.o) - 0x0802a734 0x0802a734 0x00000004 PAD - 0x0802a738 0x0802a738 0x00000020 Code RO 421 i.__mathlib_dbl_underflow m_wm.l(dunder.o) - 0x0802a758 0x0802a758 0x000004f4 Code RO 443 i.__mathlib_expm1 m_wm.l(expm1_i.o) - 0x0802ac4c 0x0802ac4c 0x00000006 Code RO 455 i.__mathlib_flt_infnan m_wm.l(funder.o) - 0x0802ac52 0x0802ac52 0x00000006 Code RO 456 i.__mathlib_flt_infnan2 m_wm.l(funder.o) - 0x0802ac58 0x0802ac58 0x00000010 Code RO 457 i.__mathlib_flt_invalid m_wm.l(funder.o) - 0x0802ac68 0x0802ac68 0x00000010 Code RO 458 i.__mathlib_flt_overflow m_wm.l(funder.o) - 0x0802ac78 0x0802ac78 0x00000010 Code RO 460 i.__mathlib_flt_underflow m_wm.l(funder.o) - 0x0802ac88 0x0802ac88 0x00000458 Code RO 475 i.__mathlib_log1p m_wm.l(log1p_i.o) - 0x0802b0e0 0x0802b0e0 0x0000000e Code RO 203 i._is_digit c_w.l(__printf_wp.o) - 0x0802b0ee 0x0802b0ee 0x00000002 PAD - 0x0802b0f0 0x0802b0f0 0x0000006c Code RO 1818 i.ceilf m_wm.l(ceilf.o) - 0x0802b15c 0x0802b15c 0x00000010 Code RO 1824 i.cos m_wm.l(cos.o) - 0x0802b16c 0x0802b16c 0x00000010 Code RO 431 i.exp m_wm.l(exp.o) - 0x0802b17c 0x0802b17c 0x00000010 Code RO 1830 i.exp2f m_wm.l(exp2f.o) - 0x0802b18c 0x0802b18c 0x00000018 Code RO 448 i.fabs m_wm.l(fabs.o) - 0x0802b1a4 0x0802b1a4 0x00000070 Code RO 1837 i.floorf m_wm.l(floorf.o) - 0x0802b214 0x0802b214 0x00000014 Code RO 1843 i.fmodf m_wm.l(fmodf.o) - 0x0802b228 0x0802b228 0x00000010 Code RO 470 i.log m_wm.l(log.o) - 0x0802b238 0x0802b238 0x000000d8 Code RO 1848 i.round m_wm.l(round.o) - 0x0802b310 0x0802b310 0x0000006e Code RO 482 i.sqrt m_wm.l(sqrt.o) - 0x0802b37e 0x0802b37e 0x0000003e Code RO 488 i.sqrtf m_wm.l(sqrtf.o) - 0x0802b3bc 0x0802b3bc 0x0000002c Code RO 565 locale$$code c_w.l(lc_numeric_c.o) - 0x0802b3e8 0x0802b3e8 0x0000002c Code RO 623 locale$$code c_w.l(lc_ctype_c.o) - 0x0802b414 0x0802b414 0x00000018 Code RO 578 x$fpl$basic fz_wm.l(basic.o) - 0x0802b42c 0x0802b42c 0x00000062 Code RO 1802 x$fpl$d2f fz_wm.l(d2f.o) - 0x0802b48e 0x0802b48e 0x00000002 PAD - 0x0802b490 0x0802b490 0x00000150 Code RO 402 x$fpl$dadd fz_wm.l(daddsub_clz.o) - 0x0802b5e0 0x0802b5e0 0x00000018 Code RO 641 x$fpl$dcmpinf fz_wm.l(dcmpi.o) - 0x0802b5f8 0x0802b5f8 0x000002b0 Code RO 409 x$fpl$ddiv fz_wm.l(ddiv.o) - 0x0802b8a8 0x0802b8a8 0x00000078 Code RO 580 x$fpl$deqf fz_wm.l(deqf.o) - 0x0802b920 0x0802b920 0x0000005e Code RO 582 x$fpl$dfix fz_wm.l(dfix.o) - 0x0802b97e 0x0802b97e 0x00000002 PAD - 0x0802b980 0x0802b980 0x0000005a Code RO 1806 x$fpl$dfixu fz_wm.l(dfixu.o) - 0x0802b9da 0x0802b9da 0x0000002e Code RO 587 x$fpl$dflt fz_wm.l(dflt_clz.o) - 0x0802ba08 0x0802ba08 0x00000026 Code RO 586 x$fpl$dfltu fz_wm.l(dflt_clz.o) - 0x0802ba2e 0x0802ba2e 0x00000002 PAD - 0x0802ba30 0x0802ba30 0x00000078 Code RO 1855 x$fpl$dgeqf fz_wm.l(dgeqf.o) - 0x0802baa8 0x0802baa8 0x00000078 Code RO 592 x$fpl$dleqf fz_wm.l(dleqf.o) - 0x0802bb20 0x0802bb20 0x00000154 Code RO 412 x$fpl$dmul fz_wm.l(dmul.o) - 0x0802bc74 0x0802bc74 0x0000009c Code RO 594 x$fpl$dnaninf fz_wm.l(dnaninf.o) - 0x0802bd10 0x0802bd10 0x0000000c Code RO 596 x$fpl$dretinf fz_wm.l(dretinf.o) - 0x0802bd1c 0x0802bd1c 0x0000006c Code RO 598 x$fpl$drleqf fz_wm.l(drleqf.o) - 0x0802bd88 0x0802bd88 0x000000b4 Code RO 1857 x$fpl$drnd fz_wm.l(drnd.o) - 0x0802be3c 0x0802be3c 0x00000016 Code RO 403 x$fpl$drsb fz_wm.l(daddsub_clz.o) - 0x0802be52 0x0802be52 0x00000002 PAD - 0x0802be54 0x0802be54 0x00000198 Code RO 600 x$fpl$dsqrt fz_wm.l(dsqrt_umaal.o) - 0x0802bfec 0x0802bfec 0x000001d4 Code RO 404 x$fpl$dsub fz_wm.l(daddsub_clz.o) - 0x0802c1c0 0x0802c1c0 0x00000056 Code RO 1810 x$fpl$f2d fz_wm.l(f2d.o) - 0x0802c216 0x0802c216 0x00000054 Code RO 1804 x$fpl$fcmp fz_wm.l(dcmp.o) - 0x0802c26a 0x0802c26a 0x0000008c Code RO 1859 x$fpl$fnaninf fz_wm.l(fnaninf.o) - 0x0802c2f6 0x0802c2f6 0x0000001a Code RO 602 x$fpl$fpinit fz_wm.l(fpinit.o) - 0x0802c310 0x0802c310 0x000000f4 Code RO 1861 x$fpl$frem fz_wm.l(frem_clz.o) - 0x0802c404 0x0802c404 0x0000000a Code RO 1863 x$fpl$fretinf fz_wm.l(fretinf.o) - 0x0802c40e 0x0802c40e 0x00000002 PAD - 0x0802c410 0x0802c410 0x00000086 Code RO 1812 x$fpl$llsfromf fz_wm.l(ffixll.o) - 0x0802c496 0x0802c496 0x00000004 Code RO 243 x$fpl$printf1 fz_wm.l(printf1.o) - 0x0802c49a 0x0802c49a 0x00000004 Code RO 245 x$fpl$printf2 fz_wm.l(printf2.o) - 0x0802c49e 0x0802c49e 0x00000000 Code RO 414 x$fpl$usenofp fz_wm.l(usenofp.o) - 0x0802c49e 0x0802c49e 0x00000002 PAD - 0x0802c4a0 0x0802c4a0 0x00000008 Data RO 164 .constdata c_w.l(_printf_wctomb.o) - 0x0802c4a8 0x0802c4a8 0x00000028 Data RO 176 .constdata c_w.l(_printf_hex_int.o) - 0x0802c4d0 0x0802c4d0 0x00000011 Data RO 211 .constdata c_w.l(__printf_flags_ss_wp.o) - 0x0802c4e1 0x0802c4e1 0x00000007 PAD - 0x0802c4e8 0x0802c4e8 0x00000050 Data RO 254 .constdata m_wm.l(acos.o) - 0x0802c538 0x0802c538 0x00000008 Data RO 279 .constdata m_wm.l(cosh.o) - 0x0802c540 0x0802c540 0x00000026 Data RO 335 .constdata c_w.l(_printf_fp_hex.o) - 0x0802c566 0x0802c566 0x00000002 PAD - 0x0802c568 0x0802c568 0x00000058 Data RO 432 .constdata m_wm.l(exp.o) - 0x0802c5c0 0x0802c5c0 0x00000028 Data RO 444 .constdata m_wm.l(expm1_i.o) - 0x0802c5e8 0x0802c5e8 0x00000038 Data RO 471 .constdata m_wm.l(log.o) - 0x0802c620 0x0802c620 0x00000038 Data RO 476 .constdata m_wm.l(log1p_i.o) - 0x0802c658 0x0802c658 0x00000094 Data RO 538 .constdata c_w.l(bigflt0.o) - 0x0802c6ec 0x0802c6ec 0x00000004 PAD - 0x0802c6f0 0x0802c6f0 0x00000008 Data RO 608 .constdata m_wm.l(qnan.o) - 0x0802c6f8 0x0802c6f8 0x00000030 Data RO 1831 .constdata m_wm.l(exp2f.o) - 0x0802c728 0x0802c728 0x00000030 Data RO 1866 .constdata m_wm.l(cos_i.o) - 0x0802c758 0x0802c758 0x000000cc Data RO 1870 .constdata m_wm.l(rred.o) - 0x0802c824 0x0802c824 0x00000004 PAD - 0x0802c828 0x0802c828 0x00000028 Data RO 1874 .constdata m_wm.l(sin_i.o) - 0x0802c850 0x0802c850 0x0000000c Data RO 1630 .rodata..L__const.SYSMENU_HANDL_TRX_FRQ_FAST_STEP.freq_steps lto-llvm-ea22a7.o - 0x0802c85c 0x0802c85c 0x0000000a Data RO 1631 .rodata..L__const.SYSMENU_HANDL_TRX_FRQ_STEP.freq_steps lto-llvm-ea22a7.o - 0x0802c866 0x0802c866 0x00000002 PAD - 0x0802c868 0x0802c868 0x0000002c Data RO 1684 .rodata..Lswitch.table.ua3reo_dev_cat_parseCommand.77 lto-llvm-ea22a7.o - 0x0802c894 0x0802c894 0x00000064 Data RO 1468 .rodata..compoundliteral.1.106 lto-llvm-ea22a7.o - 0x0802c8f8 0x0802c8f8 0x00000018 Data RO 1428 .rodata..compoundliteral.10.75 lto-llvm-ea22a7.o - 0x0802c910 0x0802c910 0x00000010 Data RO 1461 .rodata..compoundliteral.10.99 lto-llvm-ea22a7.o - 0x0802c920 0x0802c920 0x00000064 Data RO 1467 .rodata..compoundliteral.105 lto-llvm-ea22a7.o - 0x0802c984 0x0802c984 0x00000010 Data RO 1462 .rodata..compoundliteral.11.100 lto-llvm-ea22a7.o - 0x0802c994 0x0802c994 0x00000024 Data RO 1429 .rodata..compoundliteral.12.76 lto-llvm-ea22a7.o - 0x0802c9b8 0x0802c9b8 0x00000024 Data RO 1430 .rodata..compoundliteral.14.77 lto-llvm-ea22a7.o - 0x0802c9dc 0x0802c9dc 0x00000024 Data RO 1431 .rodata..compoundliteral.16.78 lto-llvm-ea22a7.o - 0x0802ca00 0x0802ca00 0x00000024 Data RO 1432 .rodata..compoundliteral.18.79 lto-llvm-ea22a7.o - 0x0802ca24 0x0802ca24 0x00000064 Data RO 1469 .rodata..compoundliteral.2.107 lto-llvm-ea22a7.o - 0x0802ca88 0x0802ca88 0x00000024 Data RO 1424 .rodata..compoundliteral.2.70 lto-llvm-ea22a7.o - 0x0802caac 0x0802caac 0x0000006c Data RO 1433 .rodata..compoundliteral.20.80 lto-llvm-ea22a7.o - 0x0802cb18 0x0802cb18 0x00000054 Data RO 1434 .rodata..compoundliteral.22.81 lto-llvm-ea22a7.o - 0x0802cb6c 0x0802cb6c 0x00000064 Data RO 1470 .rodata..compoundliteral.3.108 lto-llvm-ea22a7.o - 0x0802cbd0 0x0802cbd0 0x00000024 Data RO 1425 .rodata..compoundliteral.4.71 lto-llvm-ea22a7.o - 0x0802cbf4 0x0802cbf4 0x0000000c Data RO 1426 .rodata..compoundliteral.6.73 lto-llvm-ea22a7.o - 0x0802cc00 0x0802cc00 0x000055f4 Data RO 1736 .rodata..compoundliteral.637 lto-llvm-ea22a7.o - 0x080321f4 0x080321f4 0x0000000c Data RO 1423 .rodata..compoundliteral.69 lto-llvm-ea22a7.o - 0x08032200 0x08032200 0x0000003c Data RO 1427 .rodata..compoundliteral.8.74 lto-llvm-ea22a7.o - 0x0803223c 0x0803223c 0x00000010 Data RO 1459 .rodata..compoundliteral.8.97 lto-llvm-ea22a7.o - 0x0803224c 0x0803224c 0x00000010 Data RO 1460 .rodata..compoundliteral.9.98 lto-llvm-ea22a7.o - 0x0803225c 0x0803225c 0x00000120 Data RO 1422 .rodata.BANDS lto-llvm-ea22a7.o - 0x0803237c 0x0803237c 0x000000cc Data RO 1528 .rodata.COLOR_THEMES lto-llvm-ea22a7.o - 0x08032448 0x08032448 0x000000cc Data RO 1623 .rodata.COLOR_THEMES.296 lto-llvm-ea22a7.o - 0x08032514 0x08032514 0x00000104 Data RO 1303 .rodata.FIR_HILB_I_coeffs lto-llvm-ea22a7.o - 0x08032618 0x08032618 0x00000104 Data RO 1305 .rodata.FIR_HILB_Q_coeffs lto-llvm-ea22a7.o - 0x0803271c 0x0803271c 0x000000cc Data RO 1452 .rodata.FirZoomFFTDecimate lto-llvm-ea22a7.o - 0x080327e8 0x080327e8 0x0000000c Data RO 1538 .rodata.FreeSans12pt7b lto-llvm-ea22a7.o - 0x080327f4 0x080327f4 0x000007b1 Data RO 1541 .rodata.FreeSans12pt7bBitmaps lto-llvm-ea22a7.o - 0x08032fa5 0x08032fa5 0x00000001 PAD - 0x08032fa6 0x08032fa6 0x000002f8 Data RO 1542 .rodata.FreeSans12pt7bGlyphs lto-llvm-ea22a7.o - 0x0803329e 0x0803329e 0x00000002 PAD - 0x080332a0 0x080332a0 0x0000000c Data RO 1555 .rodata.FreeSans36pt7b lto-llvm-ea22a7.o - 0x080332ac 0x080332ac 0x00004385 Data RO 1556 .rodata.FreeSans36pt7bBitmaps lto-llvm-ea22a7.o - 0x08037631 0x08037631 0x00000001 PAD - 0x08037632 0x08037632 0x000002f8 Data RO 1557 .rodata.FreeSans36pt7bGlyphs lto-llvm-ea22a7.o - 0x0803792a 0x0803792a 0x00000002 PAD - 0x0803792c 0x0803792c 0x0000000c Data RO 1546 .rodata.FreeSans7pt7b lto-llvm-ea22a7.o - 0x08037938 0x08037938 0x000002b0 Data RO 1553 .rodata.FreeSans7pt7bBitmaps lto-llvm-ea22a7.o - 0x08037be8 0x08037be8 0x000002f8 Data RO 1554 .rodata.FreeSans7pt7bGlyphs lto-llvm-ea22a7.o - 0x08037ee0 0x08037ee0 0x0000000c Data RO 1550 .rodata.FreeSans9pt7b lto-llvm-ea22a7.o - 0x08037eec 0x08037eec 0x0000000c Data RO 1733 .rodata.FreeSans9pt7b.622 lto-llvm-ea22a7.o - 0x08037ef8 0x08037ef8 0x0000047e Data RO 1551 .rodata.FreeSans9pt7bBitmaps lto-llvm-ea22a7.o - 0x08038376 0x08038376 0x0000047e Data RO 1734 .rodata.FreeSans9pt7bBitmaps.635 lto-llvm-ea22a7.o - 0x080387f4 0x080387f4 0x000002f8 Data RO 1552 .rodata.FreeSans9pt7bGlyphs lto-llvm-ea22a7.o - 0x08038aec 0x08038aec 0x000002f8 Data RO 1735 .rodata.FreeSans9pt7bGlyphs.636 lto-llvm-ea22a7.o - 0x08038de4 0x08038de4 0x0000033c Data RO 1309 .rodata.IIR_Biquad_Filters lto-llvm-ea22a7.o - 0x08039120 0x08039120 0x0000000c Data RO 1561 .rodata.Quito32pt7b lto-llvm-ea22a7.o - 0x0803912c 0x0803912c 0x00006657 Data RO 1562 .rodata.Quito32pt7bBitmaps lto-llvm-ea22a7.o - 0x0803f783 0x0803f783 0x00000001 PAD - 0x0803f784 0x0803f784 0x000002f8 Data RO 1563 .rodata.Quito32pt7bGlyphs lto-llvm-ea22a7.o - 0x0803fa7c 0x0803fa7c 0x0000013a Data RO 1700 .rodata.USBD_UA3REO_CfgFSDesc lto-llvm-ea22a7.o - 0x0803fbb6 0x0803fbb6 0x00000002 PAD - 0x0803fbb8 0x0803fbb8 0x0000000a Data RO 1699 .rodata.USBD_UA3REO_DeviceQualifierDesc lto-llvm-ea22a7.o - 0x0803fbc2 0x0803fbc2 0x00000380 Data RO 1764 .rodata.armBitRevIndexTable512 lto-llvm-ea22a7.o - 0x0803ff42 0x0803ff42 0x00000002 PAD - 0x0803ff44 0x0803ff44 0x00000044 Data RO 1449 .rodata.mag_coeffs lto-llvm-ea22a7.o - 0x0803ff88 0x0803ff88 0x00000500 Data RO 1565 .rodata.rastr_font lto-llvm-ea22a7.o - 0x08040488 0x08040488 0x00000804 Data RO 1765 .rodata.sinTable_f32 lto-llvm-ea22a7.o - 0x08040c8c 0x08040c8c 0x00000a00 Data RO 1310 .rodata.str1.1 lto-llvm-ea22a7.o - 0x0804168c 0x0804168c 0x0000000e Data RO 1508 .rodata.str1.4 lto-llvm-ea22a7.o - 0x0804169a 0x0804169a 0x00000002 PAD - 0x0804169c 0x0804169c 0x00000020 Data RO 1619 .rodata.sysmenu_adc_handlers lto-llvm-ea22a7.o - 0x080416bc 0x080416bc 0x00000001 Data RO 1620 .rodata.sysmenu_adc_item_count lto-llvm-ea22a7.o - 0x080416bd 0x080416bd 0x00000003 PAD - 0x080416c0 0x080416c0 0x00000180 Data RO 1626 .rodata.sysmenu_audio_handlers lto-llvm-ea22a7.o - 0x08041840 0x08041840 0x00000001 Data RO 1627 .rodata.sysmenu_audio_item_count lto-llvm-ea22a7.o - 0x08041841 0x08041841 0x00000003 PAD - 0x08041844 0x08041844 0x00000110 Data RO 1609 .rodata.sysmenu_calibration_handlers lto-llvm-ea22a7.o - 0x08041954 0x08041954 0x00000001 Data RO 1610 .rodata.sysmenu_calibration_item_count lto-llvm-ea22a7.o - 0x08041955 0x08041955 0x00000003 PAD - 0x08041958 0x08041958 0x00000060 Data RO 1624 .rodata.sysmenu_cw_handlers lto-llvm-ea22a7.o - 0x080419b8 0x080419b8 0x00000001 Data RO 1625 .rodata.sysmenu_cw_item_count lto-llvm-ea22a7.o - 0x080419b9 0x080419b9 0x00000003 PAD - 0x080419bc 0x080419bc 0x00000090 Data RO 1608 .rodata.sysmenu_handlers lto-llvm-ea22a7.o - 0x08041a4c 0x08041a4c 0x00000001 Data RO 1607 .rodata.sysmenu_item_count lto-llvm-ea22a7.o - 0x08041a4d 0x08041a4d 0x00000003 PAD - 0x08041a50 0x08041a50 0x00000090 Data RO 1621 .rodata.sysmenu_screen_handlers lto-llvm-ea22a7.o - 0x08041ae0 0x08041ae0 0x00000001 Data RO 1622 .rodata.sysmenu_screen_item_count lto-llvm-ea22a7.o - 0x08041ae1 0x08041ae1 0x00000003 PAD - 0x08041ae4 0x08041ae4 0x00000020 Data RO 1617 .rodata.sysmenu_services_handlers lto-llvm-ea22a7.o - 0x08041b04 0x08041b04 0x00000001 Data RO 1618 .rodata.sysmenu_services_item_count lto-llvm-ea22a7.o - 0x08041b05 0x08041b05 0x00000003 PAD - 0x08041b08 0x08041b08 0x00000100 Data RO 1601 .rodata.sysmenu_trx_handlers lto-llvm-ea22a7.o - 0x08041c08 0x08041c08 0x00000001 Data RO 1603 .rodata.sysmenu_trx_item_count lto-llvm-ea22a7.o - 0x08041c09 0x08041c09 0x00000003 PAD - 0x08041c0c 0x08041c0c 0x00001000 Data RO 1763 .rodata.twiddleCoef_512 lto-llvm-ea22a7.o - 0x08042c0c 0x08042c0c 0x00000040 Data RO 1883 Region$$Table anon$$obj.o - 0x08042c4c 0x08042c4c 0x0000001c Data RO 564 locale$$data c_w.l(lc_numeric_c.o) - 0x08042c68 0x08042c68 0x00000110 Data RO 622 locale$$data c_w.l(lc_ctype_c.o) - - - Execution Region RW_IRAM2 (Exec base: 0x10000000, Load base: 0x08043f40, Size: 0x0000df68, Max: 0x00010000, ABSOLUTE, COMPRESSED[0x00000d68]) - - Exec Addr Load Addr Size Type Attr Idx E Section Name Object - - 0x10000000 COMPRESSED 0x00000900 Data RW 1271 .IRAM2 lto-llvm-ea22a7.o - 0x10000900 COMPRESSED 0x0000012c Data RW 1319 .data..compoundliteral.1 lto-llvm-ea22a7.o - 0x10000a2c COMPRESSED 0x0000012c Data RW 1328 .data..compoundliteral.10 lto-llvm-ea22a7.o - 0x10000b58 COMPRESSED 0x0000003c Data RW 1331 .data..compoundliteral.13 lto-llvm-ea22a7.o - 0x10000b94 COMPRESSED 0x0000012c Data RW 1332 .data..compoundliteral.14 lto-llvm-ea22a7.o - 0x10000cc0 COMPRESSED 0x0000003c Data RW 1335 .data..compoundliteral.17 lto-llvm-ea22a7.o - 0x10000cfc COMPRESSED 0x0000012c Data RW 1336 .data..compoundliteral.18 lto-llvm-ea22a7.o - 0x10000e28 COMPRESSED 0x0000003c Data RW 1339 .data..compoundliteral.21 lto-llvm-ea22a7.o - 0x10000e64 COMPRESSED 0x0000012c Data RW 1340 .data..compoundliteral.22 lto-llvm-ea22a7.o - 0x10000f90 COMPRESSED 0x0000003c Data RW 1343 .data..compoundliteral.25 lto-llvm-ea22a7.o - 0x10000fcc COMPRESSED 0x0000012c Data RW 1344 .data..compoundliteral.26 lto-llvm-ea22a7.o - 0x100010f8 COMPRESSED 0x0000003c Data RW 1347 .data..compoundliteral.29 lto-llvm-ea22a7.o - 0x10001134 COMPRESSED 0x0000012c Data RW 1321 .data..compoundliteral.3 lto-llvm-ea22a7.o - 0x10001260 COMPRESSED 0x0000012c Data RW 1348 .data..compoundliteral.30 lto-llvm-ea22a7.o - 0x1000138c COMPRESSED 0x0000003c Data RW 1351 .data..compoundliteral.33 lto-llvm-ea22a7.o - 0x100013c8 COMPRESSED 0x0000012c Data RW 1352 .data..compoundliteral.34 lto-llvm-ea22a7.o - 0x100014f4 COMPRESSED 0x0000003c Data RW 1355 .data..compoundliteral.37 lto-llvm-ea22a7.o - 0x10001530 COMPRESSED 0x0000012c Data RW 1356 .data..compoundliteral.38 lto-llvm-ea22a7.o - 0x1000165c COMPRESSED 0x0000003c Data RW 1359 .data..compoundliteral.41 lto-llvm-ea22a7.o - 0x10001698 COMPRESSED 0x0000012c Data RW 1360 .data..compoundliteral.42 lto-llvm-ea22a7.o - 0x100017c4 COMPRESSED 0x0000003c Data RW 1363 .data..compoundliteral.45 lto-llvm-ea22a7.o - 0x10001800 COMPRESSED 0x0000012c Data RW 1364 .data..compoundliteral.46 lto-llvm-ea22a7.o - 0x1000192c COMPRESSED 0x0000012c Data RW 1366 .data..compoundliteral.48 lto-llvm-ea22a7.o - 0x10001a58 COMPRESSED 0x0000012c Data RW 1323 .data..compoundliteral.5 lto-llvm-ea22a7.o - 0x10001b84 COMPRESSED 0x0000012c Data RW 1368 .data..compoundliteral.50 lto-llvm-ea22a7.o - 0x10001cb0 COMPRESSED 0x0000012c Data RW 1370 .data..compoundliteral.52 lto-llvm-ea22a7.o - 0x10001ddc COMPRESSED 0x0000012c Data RW 1372 .data..compoundliteral.54 lto-llvm-ea22a7.o - 0x10001f08 COMPRESSED 0x0000012c Data RW 1374 .data..compoundliteral.56 lto-llvm-ea22a7.o - 0x10002034 COMPRESSED 0x0000012c Data RW 1376 .data..compoundliteral.58 lto-llvm-ea22a7.o - 0x10002160 COMPRESSED 0x0000012c Data RW 1378 .data..compoundliteral.60 lto-llvm-ea22a7.o - 0x1000228c COMPRESSED 0x0000012c Data RW 1380 .data..compoundliteral.62 lto-llvm-ea22a7.o - 0x100023b8 COMPRESSED 0x0000012c Data RW 1382 .data..compoundliteral.64 lto-llvm-ea22a7.o - 0x100024e4 COMPRESSED 0x0000012c Data RW 1384 .data..compoundliteral.66 lto-llvm-ea22a7.o - 0x10002610 COMPRESSED 0x0000012c Data RW 1386 .data..compoundliteral.68 lto-llvm-ea22a7.o - 0x1000273c COMPRESSED 0x0000012c Data RW 1325 .data..compoundliteral.7 lto-llvm-ea22a7.o - 0x10002868 COMPRESSED 0x00000004 Data RW 1410 .data.ALC_need_gain lto-llvm-ea22a7.o - 0x1000286c COMPRESSED 0x0000000c Data RW 1284 .data.EQ_MIC_MID_FILTER lto-llvm-ea22a7.o - 0x10002878 COMPRESSED 0x0000000c Data RW 1278 .data.EQ_RX_HIG_FILTER lto-llvm-ea22a7.o - 0x10002884 COMPRESSED 0x0000000c Data RW 1272 .data.EQ_RX_LOW_FILTER lto-llvm-ea22a7.o - 0x10002890 COMPRESSED 0x00000001 Data RW 1518 .data.FRONTPANEL_check_ENC2SW.ENC2SW_Last lto-llvm-ea22a7.o - 0x10002891 COMPRESSED 0x00000003 PAD - 0x10002894 COMPRESSED 0x00000078 Data RW 1521 .data.I2C_WM8731 lto-llvm-ea22a7.o - 0x1000290c COMPRESSED 0x0000000c Data RW 1451 .data.IIR_biquad_Zoom_FFT_Q lto-llvm-ea22a7.o - 0x10002918 COMPRESSED 0x00000004 Data RW 1545 .data.LCD_displayStatusInfoBar.TRX_RX_dBm_averaging lto-llvm-ea22a7.o - 0x1000291c COMPRESSED 0x00000004 Data RW 1529 .data.LCD_last_s_meter lto-llvm-ea22a7.o - 0x10002920 COMPRESSED 0x00000002 Data RW 1536 .data.LCD_last_showed_freq_hz lto-llvm-ea22a7.o - 0x10002922 COMPRESSED 0x00000002 Data RW 1534 .data.LCD_last_showed_freq_mhz lto-llvm-ea22a7.o - 0x10002924 COMPRESSED 0x00000001 Data RW 1531 .data.Last_showed_Hours lto-llvm-ea22a7.o - 0x10002925 COMPRESSED 0x00000001 Data RW 1533 .data.Last_showed_Seconds lto-llvm-ea22a7.o - 0x10002926 COMPRESSED 0x00000001 Data RW 1577 .data.Power_Up lto-llvm-ea22a7.o - 0x10002927 COMPRESSED 0x00000001 Data RW 1586 .data.Read_Data lto-llvm-ea22a7.o - 0x10002928 COMPRESSED 0x00000001 Data RW 1582 .data.Sector_Erase lto-llvm-ea22a7.o - 0x10002929 COMPRESSED 0x00000003 PAD - 0x1000292c COMPRESSED 0x00000004 Data RW 1653 .data.TRX_MAX_TX_Amplitude lto-llvm-ea22a7.o - 0x10002930 COMPRESSED 0x00000004 Data RW 1647 .data.TRX_RX_dBm lto-llvm-ea22a7.o - 0x10002934 COMPRESSED 0x00000008 Data RW 1675 .data.USBD_AUDIO_fops_FS lto-llvm-ea22a7.o - 0x1000293c COMPRESSED 0x00000010 Data RW 1685 .data.USBD_DEBUG_fops_FS lto-llvm-ea22a7.o - 0x1000294c COMPRESSED 0x00000012 Data RW 1762 .data.USBD_FS_DeviceDesc lto-llvm-ea22a7.o - 0x1000295e COMPRESSED 0x00000002 PAD - 0x10002960 COMPRESSED 0x00000004 Data RW 1761 .data.USBD_LangIDDesc lto-llvm-ea22a7.o - 0x10002964 COMPRESSED 0x00000007 Data RW 1681 .data.lineCoding lto-llvm-ea22a7.o - 0x1000296b COMPRESSED 0x00000001 PAD - 0x1000296c COMPRESSED 0x00000004 Data RW 1604 .data.sysmenu_item_count_selected lto-llvm-ea22a7.o - 0x10002970 - 0x00000028 Zero RW 1465 .bss..compoundliteral.4.103 lto-llvm-ea22a7.o - 0x10002998 - 0x00000064 Zero RW 1466 .bss..compoundliteral.5.104 lto-llvm-ea22a7.o - 0x100029fc - 0x00000028 Zero RW 1463 .bss..compoundliteral.6.101 lto-llvm-ea22a7.o - 0x10002a24 - 0x00000004 Zero RW 1269 .bss.AGC_RX_need_gain_db_old lto-llvm-ea22a7.o - 0x10002a28 - 0x00000004 Zero RW 1387 .bss.AUDIOPROC_samples lto-llvm-ea22a7.o - 0x10002a2c - 0x00000020 Zero RW 1570 .bss.CALIBRATE lto-llvm-ea22a7.o - 0x10002a4c - 0x00000020 Zero RW 1683 .bss.CAT_UserRxBufferFS lto-llvm-ea22a7.o - 0x10002a6c - 0x00000004 Zero RW 1502 .bss.CPULOAD_SleepCounter lto-llvm-ea22a7.o - 0x10002a70 - 0x00000004 Zero RW 1504 .bss.CPULOAD_SleepingTime lto-llvm-ea22a7.o - 0x10002a74 - 0x00000004 Zero RW 1500 .bss.CPULOAD_startWorkTime lto-llvm-ea22a7.o - 0x10002a78 - 0x00000004 Zero RW 1776 .bss.DC_Filter_State.0.1 lto-llvm-ea22a7.o - 0x10002a7c - 0x00000004 Zero RW 1778 .bss.DC_Filter_State.1.1 lto-llvm-ea22a7.o - 0x10002a80 - 0x00000004 Zero RW 1780 .bss.DC_Filter_State.2.1 lto-llvm-ea22a7.o - 0x10002a84 - 0x00000004 Zero RW 1782 .bss.DC_Filter_State.3.1 lto-llvm-ea22a7.o - 0x10002a88 - 0x00000004 Zero RW 1784 .bss.DC_Filter_State.4.1 lto-llvm-ea22a7.o - 0x10002a8c - 0x00000004 Zero RW 1786 .bss.DC_Filter_State.5.1 lto-llvm-ea22a7.o - 0x10002a90 - 0x00000040 Zero RW 1687 .bss.DEBUG_UserTxBufferFS lto-llvm-ea22a7.o - 0x10002ad0 - 0x0000000c Zero RW 1455 .bss.DECIMATE_ZOOM_FFT_Q lto-llvm-ea22a7.o - 0x10002adc - 0x00000001 Zero RW 1404 .bss.DFM_RX_Squelched lto-llvm-ea22a7.o - 0x10002add COMPRESSED 0x00000003 PAD - 0x10002ae0 - 0x00000004 Zero RW 1399 .bss.DFM_RX_fm_sql_avg lto-llvm-ea22a7.o - 0x10002ae4 - 0x00000004 Zero RW 1406 .bss.DFM_RX_hpf_prev_a lto-llvm-ea22a7.o - 0x10002ae8 - 0x00000004 Zero RW 1401 .bss.DFM_RX_i_prev lto-llvm-ea22a7.o - 0x10002aec - 0x00000004 Zero RW 1403 .bss.DFM_RX_lpf_prev lto-llvm-ea22a7.o - 0x10002af0 - 0x00000300 Zero RW 1398 .bss.DemodulateFM.squelch_buf lto-llvm-ea22a7.o - 0x10002df0 - 0x00000004 Zero RW 1517 .bss.ENCODER2_AValDeb lto-llvm-ea22a7.o - 0x10002df4 - 0x00000004 Zero RW 1512 .bss.ENCODER_AValDeb lto-llvm-ea22a7.o - 0x10002df8 - 0x00000014 Zero RW 1286 .bss.EQ_MIC_MID_FILTER_Coeffs lto-llvm-ea22a7.o - 0x10002e0c - 0x00000008 Zero RW 1285 .bss.EQ_MIC_MID_FILTER_State lto-llvm-ea22a7.o - 0x10002e14 - 0x00000014 Zero RW 1280 .bss.EQ_RX_HIG_FILTER_Coeffs lto-llvm-ea22a7.o - 0x10002e28 - 0x00000008 Zero RW 1279 .bss.EQ_RX_HIG_FILTER_State lto-llvm-ea22a7.o - 0x10002e30 - 0x00000014 Zero RW 1274 .bss.EQ_RX_LOW_FILTER_Coeffs lto-llvm-ea22a7.o - 0x10002e44 - 0x00001000 Zero RW 1471 .bss.FFTInput lto-llvm-ea22a7.o - 0x10003e44 - 0x00001000 Zero RW 1458 .bss.FFTInputCharge lto-llvm-ea22a7.o - 0x10004e44 - 0x00000800 Zero RW 1439 .bss.FFTInput_I_A lto-llvm-ea22a7.o - 0x10005644 - 0x00000800 Zero RW 1440 .bss.FFTInput_Q_A lto-llvm-ea22a7.o - 0x10005e44 - 0x00000800 Zero RW 1442 .bss.FFTInput_Q_B lto-llvm-ea22a7.o - 0x10006644 - 0x00000780 Zero RW 1472 .bss.FFTInput_tmp lto-llvm-ea22a7.o - 0x10006dc4 - 0x00000002 Zero RW 1443 .bss.FFT_FPS lto-llvm-ea22a7.o - 0x10006dc6 COMPRESSED 0x00000002 PAD - 0x10006dc8 - 0x00000004 Zero RW 1437 .bss.FFT_buff_index lto-llvm-ea22a7.o - 0x10006dcc - 0x00000001 Zero RW 1436 .bss.FFT_new_buffer_ready lto-llvm-ea22a7.o - 0x10006dcd - 0x00000001 Zero RW 1773 .bss.FFT_sortInstance.0 lto-llvm-ea22a7.o - 0x10006dce COMPRESSED 0x00000002 PAD - 0x10006dd0 - 0x0000000c Zero RW 1292 .bss.FIR_RX_Hilbert_I lto-llvm-ea22a7.o - 0x10006ddc - 0x0000000c Zero RW 1294 .bss.FIR_TX_Hilbert_I lto-llvm-ea22a7.o - 0x10006de8 - 0x00000004 Zero RW 1492 .bss.FPGA_Audio_RXBuffer_Index lto-llvm-ea22a7.o - 0x10006dec - 0x00000001 Zero RW 1491 .bss.FPGA_Buffer_underrun lto-llvm-ea22a7.o - 0x10006ded COMPRESSED 0x00000003 PAD - 0x10006df0 - 0x00000014 Zero RW 1495 .bss.FPGA_GPIO_InitStruct lto-llvm-ea22a7.o - 0x10006e04 - 0x00000001 Zero RW 1489 .bss.FPGA_NeedGetParams lto-llvm-ea22a7.o - 0x10006e05 - 0x00000001 Zero RW 1496 .bss.FPGA_bus_stop lto-llvm-ea22a7.o - 0x10006e06 - 0x00000001 Zero RW 1510 .bss.FRONTPANEL_ENCODER_checkRotate.ENCfirst lto-llvm-ea22a7.o - 0x10006e07 COMPRESSED 0x00000001 PAD - 0x10006e08 - 0x00000004 Zero RW 1515 .bss.FRONTPANEL_ENCODER_checkRotate.ENCstartMeasureTime lto-llvm-ea22a7.o - 0x10006e0c - 0x00000400 Zero RW 1306 .bss.Fir_RX_Hilbert_State_Q lto-llvm-ea22a7.o - 0x1000720c - 0x00000400 Zero RW 1308 .bss.Fir_Tx_Hilbert_State_Q lto-llvm-ea22a7.o - 0x1000760c - 0x00000001 Zero RW 1547 .bss.Hours lto-llvm-ea22a7.o - 0x1000760d COMPRESSED 0x00000003 PAD - 0x10007610 - 0x00000078 Zero RW 1314 .bss.IIR_RX_GAUSS_State lto-llvm-ea22a7.o - 0x10007688 - 0x0000000c Zero RW 1300 .bss.IIR_RX_HPF_I lto-llvm-ea22a7.o - 0x10007694 - 0x0000000c Zero RW 1296 .bss.IIR_RX_LPF_I lto-llvm-ea22a7.o - 0x100076a0 - 0x00000078 Zero RW 1312 .bss.IIR_RX_LPF_Q_State lto-llvm-ea22a7.o - 0x10007718 - 0x0000000c Zero RW 1302 .bss.IIR_RX_Squelch_HPF lto-llvm-ea22a7.o - 0x10007724 - 0x00000078 Zero RW 1316 .bss.IIR_TX_HPF_I_State lto-llvm-ea22a7.o - 0x1000779c - 0x0000000c Zero RW 1298 .bss.IIR_TX_LPF_I lto-llvm-ea22a7.o - 0x100077a8 - 0x00000001 Zero RW 1575 .bss.InitSettings.already_inited lto-llvm-ea22a7.o - 0x100077a9 COMPRESSED 0x00000003 PAD - 0x100077ac - 0x00000004 Zero RW 1672 .bss.KEYER_symbol_start_time lto-llvm-ea22a7.o - 0x100077b0 - 0x00000004 Zero RW 1669 .bss.KEYER_symbol_status lto-llvm-ea22a7.o - 0x100077b4 - 0x00000001 Zero RW 1522 .bss.LCD_busy lto-llvm-ea22a7.o - 0x100077b5 - 0x00000006 Zero RW 1558 .bss.LCD_freq_string_hz lto-llvm-ea22a7.o - 0x100077bb - 0x00000006 Zero RW 1560 .bss.LCD_freq_string_mhz lto-llvm-ea22a7.o - 0x100077c1 - 0x00000001 Zero RW 1525 .bss.LCD_systemMenuOpened lto-llvm-ea22a7.o - 0x100077c2 COMPRESSED 0x00000002 PAD - 0x100077c4 - 0x00000004 Zero RW 1738 .bss.MemManage_Handler.i lto-llvm-ea22a7.o - 0x100077c8 - 0x00000004 Zero RW 1414 .bss.ModulateFM.fm_mod_accum lto-llvm-ea22a7.o - 0x100077cc - 0x00000004 Zero RW 1412 .bss.ModulateFM.hpf_prev_b lto-llvm-ea22a7.o - 0x100077d0 - 0x00000001 Zero RW 1572 .bss.NeedSaveCalibration lto-llvm-ea22a7.o - 0x100077d1 - 0x00000001 Zero RW 1390 .bss.Processor_NeedRXBuffer lto-llvm-ea22a7.o - 0x100077d2 COMPRESSED 0x00000002 PAD - 0x100077d4 - 0x00000004 Zero RW 1394 .bss.Processor_RX_Power_value lto-llvm-ea22a7.o - 0x100077d8 - 0x00000004 Zero RW 1392 .bss.Processor_selected_RFpower_amplitude lto-llvm-ea22a7.o - 0x100077dc - 0x00000004 Zero RW 1568 .bss.RF_UNIT_ProcessSensors.TRX_VLT_backward lto-llvm-ea22a7.o - 0x100077e0 - 0x00000001 Zero RW 1694 .bss.RX_USB_AUDIO_underrun lto-llvm-ea22a7.o - 0x100077e1 - 0x00000001 Zero RW 1505 .bss.SPI_busy lto-llvm-ea22a7.o - 0x100077e2 - 0x00000001 Zero RW 1498 .bss.SPI_process lto-llvm-ea22a7.o - 0x100077e3 - 0x00000001 Zero RW 1612 .bss.SYSMENU_HANDL_SETTIME.Hours lto-llvm-ea22a7.o - 0x100077e4 - 0x00000001 Zero RW 1614 .bss.SYSMENU_HANDL_SETTIME.Seconds lto-llvm-ea22a7.o - 0x100077e5 - 0x00000001 Zero RW 1549 .bss.Seconds lto-llvm-ea22a7.o - 0x100077e6 - 0x00000001 Zero RW 1742 .bss.TIM3_IRQHandler.ENC2lastClkVal lto-llvm-ea22a7.o - 0x100077e7 - 0x00000001 Zero RW 1749 .bss.TIM6_DAC_IRQHandler.HX8357B_BUG_redraw_counter lto-llvm-ea22a7.o - 0x100077e8 - 0x00000002 Zero RW 1746 .bss.TIM6_DAC_IRQHandler.fpga_stuck_errors lto-llvm-ea22a7.o - 0x100077ea COMPRESSED 0x00000002 PAD - 0x100077ec - 0x00000004 Zero RW 1744 .bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_I lto-llvm-ea22a7.o - 0x100077f0 - 0x00000194 Zero RW 1574 .bss.TRX lto-llvm-ea22a7.o - 0x10007984 - 0x00000002 Zero RW 1650 .bss.TRX_ADC_MINAMPLITUDE lto-llvm-ea22a7.o - 0x10007986 - 0x00000001 Zero RW 1648 .bss.TRX_ADC_OTR lto-llvm-ea22a7.o - 0x10007987 COMPRESSED 0x00000001 PAD - 0x10007988 - 0x00000004 Zero RW 1657 .bss.TRX_ALC lto-llvm-ea22a7.o - 0x1000798c - 0x00000001 Zero RW 1658 .bss.TRX_AutoGain_Stage lto-llvm-ea22a7.o - 0x1000798d - 0x00000001 Zero RW 1671 .bss.TRX_DoAutoGain.skip_cycles lto-llvm-ea22a7.o - 0x1000798e COMPRESSED 0x00000002 PAD - 0x10007990 - 0x00000004 Zero RW 1655 .bss.TRX_PWR_Backward lto-llvm-ea22a7.o - 0x10007994 - 0x00000001 Zero RW 1643 .bss.TRX_RX_IQ_swap lto-llvm-ea22a7.o - 0x10007995 COMPRESSED 0x00000003 PAD - 0x10007998 - 0x00000004 Zero RW 1663 .bss.TRX_Temporary_Mute_StartTime lto-llvm-ea22a7.o - 0x1000799c - 0x00000001 Zero RW 1661 .bss.TRX_Temporary_Stop_BandMap lto-llvm-ea22a7.o - 0x1000799d - 0x00000001 Zero RW 1645 .bss.TRX_Tune lto-llvm-ea22a7.o - 0x1000799e COMPRESSED 0x00000002 PAD - 0x100079a0 - 0x00000004 Zero RW 1665 .bss.TRX_freq_phrase_tx lto-llvm-ea22a7.o - 0x100079a4 - 0x00000001 Zero RW 1640 .bss.TRX_key_dot_hard lto-llvm-ea22a7.o - 0x100079a5 - 0x00000001 Zero RW 1638 .bss.TRX_key_serial lto-llvm-ea22a7.o - 0x100079a6 - 0x00000001 Zero RW 1636 .bss.TRX_ptt_soft lto-llvm-ea22a7.o - 0x100079a7 COMPRESSED 0x00000001 PAD - 0x100079a8 - 0x00000004 Zero RW 1696 .bss.TX_USB_AUDIO_SAMPLES lto-llvm-ea22a7.o - 0x100079ac - 0x00000004 Zero RW 1540 .bss.Tooltip_DiplayStartTime lto-llvm-ea22a7.o - 0x100079b0 - 0x00000040 Zero RW 1537 .bss.Tooltip_string lto-llvm-ea22a7.o - 0x100079f0 - 0x00000001 Zero RW 1757 .bss.USBD_SetConfig.cfgidx lto-llvm-ea22a7.o - 0x100079f1 - 0x00000001 Zero RW 1674 .bss.USB_AUDIO_need_rx_buffer lto-llvm-ea22a7.o - 0x100079f2 COMPRESSED 0x00000002 PAD - 0x100079f4 - 0x00000004 Zero RW 1740 .bss.UsageFault_Handler.i lto-llvm-ea22a7.o - 0x100079f8 - 0x00000001 Zero RW 1707 .bss.WM8731_Beeping lto-llvm-ea22a7.o - 0x100079f9 - 0x00000001 Zero RW 1705 .bss.WM8731_Buffer_underrun lto-llvm-ea22a7.o - 0x100079fa COMPRESSED 0x00000002 PAD - 0x100079fc - 0x00000004 Zero RW 1703 .bss.WM8731_DMA_samples lto-llvm-ea22a7.o - 0x10007a00 - 0x000003c0 Zero RW 1485 .bss.bandmap_line_tmp lto-llvm-ea22a7.o - 0x10007dc0 - 0x00000002 Zero RW 1482 .bss.bw_line_center lto-llvm-ea22a7.o - 0x10007dc2 - 0x00000002 Zero RW 1479 .bss.bw_line_start lto-llvm-ea22a7.o - 0x10007dc4 - 0x00000040 Zero RW 1679 .bss.cat_buffer lto-llvm-ea22a7.o - 0x10007e04 - 0x00000001 Zero RW 1678 .bss.cat_buffer_head lto-llvm-ea22a7.o - 0x10007e05 COMPRESSED 0x00000003 PAD - 0x10007e08 - 0x00000004 Zero RW 1476 .bss.currentFFTFreq lto-llvm-ea22a7.o - 0x10007e0c - 0x00000004 Zero RW 1667 .bss.current_cw_power lto-llvm-ea22a7.o - 0x10007e10 - 0x00000708 Zero RW 1595 .bss.data lto-llvm-ea22a7.o - 0x10008518 - 0x00000100 Zero RW 1690 .bss.debug_tx_fifo lto-llvm-ea22a7.o - 0x10008618 - 0x00000002 Zero RW 1689 .bss.debug_tx_fifo_head lto-llvm-ea22a7.o - 0x1000861a COMPRESSED 0x00000002 PAD - 0x1000861c - 0x0000080c Zero RW 1454 .bss.decimZoomFFTIState lto-llvm-ea22a7.o - 0x10008e28 - 0x0000080c Zero RW 1456 .bss.decimZoomFFTQState lto-llvm-ea22a7.o - 0x10009634 - 0x00000004 Zero RW 1591 .bss.endFreq lto-llvm-ea22a7.o - 0x10009638 - 0x00000002 Zero RW 1598 .bss.graph_sweep_x lto-llvm-ea22a7.o - 0x1000963a - 0x00000020 Zero RW 1732 .bss.greetings_buff lto-llvm-ea22a7.o - 0x1000965a COMPRESSED 0x00000002 PAD - 0x1000965c - 0x00000048 Zero RW 1716 .bss.hadc2 lto-llvm-ea22a7.o - 0x100096a4 - 0x00000060 Zero RW 1726 .bss.hdma_memtomem_dma2_stream0 lto-llvm-ea22a7.o - 0x10009704 - 0x00000060 Zero RW 1728 .bss.hdma_memtomem_dma2_stream3 lto-llvm-ea22a7.o - 0x10009764 - 0x00000060 Zero RW 1724 .bss.hdma_memtomem_dma2_stream4 lto-llvm-ea22a7.o - 0x100097c4 - 0x00000060 Zero RW 1722 .bss.hdma_memtomem_dma2_stream7 lto-llvm-ea22a7.o - 0x10009824 - 0x00000060 Zero RW 1718 .bss.hdma_spi3_tx lto-llvm-ea22a7.o - 0x10009884 - 0x00000058 Zero RW 1720 .bss.hspi2 lto-llvm-ea22a7.o - 0x100098dc - 0x00000050 Zero RW 1730 .bss.hsram1 lto-llvm-ea22a7.o - 0x1000992c - 0x00000040 Zero RW 1710 .bss.htim4 lto-llvm-ea22a7.o - 0x1000996c - 0x00000040 Zero RW 1712 .bss.htim6 lto-llvm-ea22a7.o - 0x100099ac - 0x00000040 Zero RW 1714 .bss.htim8 lto-llvm-ea22a7.o - 0x100099ec - 0x00000004 Zero RW 1768 .bss.lms2_Norm_instance.1 lto-llvm-ea22a7.o - 0x100099f0 - 0x00000004 Zero RW 1770 .bss.lms2_Norm_instance.3 lto-llvm-ea22a7.o - 0x100099f4 - 0x00000004 Zero RW 1772 .bss.lms2_Norm_instance.5 lto-llvm-ea22a7.o - 0x100099f8 - 0x00000080 Zero RW 1416 .bss.lms2_normCoeff_f32 lto-llvm-ea22a7.o - 0x10009a78 - 0x00000200 Zero RW 1417 .bss.lms2_reference lto-llvm-ea22a7.o - 0x10009c78 - 0x0000017c Zero RW 1415 .bss.lms2_stateF32 lto-llvm-ea22a7.o - 0x10009df4 - 0x00000004 Zero RW 1473 .bss.maxValueFFT_rx lto-llvm-ea22a7.o - 0x10009df8 - 0x00000004 Zero RW 1596 .bss.now_freq lto-llvm-ea22a7.o - 0x10009dfc - 0x00000066 Zero RW 1446 .bss.palette_bg_gradient lto-llvm-ea22a7.o - 0x10009e62 - 0x00000066 Zero RW 1448 .bss.palette_bw_bg_colors lto-llvm-ea22a7.o - 0x10009ec8 - 0x00000001 Zero RW 1484 .bss.print_fft_dma_estimated_size lto-llvm-ea22a7.o - 0x10009ec9 COMPRESSED 0x00000001 PAD - 0x10009eca - 0x00000002 Zero RW 1486 .bss.print_wtf_yindex lto-llvm-ea22a7.o - 0x10009ecc - 0x00000004 Zero RW 1396 .bss.processRxAudio.teta3 lto-llvm-ea22a7.o - 0x10009ed0 - 0x00000004 Zero RW 1420 .bss.reference_index_new lto-llvm-ea22a7.o - 0x10009ed4 - 0x00000002 Zero RW 1701 .bss.rx_buffer_head lto-llvm-ea22a7.o - 0x10009ed6 - 0x00000001 Zero RW 1579 .bss.settings_bank lto-llvm-ea22a7.o - 0x10009ed7 - 0x00000001 Zero RW 1600 .bss.sysmenu_hiddenmenu_enabled lto-llvm-ea22a7.o - 0x10009ed8 - 0x00000001 Zero RW 1616 .bss.sysmenu_services_opened lto-llvm-ea22a7.o - 0x10009ed9 - 0x00000001 Zero RW 1589 .bss.sysmenu_swr_opened lto-llvm-ea22a7.o - 0x10009eda - 0x00000001 Zero RW 1629 .bss.sysmenu_trx_selected_callsign_char_index lto-llvm-ea22a7.o - 0x10009edb - 0x00000001 Zero RW 1606 .bss.systemMenuIndex lto-llvm-ea22a7.o - 0x10009edc - 0x00000001 Zero RW 1634 .bss.systemMenuRootIndex lto-llvm-ea22a7.o - 0x10009edd COMPRESSED 0x00000001 PAD - 0x10009ede - 0x00000002 Zero RW 1564 .bss.text_cursor_x lto-llvm-ea22a7.o - 0x10009ee0 - 0x00000004 Zero RW 1599 .bss.tick_start_time lto-llvm-ea22a7.o - 0x10009ee4 - 0x00000004 Zero RW 1748 .bss.tim6_delay lto-llvm-ea22a7.o - 0x10009ee8 - 0x00000004 Zero RW 1408 .bss.two_signal_gen_position lto-llvm-ea22a7.o - 0x10009eec - 0x00000004 Zero RW 1753 .bss.uwTick lto-llvm-ea22a7.o - 0x10009ef0 - 0x00000001 Zero RW 1752 .bss.uwTickPrio lto-llvm-ea22a7.o - 0x10009ef1 - 0x00000194 Zero RW 1585 .bss.verify_clone lto-llvm-ea22a7.o - 0x1000a085 COMPRESSED 0x00000003 PAD - 0x1000a088 - 0x000000dc Zero RW 1478 .bss.wtf_buffer_freqs lto-llvm-ea22a7.o - 0x1000a164 COMPRESSED 0x00000004 PAD - 0x1000a168 - 0x00001f00 Zero RW 2 HEAP startup_stm32f407xx.o - 0x1000c068 - 0x00001f00 Zero RW 1 STACK startup_stm32f407xx.o - - - Execution Region RW_IRAM1 (Exec base: 0x20000000, Load base: 0x08042d80, Size: 0x0001df74, Max: 0x00020000, ABSOLUTE, COMPRESSED[0x000011c0]) - - Exec Addr Load Addr Size Type Attr Idx E Section Name Object - - 0x20000000 COMPRESSED 0x00018064 Data RW 1388 .IRAM1 lto-llvm-ea22a7.o - 0x20018064 COMPRESSED 0x0000012c Data RW 1318 .data..compoundliteral lto-llvm-ea22a7.o - 0x20018190 COMPRESSED 0x0000003c Data RW 1329 .data..compoundliteral.11 lto-llvm-ea22a7.o - 0x200181cc COMPRESSED 0x0000012c Data RW 1330 .data..compoundliteral.12 lto-llvm-ea22a7.o - 0x200182f8 COMPRESSED 0x0000003c Data RW 1333 .data..compoundliteral.15 lto-llvm-ea22a7.o - 0x20018334 COMPRESSED 0x0000012c Data RW 1334 .data..compoundliteral.16 lto-llvm-ea22a7.o - 0x20018460 COMPRESSED 0x0000003c Data RW 1337 .data..compoundliteral.19 lto-llvm-ea22a7.o - 0x2001849c COMPRESSED 0x0000012c Data RW 1320 .data..compoundliteral.2 lto-llvm-ea22a7.o - 0x200185c8 COMPRESSED 0x0000012c Data RW 1338 .data..compoundliteral.20 lto-llvm-ea22a7.o - 0x200186f4 COMPRESSED 0x0000003c Data RW 1341 .data..compoundliteral.23 lto-llvm-ea22a7.o - 0x20018730 COMPRESSED 0x0000012c Data RW 1342 .data..compoundliteral.24 lto-llvm-ea22a7.o - 0x2001885c COMPRESSED 0x0000003c Data RW 1345 .data..compoundliteral.27 lto-llvm-ea22a7.o - 0x20018898 COMPRESSED 0x0000012c Data RW 1346 .data..compoundliteral.28 lto-llvm-ea22a7.o - 0x200189c4 COMPRESSED 0x0000003c Data RW 1349 .data..compoundliteral.31 lto-llvm-ea22a7.o - 0x20018a00 COMPRESSED 0x0000012c Data RW 1350 .data..compoundliteral.32 lto-llvm-ea22a7.o - 0x20018b2c COMPRESSED 0x0000003c Data RW 1353 .data..compoundliteral.35 lto-llvm-ea22a7.o - 0x20018b68 COMPRESSED 0x0000012c Data RW 1354 .data..compoundliteral.36 lto-llvm-ea22a7.o - 0x20018c94 COMPRESSED 0x0000003c Data RW 1357 .data..compoundliteral.39 lto-llvm-ea22a7.o - 0x20018cd0 COMPRESSED 0x0000012c Data RW 1322 .data..compoundliteral.4 lto-llvm-ea22a7.o - 0x20018dfc COMPRESSED 0x0000012c Data RW 1358 .data..compoundliteral.40 lto-llvm-ea22a7.o - 0x20018f28 COMPRESSED 0x0000003c Data RW 1361 .data..compoundliteral.43 lto-llvm-ea22a7.o - 0x20018f64 COMPRESSED 0x0000012c Data RW 1362 .data..compoundliteral.44 lto-llvm-ea22a7.o - 0x20019090 COMPRESSED 0x0000012c Data RW 1365 .data..compoundliteral.47 lto-llvm-ea22a7.o - 0x200191bc COMPRESSED 0x0000012c Data RW 1367 .data..compoundliteral.49 lto-llvm-ea22a7.o - 0x200192e8 COMPRESSED 0x0000012c Data RW 1369 .data..compoundliteral.51 lto-llvm-ea22a7.o - 0x20019414 COMPRESSED 0x0000012c Data RW 1371 .data..compoundliteral.53 lto-llvm-ea22a7.o - 0x20019540 COMPRESSED 0x0000012c Data RW 1373 .data..compoundliteral.55 lto-llvm-ea22a7.o - 0x2001966c COMPRESSED 0x0000012c Data RW 1375 .data..compoundliteral.57 lto-llvm-ea22a7.o - 0x20019798 COMPRESSED 0x0000012c Data RW 1377 .data..compoundliteral.59 lto-llvm-ea22a7.o - 0x200198c4 COMPRESSED 0x0000012c Data RW 1324 .data..compoundliteral.6 lto-llvm-ea22a7.o - 0x200199f0 COMPRESSED 0x0000012c Data RW 1379 .data..compoundliteral.61 lto-llvm-ea22a7.o - 0x20019b1c COMPRESSED 0x0000012c Data RW 1381 .data..compoundliteral.63 lto-llvm-ea22a7.o - 0x20019c48 COMPRESSED 0x0000012c Data RW 1383 .data..compoundliteral.65 lto-llvm-ea22a7.o - 0x20019d74 COMPRESSED 0x0000012c Data RW 1385 .data..compoundliteral.67 lto-llvm-ea22a7.o - 0x20019ea0 COMPRESSED 0x0000012c Data RW 1326 .data..compoundliteral.8 lto-llvm-ea22a7.o - 0x20019fcc COMPRESSED 0x0000003c Data RW 1327 .data..compoundliteral.9 lto-llvm-ea22a7.o - 0x2001a008 COMPRESSED 0x00000004 Data RW 1527 .data.COLOR lto-llvm-ea22a7.o - 0x2001a00c COMPRESSED 0x0000000c Data RW 1287 .data.EQ_MIC_HIG_FILTER lto-llvm-ea22a7.o - 0x2001a018 COMPRESSED 0x0000000c Data RW 1281 .data.EQ_MIC_LOW_FILTER lto-llvm-ea22a7.o - 0x2001a024 COMPRESSED 0x0000000c Data RW 1275 .data.EQ_RX_MID_FILTER lto-llvm-ea22a7.o - 0x2001a030 COMPRESSED 0x00000001 Data RW 1490 .data.FPGA_NeedRestart lto-llvm-ea22a7.o - 0x2001a031 COMPRESSED 0x00000003 PAD - 0x2001a034 COMPRESSED 0x00000024 Data RW 1758 .data.FS_Desc lto-llvm-ea22a7.o - 0x2001a058 COMPRESSED 0x00000001 Data RW 1588 .data.Get_Status lto-llvm-ea22a7.o - 0x2001a059 COMPRESSED 0x00000003 PAD - 0x2001a05c COMPRESSED 0x0000000c Data RW 1450 .data.IIR_biquad_Zoom_FFT_I lto-llvm-ea22a7.o - 0x2001a068 COMPRESSED 0x00000002 Data RW 1535 .data.LCD_last_showed_freq_khz lto-llvm-ea22a7.o - 0x2001a06a COMPRESSED 0x00000001 Data RW 1532 .data.Last_showed_Minutes lto-llvm-ea22a7.o - 0x2001a06b COMPRESSED 0x00000001 PAD - 0x2001a06c COMPRESSED 0x00000004 Data RW 1411 .data.ModulateFM.modulation_index lto-llvm-ea22a7.o - 0x2001a070 COMPRESSED 0x00000240 Data RW 1507 .data.PERIPH_FrontPanel_BottomScroll_Buttons lto-llvm-ea22a7.o - 0x2001a2b0 COMPRESSED 0x00000004 Data RW 1506 .data.PERIPH_FrontPanel_BottomScroll_Buttons_Active lto-llvm-ea22a7.o - 0x2001a2b4 COMPRESSED 0x00000090 Data RW 1520 .data.PERIPH_FrontPanel_Static_Buttons lto-llvm-ea22a7.o - 0x2001a344 COMPRESSED 0x00000001 Data RW 1584 .data.Page_Program lto-llvm-ea22a7.o - 0x2001a345 COMPRESSED 0x00000001 Data RW 1578 .data.Power_Down lto-llvm-ea22a7.o - 0x2001a346 COMPRESSED 0x00000002 PAD - 0x2001a348 COMPRESSED 0x00000004 Data RW 1754 .data.SystemCoreClock lto-llvm-ea22a7.o - 0x2001a34c COMPRESSED 0x00000004 Data RW 1666 .data.TRX_InVoltage lto-llvm-ea22a7.o - 0x2001a350 COMPRESSED 0x00000010 Data RW 1677 .data.USBD_CAT_fops_FS lto-llvm-ea22a7.o - 0x2001a360 COMPRESSED 0x0000001a Data RW 1760 .data.USBD_StringSerial lto-llvm-ea22a7.o - 0x2001a37a COMPRESSED 0x00000002 PAD - 0x2001a37c COMPRESSED 0x00000038 Data RW 1698 .data.USBD_UA3REO lto-llvm-ea22a7.o - 0x2001a3b4 COMPRESSED 0x00000001 Data RW 1581 .data.Write_Enable lto-llvm-ea22a7.o - 0x2001a3b5 COMPRESSED 0x00000001 PAD - 0x2001a3b6 COMPRESSED 0x00000002 Data RW 1594 .data.graph_selected_x lto-llvm-ea22a7.o - 0x2001a3b8 COMPRESSED 0x00000050 Data RW 1477 .data.grid_lines_pos lto-llvm-ea22a7.o - 0x2001a408 COMPRESSED 0x00000007 Data RW 1686 .data.lineCoding.532 lto-llvm-ea22a7.o - 0x2001a40f COMPRESSED 0x00000001 PAD - 0x2001a410 COMPRESSED 0x00000004 Data RW 1409 .data.processTxAudio.tone_counter lto-llvm-ea22a7.o - 0x2001a414 COMPRESSED 0x00000004 Data RW 1602 .data.sysmenu_handlers_selected lto-llvm-ea22a7.o - 0x2001a418 COMPRESSED 0x00000002 Data RW 1633 .data.sysmenu_y lto-llvm-ea22a7.o - 0x2001a41a COMPRESSED 0x00000013 Data RW 1569 .data.version_string lto-llvm-ea22a7.o - 0x2001a42d COMPRESSED 0x00000003 PAD - 0x2001a430 - 0x00000060 Zero RW 497 .bss c_w.l(libspace.o) - 0x2001a490 - 0x00000064 Zero RW 1464 .bss..compoundliteral.7.102 lto-llvm-ea22a7.o - 0x2001a4f4 - 0x00000004 Zero RW 1268 .bss.AGC_RX_need_gain_db lto-llvm-ea22a7.o - 0x2001a4f8 - 0x00000003 Zero RW 1580 .bss.Address lto-llvm-ea22a7.o - 0x2001a4fb COMPRESSED 0x00000001 PAD - 0x2001a4fc - 0x00000004 Zero RW 1739 .bss.BusFault_Handler.i lto-llvm-ea22a7.o - 0x2001a500 - 0x00000020 Zero RW 1682 .bss.CAT_UserTxBufferFS lto-llvm-ea22a7.o - 0x2001a520 - 0x00000004 Zero RW 1501 .bss.CPULOAD_WorkingTime lto-llvm-ea22a7.o - 0x2001a524 - 0x00000004 Zero RW 1503 .bss.CPULOAD_startSleepTime lto-llvm-ea22a7.o - 0x2001a528 - 0x00000001 Zero RW 1499 .bss.CPULOAD_status lto-llvm-ea22a7.o - 0x2001a529 COMPRESSED 0x00000003 PAD - 0x2001a52c - 0x00000004 Zero RW 1766 .bss.CPU_LOAD.0 lto-llvm-ea22a7.o - 0x2001a530 - 0x00000004 Zero RW 1775 .bss.DC_Filter_State.0.0 lto-llvm-ea22a7.o - 0x2001a534 - 0x00000004 Zero RW 1777 .bss.DC_Filter_State.1.0 lto-llvm-ea22a7.o - 0x2001a538 - 0x00000004 Zero RW 1779 .bss.DC_Filter_State.2.0 lto-llvm-ea22a7.o - 0x2001a53c - 0x00000004 Zero RW 1781 .bss.DC_Filter_State.3.0 lto-llvm-ea22a7.o - 0x2001a540 - 0x00000004 Zero RW 1783 .bss.DC_Filter_State.4.0 lto-llvm-ea22a7.o - 0x2001a544 - 0x00000004 Zero RW 1785 .bss.DC_Filter_State.5.0 lto-llvm-ea22a7.o - 0x2001a548 - 0x00000008 Zero RW 1688 .bss.DEBUG_UserRxBufferFS lto-llvm-ea22a7.o - 0x2001a550 - 0x0000000c Zero RW 1453 .bss.DECIMATE_ZOOM_FFT_I lto-llvm-ea22a7.o - 0x2001a55c - 0x00000004 Zero RW 1400 .bss.DFM_RX_fm_sql_count lto-llvm-ea22a7.o - 0x2001a560 - 0x00000004 Zero RW 1405 .bss.DFM_RX_hpf_prev_b lto-llvm-ea22a7.o - 0x2001a564 - 0x00000004 Zero RW 1402 .bss.DFM_RX_q_prev lto-llvm-ea22a7.o - 0x2001a568 - 0x00000004 Zero RW 1270 .bss.DoRxAGC.ring_position lto-llvm-ea22a7.o - 0x2001a56c - 0x00000001 Zero RW 1573 .bss.EEPROM_Busy lto-llvm-ea22a7.o - 0x2001a56d - 0x00000001 Zero RW 1576 .bss.EEPROM_Enabled lto-llvm-ea22a7.o - 0x2001a56e COMPRESSED 0x00000002 PAD - 0x2001a570 - 0x00000004 Zero RW 1513 .bss.ENCODER_slowler lto-llvm-ea22a7.o - 0x2001a574 - 0x00000014 Zero RW 1289 .bss.EQ_MIC_HIG_FILTER_Coeffs lto-llvm-ea22a7.o - 0x2001a588 - 0x00000008 Zero RW 1288 .bss.EQ_MIC_HIG_FILTER_State lto-llvm-ea22a7.o - 0x2001a590 - 0x00000014 Zero RW 1283 .bss.EQ_MIC_LOW_FILTER_Coeffs lto-llvm-ea22a7.o - 0x2001a5a4 - 0x00000008 Zero RW 1282 .bss.EQ_MIC_LOW_FILTER_State lto-llvm-ea22a7.o - 0x2001a5ac - 0x00000008 Zero RW 1273 .bss.EQ_RX_LOW_FILTER_State lto-llvm-ea22a7.o - 0x2001a5b4 - 0x00000014 Zero RW 1277 .bss.EQ_RX_MID_FILTER_Coeffs lto-llvm-ea22a7.o - 0x2001a5c8 - 0x00000008 Zero RW 1276 .bss.EQ_RX_MID_FILTER_State lto-llvm-ea22a7.o - 0x2001a5d0 - 0x00000800 Zero RW 1441 .bss.FFTInput_I_B lto-llvm-ea22a7.o - 0x2001add0 - 0x00000780 Zero RW 1475 .bss.FFTOutput_mean lto-llvm-ea22a7.o - 0x2001b550 - 0x00000001 Zero RW 1438 .bss.FFT_buff_current lto-llvm-ea22a7.o - 0x2001b551 - 0x00000001 Zero RW 1435 .bss.FFT_need_fft lto-llvm-ea22a7.o - 0x2001b552 - 0x00000001 Zero RW 1774 .bss.FFT_sortInstance.1 lto-llvm-ea22a7.o - 0x2001b553 - 0x00000001 Zero RW 1692 .bss.FIFO_Events_busy lto-llvm-ea22a7.o - 0x2001b554 - 0x0000000c Zero RW 1293 .bss.FIR_RX_Hilbert_Q lto-llvm-ea22a7.o - 0x2001b560 - 0x0000000c Zero RW 1295 .bss.FIR_TX_Hilbert_Q lto-llvm-ea22a7.o - 0x2001b56c - 0x00000001 Zero RW 1494 .bss.FPGA_Audio_Buffer_State lto-llvm-ea22a7.o - 0x2001b56d COMPRESSED 0x00000003 PAD - 0x2001b570 - 0x00000004 Zero RW 1493 .bss.FPGA_Audio_TXBuffer_Index lto-llvm-ea22a7.o - 0x2001b574 - 0x00000001 Zero RW 1488 .bss.FPGA_NeedSendParams lto-llvm-ea22a7.o - 0x2001b575 - 0x00000001 Zero RW 1497 .bss.FPGA_restart.FPGA_restart_state lto-llvm-ea22a7.o - 0x2001b576 COMPRESSED 0x00000002 PAD - 0x2001b578 - 0x00000004 Zero RW 1487 .bss.FPGA_samples lto-llvm-ea22a7.o - 0x2001b57c - 0x00000004 Zero RW 1516 .bss.FRONTPANEL_ENCODER_checkRotate.ENCAcceleration lto-llvm-ea22a7.o - 0x2001b580 - 0x00000001 Zero RW 1511 .bss.FRONTPANEL_ENCODER_checkRotate.ENClastClkVal lto-llvm-ea22a7.o - 0x2001b581 COMPRESSED 0x00000001 PAD - 0x2001b582 - 0x00000002 Zero RW 1514 .bss.FRONTPANEL_ENCODER_checkRotate.ENCticksInInterval lto-llvm-ea22a7.o - 0x2001b584 - 0x00000001 Zero RW 1519 .bss.FRONTPanel_MCP3008_1_Enabled lto-llvm-ea22a7.o - 0x2001b585 COMPRESSED 0x00000003 PAD - 0x2001b588 - 0x00000001 Zero RW 1751 .bss.FSMC_Initialized lto-llvm-ea22a7.o - 0x2001b589 COMPRESSED 0x00000003 PAD - 0x2001b58c - 0x00000400 Zero RW 1304 .bss.Fir_RX_Hilbert_State_I lto-llvm-ea22a7.o - 0x2001b98c - 0x00000400 Zero RW 1307 .bss.Fir_Tx_Hilbert_State_I lto-llvm-ea22a7.o - 0x2001bd8c - 0x00000004 Zero RW 1737 .bss.HardFault_Handler.i lto-llvm-ea22a7.o - 0x2001bd90 - 0x0000000c Zero RW 1299 .bss.IIR_RX_GAUSS lto-llvm-ea22a7.o - 0x2001bd9c - 0x00000078 Zero RW 1315 .bss.IIR_RX_HPF_I_State lto-llvm-ea22a7.o - 0x2001be14 - 0x00000078 Zero RW 1317 .bss.IIR_RX_HPF_SQL_State lto-llvm-ea22a7.o - 0x2001be8c - 0x00000078 Zero RW 1311 .bss.IIR_RX_LPF_I_State lto-llvm-ea22a7.o - 0x2001bf04 - 0x0000000c Zero RW 1297 .bss.IIR_RX_LPF_Q lto-llvm-ea22a7.o - 0x2001bf10 - 0x0000000c Zero RW 1301 .bss.IIR_TX_HPF_I lto-llvm-ea22a7.o - 0x2001bf1c - 0x00000078 Zero RW 1313 .bss.IIR_TX_LPF_I_State lto-llvm-ea22a7.o - 0x2001bf94 - 0x0000000f Zero RW 1524 .bss.LCD_UpdateQuery lto-llvm-ea22a7.o - 0x2001bfa3 COMPRESSED 0x00000001 PAD - 0x2001bfa4 - 0x00000001 Zero RW 1526 .bss.LCD_bw_trapez_stripe_pos lto-llvm-ea22a7.o - 0x2001bfa5 COMPRESSED 0x00000003 PAD - 0x2001bfa8 - 0x00000004 Zero RW 1544 .bss.LCD_displayStatusInfoBar.smeter_peak_settime lto-llvm-ea22a7.o - 0x2001bfac - 0x00000002 Zero RW 1543 .bss.LCD_displayStatusInfoBar.smeter_peak_x lto-llvm-ea22a7.o - 0x2001bfae - 0x00000006 Zero RW 1559 .bss.LCD_freq_string_khz lto-llvm-ea22a7.o - 0x2001bfb4 - 0x00000001 Zero RW 1523 .bss.LCD_inited lto-llvm-ea22a7.o - 0x2001bfb5 COMPRESSED 0x00000003 PAD - 0x2001bfb8 - 0x00000004 Zero RW 1530 .bss.LCD_last_showed_freq lto-llvm-ea22a7.o - 0x2001bfbc - 0x00000001 Zero RW 1593 .bss.LastMute lto-llvm-ea22a7.o - 0x2001bfbd COMPRESSED 0x00000003 PAD - 0x2001bfc0 - 0x00000004 Zero RW 1592 .bss.Lastfreq lto-llvm-ea22a7.o - 0x2001bfc4 - 0x00000001 Zero RW 1548 .bss.Minutes lto-llvm-ea22a7.o - 0x2001bfc5 COMPRESSED 0x00000003 PAD - 0x2001bfc8 - 0x00000004 Zero RW 1413 .bss.ModulateFM.hpf_prev_a lto-llvm-ea22a7.o - 0x2001bfcc - 0x00000001 Zero RW 1290 .bss.NeedReinitAudioFilters lto-llvm-ea22a7.o - 0x2001bfcd - 0x00000001 Zero RW 1291 .bss.NeedReinitAudioFiltersClean lto-llvm-ea22a7.o - 0x2001bfce - 0x00000001 Zero RW 1571 .bss.NeedSaveSettings lto-llvm-ea22a7.o - 0x2001bfcf - 0x00000001 Zero RW 1509 .bss.PERIPH_FrontPanel_BottomScroll_index lto-llvm-ea22a7.o - 0x2001bfd0 - 0x00000004 Zero RW 1389 .bss.Processor_AudioBuffer_ReadyBuffer lto-llvm-ea22a7.o - 0x2001bfd4 - 0x00000001 Zero RW 1391 .bss.Processor_NeedTXBuffer lto-llvm-ea22a7.o - 0x2001bfd5 COMPRESSED 0x00000003 PAD - 0x2001bfd8 - 0x00000004 Zero RW 1393 .bss.Processor_TX_MAX_amplitude_OUT lto-llvm-ea22a7.o - 0x2001bfdc - 0x00000004 Zero RW 1567 .bss.RF_UNIT_ProcessSensors.TRX_VLT_forward lto-llvm-ea22a7.o - 0x2001bfe0 - 0x00000004 Zero RW 1695 .bss.RX_USB_AUDIO_SAMPLES lto-llvm-ea22a7.o - 0x2001bfe4 - 0x00000001 Zero RW 1613 .bss.SYSMENU_HANDL_SETTIME.Minutes lto-llvm-ea22a7.o - 0x2001bfe5 - 0x00000001 Zero RW 1741 .bss.TIM3_IRQHandler.ENC2first lto-llvm-ea22a7.o - 0x2001bfe6 - 0x00000001 Zero RW 1747 .bss.TIM6_DAC_IRQHandler.needPrintFFT lto-llvm-ea22a7.o - 0x2001bfe7 COMPRESSED 0x00000001 PAD - 0x2001bfe8 - 0x00000004 Zero RW 1745 .bss.TIM6_DAC_IRQHandler.old_FPGA_Audio_Buffer_RX_Q lto-llvm-ea22a7.o - 0x2001bfec - 0x00000002 Zero RW 1651 .bss.TRX_ADC_MAXAMPLITUDE lto-llvm-ea22a7.o - 0x2001bfee - 0x00000001 Zero RW 1649 .bss.TRX_DAC_OTR lto-llvm-ea22a7.o - 0x2001bfef COMPRESSED 0x00000001 PAD - 0x2001bff0 - 0x00000004 Zero RW 1659 .bss.TRX_IQ_phase_error lto-llvm-ea22a7.o - 0x2001bff4 - 0x00000001 Zero RW 1646 .bss.TRX_Inited lto-llvm-ea22a7.o - 0x2001bff5 COMPRESSED 0x00000003 PAD - 0x2001bff8 - 0x00000004 Zero RW 1642 .bss.TRX_Key_Timeout_est lto-llvm-ea22a7.o - 0x2001bffc - 0x00000001 Zero RW 1662 .bss.TRX_Mute lto-llvm-ea22a7.o - 0x2001bffd - 0x00000001 Zero RW 1660 .bss.TRX_NeedGoToBootloader lto-llvm-ea22a7.o - 0x2001bffe COMPRESSED 0x00000002 PAD - 0x2001c000 - 0x00000004 Zero RW 1654 .bss.TRX_PWR_Forward lto-llvm-ea22a7.o - 0x2001c004 - 0x00000004 Zero RW 1652 .bss.TRX_SHIFT lto-llvm-ea22a7.o - 0x2001c008 - 0x00000004 Zero RW 1656 .bss.TRX_SWR lto-llvm-ea22a7.o - 0x2001c00c - 0x00000004 Zero RW 1668 .bss.TRX_TXRXMode lto-llvm-ea22a7.o - 0x2001c010 - 0x00000001 Zero RW 1644 .bss.TRX_TX_IQ_swap lto-llvm-ea22a7.o - 0x2001c011 COMPRESSED 0x00000003 PAD - 0x2001c014 - 0x00000004 Zero RW 1664 .bss.TRX_freq_phrase lto-llvm-ea22a7.o - 0x2001c018 - 0x00000001 Zero RW 1641 .bss.TRX_key_dash_hard lto-llvm-ea22a7.o - 0x2001c019 - 0x00000001 Zero RW 1639 .bss.TRX_old_key_serial lto-llvm-ea22a7.o - 0x2001c01a - 0x00000001 Zero RW 1637 .bss.TRX_old_ptt_soft lto-llvm-ea22a7.o - 0x2001c01b - 0x00000001 Zero RW 1635 .bss.TRX_ptt_hard lto-llvm-ea22a7.o - 0x2001c01c - 0x00000001 Zero RW 1615 .bss.TimeMenuSelection lto-llvm-ea22a7.o - 0x2001c01d - 0x00000001 Zero RW 1539 .bss.Tooltip_first_draw lto-llvm-ea22a7.o - 0x2001c01e COMPRESSED 0x00000002 PAD - 0x2001c020 - 0x00000200 Zero RW 1759 .bss.USBD_StrDesc lto-llvm-ea22a7.o - 0x2001c220 - 0x00000001 Zero RW 1756 .bss.USBD_inited lto-llvm-ea22a7.o - 0x2001c221 - 0x00000001 Zero RW 1676 .bss.USB_AUDIO_Inited lto-llvm-ea22a7.o - 0x2001c222 - 0x00000001 Zero RW 1673 .bss.USB_AUDIO_current_rx_buffer lto-llvm-ea22a7.o - 0x2001c223 COMPRESSED 0x00000001 PAD - 0x2001c224 - 0x00000004 Zero RW 1697 .bss.USB_LastActiveTime lto-llvm-ea22a7.o - 0x2001c228 - 0x00000001 Zero RW 1704 .bss.WM8731_DMA_state lto-llvm-ea22a7.o - 0x2001c229 - 0x00000001 Zero RW 1706 .bss.WM8731_Muting lto-llvm-ea22a7.o - 0x2001c22a COMPRESSED 0x00000002 PAD - 0x2001c22c - 0x00000004 Zero RW 1670 .bss.autogain_wait_reaction lto-llvm-ea22a7.o - 0x2001c230 - 0x00000002 Zero RW 1483 .bss.bw_line_end lto-llvm-ea22a7.o - 0x2001c232 - 0x00000002 Zero RW 1480 .bss.bw_line_width lto-llvm-ea22a7.o - 0x2001c234 - 0x00000040 Zero RW 1680 .bss.command_to_parse lto-llvm-ea22a7.o - 0x2001c274 - 0x00000004 Zero RW 1397 .bss.current_if_gain lto-llvm-ea22a7.o - 0x2001c278 - 0x00000002 Zero RW 1691 .bss.debug_tx_fifo_tail lto-llvm-ea22a7.o - 0x2001c27a - 0x000003c0 Zero RW 1481 .bss.fft_header lto-llvm-ea22a7.o - 0x2001c63a COMPRESSED 0x00000002 PAD - 0x2001c63c - 0x00000004 Zero RW 1597 .bss.freq_step lto-llvm-ea22a7.o - 0x2001c640 - 0x00000324 Zero RW 1755 .bss.hUsbDeviceFS lto-llvm-ea22a7.o - 0x2001c964 - 0x00000048 Zero RW 1715 .bss.hadc1 lto-llvm-ea22a7.o - 0x2001c9ac - 0x00000060 Zero RW 1719 .bss.hdma_i2s3_ext_rx lto-llvm-ea22a7.o - 0x2001ca0c - 0x00000060 Zero RW 1725 .bss.hdma_memtomem_dma2_stream1 lto-llvm-ea22a7.o - 0x2001ca6c - 0x00000060 Zero RW 1727 .bss.hdma_memtomem_dma2_stream2 lto-llvm-ea22a7.o - 0x2001cacc - 0x00000060 Zero RW 1729 .bss.hdma_memtomem_dma2_stream5 lto-llvm-ea22a7.o - 0x2001cb2c - 0x00000060 Zero RW 1723 .bss.hdma_memtomem_dma2_stream6 lto-llvm-ea22a7.o - 0x2001cb8c - 0x00000048 Zero RW 1717 .bss.hi2s3 lto-llvm-ea22a7.o - 0x2001cbd4 - 0x00000408 Zero RW 1721 .bss.hpcd_USB_OTG_FS lto-llvm-ea22a7.o - 0x2001cfdc - 0x00000020 Zero RW 1709 .bss.hrtc lto-llvm-ea22a7.o - 0x2001cffc - 0x00000040 Zero RW 1713 .bss.htim3 lto-llvm-ea22a7.o - 0x2001d03c - 0x00000040 Zero RW 1711 .bss.htim5 lto-llvm-ea22a7.o - 0x2001d07c - 0x00000040 Zero RW 1708 .bss.htim7 lto-llvm-ea22a7.o - 0x2001d0bc - 0x00000001 Zero RW 1767 .bss.lms2_Norm_instance.0 lto-llvm-ea22a7.o - 0x2001d0bd COMPRESSED 0x00000003 PAD - 0x2001d0c0 - 0x00000004 Zero RW 1769 .bss.lms2_Norm_instance.2 lto-llvm-ea22a7.o - 0x2001d0c4 - 0x00000004 Zero RW 1771 .bss.lms2_Norm_instance.4 lto-llvm-ea22a7.o - 0x2001d0c8 - 0x00000100 Zero RW 1418 .bss.lms2_errsig2 lto-llvm-ea22a7.o - 0x2001d1c8 - 0x00000004 Zero RW 1474 .bss.maxValueFFT_tx lto-llvm-ea22a7.o - 0x2001d1cc - 0x00000004 Zero RW 1743 .bss.ms10_counter lto-llvm-ea22a7.o - 0x2001d1d0 - 0x00000066 Zero RW 1447 .bss.palette_bw_fft_colors lto-llvm-ea22a7.o - 0x2001d236 - 0x00000066 Zero RW 1445 .bss.palette_fft lto-llvm-ea22a7.o - 0x2001d29c - 0x00000004 Zero RW 1750 .bss.powerdown_start_delay lto-llvm-ea22a7.o - 0x2001d2a0 - 0x00000004 Zero RW 1421 .bss.processAutoNotchReduction.temporary_stop lto-llvm-ea22a7.o - 0x2001d2a4 - 0x00000004 Zero RW 1395 .bss.processRxAudio.teta1 lto-llvm-ea22a7.o - 0x2001d2a8 - 0x0000008c Zero RW 1566 .bss.profiles lto-llvm-ea22a7.o - 0x2001d334 - 0x00000194 Zero RW 1587 .bss.read_clone lto-llvm-ea22a7.o - 0x2001d4c8 - 0x00000004 Zero RW 1419 .bss.reference_index_old lto-llvm-ea22a7.o - 0x2001d4cc - 0x00000002 Zero RW 1702 .bss.rx_buffer_step lto-llvm-ea22a7.o - 0x2001d4ce COMPRESSED 0x00000002 PAD - 0x2001d4d0 - 0x00000004 Zero RW 1590 .bss.startFreq lto-llvm-ea22a7.o - 0x2001d4d4 - 0x00000001 Zero RW 1632 .bss.sysmenu_i lto-llvm-ea22a7.o - 0x2001d4d5 - 0x00000001 Zero RW 1605 .bss.sysmenu_onroot lto-llvm-ea22a7.o - 0x2001d4d6 - 0x00000001 Zero RW 1611 .bss.sysmenu_timeMenuOpened lto-llvm-ea22a7.o - 0x2001d4d7 - 0x00000001 Zero RW 1628 .bss.sysmenu_trx_setCallsign_menu_opened lto-llvm-ea22a7.o - 0x2001d4d8 - 0x00000100 Zero RW 1693 .bss.temp_buff lto-llvm-ea22a7.o - 0x2001d5d8 - 0x00000004 Zero RW 1407 .bss.volume_gain lto-llvm-ea22a7.o - 0x2001d5dc - 0x00000800 Zero RW 1444 .bss.window_multipliers lto-llvm-ea22a7.o - 0x2001dddc - 0x00000194 Zero RW 1583 .bss.write_clone lto-llvm-ea22a7.o - 0x2001df70 - 0x00000004 Zero RW 1457 .bss.zoomed_width lto-llvm-ea22a7.o - - -============================================================================== - -Image component sizes - - - Code (inc. data) RO Data RW Data ZI Data Debug Object Name - - 157290 2822 91029 118151 45680 554952 lto-llvm-ea22a7.o - 64 26 392 0 15872 800 startup_stm32f407xx.o - - ---------------------------------------------------------------------- - 157588 2848 91524 118176 61660 555752 Object Totals - 0 0 64 0 0 0 (incl. Generated) - 234 0 39 25 108 0 (incl. Padding) - - ---------------------------------------------------------------------- - - Code (inc. data) RO Data RW Data ZI Data Debug Library Member Name - - 90 0 0 0 0 0 __dczerorl2.o - 8 0 0 0 0 68 __main.o - 392 4 17 0 0 92 __printf_flags_ss_wp.o - 14 0 0 0 0 68 __printf_wp.o - 0 0 0 0 0 0 __rtentry.o - 12 0 0 0 0 0 __rtentry2.o - 6 0 0 0 0 0 __rtentry4.o - 52 8 0 0 0 0 __scatter.o - 28 0 0 0 0 0 __scatter_zi.o - 72 0 0 0 0 96 _c16rtomb.o - 28 0 0 0 0 68 _chval.o - 6 0 0 0 0 0 _printf_a.o - 6 0 0 0 0 0 _printf_c.o - 44 0 0 0 0 108 _printf_char.o - 48 6 0 0 0 96 _printf_char_common.o - 6 0 0 0 0 0 _printf_d.o - 120 16 0 0 0 92 _printf_dec.o - 6 0 0 0 0 0 _printf_e.o - 6 0 0 0 0 0 _printf_f.o - 1052 0 0 0 0 148 _printf_fp_dec.o - 764 8 38 0 0 100 _printf_fp_hex.o - 128 16 0 0 0 84 _printf_fp_infnan.o - 6 0 0 0 0 0 _printf_g.o - 88 4 40 0 0 88 _printf_hex_int.o - 6 0 0 0 0 0 _printf_i.o - 178 0 0 0 0 88 _printf_intcommon.o - 10 0 0 0 0 0 _printf_l.o - 6 0 0 0 0 0 _printf_lc.o - 6 0 0 0 0 0 _printf_o.o - 84 10 0 0 0 88 _printf_oct_int.o - 78 0 0 0 0 108 _printf_pad.o - 0 0 0 0 0 0 _printf_percent.o - 4 0 0 0 0 0 _printf_percent_end.o - 82 0 0 0 0 80 _printf_str.o - 36 0 0 0 0 84 _printf_truncate.o - 6 0 0 0 0 0 _printf_u.o - 44 0 0 0 0 108 _printf_wchar.o - 188 6 8 0 0 92 _printf_wctomb.o - 6 0 0 0 0 0 _printf_x.o - 22 0 0 0 0 100 _rserrno.o - 10 0 0 0 0 68 _sputc.o - 158 0 0 0 0 92 _strtoul.o - 16 0 0 0 0 68 aeabi_memset.o - 16 0 0 0 0 68 aeabi_memset4.o - 26 0 0 0 0 80 atoi.o - 228 4 148 0 0 96 bigflt0.o - 1936 128 0 0 0 672 btod.o - 10 0 0 0 0 68 defsig_exit.o - 50 0 0 0 0 88 defsig_general.o - 80 58 0 0 0 76 defsig_rtmem_inner.o - 14 0 0 0 0 80 defsig_rtmem_outer.o - 18 0 0 0 0 80 exit.o - 94 0 0 0 0 80 h1_alloc.o - 52 0 0 0 0 68 h1_extend.o - 78 0 0 0 0 80 h1_free.o - 14 0 0 0 0 84 h1_init.o - 6 0 0 0 0 152 heapauxi.o - 4 0 0 0 0 136 hguard.o - 0 0 0 0 0 0 indicate_semi.o - 138 0 0 0 0 168 init_alloc.o - 44 10 272 0 0 76 lc_ctype_c.o - 44 10 28 0 0 76 lc_numeric_c.o - 2 0 0 0 0 0 libinit.o - 42 0 0 0 0 0 libinit2.o - 2 0 0 0 0 0 libshutdown.o - 2 0 0 0 0 0 libshutdown2.o - 8 4 0 0 96 68 libspace.o - 138 0 0 0 0 80 lludiv10.o - 240 0 0 0 0 100 lludivv7m.o - 0 0 0 0 0 0 maybetermalloc1.o - 88 0 0 0 0 76 memcmp.o - 40 6 0 0 0 84 noretval__2sprintf.o - 16 4 0 0 0 76 rt_ctype_table.o - 8 4 0 0 0 68 rt_errno_addr_intlibspace.o - 8 4 0 0 0 68 rt_heap_descriptor_intlibspace.o - 8 4 0 0 0 68 rt_locale_intlibspace.o - 68 0 0 0 0 68 rt_memclr.o - 78 0 0 0 0 80 rt_memclr_w.o - 138 0 0 0 0 68 rt_memcpy_v6.o - 100 0 0 0 0 80 rt_memcpy_w.o - 2 0 0 0 0 0 rtexit.o - 10 0 0 0 0 0 rtexit2.o - 24 0 0 0 0 68 strcat.o - 124 0 0 0 0 88 strcmpv7em.o - 72 0 0 0 0 80 strcpy.o - 62 0 0 0 0 76 strlen.o - 86 0 0 0 0 76 strncpy.o - 112 0 0 0 0 88 strtol.o - 12 4 0 0 0 68 sys_exit.o - 74 0 0 0 0 80 sys_stackheap_outer.o - 14 0 0 0 0 76 sys_wrch.o - 2 0 0 0 0 68 use_no_semi.o - 24 0 0 0 0 164 basic.o - 98 4 0 0 0 140 d2f.o - 826 16 0 0 0 500 daddsub_clz.o - 84 0 0 0 0 244 dcmp.o - 24 0 0 0 0 116 dcmpi.o - 688 140 0 0 0 264 ddiv.o - 120 4 0 0 0 140 deqf.o - 94 4 0 0 0 140 dfix.o - 90 4 0 0 0 140 dfixu.o - 84 0 0 0 0 232 dflt_clz.o - 120 4 0 0 0 140 dgeqf.o - 120 4 0 0 0 140 dleqf.o - 340 12 0 0 0 152 dmul.o - 156 4 0 0 0 140 dnaninf.o - 12 0 0 0 0 116 dretinf.o - 108 0 0 0 0 128 drleqf.o - 180 4 0 0 0 128 drnd.o - 408 56 0 0 0 168 dsqrt_umaal.o - 86 4 0 0 0 132 f2d.o - 134 4 0 0 0 132 ffixll.o - 140 4 0 0 0 132 fnaninf.o - 26 0 0 0 0 116 fpinit.o - 244 8 0 0 0 132 frem_clz.o - 10 0 0 0 0 116 fretinf.o - 4 0 0 0 0 116 printf1.o - 4 0 0 0 0 116 printf2.o - 0 0 0 0 0 0 usenofp.o - 856 118 80 0 0 288 acos.o - 384 52 0 0 0 244 acosh.o - 300 42 0 0 0 176 asinf.o - 684 90 0 0 0 208 atan2f.o - 108 8 0 0 0 116 ceilf.o - 216 20 0 0 0 288 cos.o - 368 46 48 0 0 200 cos_i.o - 408 50 8 0 0 224 cosh.o - 184 44 0 0 0 744 dunder.o - 872 142 88 0 0 412 exp.o - 472 50 48 0 0 332 exp2f.o - 1268 176 40 0 0 264 expm1_i.o - 24 0 0 0 0 124 fabs.o - 112 12 0 0 0 116 floorf.o - 196 0 0 0 0 292 fmodf.o - 48 0 0 0 0 124 fpclassify.o - 38 0 0 0 0 116 fpclassifyf.o - 84 4 0 0 0 116 frexpf.o - 60 18 0 0 0 580 funder.o - 980 92 56 0 0 440 log.o - 1112 130 56 0 0 280 log1p_i.o - 248 0 0 0 0 152 poly.o - 0 0 8 0 0 0 qnan.o - 216 24 0 0 0 164 round.o - 1080 142 204 0 0 188 rred.o - 304 24 40 0 0 208 sin_i.o - 110 0 0 0 0 148 sqrt.o - 62 0 0 0 0 136 sqrtf.o - - ---------------------------------------------------------------------- - 23428 1886 1244 0 96 16928 Library Totals - 56 8 17 0 0 0 (incl. Padding) - - ---------------------------------------------------------------------- - - Code (inc. data) RO Data RW Data ZI Data Debug Library Name - - 8354 318 551 0 96 6064 c_w.l - 4224 276 0 0 0 4184 fz_wm.l - 10794 1284 676 0 0 6680 m_wm.l - - ---------------------------------------------------------------------- - 23428 1886 1244 0 96 16928 Library Totals - - ---------------------------------------------------------------------- - -============================================================================== - - - Code (inc. data) RO Data RW Data ZI Data Debug - - 181016 4734 92768 118176 61756 562344 Grand Totals - 181016 4734 92768 7976 61756 562344 ELF Image Totals (compressed) - 181016 4734 92768 7976 0 0 ROM Totals - -============================================================================== - - Total RO Size (Code + RO Data) 273784 ( 267.37kB) - Total RW Size (RW Data + ZI Data) 179932 ( 175.71kB) - Total ROM Size (Code + RO Data + RW Data) 281760 ( 275.16kB) - -============================================================================== - diff --git a/STM32/MDK-ARM/WOLF-Lite/WOLF-Lite_WOLF-Lite.dep b/STM32/MDK-ARM/WOLF-Lite/WOLF-Lite_WOLF-Lite.dep deleted file mode 100644 index 017208b..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/WOLF-Lite_WOLF-Lite.dep +++ /dev/null @@ -1,4054 +0,0 @@ -Dependencies for Project 'WOLF-Lite', Target 'WOLF-Lite': (DO NOT MODIFY !) -CompilerVersion: 6150000::V6.15::ARMCLANG -F (startup_stm32f407xx.s)(0x5FC90F2C)(--target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -masm=auto -c -gdwarf-3 -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -Wa,armasm,--pd,"__UVISION_VERSION SETA 533" -Wa,armasm,--pd,"_RTE_ SETA 1" -Wa,armasm,--pd,"STM32F407xx SETA 1" -Wa,armasm,--pd,"_RTE_ SETA 1" -o wolf-lite/startup_stm32f407xx.o) -F (..\Core\Src\agc.c)(0x5FF64F14)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/agc.o -MD) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -I (..\Core\Src\agc.h)(0x5FC0F8EA) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdbool.h)(0x5F3716FC) -I (..\Core\Src\audio_processor.h)(0x5FC226C4) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdio.h)(0x5F371704) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (..\Core\Src\functions.h)(0x5FF64F0C) -I (..\Core\Src\profiler.h)(0x5FC0EA1E) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (..\Core\Src\settings.h)(0x6015B206) -I (..\Core\Src\bands.h)(0x5FF707A6) -I (..\Core\Src\audio_filters.h)(0x5FF6442C) -I (..\Core\Src\fpga.h)(0x5FC0F84A) -I (..\Core\Src\fft.h)(0x5FF71E6E) -I (..\Core\Src\wm8731.h)(0x5FC0E8AC) -I (..\Core\Src\screen_layout.h)(0x6011A829) -I (..\Core\Src\lcd_driver.h)(0x5FF64A6A) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdlib.h)(0x5F3716FE) -I (..\Core\Src\images.h)(0x5FC0E69C) -I (..\Core\Src\lcd_driver_ILI9481.h)(0x5FF64270) -I (..\Core\Src\color_themes.h)(0x6011A829) -I (..\Core\Src\fonts.h)(0x5FC0EA80) -F (..\Core\Src\agc.h)(0x5FC0F8EA)() -F (..\Core\Src\audio_filters.c)(0x5FF64468)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/audio_filters.o -MD) -I (..\Core\Src\audio_filters.h)(0x5FF6442C) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdio.h)(0x5F371704) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdbool.h)(0x5F3716FC) -I (..\Core\Src\fpga.h)(0x5FC0F84A) -I (..\Core\Src\fft.h)(0x5FF71E6E) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (..\Core\Src\functions.h)(0x5FF64F0C) -I (..\Core\Src\profiler.h)(0x5FC0EA1E) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (..\Core\Src\wm8731.h)(0x5FC0E8AC) -I (..\Core\Src\audio_processor.h)(0x5FC226C4) -I (..\Core\Src\settings.h)(0x6015B206) -I (..\Core\Src\bands.h)(0x5FF707A6) -I (..\Core\Src\screen_layout.h)(0x6011A829) -I (..\Core\Src\lcd_driver.h)(0x5FF64A6A) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdlib.h)(0x5F3716FE) -I (..\Core\Src\images.h)(0x5FC0E69C) -I (..\Core\Src\lcd_driver_ILI9481.h)(0x5FF64270) -I (..\Core\Src\color_themes.h)(0x6011A829) -I (..\Core\Src\fonts.h)(0x5FC0EA80) -I (..\Core\Src\auto_notch.h)(0x5FF73DE0) -I (..\Core\Src\trx_manager.h)(0x5FF72F98) -F (..\Core\Src\audio_filters.h)(0x5FF6442C)() -F (..\Core\Src\audio_processor.c)(0x5FF73DE6)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/audio_processor.o -MD) -I (..\Core\Src\audio_processor.h)(0x5FC226C4) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdio.h)(0x5F371704) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdbool.h)(0x5F3716FC) -I (..\Core\Src\functions.h)(0x5FF64F0C) -I (..\Core\Src\profiler.h)(0x5FC0EA1E) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (..\Core\Src\settings.h)(0x6015B206) -I (..\Core\Src\bands.h)(0x5FF707A6) -I (..\Core\Src\wm8731.h)(0x5FC0E8AC) -I (..\Core\Src\audio_filters.h)(0x5FF6442C) -I (..\Core\Src\fpga.h)(0x5FC0F84A) -I (..\Core\Src\fft.h)(0x5FF71E6E) -I (..\Core\Src\screen_layout.h)(0x6011A829) -I (..\Core\Src\lcd_driver.h)(0x5FF64A6A) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdlib.h)(0x5F3716FE) -I (..\Core\Src\images.h)(0x5FC0E69C) -I (..\Core\Src\lcd_driver_ILI9481.h)(0x5FF64270) -I (..\Core\Src\color_themes.h)(0x6011A829) -I (..\Core\Src\fonts.h)(0x5FC0EA80) -I (..\Core\Src\agc.h)(0x5FC0F8EA) -I (..\Core\Src\usbd_audio_if.h)(0x5FC21996) -I (..\Core\Src\usbd_ua3reo.h)(0x5FF6334E) -I (..\Core\USBDevice\usbd_ioreq.h)(0x5F8C72B2) -I (..\Core\USBDevice\usbd_def.h)(0x5F904A14) -I (..\Core\USBDevice\usbd_conf.h)(0x5FC0EB7E) -I (..\Core\Inc\main.h)(0x5FC90F28) -I (..\Core\USBDevice\usbd_core.h)(0x5F8C72B2) -I (..\Core\USBDevice\usbd_ctlreq.h)(0x5F8C72B2) -I (..\Core\Src\auto_notch.h)(0x5FF73DE0) -I (..\Core\Src\trx_manager.h)(0x5FF72F98) -F (..\Core\Src\audio_processor.h)(0x5FC226C4)() -F (..\Core\Src\auto_notch.c)(0x5FF73E78)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/auto_notch.o -MD) -I (..\Core\Src\auto_notch.h)(0x5FF73DE0) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdio.h)(0x5F371704) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdbool.h)(0x5F3716FC) -I (..\Core\Src\audio_processor.h)(0x5FC226C4) -I (..\Core\Src\functions.h)(0x5FF64F0C) -I (..\Core\Src\profiler.h)(0x5FC0EA1E) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (..\Core\Src\settings.h)(0x6015B206) -I (..\Core\Src\bands.h)(0x5FF707A6) -I (..\Core\Src\trx_manager.h)(0x5FF72F98) -F (..\Core\Src\auto_notch.h)(0x5FF73DE0)() -F (..\Core\Src\bands.c)(0x5FF708F8)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/bands.o -MD) -I (..\Core\Src\bands.h)(0x5FF707A6) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdio.h)(0x5F371704) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdbool.h)(0x5F3716FC) -I (..\Core\Src\functions.h)(0x5FF64F0C) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (..\Core\Src\profiler.h)(0x5FC0EA1E) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdlib.h)(0x5F3716FE) -I (..\Core\Src\settings.h)(0x6015B206) -F (..\Core\Src\bands.h)(0x5FF707A6)() -F (..\Core\Src\bootloader.c)(0x5FC0EB8C)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/bootloader.o -MD) -I (..\Core\Src\bootloader.h)(0x5FC0EAEE) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -I (..\Core\USBDevice\usb_device.h)(0x5FC0EB66) -I (..\Core\USBDevice\usbd_def.h)(0x5F904A14) -I (..\Core\USBDevice\usbd_conf.h)(0x5FC0EB7E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdio.h)(0x5F371704) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdlib.h)(0x5F3716FE) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (..\Core\Inc\main.h)(0x5FC90F28) -I (..\Core\Src\lcd.h)(0x5FF644AE) -I (..\Core\Src\trx_manager.h)(0x5FF72F98) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdbool.h)(0x5F3716FC) -I (..\Core\Src\settings.h)(0x6015B206) -I (..\Core\Src\functions.h)(0x5FF64F0C) -I (..\Core\Src\profiler.h)(0x5FC0EA1E) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (..\Core\Src\bands.h)(0x5FF707A6) -I (..\Core\Src\lcd_driver.h)(0x5FF64A6A) -I (..\Core\Src\images.h)(0x5FC0E69C) -I (..\Core\Src\lcd_driver_ILI9481.h)(0x5FF64270) -I (..\Core\Src\screen_layout.h)(0x6011A829) -I (..\Core\Src\color_themes.h)(0x6011A829) -I (..\Core\Src\fonts.h)(0x5FC0EA80) -F (..\Core\Src\bootloader.h)(0x5FC0EAEE)() -F (..\Core\Src\color_themes.h)(0x6011A829)() -F (..\Core\Src\fft.c)(0x5FF70EBA)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/fft.o -MD) -I (..\Core\Src\fft.h)(0x5FF71E6E) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdbool.h)(0x5F3716FC) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (..\Core\Src\functions.h)(0x5FF64F0C) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdio.h)(0x5F371704) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (..\Core\Src\profiler.h)(0x5FC0EA1E) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (..\Core\Src\wm8731.h)(0x5FC0E8AC) -I (..\Core\Src\audio_processor.h)(0x5FC226C4) -I (..\Core\Src\settings.h)(0x6015B206) -I (..\Core\Src\bands.h)(0x5FF707A6) -I (..\Core\Src\screen_layout.h)(0x6011A829) -I (..\Core\Src\lcd_driver.h)(0x5FF64A6A) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdlib.h)(0x5F3716FE) -I (..\Core\Src\images.h)(0x5FC0E69C) -I (..\Core\Src\lcd_driver_ILI9481.h)(0x5FF64270) -I (..\Core\Src\color_themes.h)(0x6011A829) -I (..\Core\Src\fonts.h)(0x5FC0EA80) -I (..\Core\Inc\main.h)(0x5FC90F28) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_const_structs.h)(0x5E8F1772) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_common_tables.h)(0x5E8F1772) -I (..\Core\Src\audio_filters.h)(0x5FF6442C) -I (..\Core\Src\fpga.h)(0x5FC0F84A) -I (..\Core\Src\lcd.h)(0x5FF644AE) -I (..\Core\Src\trx_manager.h)(0x5FF72F98) -F (..\Core\Src\fft.h)(0x5FF71E6E)() -F (..\Core\Src\fpga.c)(0x5FF739BA)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/fpga.o -MD) -I (..\Core\Src\fpga.h)(0x5FC0F84A) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdbool.h)(0x5F3716FC) -I (..\Core\Src\fft.h)(0x5FF71E6E) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (..\Core\Src\functions.h)(0x5FF64F0C) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdio.h)(0x5F371704) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (..\Core\Src\profiler.h)(0x5FC0EA1E) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (..\Core\Src\wm8731.h)(0x5FC0E8AC) -I (..\Core\Src\audio_processor.h)(0x5FC226C4) -I (..\Core\Src\settings.h)(0x6015B206) -I (..\Core\Src\bands.h)(0x5FF707A6) -I (..\Core\Src\screen_layout.h)(0x6011A829) -I (..\Core\Src\lcd_driver.h)(0x5FF64A6A) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdlib.h)(0x5F3716FE) -I (..\Core\Src\images.h)(0x5FC0E69C) -I (..\Core\Src\lcd_driver_ILI9481.h)(0x5FF64270) -I (..\Core\Src\color_themes.h)(0x6011A829) -I (..\Core\Src\fonts.h)(0x5FC0EA80) -I (..\Core\Inc\main.h)(0x5FC90F28) -I (..\Core\Src\trx_manager.h)(0x5FF72F98) -I (..\Core\Src\rf_unit.h)(0x5FF6FB92) -F (..\Core\Src\fpga.h)(0x5FC0F84A)() -F (..\Core\Src\functions.c)(0x5FCB54D8)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/functions.o -MD) -I (..\Core\Src\functions.h)(0x5FF64F0C) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdio.h)(0x5F371704) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdbool.h)(0x5F3716FC) -I (..\Core\Src\profiler.h)(0x5FC0EA1E) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdlib.h)(0x5F3716FE) -I (..\Core\Src\fpga.h)(0x5FC0F84A) -I (..\Core\Src\fft.h)(0x5FF71E6E) -I (..\Core\Src\wm8731.h)(0x5FC0E8AC) -I (..\Core\Src\audio_processor.h)(0x5FC226C4) -I (..\Core\Src\settings.h)(0x6015B206) -I (..\Core\Src\bands.h)(0x5FF707A6) -I (..\Core\Src\screen_layout.h)(0x6011A829) -I (..\Core\Src\lcd_driver.h)(0x5FF64A6A) -I (..\Core\Src\images.h)(0x5FC0E69C) -I (..\Core\Src\lcd_driver_ILI9481.h)(0x5FF64270) -I (..\Core\Src\color_themes.h)(0x6011A829) -I (..\Core\Src\fonts.h)(0x5FC0EA80) -I (..\Core\Src\trx_manager.h)(0x5FF72F98) -I (..\Core\Src\usbd_debug_if.h)(0x5F8C72B0) -I (..\Core\Src\usbd_ua3reo.h)(0x5FF6334E) -I (..\Core\USBDevice\usbd_ioreq.h)(0x5F8C72B2) -I (..\Core\USBDevice\usbd_def.h)(0x5F904A14) -I (..\Core\USBDevice\usbd_conf.h)(0x5FC0EB7E) -I (..\Core\Inc\main.h)(0x5FC90F28) -I (..\Core\USBDevice\usbd_core.h)(0x5F8C72B2) -I (..\Core\USBDevice\usbd_ctlreq.h)(0x5F8C72B2) -I (..\Core\Src\usbd_cat_if.h)(0x5FC102E8) -I (..\Core\Src\lcd.h)(0x5FF644AE) -F (..\Core\Src\functions.h)(0x5FF64F0C)() -F (..\Core\Src\fonts.h)(0x5FC0EA80)() -F (..\Core\Src\front_unit.c)(0x5FF738DA)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/front_unit.o -MD) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -I (..\Core\Inc\main.h)(0x5FC90F28) -I (..\Core\Src\front_unit.h)(0x5FCB5BF8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdbool.h)(0x5F3716FC) -I (..\Core\Src\lcd.h)(0x5FF644AE) -I (..\Core\Src\trx_manager.h)(0x5FF72F98) -I (..\Core\Src\settings.h)(0x6015B206) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdio.h)(0x5F371704) -I (..\Core\Src\functions.h)(0x5FF64F0C) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (..\Core\Src\profiler.h)(0x5FC0EA1E) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (..\Core\Src\bands.h)(0x5FF707A6) -I (..\Core\Src\lcd_driver.h)(0x5FF64A6A) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdlib.h)(0x5F3716FE) -I (..\Core\Src\images.h)(0x5FC0E69C) -I (..\Core\Src\lcd_driver_ILI9481.h)(0x5FF64270) -I (..\Core\Src\screen_layout.h)(0x6011A829) -I (..\Core\Src\color_themes.h)(0x6011A829) -I (..\Core\Src\fonts.h)(0x5FC0EA80) -I (..\Core\Src\system_menu.h)(0x5FF64682) -I (..\Core\Src\audio_filters.h)(0x5FF6442C) -I (..\Core\Src\fpga.h)(0x5FC0F84A) -I (..\Core\Src\fft.h)(0x5FF71E6E) -I (..\Core\Src\wm8731.h)(0x5FC0E8AC) -I (..\Core\Src\audio_processor.h)(0x5FC226C4) -I (..\Core\Src\auto_notch.h)(0x5FF73DE0) -I (..\Core\Src\agc.h)(0x5FC0F8EA) -F (..\Core\Src\front_unit.h)(0x5FCB5BF8)() -F (..\Core\Src\i2c.c)(0x5FF63C10)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/i2c.o -MD) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -I (..\Core\Inc\main.h)(0x5FC90F28) -I (..\Core\Src\functions.h)(0x5FF64F0C) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdio.h)(0x5F371704) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdbool.h)(0x5F3716FC) -I (..\Core\Src\profiler.h)(0x5FC0EA1E) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (..\Core\Src\i2c.h)(0x5FC0EA52) -F (..\Core\Src\i2c.h)(0x5FC0EA52)() -F (..\Core\Src\images.h)(0x5FC0E69C)() -F (..\Core\Src\lcd.c)(0x6015A515)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/lcd.o -MD) -I (..\Core\Inc\main.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -I (..\Core\Src\lcd.h)(0x5FF644AE) -I (..\Core\Src\trx_manager.h)(0x5FF72F98) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdbool.h)(0x5F3716FC) -I (..\Core\Src\settings.h)(0x6015B206) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdio.h)(0x5F371704) -I (..\Core\Src\functions.h)(0x5FF64F0C) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (..\Core\Src\profiler.h)(0x5FC0EA1E) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (..\Core\Src\bands.h)(0x5FF707A6) -I (..\Core\Src\lcd_driver.h)(0x5FF64A6A) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdlib.h)(0x5F3716FE) -I (..\Core\Src\images.h)(0x5FC0E69C) -I (..\Core\Src\lcd_driver_ILI9481.h)(0x5FF64270) -I (..\Core\Src\screen_layout.h)(0x6011A829) -I (..\Core\Src\color_themes.h)(0x6011A829) -I (..\Core\Src\fonts.h)(0x5FC0EA80) -I (..\Core\Src\agc.h)(0x5FC0F8EA) -I (..\Core\Src\audio_processor.h)(0x5FC226C4) -I (..\Core\Src\system_menu.h)(0x5FF64682) -I (..\Core\Src\wm8731.h)(0x5FC0E8AC) -I (..\Core\Src\audio_filters.h)(0x5FF6442C) -I (..\Core\Src\fpga.h)(0x5FC0F84A) -I (..\Core\Src\fft.h)(0x5FF71E6E) -I (..\Core\Src\usbd_ua3reo.h)(0x5FF6334E) -I (..\Core\USBDevice\usbd_ioreq.h)(0x5F8C72B2) -I (..\Core\USBDevice\usbd_def.h)(0x5F904A14) -I (..\Core\USBDevice\usbd_conf.h)(0x5FC0EB7E) -I (..\Core\USBDevice\usbd_core.h)(0x5F8C72B2) -I (..\Core\USBDevice\usbd_ctlreq.h)(0x5F8C72B2) -I (..\Core\Src\front_unit.h)(0x5FCB5BF8) -F (..\Core\Src\lcd.h)(0x5FF644AE)() -F (..\Core\Src\lcd_driver.c)(0x5FF636B0)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/lcd_driver.o -MD) -I (..\Core\Src\settings.h)(0x6015B206) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdio.h)(0x5F371704) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdbool.h)(0x5F3716FC) -I (..\Core\Src\functions.h)(0x5FF64F0C) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (..\Core\Src\profiler.h)(0x5FC0EA1E) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (..\Core\Src\bands.h)(0x5FF707A6) -I (..\Core\Src\lcd_driver.h)(0x5FF64A6A) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdlib.h)(0x5F3716FE) -I (..\Core\Src\images.h)(0x5FC0E69C) -I (..\Core\Src\lcd_driver_ILI9481.h)(0x5FF64270) -I (..\Core\Inc\main.h)(0x5FC90F28) -I (..\Core\Src\fonts.h)(0x5FC0EA80) -F (..\Core\Src\lcd_driver.h)(0x5FF64A6A)() -F (..\Core\Src\lcd_driver_ILI9481.c)(0x6015AED9)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/lcd_driver_ili9481.o -MD) -I (..\Core\Src\settings.h)(0x6015B206) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdio.h)(0x5F371704) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdbool.h)(0x5F3716FC) -I (..\Core\Src\functions.h)(0x5FF64F0C) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (..\Core\Src\profiler.h)(0x5FC0EA1E) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (..\Core\Src\bands.h)(0x5FF707A6) -I (..\Core\Src\lcd_driver.h)(0x5FF64A6A) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdlib.h)(0x5F3716FE) -I (..\Core\Src\images.h)(0x5FC0E69C) -I (..\Core\Src\lcd_driver_ILI9481.h)(0x5FF64270) -I (..\Core\Inc\main.h)(0x5FC90F28) -I (..\Core\Src\fonts.h)(0x5FC0EA80) -F (..\Core\Src\lcd_driver_ILI9481.h)(0x5FF64270)() -F (..\Core\Src\screen_layout.h)(0x6011A829)() -F (..\Core\Src\profiler.c)(0x5F8C72B0)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/profiler.o -MD) -I (..\Core\Src\profiler.h)(0x5FC0EA1E) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdbool.h)(0x5F3716FC) -I (..\Core\Src\functions.h)(0x5FF64F0C) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdio.h)(0x5F371704) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -F (..\Core\Src\profiler.h)(0x5FC0EA1E)() -F (..\Core\Src\rf_unit.c)(0x6011B68D)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/rf_unit.o -MD) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -I (..\Core\Inc\main.h)(0x5FC90F28) -I (..\Core\Src\rf_unit.h)(0x5FF6FB92) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdbool.h)(0x5F3716FC) -I (..\Core\Src\lcd.h)(0x5FF644AE) -I (..\Core\Src\trx_manager.h)(0x5FF72F98) -I (..\Core\Src\settings.h)(0x6015B206) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdio.h)(0x5F371704) -I (..\Core\Src\functions.h)(0x5FF64F0C) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (..\Core\Src\profiler.h)(0x5FC0EA1E) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (..\Core\Src\bands.h)(0x5FF707A6) -I (..\Core\Src\lcd_driver.h)(0x5FF64A6A) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdlib.h)(0x5F3716FE) -I (..\Core\Src\images.h)(0x5FC0E69C) -I (..\Core\Src\lcd_driver_ILI9481.h)(0x5FF64270) -I (..\Core\Src\screen_layout.h)(0x6011A829) -I (..\Core\Src\color_themes.h)(0x6011A829) -I (..\Core\Src\fonts.h)(0x5FC0EA80) -I (..\Core\Src\system_menu.h)(0x5FF64682) -I (..\Core\Src\audio_filters.h)(0x5FF6442C) -I (..\Core\Src\fpga.h)(0x5FC0F84A) -I (..\Core\Src\fft.h)(0x5FF71E6E) -I (..\Core\Src\wm8731.h)(0x5FC0E8AC) -I (..\Core\Src\audio_processor.h)(0x5FC226C4) -F (..\Core\Src\rf_unit.h)(0x5FF6FB92)() -F (..\Core\Src\settings.c)(0x6011AF01)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/settings.o -MD) -I (..\Core\Src\settings.h)(0x6015B206) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdio.h)(0x5F371704) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdbool.h)(0x5F3716FC) -I (..\Core\Src\functions.h)(0x5FF64F0C) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (..\Core\Src\profiler.h)(0x5FC0EA1E) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (..\Core\Src\bands.h)(0x5FF707A6) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdlib.h)(0x5F3716FE) -I (..\Core\Src\trx_manager.h)(0x5FF72F98) -I (..\Core\Src\lcd.h)(0x5FF644AE) -I (..\Core\Src\lcd_driver.h)(0x5FF64A6A) -I (..\Core\Src\images.h)(0x5FC0E69C) -I (..\Core\Src\lcd_driver_ILI9481.h)(0x5FF64270) -I (..\Core\Src\screen_layout.h)(0x6011A829) -I (..\Core\Src\color_themes.h)(0x6011A829) -I (..\Core\Src\fonts.h)(0x5FC0EA80) -I (..\Core\Src\fpga.h)(0x5FC0F84A) -I (..\Core\Src\fft.h)(0x5FF71E6E) -I (..\Core\Src\wm8731.h)(0x5FC0E8AC) -I (..\Core\Src\audio_processor.h)(0x5FC226C4) -I (..\Core\Inc\main.h)(0x5FC90F28) -I (..\Core\Src\front_unit.h)(0x5FCB5BF8) -F (..\Core\Src\settings.h)(0x6015B206)() -F (..\Core\Src\swr_analyzer.c)(0x5FF642BA)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/swr_analyzer.o -MD) -I (..\Core\Src\swr_analyzer.h)(0x5FC0EDF2) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -I (..\Core\Inc\main.h)(0x5FC90F28) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdbool.h)(0x5F3716FC) -I (..\Core\Src\lcd_driver.h)(0x5FF64A6A) -I (..\Core\Src\settings.h)(0x6015B206) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdio.h)(0x5F371704) -I (..\Core\Src\functions.h)(0x5FF64F0C) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (..\Core\Src\profiler.h)(0x5FC0EA1E) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (..\Core\Src\bands.h)(0x5FF707A6) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdlib.h)(0x5F3716FE) -I (..\Core\Src\images.h)(0x5FC0E69C) -I (..\Core\Src\lcd_driver_ILI9481.h)(0x5FF64270) -I (..\Core\Src\trx_manager.h)(0x5FF72F98) -I (..\Core\Src\fpga.h)(0x5FC0F84A) -I (..\Core\Src\fft.h)(0x5FF71E6E) -I (..\Core\Src\wm8731.h)(0x5FC0E8AC) -I (..\Core\Src\audio_processor.h)(0x5FC226C4) -I (..\Core\Src\screen_layout.h)(0x6011A829) -I (..\Core\Src\color_themes.h)(0x6011A829) -I (..\Core\Src\fonts.h)(0x5FC0EA80) -I (..\Core\Src\lcd.h)(0x5FF644AE) -I (..\Core\Src\rf_unit.h)(0x5FF6FB92) -F (..\Core\Src\swr_analyzer.h)(0x5FC0EDF2)() -F (..\Core\Src\system_menu.c)(0x6011AFC8)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/system_menu.o -MD) -I (..\Core\Src\system_menu.h)(0x5FF64682) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdbool.h)(0x5F3716FC) -I (..\Core\Src\lcd.h)(0x5FF644AE) -I (..\Core\Src\trx_manager.h)(0x5FF72F98) -I (..\Core\Src\settings.h)(0x6015B206) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdio.h)(0x5F371704) -I (..\Core\Src\functions.h)(0x5FF64F0C) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (..\Core\Src\profiler.h)(0x5FC0EA1E) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (..\Core\Src\bands.h)(0x5FF707A6) -I (..\Core\Src\lcd_driver.h)(0x5FF64A6A) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdlib.h)(0x5F3716FE) -I (..\Core\Src\images.h)(0x5FC0E69C) -I (..\Core\Src\lcd_driver_ILI9481.h)(0x5FF64270) -I (..\Core\Src\screen_layout.h)(0x6011A829) -I (..\Core\Src\color_themes.h)(0x6011A829) -I (..\Core\Src\fonts.h)(0x5FC0EA80) -I (..\Core\Src\audio_filters.h)(0x5FF6442C) -I (..\Core\Src\fpga.h)(0x5FC0F84A) -I (..\Core\Src\fft.h)(0x5FF71E6E) -I (..\Core\Src\wm8731.h)(0x5FC0E8AC) -I (..\Core\Src\audio_processor.h)(0x5FC226C4) -I (..\Core\Src\bootloader.h)(0x5FC0EAEE) -I (..\Core\Src\swr_analyzer.h)(0x5FC0EDF2) -I (..\Core\Inc\main.h)(0x5FC90F28) -I (..\Core\Src\agc.h)(0x5FC0F8EA) -F (..\Core\Src\system_menu.h)(0x5FF64682)() -F (..\Core\Src\trx_manager.c)(0x5FF72F5E)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/trx_manager.o -MD) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -I (..\Core\Inc\main.h)(0x5FC90F28) -I (..\Core\Src\trx_manager.h)(0x5FF72F98) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdbool.h)(0x5F3716FC) -I (..\Core\Src\settings.h)(0x6015B206) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdio.h)(0x5F371704) -I (..\Core\Src\functions.h)(0x5FF64F0C) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (..\Core\Src\profiler.h)(0x5FC0EA1E) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (..\Core\Src\bands.h)(0x5FF707A6) -I (..\Core\Src\lcd.h)(0x5FF644AE) -I (..\Core\Src\lcd_driver.h)(0x5FF64A6A) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdlib.h)(0x5F3716FE) -I (..\Core\Src\images.h)(0x5FC0E69C) -I (..\Core\Src\lcd_driver_ILI9481.h)(0x5FF64270) -I (..\Core\Src\screen_layout.h)(0x6011A829) -I (..\Core\Src\color_themes.h)(0x6011A829) -I (..\Core\Src\fonts.h)(0x5FC0EA80) -I (..\Core\Src\fpga.h)(0x5FC0F84A) -I (..\Core\Src\fft.h)(0x5FF71E6E) -I (..\Core\Src\wm8731.h)(0x5FC0E8AC) -I (..\Core\Src\audio_processor.h)(0x5FC226C4) -I (..\Core\Src\agc.h)(0x5FC0F8EA) -I (..\Core\Src\audio_filters.h)(0x5FF6442C) -I (..\Core\Src\usbd_audio_if.h)(0x5FC21996) -I (..\Core\Src\usbd_ua3reo.h)(0x5FF6334E) -I (..\Core\USBDevice\usbd_ioreq.h)(0x5F8C72B2) -I (..\Core\USBDevice\usbd_def.h)(0x5F904A14) -I (..\Core\USBDevice\usbd_conf.h)(0x5FC0EB7E) -I (..\Core\USBDevice\usbd_core.h)(0x5F8C72B2) -I (..\Core\USBDevice\usbd_ctlreq.h)(0x5F8C72B2) -I (..\Core\Src\front_unit.h)(0x5FCB5BF8) -I (..\Core\Src\rf_unit.h)(0x5FF6FB92) -I (..\Core\Src\system_menu.h)(0x5FF64682) -F (..\Core\Src\trx_manager.h)(0x5FF72F98)() -F (..\Core\Src\usbd_audio_if.c)(0x5FF6340E)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/usbd_audio_if.o -MD) -I (..\Core\Src\usbd_audio_if.h)(0x5FC21996) -I (..\Core\Src\usbd_ua3reo.h)(0x5FF6334E) -I (..\Core\USBDevice\usbd_ioreq.h)(0x5F8C72B2) -I (..\Core\USBDevice\usbd_def.h)(0x5F904A14) -I (..\Core\USBDevice\usbd_conf.h)(0x5FC0EB7E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdio.h)(0x5F371704) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdlib.h)(0x5F3716FE) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (..\Core\Inc\main.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -I (..\Core\USBDevice\usbd_core.h)(0x5F8C72B2) -I (..\Core\USBDevice\usbd_ctlreq.h)(0x5F8C72B2) -I (..\Core\Src\audio_processor.h)(0x5FC226C4) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdbool.h)(0x5F3716FC) -I (..\Core\Src\functions.h)(0x5FF64F0C) -I (..\Core\Src\profiler.h)(0x5FC0EA1E) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (..\Core\Src\settings.h)(0x6015B206) -I (..\Core\Src\bands.h)(0x5FF707A6) -I (..\Core\Src\wm8731.h)(0x5FC0E8AC) -I (..\Core\Src\trx_manager.h)(0x5FF72F98) -F (..\Core\Src\usbd_audio_if.h)(0x5FC21996)() -F (..\Core\Src\usbd_cat_if.c)(0x5FF633F4)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/usbd_cat_if.o -MD) -I (..\Core\Src\usbd_cat_if.h)(0x5FC102E8) -I (..\Core\Src\usbd_ua3reo.h)(0x5FF6334E) -I (..\Core\USBDevice\usbd_ioreq.h)(0x5F8C72B2) -I (..\Core\USBDevice\usbd_def.h)(0x5F904A14) -I (..\Core\USBDevice\usbd_conf.h)(0x5FC0EB7E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdio.h)(0x5F371704) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdlib.h)(0x5F3716FE) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (..\Core\Inc\main.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -I (..\Core\USBDevice\usbd_core.h)(0x5F8C72B2) -I (..\Core\USBDevice\usbd_ctlreq.h)(0x5F8C72B2) -I (..\Core\Src\audio_processor.h)(0x5FC226C4) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdbool.h)(0x5F3716FC) -I (..\Core\Src\functions.h)(0x5FF64F0C) -I (..\Core\Src\profiler.h)(0x5FC0EA1E) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (..\Core\Src\settings.h)(0x6015B206) -I (..\Core\Src\bands.h)(0x5FF707A6) -I (..\Core\Src\trx_manager.h)(0x5FF72F98) -I (..\Core\Src\lcd.h)(0x5FF644AE) -I (..\Core\Src\lcd_driver.h)(0x5FF64A6A) -I (..\Core\Src\images.h)(0x5FC0E69C) -I (..\Core\Src\lcd_driver_ILI9481.h)(0x5FF64270) -I (..\Core\Src\screen_layout.h)(0x6011A829) -I (..\Core\Src\color_themes.h)(0x6011A829) -I (..\Core\Src\fonts.h)(0x5FC0EA80) -I (..\Core\Src\fpga.h)(0x5FC0F84A) -I (..\Core\Src\fft.h)(0x5FF71E6E) -I (..\Core\Src\wm8731.h)(0x5FC0E8AC) -I (..\Core\Src\audio_filters.h)(0x5FF6442C) -F (..\Core\Src\usbd_cat_if.h)(0x5FC102E8)() -F (..\Core\Src\usbd_debug_if.c)(0x5FF63386)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/usbd_debug_if.o -MD) -I (..\Core\Src\usbd_debug_if.h)(0x5F8C72B0) -I (..\Core\Src\usbd_ua3reo.h)(0x5FF6334E) -I (..\Core\USBDevice\usbd_ioreq.h)(0x5F8C72B2) -I (..\Core\USBDevice\usbd_def.h)(0x5F904A14) -I (..\Core\USBDevice\usbd_conf.h)(0x5FC0EB7E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdio.h)(0x5F371704) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdlib.h)(0x5F3716FE) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (..\Core\Inc\main.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -I (..\Core\USBDevice\usbd_core.h)(0x5F8C72B2) -I (..\Core\USBDevice\usbd_ctlreq.h)(0x5F8C72B2) -I (..\Core\Src\audio_processor.h)(0x5FC226C4) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdbool.h)(0x5F3716FC) -I (..\Core\Src\functions.h)(0x5FF64F0C) -I (..\Core\Src\profiler.h)(0x5FC0EA1E) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (..\Core\Src\settings.h)(0x6015B206) -I (..\Core\Src\bands.h)(0x5FF707A6) -I (..\Core\Src\usbd_cat_if.h)(0x5FC102E8) -I (..\Core\Src\trx_manager.h)(0x5FF72F98) -I (..\Core\Src\lcd_driver.h)(0x5FF64A6A) -I (..\Core\Src\images.h)(0x5FC0E69C) -I (..\Core\Src\lcd_driver_ILI9481.h)(0x5FF64270) -F (..\Core\Src\usbd_debug_if.h)(0x5F8C72B0)() -F (..\Core\Src\usbd_ua3reo.c)(0x5FF6449C)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/usbd_ua3reo.o -MD) -I (..\Core\USBDevice\usb_device.h)(0x5FC0EB66) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -I (..\Core\USBDevice\usbd_def.h)(0x5F904A14) -I (..\Core\USBDevice\usbd_conf.h)(0x5FC0EB7E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdio.h)(0x5F371704) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdlib.h)(0x5F3716FE) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (..\Core\Inc\main.h)(0x5FC90F28) -I (..\Core\Src\usbd_ua3reo.h)(0x5FF6334E) -I (..\Core\USBDevice\usbd_ioreq.h)(0x5F8C72B2) -I (..\Core\USBDevice\usbd_core.h)(0x5F8C72B2) -I (..\Core\USBDevice\usbd_ctlreq.h)(0x5F8C72B2) -I (..\Core\Src\audio_processor.h)(0x5FC226C4) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdbool.h)(0x5F3716FC) -I (..\Core\Src\functions.h)(0x5FF64F0C) -I (..\Core\Src\profiler.h)(0x5FC0EA1E) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (..\Core\Src\settings.h)(0x6015B206) -I (..\Core\Src\bands.h)(0x5FF707A6) -I (..\Core\Src\usbd_audio_if.h)(0x5FC21996) -I (..\Core\Src\wm8731.h)(0x5FC0E8AC) -I (..\Core\Src\lcd_driver.h)(0x5FF64A6A) -I (..\Core\Src\images.h)(0x5FC0E69C) -I (..\Core\Src\lcd_driver_ILI9481.h)(0x5FF64270) -F (..\Core\Src\usbd_ua3reo.h)(0x5FF6334E)() -F (..\Core\Src\wm8731.c)(0x5FF6516C)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/wm8731.o -MD) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -I (..\Core\Src\wm8731.h)(0x5FC0E8AC) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdio.h)(0x5F371704) -I (..\Core\Src\audio_processor.h)(0x5FC226C4) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdbool.h)(0x5F3716FC) -I (..\Core\Src\functions.h)(0x5FF64F0C) -I (..\Core\Src\profiler.h)(0x5FC0EA1E) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (..\Core\Src\settings.h)(0x6015B206) -I (..\Core\Src\bands.h)(0x5FF707A6) -I (..\Core\Src\trx_manager.h)(0x5FF72F98) -I (..\Core\Src\i2c.h)(0x5FC0EA52) -I (..\Core\Inc\main.h)(0x5FC90F28) -I (..\Core\Src\lcd.h)(0x5FF644AE) -I (..\Core\Src\lcd_driver.h)(0x5FF64A6A) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdlib.h)(0x5F3716FE) -I (..\Core\Src\images.h)(0x5FC0E69C) -I (..\Core\Src\lcd_driver_ILI9481.h)(0x5FF64270) -I (..\Core\Src\screen_layout.h)(0x6011A829) -I (..\Core\Src\color_themes.h)(0x6011A829) -I (..\Core\Src\fonts.h)(0x5FC0EA80) -I (..\Core\Src\agc.h)(0x5FC0F8EA) -I (..\Core\Src\usbd_audio_if.h)(0x5FC21996) -I (..\Core\Src\usbd_ua3reo.h)(0x5FF6334E) -I (..\Core\USBDevice\usbd_ioreq.h)(0x5F8C72B2) -I (..\Core\USBDevice\usbd_def.h)(0x5F904A14) -I (..\Core\USBDevice\usbd_conf.h)(0x5FC0EB7E) -I (..\Core\USBDevice\usbd_core.h)(0x5F8C72B2) -I (..\Core\USBDevice\usbd_ctlreq.h)(0x5F8C72B2) -F (..\Core\Src\wm8731.h)(0x5FC0E8AC)() -F (..\Core\Inc\main.h)(0x5FC90F28)() -F (../Core/Src/main.c)(0x6015B895)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/main.o -MD) -I (..\Core\Inc\main.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -I (..\Core\Src\lcd_driver.h)(0x5FF64A6A) -I (..\Core\Src\settings.h)(0x6015B206) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdio.h)(0x5F371704) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdbool.h)(0x5F3716FC) -I (..\Core\Src\functions.h)(0x5FF64F0C) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (..\Core\Src\profiler.h)(0x5FC0EA1E) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (..\Core\Src\bands.h)(0x5FF707A6) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdlib.h)(0x5F3716FE) -I (..\Core\Src\images.h)(0x5FC0E69C) -I (..\Core\Src\lcd_driver_ILI9481.h)(0x5FF64270) -I (..\Core\Src\bootloader.h)(0x5FC0EAEE) -I (..\Core\Src\trx_manager.h)(0x5FF72F98) -I (..\Core\Src\lcd.h)(0x5FF644AE) -I (..\Core\Src\screen_layout.h)(0x6011A829) -I (..\Core\Src\color_themes.h)(0x6011A829) -I (..\Core\Src\fonts.h)(0x5FC0EA80) -I (..\Core\Src\front_unit.h)(0x5FCB5BF8) -I (..\Core\Src\rf_unit.h)(0x5FF6FB92) -I (..\Core\Src\fpga.h)(0x5FC0F84A) -I (..\Core\Src\fft.h)(0x5FF71E6E) -I (..\Core\Src\wm8731.h)(0x5FC0E8AC) -I (..\Core\Src\audio_processor.h)(0x5FC226C4) -I (..\Core\USBDevice\usb_device.h)(0x5FC0EB66) -I (..\Core\USBDevice\usbd_def.h)(0x5F904A14) -I (..\Core\USBDevice\usbd_conf.h)(0x5FC0EB7E) -I (..\Core\Src\usbd_cat_if.h)(0x5FC102E8) -I (..\Core\Src\usbd_ua3reo.h)(0x5FF6334E) -I (..\Core\USBDevice\usbd_ioreq.h)(0x5F8C72B2) -I (..\Core\USBDevice\usbd_core.h)(0x5F8C72B2) -I (..\Core\USBDevice\usbd_ctlreq.h)(0x5F8C72B2) -I (..\Core\Src\usbd_debug_if.h)(0x5F8C72B0) -F (../Core/Src/stm32f4xx_it.c)(0x5FF73388)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/stm32f4xx_it.o -MD) -I (..\Core\Inc\main.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_it.h)(0x5FC90F28) -I (..\Core\Src\functions.h)(0x5FF64F0C) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdio.h)(0x5F371704) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdbool.h)(0x5F3716FC) -I (..\Core\Src\profiler.h)(0x5FC0EA1E) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (..\Core\Src\front_unit.h)(0x5FCB5BF8) -I (..\Core\Src\rf_unit.h)(0x5FF6FB92) -I (..\Core\Src\fpga.h)(0x5FC0F84A) -I (..\Core\Src\fft.h)(0x5FF71E6E) -I (..\Core\Src\wm8731.h)(0x5FC0E8AC) -I (..\Core\Src\audio_processor.h)(0x5FC226C4) -I (..\Core\Src\settings.h)(0x6015B206) -I (..\Core\Src\bands.h)(0x5FF707A6) -I (..\Core\Src\screen_layout.h)(0x6011A829) -I (..\Core\Src\lcd_driver.h)(0x5FF64A6A) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdlib.h)(0x5F3716FE) -I (..\Core\Src\images.h)(0x5FC0E69C) -I (..\Core\Src\lcd_driver_ILI9481.h)(0x5FF64270) -I (..\Core\Src\color_themes.h)(0x6011A829) -I (..\Core\Src\fonts.h)(0x5FC0EA80) -I (..\Core\Src\lcd.h)(0x5FF644AE) -I (..\Core\Src\trx_manager.h)(0x5FF72F98) -I (..\Core\Src\agc.h)(0x5FC0F8EA) -I (..\Core\Src\usbd_debug_if.h)(0x5F8C72B0) -I (..\Core\Src\usbd_ua3reo.h)(0x5FF6334E) -I (..\Core\USBDevice\usbd_ioreq.h)(0x5F8C72B2) -I (..\Core\USBDevice\usbd_def.h)(0x5F904A14) -I (..\Core\USBDevice\usbd_conf.h)(0x5FC0EB7E) -I (..\Core\USBDevice\usbd_core.h)(0x5F8C72B2) -I (..\Core\USBDevice\usbd_ctlreq.h)(0x5F8C72B2) -I (..\Core\Src\usbd_cat_if.h)(0x5FC102E8) -I (..\Core\Src\usbd_audio_if.h)(0x5FC21996) -I (..\Core\Src\audio_filters.h)(0x5FF6442C) -I (..\Core\Src\system_menu.h)(0x5FF64682) -I (..\Core\Src\bootloader.h)(0x5FC0EAEE) -I (..\Core\Src\swr_analyzer.h)(0x5FC0EDF2) -F (../Core/Src/stm32f4xx_hal_msp.c)(0x5FC91872)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/stm32f4xx_hal_msp.o -MD) -I (..\Core\Inc\main.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -F (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882)() -F (../Drivers/STM32F4xx_HAL_Driver/Src/stm32f4xx_hal_adc.c)(0x5FC2DBC8)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/stm32f4xx_hal_adc.o -MD) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -F (../Drivers/STM32F4xx_HAL_Driver/Src/stm32f4xx_hal_adc_ex.c)(0x5FC2DBC8)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/stm32f4xx_hal_adc_ex.o -MD) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -F (../Drivers/STM32F4xx_HAL_Driver/Src/stm32f4xx_hal_rcc.c)(0x5FC2DBC8)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/stm32f4xx_hal_rcc.o -MD) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -F (../Drivers/STM32F4xx_HAL_Driver/Src/stm32f4xx_hal_rcc_ex.c)(0x5FC2DBC8)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/stm32f4xx_hal_rcc_ex.o -MD) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -F (../Drivers/STM32F4xx_HAL_Driver/Src/stm32f4xx_hal_flash.c)(0x5FC2DBC8)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/stm32f4xx_hal_flash.o -MD) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -F (../Drivers/STM32F4xx_HAL_Driver/Src/stm32f4xx_hal_flash_ex.c)(0x5FC2DBC8)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/stm32f4xx_hal_flash_ex.o -MD) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -F (../Drivers/STM32F4xx_HAL_Driver/Src/stm32f4xx_hal_flash_ramfunc.c)(0x5FC2DBC8)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/stm32f4xx_hal_flash_ramfunc.o -MD) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -F (../Drivers/STM32F4xx_HAL_Driver/Src/stm32f4xx_hal_gpio.c)(0x5FC2DBC8)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/stm32f4xx_hal_gpio.o -MD) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -F (../Drivers/STM32F4xx_HAL_Driver/Src/stm32f4xx_hal_dma_ex.c)(0x5FC2DBC8)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/stm32f4xx_hal_dma_ex.o -MD) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -F (../Drivers/STM32F4xx_HAL_Driver/Src/stm32f4xx_hal_dma.c)(0x5FC2DBC8)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/stm32f4xx_hal_dma.o -MD) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -F (../Drivers/STM32F4xx_HAL_Driver/Src/stm32f4xx_hal_pwr.c)(0x5FC2DBC8)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/stm32f4xx_hal_pwr.o -MD) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -F (../Drivers/STM32F4xx_HAL_Driver/Src/stm32f4xx_hal_pwr_ex.c)(0x5FC2DBC8)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/stm32f4xx_hal_pwr_ex.o -MD) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -F (../Drivers/STM32F4xx_HAL_Driver/Src/stm32f4xx_hal_cortex.c)(0x5FC2DBC8)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/stm32f4xx_hal_cortex.o -MD) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -F (../Drivers/STM32F4xx_HAL_Driver/Src/stm32f4xx_hal.c)(0x5FC2DBC8)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/stm32f4xx_hal.o -MD) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -F (../Drivers/STM32F4xx_HAL_Driver/Src/stm32f4xx_hal_exti.c)(0x5FC2DBC8)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/stm32f4xx_hal_exti.o -MD) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -F (../Drivers/STM32F4xx_HAL_Driver/Src/stm32f4xx_ll_fsmc.c)(0x5FC2DBC8)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/stm32f4xx_ll_fsmc.o -MD) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -F (../Drivers/STM32F4xx_HAL_Driver/Src/stm32f4xx_hal_sram.c)(0x5FC2DBC8)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/stm32f4xx_hal_sram.o -MD) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -F (../Drivers/STM32F4xx_HAL_Driver/Src/stm32f4xx_hal_i2s.c)(0x5FC2DBC8)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/stm32f4xx_hal_i2s.o -MD) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -F (../Drivers/STM32F4xx_HAL_Driver/Src/stm32f4xx_hal_i2s_ex.c)(0x5FC2DBC8)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/stm32f4xx_hal_i2s_ex.o -MD) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -F (../Drivers/STM32F4xx_HAL_Driver/Src/stm32f4xx_hal_rtc.c)(0x5FC2DBC8)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/stm32f4xx_hal_rtc.o -MD) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -F (../Drivers/STM32F4xx_HAL_Driver/Src/stm32f4xx_hal_rtc_ex.c)(0x5FC2DBC8)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/stm32f4xx_hal_rtc_ex.o -MD) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -F (../Drivers/STM32F4xx_HAL_Driver/Src/stm32f4xx_hal_spi.c)(0x5FC2DBC8)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/stm32f4xx_hal_spi.o -MD) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -F (../Drivers/STM32F4xx_HAL_Driver/Src/stm32f4xx_hal_tim.c)(0x5FC2DBC8)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/stm32f4xx_hal_tim.o -MD) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -F (../Drivers/STM32F4xx_HAL_Driver/Src/stm32f4xx_hal_tim_ex.c)(0x5FC2DBC8)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/stm32f4xx_hal_tim_ex.o -MD) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -F (../Drivers/STM32F4xx_HAL_Driver/Src/stm32f4xx_hal_pcd.c)(0x5FC2DBC8)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/stm32f4xx_hal_pcd.o -MD) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -F (../Drivers/STM32F4xx_HAL_Driver/Src/stm32f4xx_hal_pcd_ex.c)(0x5FC2DBC8)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/stm32f4xx_hal_pcd_ex.o -MD) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -F (../Drivers/STM32F4xx_HAL_Driver/Src/stm32f4xx_ll_usb.c)(0x5FC2DBC8)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/stm32f4xx_ll_usb.o -MD) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -F (..\Core\Src\system_stm32f4xx.c)(0x5F9404DC)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/system_stm32f4xx.o -MD) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -F (..\Core\USBDevice\usb_device.c)(0x5FC0F978)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/usb_device.o -MD) -I (..\Core\USBDevice\usb_device.h)(0x5FC0EB66) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -I (..\Core\USBDevice\usbd_def.h)(0x5F904A14) -I (..\Core\USBDevice\usbd_conf.h)(0x5FC0EB7E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdio.h)(0x5F371704) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdlib.h)(0x5F3716FE) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (..\Core\Inc\main.h)(0x5FC90F28) -I (..\Core\USBDevice\usbd_core.h)(0x5F8C72B2) -I (..\Core\USBDevice\usbd_ioreq.h)(0x5F8C72B2) -I (..\Core\USBDevice\usbd_ctlreq.h)(0x5F8C72B2) -I (..\Core\USBDevice\usbd_desc.h)(0x5F8C72B2) -I (..\Core\Src\usbd_ua3reo.h)(0x5FF6334E) -I (..\Core\Src\audio_processor.h)(0x5FC226C4) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdbool.h)(0x5F3716FC) -I (..\Core\Src\functions.h)(0x5FF64F0C) -I (..\Core\Src\profiler.h)(0x5FC0EA1E) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (..\Core\Src\settings.h)(0x6015B206) -I (..\Core\Src\bands.h)(0x5FF707A6) -I (..\Core\Src\usbd_debug_if.h)(0x5F8C72B0) -I (..\Core\Src\usbd_cat_if.h)(0x5FC102E8) -I (..\Core\Src\usbd_audio_if.h)(0x5FC21996) -F (..\Core\USBDevice\usb_device.h)(0x5FC0EB66)() -F (..\Core\USBDevice\usbd_conf.c)(0x5FC3BDEC)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/usbd_conf.o -MD) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -I (..\Core\Inc\main.h)(0x5FC90F28) -I (..\Core\USBDevice\usbd_def.h)(0x5F904A14) -I (..\Core\USBDevice\usbd_conf.h)(0x5FC0EB7E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdio.h)(0x5F371704) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdlib.h)(0x5F3716FE) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (..\Core\USBDevice\usbd_core.h)(0x5F8C72B2) -I (..\Core\USBDevice\usbd_ioreq.h)(0x5F8C72B2) -I (..\Core\USBDevice\usbd_ctlreq.h)(0x5F8C72B2) -I (..\Core\Src\usbd_ua3reo.h)(0x5FF6334E) -I (..\Core\Src\audio_processor.h)(0x5FC226C4) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdbool.h)(0x5F3716FC) -I (..\Core\Src\functions.h)(0x5FF64F0C) -I (..\Core\Src\profiler.h)(0x5FC0EA1E) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (..\Core\Src\settings.h)(0x6015B206) -I (..\Core\Src\bands.h)(0x5FF707A6) -F (..\Core\USBDevice\usbd_conf.h)(0x5FC0EB7E)() -F (..\Core\USBDevice\usbd_core.c)(0x5FB27206)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/usbd_core.o -MD) -I (..\Core\USBDevice\usbd_core.h)(0x5F8C72B2) -I (..\Core\USBDevice\usbd_conf.h)(0x5FC0EB7E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdio.h)(0x5F371704) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdlib.h)(0x5F3716FE) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (..\Core\Inc\main.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -I (..\Core\USBDevice\usbd_def.h)(0x5F904A14) -I (..\Core\USBDevice\usbd_ioreq.h)(0x5F8C72B2) -I (..\Core\USBDevice\usbd_ctlreq.h)(0x5F8C72B2) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdbool.h)(0x5F3716FC) -F (..\Core\USBDevice\usbd_core.h)(0x5F8C72B2)() -F (..\Core\USBDevice\usbd_ctlreq.c)(0x5F904A14)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/usbd_ctlreq.o -MD) -I (..\Core\USBDevice\usbd_ctlreq.h)(0x5F8C72B2) -I (..\Core\USBDevice\usbd_def.h)(0x5F904A14) -I (..\Core\USBDevice\usbd_conf.h)(0x5FC0EB7E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdio.h)(0x5F371704) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdlib.h)(0x5F3716FE) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (..\Core\Inc\main.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -I (..\Core\USBDevice\usbd_ioreq.h)(0x5F8C72B2) -I (..\Core\USBDevice\usbd_core.h)(0x5F8C72B2) -F (..\Core\USBDevice\usbd_ctlreq.h)(0x5F8C72B2)() -F (..\Core\USBDevice\usbd_def.h)(0x5F904A14)() -F (..\Core\USBDevice\usbd_desc.c)(0x5F8C72B2)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/usbd_desc.o -MD) -I (..\Core\USBDevice\usbd_core.h)(0x5F8C72B2) -I (..\Core\USBDevice\usbd_conf.h)(0x5FC0EB7E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdio.h)(0x5F371704) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdlib.h)(0x5F3716FE) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (..\Core\Inc\main.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -I (..\Core\USBDevice\usbd_def.h)(0x5F904A14) -I (..\Core\USBDevice\usbd_ioreq.h)(0x5F8C72B2) -I (..\Core\USBDevice\usbd_ctlreq.h)(0x5F8C72B2) -I (..\Core\USBDevice\usbd_desc.h)(0x5F8C72B2) -F (..\Core\USBDevice\usbd_desc.h)(0x5F8C72B2)() -F (..\Core\USBDevice\usbd_ioreq.c)(0x5F8C72B2)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/usbd_ioreq.o -MD) -I (..\Core\USBDevice\usbd_ioreq.h)(0x5F8C72B2) -I (..\Core\USBDevice\usbd_def.h)(0x5F904A14) -I (..\Core\USBDevice\usbd_conf.h)(0x5FC0EB7E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdio.h)(0x5F371704) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdlib.h)(0x5F3716FE) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (..\Core\Inc\main.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h)(0x5FC2DBC8) -I (..\Core\Inc\stm32f4xx_hal_conf.h)(0x5FC90F28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h)(0x5FC2DBC8) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h)(0x5FC2DB28) -I (..\Drivers\CMSIS\Include\core_cm4.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_version.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (..\Drivers\CMSIS\Include\mpu_armv7.h)(0x5FC2DB2E) -I (..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h)(0x5FC2DB28) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h)(0x5FC2DBC8) -I (C:\Keil_v5\ARM\ARMCLANG\include\stddef.h)(0x5F3716FE) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h)(0x5FC91882) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h)(0x5FC2DBC8) -I (..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h)(0x5FC2DBC8) -I (..\Core\USBDevice\usbd_core.h)(0x5F8C72B2) -I (..\Core\USBDevice\usbd_ctlreq.h)(0x5F8C72B2) -F (..\Core\USBDevice\usbd_ioreq.h)(0x5F8C72B2)() -F (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\BasicMathFunctions.c)(0x5E8F1776)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/basicmathfunctions.o -MD) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_abs_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_abs_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_abs_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_abs_q7.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_add_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_add_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_add_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_add_q7.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_and_u16.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_and_u32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_and_u8.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_dot_prod_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_dot_prod_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_dot_prod_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_dot_prod_q7.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_mult_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_mult_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_mult_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_mult_q7.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_negate_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_negate_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_negate_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_negate_q7.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_not_u16.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_not_u32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_not_u8.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_offset_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_offset_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_offset_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_offset_q7.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_or_u16.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_or_u32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_or_u8.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_scale_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_scale_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_scale_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_scale_q7.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_shift_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_shift_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_shift_q7.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_sub_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_sub_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_sub_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_sub_q7.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_xor_u16.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_xor_u32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_xor_u8.c)(0x5E8F1776) -F (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BayesFunctions\BayesFunctions.c)(0x5E8F1776)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/bayesfunctions.o -MD) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BayesFunctions\arm_gaussian_naive_bayes_predict_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -F (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\CommonTables\CommonTables.c)(0x5E8F1776)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/commontables.o -MD) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\CommonTables\arm_common_tables.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_common_tables.h)(0x5E8F1772) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\CommonTables\arm_const_structs.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_const_structs.h)(0x5E8F1772) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\CommonTables\arm_mve_tables.c)(0x5E8F1776) -F (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\ComplexMathFunctions.c)(0x5E834D18)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/complexmathfunctions.o -MD) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_conj_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_conj_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_conj_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_dot_prod_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_dot_prod_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_dot_prod_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_mag_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_mag_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_mag_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_mag_squared_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_mag_squared_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_mag_squared_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_mult_cmplx_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_mult_cmplx_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_mult_cmplx_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_mult_real_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_mult_real_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_mult_real_q31.c)(0x5E8F1776) -F (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ControllerFunctions\ControllerFunctions.c)(0x5E834D18)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/controllerfunctions.o -MD) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ControllerFunctions\arm_pid_init_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ControllerFunctions\arm_pid_init_q15.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ControllerFunctions\arm_pid_init_q31.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ControllerFunctions\arm_pid_reset_f32.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ControllerFunctions\arm_pid_reset_q15.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ControllerFunctions\arm_pid_reset_q31.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ControllerFunctions\arm_sin_cos_f32.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_common_tables.h)(0x5E8F1772) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ControllerFunctions\arm_sin_cos_q31.c)(0x5E834D18) -F (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\DistanceFunctions.c)(0x5E8F1776)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/distancefunctions.o -MD) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_boolean_distance.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_boolean_distance_template.h)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_braycurtis_distance_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_canberra_distance_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_chebyshev_distance_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_cityblock_distance_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_correlation_distance_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_cosine_distance_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_dice_distance.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_euclidean_distance_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_hamming_distance.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_jaccard_distance.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_jensenshannon_distance_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_kulsinski_distance.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_minkowski_distance_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_rogerstanimoto_distance.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_russellrao_distance.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_sokalmichener_distance.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_sokalsneath_distance.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_yule_distance.c)(0x5E8F1776) -F (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FastMathFunctions\FastMathFunctions.c)(0x5E8F1776)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/fastmathfunctions.o -MD) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FastMathFunctions\arm_cos_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_common_tables.h)(0x5E8F1772) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FastMathFunctions\arm_cos_q15.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FastMathFunctions\arm_cos_q31.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FastMathFunctions\arm_sin_f32.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FastMathFunctions\arm_sin_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FastMathFunctions\arm_sin_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FastMathFunctions\arm_sqrt_q15.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FastMathFunctions\arm_sqrt_q31.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FastMathFunctions\arm_vexp_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FastMathFunctions\arm_vlog_f32.c)(0x5E8F1776) -F (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\FilteringFunctions.c)(0x5E834D18)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/filteringfunctions.o -MD) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df1_32x64_init_q31.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df1_32x64_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df1_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df1_fast_q15.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df1_fast_q31.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df1_init_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df1_init_q15.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df1_init_q31.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df1_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df1_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df2T_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df2T_f64.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df2T_init_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df2T_init_f64.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_stereo_df2T_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_stereo_df2T_init_f32.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_fast_opt_q15.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_fast_q15.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_fast_q31.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_opt_q15.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_opt_q7.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_partial_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_partial_fast_opt_q15.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_partial_fast_q15.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_partial_fast_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_partial_opt_q15.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_partial_opt_q7.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_partial_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_partial_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_partial_q7.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_q7.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_correlate_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_correlate_fast_opt_q15.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_correlate_fast_q15.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_correlate_fast_q31.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_correlate_opt_q15.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_correlate_opt_q7.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_correlate_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_correlate_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_correlate_q7.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_decimate_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_decimate_fast_q15.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_decimate_fast_q31.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_decimate_init_f32.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_decimate_init_q15.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_decimate_init_q31.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_decimate_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_decimate_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_fast_q15.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_fast_q31.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_init_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_init_q15.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_init_q31.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_init_q7.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_interpolate_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_interpolate_init_f32.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_interpolate_init_q15.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_interpolate_init_q31.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_interpolate_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_interpolate_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_lattice_f32.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_lattice_init_f32.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_lattice_init_q15.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_lattice_init_q31.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_lattice_q15.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_lattice_q31.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_q7.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_sparse_f32.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_sparse_init_f32.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_sparse_init_q15.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_sparse_init_q31.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_sparse_init_q7.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_sparse_q15.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_sparse_q31.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_sparse_q7.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_iir_lattice_f32.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_iir_lattice_init_f32.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_iir_lattice_init_q15.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_iir_lattice_init_q31.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_iir_lattice_q15.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_iir_lattice_q31.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_lms_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_lms_init_f32.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_lms_init_q15.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_lms_init_q31.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_lms_norm_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_lms_norm_init_f32.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_lms_norm_init_q15.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_common_tables.h)(0x5E8F1772) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_lms_norm_init_q31.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_lms_norm_q15.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_lms_norm_q31.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_lms_q15.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_lms_q31.c)(0x5E834D18) -F (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\MatrixFunctions.c)(0x5E834D18)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/matrixfunctions.o -MD) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_add_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_add_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_add_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_cmplx_mult_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_cmplx_mult_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_cmplx_mult_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_init_f32.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_init_q15.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_init_q31.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_inverse_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_inverse_f64.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_mult_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_mult_fast_q15.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_mult_fast_q31.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_mult_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_mult_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_scale_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_scale_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_scale_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_sub_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_sub_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_sub_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_trans_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_trans_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_trans_q31.c)(0x5E8F1776) -F (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SVMFunctions\SVMFunctions.c)(0x5E8F1776)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/svmfunctions.o -MD) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SVMFunctions\arm_svm_linear_init_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SVMFunctions\arm_svm_linear_predict_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SVMFunctions\arm_svm_polynomial_init_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SVMFunctions\arm_svm_polynomial_predict_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SVMFunctions\arm_svm_rbf_init_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SVMFunctions\arm_svm_rbf_predict_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SVMFunctions\arm_svm_sigmoid_init_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SVMFunctions\arm_svm_sigmoid_predict_f32.c)(0x5E8F1776) -F (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\StatisticsFunctions.c)(0x5E8F1776)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/statisticsfunctions.o -MD) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_entropy_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_entropy_f64.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_kullback_leibler_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_kullback_leibler_f64.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_logsumexp_dot_prod_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_logsumexp_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_max_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_max_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_max_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_max_q7.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_max_no_idx_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_mean_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_mean_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_mean_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_mean_q7.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_min_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_min_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_min_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_min_q7.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_power_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_power_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_power_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_power_q7.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_rms_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_rms_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_rms_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_std_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_std_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_std_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_var_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_var_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_var_q31.c)(0x5E8F1776) -F (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SupportFunctions\SupportFunctions.c)(0x5E8F1776)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/supportfunctions.o -MD) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SupportFunctions\arm_barycenter_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SupportFunctions\arm_bitonic_sort_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\PrivateInclude\arm_sorting.h)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SupportFunctions\arm_bubble_sort_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SupportFunctions\arm_copy_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SupportFunctions\arm_copy_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SupportFunctions\arm_copy_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SupportFunctions\arm_copy_q7.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SupportFunctions\arm_fill_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SupportFunctions\arm_fill_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SupportFunctions\arm_fill_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SupportFunctions\arm_fill_q7.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SupportFunctions\arm_heap_sort_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SupportFunctions\arm_insertion_sort_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SupportFunctions\arm_merge_sort_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SupportFunctions\arm_merge_sort_init_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SupportFunctions\arm_quick_sort_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SupportFunctions\arm_selection_sort_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SupportFunctions\arm_sort_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SupportFunctions\arm_sort_init_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SupportFunctions\arm_spline_interp_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SupportFunctions\arm_spline_interp_init_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SupportFunctions\arm_weighted_sum_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SupportFunctions\arm_float_to_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SupportFunctions\arm_float_to_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SupportFunctions\arm_float_to_q7.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SupportFunctions\arm_q15_to_float.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SupportFunctions\arm_q15_to_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SupportFunctions\arm_q15_to_q7.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SupportFunctions\arm_q31_to_float.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SupportFunctions\arm_q31_to_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SupportFunctions\arm_q31_to_q7.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SupportFunctions\arm_q7_to_float.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SupportFunctions\arm_q7_to_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\SupportFunctions\arm_q7_to_q31.c)(0x5E8F1776) -F (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\TransformFunctions.c)(0x5E8F1776)(-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c -fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar -gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src -I./RTE/_WOLF-Lite -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include -IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude -IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include -D__UVISION_VERSION="533" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL -o wolf-lite/transformfunctions.o -MD) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_bitreversal.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h)(0x5E8F1772) -I (..\Drivers\CMSIS\Include\cmsis_compiler.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\stdint.h)(0x5F3716F8) -I (..\Drivers\CMSIS\Include\cmsis_armclang.h)(0x5FC2DB2E) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_compat.h)(0x5EE16D92) -I (C:\Keil_v5\ARM\ARMCLANG\include\arm_acle.h)(0x5F26CD88) -I (C:\Keil_v5\ARM\ARMCLANG\include\string.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\math.h)(0x5F3716F0) -I (C:\Keil_v5\ARM\ARMCLANG\include\float.h)(0x5F3716F4) -I (C:\Keil_v5\ARM\ARMCLANG\include\limits.h)(0x5F3716F8) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_common_tables.h)(0x5E8F1772) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_bitreversal2.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_cfft_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_cfft_f64.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_cfft_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_cfft_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_cfft_init_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_const_structs.h)(0x5E8F1772) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_cfft_init_f64.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_cfft_init_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_cfft_init_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_cfft_radix2_f32.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_cfft_radix2_init_f32.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_cfft_radix2_init_q15.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_cfft_radix2_init_q31.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_cfft_radix2_q15.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_cfft_radix2_q31.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_cfft_radix4_f32.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_cfft_radix4_init_f32.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_cfft_radix4_init_q15.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_cfft_radix4_init_q31.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_cfft_radix4_q15.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_cfft_radix4_q31.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_cfft_radix8_f32.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_dct4_f32.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_dct4_init_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_dct4_init_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_dct4_init_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_dct4_q15.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_dct4_q31.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_rfft_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_rfft_fast_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_rfft_fast_f64.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_rfft_fast_init_f32.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_rfft_fast_init_f64.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_rfft_init_f32.c)(0x5E834D18) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_rfft_init_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_rfft_init_q31.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_rfft_q15.c)(0x5E8F1776) -I (C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\TransformFunctions\arm_rfft_q31.c)(0x5E8F1776) diff --git a/STM32/MDK-ARM/WOLF-Lite/WOLF-Lite_sct.Bak b/STM32/MDK-ARM/WOLF-Lite/WOLF-Lite_sct.Bak deleted file mode 100644 index 258ce44..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/WOLF-Lite_sct.Bak +++ /dev/null @@ -1,28 +0,0 @@ -; ************************************************************* -; *** Scatter-Loading Description File generated by uVision *** -; ************************************************************* - -LR_IROM1 0x08000000 0x00080000 { ; load region size_region - ER_IROM1 0x08000000 0x00080000 { ; load address = execution address - *.o (RESET, +First) - *(InRoot$$Sections) - .ANY (+RO) - .ANY (+XO) - } - - ; Stack region growing down - REGION_STACK 0x20000000 { - *(STACK) - } - - ; We have to define heap region, even if we don't actually use heap - REGION_HEAP ImageLimit(REGION_STACK) { - *(HEAP) - } - - ; this will place .bss region above the stack and heap and allocate RAM that is left for it - RW_IRAM1 ImageLimit(REGION_HEAP) (0x20000 - ImageLength(REGION_STACK) - ImageLength(REGION_HEAP)) { - *(+RW +ZI) - } -} - diff --git a/STM32/MDK-ARM/WOLF-Lite/agc.d b/STM32/MDK-ARM/WOLF-Lite/agc.d deleted file mode 100644 index f19c24a..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/agc.d +++ /dev/null @@ -1,60 +0,0 @@ -wolf-lite/agc.o: ..\Core\Src\agc.c \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \ - ..\Core\Inc\stm32f4xx_hal_conf.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \ - ..\Drivers\CMSIS\Include\core_cm4.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \ - ..\Drivers\CMSIS\Include\cmsis_version.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - ..\Drivers\CMSIS\Include\cmsis_armclang.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \ - ..\Drivers\CMSIS\Include\mpu_armv7.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h \ - ..\Core\Src\agc.h C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdbool.h \ - ..\Core\Src\audio_processor.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdio.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h \ - ..\Core\Src\functions.h ..\Core\Src\profiler.h \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h ..\Core\Src\settings.h \ - ..\Core\Src\bands.h ..\Core\Src\audio_filters.h ..\Core\Src\fpga.h \ - ..\Core\Src\fft.h ..\Core\Src\wm8731.h ..\Core\Src\screen_layout.h \ - ..\Core\Src\lcd_driver.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdlib.h ..\Core\Src\images.h \ - ..\Core\Src\lcd_driver_ILI9481.h ..\Core\Src\color_themes.h \ - ..\Core\Src\fonts.h diff --git a/STM32/MDK-ARM/WOLF-Lite/agc.o b/STM32/MDK-ARM/WOLF-Lite/agc.o deleted file mode 100644 index 1681b4de45dc70ae322d0ac3f2c9bd1618096e5a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 13608 zcmb_@4|r2mw(mYknv*7J5@^AeCgB7sQx)5^h5n&M^9QhC(E^nz%1o2CDXq38w4p8N z=t)ydWvVwajJMCr+({jt7e}0_f?8)7&7V>$b}%C2bQm7fLS?F#VTz*9nc?aE?USTL zuJ`-iyWcxslfCxZzrFU_YyVkm?~^{Zx?&B(FeLskB%55%5yBM+B}vPKB%7psqm&Sf zHE?cu8X-w2uT>he)^B;Uq2(V(@68;|TE*li5rdMDhvkGQL~U^FC4isO;r5 z&bp?Tdxy!d?Mc5i`&M7xBZFI?=0-*#_X&=WGNk#7dXu^OJ(ASL2Yc{!p=ZFCHn*a$ zPth}6p*a{-5+=EV_>6b)V{`c6EvM2pgr?qFxc8~&vgOZlmw)tp@7yJieQ@&fwpV}u z?~i`?&;wT_e|YQ1o79FRMk6t6-f@o2F(sSNX04xdbH$^-9=Y@1Uwx^odue`Bw^1o# zWZm4{MQia*|Mrx}2qC*KYwf-sZeNyRZnTts`}fEfts(L&lGWyjmdV~f`Ly=gi?Z&G zWlwFYs-Jg0c`rY>h>)iG{rt~mv#uI#19FKAY;zF;yL{`Hlm?;L4Bt zV=*C_(@Ven8V}>^-OXxM9e!`8&s#X;b#c&*B`Olqof}9w z71WJET4Kj{`@FlMgVPHMI}dp~U^KtisbL{)*LAzWXlDYtP!I~Fj4}E%ekh=iFn)H| zAt)g0?4o9;Mia*32iYu!X4f}{VA`zz1O^e*03BlV69(PcN$m}ydUv7qbnnhaYJ6%6 zj5W2kc*h~O-3ZGF4MouC4B@5?+NnucTYts|o9KBuJy0cpac5T$*=`xTtJvG-r$f_* zF*?NVqMiDX(_#W#npB;@=(|%swG{3A~LBTHRvwUB7I~)f5uFeJm;pv z`e8s1*py8_5vMQmTGWb4xD?b*xK*PD)g=LNhSQ#~>&N{m!$FDxF#;iW?F5rR8xm-9 z0&P#A-3jzS0v$}CCk5K9A91I^8dHXa6K?%E(CD3s7L88orlI+yZWK&m0)))^GeP|f ze?vB=Djnshp&0e`9wk75*ti1qs|Q@wXLR$QZJR~Oq(=M~%0 zMR%Bh7W)a-CZEzfL$@k%M9NF92Boz!a>uwyBN}Wr?Iq=WlHZfkYaq#k9kZ?+5|`{3mmFnE4tiD|1Z~Y6vzCpDR~~hj1gx1y zt;G@X3UX1Sx@1&;L^M;Cs>?H~Pa~?yfU3o&nu$pNqETJtRfLKivzCl{RtgeU9PpG5 zGT*`^l9K(NQm6sRgVxM`aoJIGX^(iNA9Pqd%J@_tRjNJ;NI%ARrHYtU9~;$^oa$1g zs>P(9^rQ5_G7ksMEAcv~0nl!2Sk{)p>bS6Ee$E=yC*dH$YMz61O>d&FWr0TB`>1B=TGUOz7 zdF7~eC0ZW}mmUq49SoNYSxbD@%xSb9hS-FziA`voS`Vf}FFw_%W{CRZ3DuuxtrrtD zCSKVSE56SMCoNN5Z9}*34e(%6<>*Rz@50+_7KGv&0OusQ+B4x(b63_2;Ay zNK_Hu0eeOmQ^Z<48pg=daomqVw4#ZUG4Y@jwf9%BY?RNFPGKuor{i zRsY+h`q-vM5r*~ii0V9)oKa!i!TAC(j{S_#Qc4@yDb$KdP+uf!mr1q5CIBMRNmxE0 zZQ)cG1<5T&Dg>%J!Hm;866m%Bx;=q*CD1z)XlOetr&FLmHL99n4NmAZ9eG3!Lhz zkJ6Yq)TBl=tz}eCYgDv%Hfs4{T0Rz<4820PpCfA zs4m8qNqlw3=I3cFH|!7L(tcrH4TkB;o!uOo2ainAZH6_8rulRP2GkGk@UtDG-a>>zS&e9l62QQ4cWx}k7^F=%s+t>}*)n;R`M%3~a+PrPW zLR^O~!~zcwjVfsjIYBrY1#!BwLG6DX1yy=?HzFn!Blg$+A`F8mFxEme zsPXPLvhA=8{8u@PAdRR=H=7r3nVt`~90^Ml1<&H;L(TF#WpT;;c z+$TZ0X2)Wul^f>gA)I<>7~g3W=9SIciQs(ptTFD-rkmBBq=l55782KBuv%nerfr_k zHOeRDB?9F|zJ`|2!wg=}B4=M`h)>z>ksz&{BuH6%i7-fe)#oc*PcD`_Ah64U0U#0AD^r_X-BtY=vH^~xMBTG+<~x> z5DoH@)IsY;Pr2r_JzX>l_)Bn5MgZVqfKNR?*BrJ-^Rgv2?=nS-ftU#4QLd>7_~cqu zZyM0NAd)Bjyy15xwp5L90Rjvm0g3X3uM9_c0ySdK5?lG6RK*%OV*-P!W+D*v;8M+# zX(Aq3s$Epn{na;$vRFSZHwcv&pk#b<`o@=}&pmAsD&c|vX{zzyvrk@JyeHZTusDJi zll0~3J`qU+U>0UkKd9tscViD=#}_`8K^SM8Ln`=TsXO!-rpFcz%oX_L>-)7Cb1Hm& z$xH4Ukyvr9vDYT$_s-$lvOSBknSFAjUE-I>2RQl9O!EI}lOLLq8~uS~`A__(llT6_ ze>PeEum0&|`A_{{Cd&u?62;y@zfvK8*`KD^`?6oFkiX*3QmlK;->8tk?sqBnzV3fS zAs_PhDE1Ed`xNpw{QDJq-|!z$$baQOsMz}}|6zsv*Zv`e{Fr}KA^(kkTp@qcKc(3F zrhi7U_boq3*&Fd|Qr5liPft1VzMo53cgmlga^jTVn6mBze{sr*5B#Q-b*FiL4xhp2 zb$2dVe{`{3B6R;{jM$Gr707t)@T9gOjak`iE$#{TSp*-_-oHbMSSEU;C{S8(Jc8TN zHW-rxm?skfGJ&Lv2bNh_+h7*o{%_i#@HOyC7){2sBSf?FU+WBDpduV zVcj_d5e6NObPdKQaQL7{grnjzoI>acU?#1@#y;H07E#B}7V*>O^U^~7{g4j;p zTLVXzPH%2@^}ClMioZM|gm^}AExZJ-Ot1st`>b7iA{s&1r62lm4zQN=3*STGV#MIR z;?n)$Tr_&EyY#fUbTF)ZyUFXUMv&rh!I|53)S>Qhq-=pUpZ33g>{ z(vRYF4uuW68N0M*N`PV*}b5M$p{8=JX%J#Tcx? zaPGKu#h7(PuXP1_9kJd)c5#F}jg{M`FXybi%8cZ;{BmxwyYK0z-(Tg|WY?GF+)W+}q$_kNDCyxYo0o%9L)wwb9<|!)wO~{j-%P8W=9)W zn3K1htJ=Q3c?D;0YpH9tw{GJeSYBUWRI-BP>O!673O>H+G=TP-I|lLwJD}fyQ{vb)s@o-Q|$y%(_n9R zF<<`Zrq{8mX`o*%<%e%RjBYCSAY6G`s{X&Dycx)u?2R`y5-)n(uG-htP z>J}Xi8RTYo*Xq?g`{IluT)ldbebJ^Esb1}5Uvw%$LcWqcUcK4}J{zh!^|D+sC|BOT zj7a(Ng@i95f#!;r*;;bAJNyupI{oSe&MNCXa-KH_=g0}?1>O;U9_VT~Ka)hBP8 z5eZ=$p}ELym*P1w(`Q*a8mj0aV^+kqlU#{nM>qhKdC>#?9%6L%G8M z6qsJMDPHFlZ}=6z4k&&zp?Hg^;99WTrY`4~OMgk^WGOXIKxxhNxiiO%$IKZ+L8VyR zA4Db)%m~nopV1;?UN9U~294H1X@T;=8C9DxYzuf$FpQhS;7>$>9on~d99VJ4vw|08 z3xCz);s=J9De3b>{RuCfuZuAF%N}BMPTM49B8F|{6+^Rs6N)#Ze>VA>Ce@%F7fWpP zZ~k3uD|Ywf6MLTg?Js`2r{dC><8bSKUY%cCcjTe=riT~5Sa(}{UY(-!Uowvuv#xS> zXEobVE`V=-^6=P`t^57D$CXlI++*+<$UXK^n}$@S=cmj3cnwEhKzw3As*vLiPbbgr zu6Fk0iZl!lTM`L(U(}A^ZUAo;=&?f=^{4Z*&sVB0;!df5(jQs!}CHw?x*n%*;KX+&#QrbFj6W+m$N$_+Pkko6+nG*}AzWf)eurPjdGvX{zJ} z6Q zz6-!00JQ+T1HiLXf&zf~0Nf710{{#G&<4QA0Gy!`DBvalasj9TU;=;;)gcTWypRB+ zy`WtplPrHx_JwWU;o8k}E(LErV9pb==K}rrNp=s)yPRXUidI%9-C5i)&-~Eg&_d;r zy*Bk`^Sr(-bmN|oA3wZk+;g8Vsc!R}iQuhMAyl8S&Fh#%^^QULTSinrQ=Q~YNA;G& zmSXLZy@A5bc5VNb)s>kqR;u3KYbYAKP}VRns5@*am1RD@i)Ty5jh9ARM}sSZ#zLhH zw~mAMnUp{IJSn0(uZAE0H+_dy)p22Ahd8Taan>W&td6>@M?6`D$}cE#;AZ+_C{T3G zQ1+FfY+b0RLZrWEk-k!--xw;Y66rUGimEMT_lJtMTFSm}DYJ!&YC}c!p`wORQDdm+ z!BA0isHinmAw=`P2nPoSidSnx2_tb@r`k9&3%*SVD zQual5ac-p{zdq}9uJSbFKW+D`NNSG>)BpI23XQx2%i=4enbg;IlgO}yIrK!b$d;Na z@qcHDEbzSw4LR1&kw@~(BGjlN)phMz-+-;THr^4o%E$^iLBe5G35CzcHdT5ZnAP~rJh$zed9CAy ze7%rgzwcyv+K109AjY#Azc{@joz!b;NBo38jdN@-TCFIO1(DQ~(>;u_)=4;-w85kv zThJ5gGc)92LKaI|(rvRzuLcg8vr#{7?(zP->{0HIVlrg=QHG~mdD5mPeuZ`Is1@Dw zxnE(4&wZponv@|!^}m&r+R54PsEcUP(n}1w$>GNW?&Whmn)*0s+o*UfJ*{B|#mXYd zRZdzUJ)TkVbB277@+BvsnKXzBOMBKy#BmcQ)o}&VJqt#IdSbfi@n<4l+lar?^Prk= z;%(cM^5vQ@u;VIyS(0F2U2<0Oo5^DCJ1E#&7%fmHNrJZfpV%#1qU7gz#KdegdVG;f zfq4x|IT;s|^g=GXFrClvJeShHl3a(F{(->>sxE!?_1BNV4g`I-4Np{8&Q%WXH+c&D zs9r={=oO9hnXgDk9=tx-<^KPJx=!|&@h&)5MWpV>(DUuim!xDYUt&SYG55ywLFdyuy0V_%N7N2E6H zs{-k2?J?LaKC{em)GIMmpw}JrXH|dc9hn>KGaJ}5*Rk$6_DEdfJCZpK%DpR$pAzzT zrszk+lPtD9W6$n}*;IR15^`RaS66wK$(Uv^!^Zo}JJ=uV3Hgh}h+3uDNkA+U&HOYk z`?4+VDj`l;=&=$1ka$G|_RlLO8i}}|@rl>biG^R8ZlVK23!akAm}dSd!y{6se@IAL z;T6=MnLtT@Yo%wIW{HxdiLU(ANQ}h+NF(G!!rR5QOS_qV@ER#^M*Q>Tgv=`>UuSqi z>dgboiLKZolYEWwyvC*6FD4Bcy^CmuN;OI4fQiyB2LK!Ai+^Y%(4=y3D`3fcGdz|w z>F+T=#NFn##_0~Mt;#(YmJ@%b)tK4|Gaa&gzJQpRJWR96$H{jBwh-xe7@wk(HK`7B zXPmEAl+bxrJMZU^v!%Ok#9zHDhYwBW38AxzF4$Ua}70pNlOcf?HR)cjDTf+A11C_Zqlh9Ha(sq8uK%JNcdS4`JxQ^Si_4Ep!*` z^e%74Y`CKEV1!JopO(&lsZ2cYcMVsD3o4mDx8tVwVs6H~bttOSSEx#Opl1t?(gKZ2 zD4@M?t_mmwt4)$WP^+!lh$=l{M<$6dhMRgbJd3o;{s>F)d3K__OY#=?<=fbHRNHJ+ zoyxUPXO8Nw7Al8P2@~K#<^Q5`Dykr-Puxl64BX_ybl1L_Am@UK%E?nTJ2Q!M-5_@S zV&iKJ=Gl+uC0K2@*&kjTp9}9+Zo%+xpskS?q;x5?k2}T0*cEszM0fA%#pyiVjRMc+ zWseO6Qb_vrq3P#dQJlzmUL~8FftYFI@1&%X^d*b=?~Bth3sxL9L-qLnw`Ku)<5tyH zW)eO~H_oILJS`t&HV9tGZ>_pMSGfhhfg$f44c{HpkKg}&6L#b)iWbv#lrf45h$|?{ zmaxw+SYH+xj?J)eig^Vu4D3QQw^S@mf<1DT!o-kz_Sq&EE_iT1$|qF^TA?lz@@J(# zaww=`N)KZOO{zTLE@cX9ZP{?+0PJO=UHRVg1 z;qWu(=ZXHrJzDoJr=ZoF4XAF-kz5&c%y>EdYs#!Sz?g6D?i$Y@Tt(&dQ#qPc3T|8k zu;-rgA$mL_c8-uGNK++d;d~?pU6sZq<7hNBt`Z67nH2DZ^Nds?mVw^rXm_}9RrKP) zx_K9w>Lk2l-bIXL)!zyEijYD{V2Oo%0_kDts!}cWn-R}yHFg(%nL#G4iztFQO1Rby zY7ursL`h$0u-i+9apwTp4@hj#-=x94hVbm*qWpZ7W>JsypHyEu*9&?(@SD#c0x?&- z-$rlEadyWxg4haa1wIs1jSqcjIu{=qK$KsGFM1B#gmezlRD9^eQ6aI1;^||ijSw1Py00u9zEm7Qvr{DT?3Dvx8rG?57w;x zs2wSvz7nD|wQ)R+^Xc^#wRt?Hd_sW_@9vJ&omuU{79JRiIC&H2U!=Xci^ z7Zv2ytl#OvwPy^`+}zQU)7;eHa5c3!a&V*B=E`vzn~DW~i=(B^xl71LznTj2>6LX` zOM6X2K|Z~8&9ATB{7o5-)_MVK-PzWLo6gN^?yavCpqpvc=zT3J$Jc4RA*|ZjHUwUM z?Y11;+wOGa<>wf4jN6)AoTG4Aec`fY`Ou=)UX*WKmRD=cD_CBXS6^3>?=aREG}IQ= zE_39&8h5tTb`&{UZby^1x8j2Tc89&T=|5ef=d9gmUQZV#9%c@6vnn-7tkOs`F_%O+ z7#s02B37+UU7;vd-~x0$S(_?WMKeiRD7izS0AD)G$4|gnhFOczl^K97LOz+|6pA~5 zldHi)LtcF7WP(IAfg3~mHT#H!qT7%cEh3V_7@slGpO+I>B9xZXQdU|OD-`QQLa8L7 zR3-p-P+&4k@v=wpWfGBOT1m#rDUba3-hTY&S1aUO->r~uOg@tM5`o0;+P`g@6X6v| z^qbzte;bC*`#Asl#3wa1=8YT8TWW;22vu7uSJ%}1w|i+`0}I*dydezzQN0z@u!JPl z;eO1~b$bhL%v0F)GLkHkOI2hJGgp)*nn!M8(wPiq0h7sSnMF(%vxK3(0`FT0!-CK- zZ{S-@j^LYN{-MG@6GZ>_05gGs5fe=dqyGPS%S6ck%ex=ygoHOt)N9cd8+|_&o3hY5 z;X#l!`$))@uW80J%b^`ke4_cHSynW^_J%wLEcQgpcgM4Wrz7~SNBoJFzZK8M%71c0 z{-1Bi|Jx1u_ixC@v+(+HQb@({kaVvWVRN8MmM&E4hed!L?AVzPG=b}Fcp*f04#L05-4`YY>9*Wx>&mfKCwj|KBcsp>vp+xM7;~qwy#vAcxX&xvP(sB(xw7)d{4@S!`3;+NC diff --git a/STM32/MDK-ARM/WOLF-Lite/audio_filters.__i b/STM32/MDK-ARM/WOLF-Lite/audio_filters.__i deleted file mode 100644 index 474cb67..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/audio_filters.__i +++ /dev/null @@ -1,10 +0,0 @@ --xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c --fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar --gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src --I./RTE/_WOLF-Lite --IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include --IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include --IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude --IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include --D__UVISION_VERSION="531" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL --o wolf-lite/audio_filters.o -MD "../Core/Src/audio_filters.c" \ No newline at end of file diff --git a/STM32/MDK-ARM/WOLF-Lite/audio_filters.d b/STM32/MDK-ARM/WOLF-Lite/audio_filters.d deleted file mode 100644 index 97a0772..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/audio_filters.d +++ /dev/null @@ -1,60 +0,0 @@ -wolf-lite/audio_filters.o: ..\Core\Src\audio_filters.c \ - ..\Core\Src\audio_filters.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \ - ..\Core\Inc\stm32f4xx_hal_conf.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \ - ..\Drivers\CMSIS\Include\core_cm4.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \ - ..\Drivers\CMSIS\Include\cmsis_version.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - ..\Drivers\CMSIS\Include\cmsis_armclang.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \ - ..\Drivers\CMSIS\Include\mpu_armv7.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdio.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdbool.h ..\Core\Src\fpga.h \ - ..\Core\Src\fft.h C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \ - ..\Core\Src\functions.h ..\Core\Src\profiler.h \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h ..\Core\Src\wm8731.h \ - ..\Core\Src\audio_processor.h ..\Core\Src\settings.h \ - ..\Core\Src\bands.h ..\Core\Src\screen_layout.h \ - ..\Core\Src\lcd_driver.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdlib.h ..\Core\Src\images.h \ - ..\Core\Src\lcd_driver_ILI9481.h ..\Core\Src\color_themes.h \ - ..\Core\Src\fonts.h ..\Core\Src\auto_notch.h ..\Core\Src\trx_manager.h diff --git a/STM32/MDK-ARM/WOLF-Lite/audio_filters.o b/STM32/MDK-ARM/WOLF-Lite/audio_filters.o deleted file mode 100644 index 4bff6847cc038b89ee8534b86eb6afd6b7221d59..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 59012 zcmeFac|6qJ`#=5~WC%m*e%~s~RMNDWp_Hu}lqi*!`@ZXL8GDp1OSH}yLZyfnl{-T! zsiab&#h^t|xmzXKvxg{Tnb-F^v%9C?f4@IJ9vbId%Q??;UFW*ad7YWgFqb&k5(orL z^h3ZXn52ebdmH$iQFHl!D45y^E{0j#aH~wlVc00(yQtFiS8RCb;WL=MYGSeeVuJA~ zY?3O5bvSQ1ETHxA5EIFSTia{!zy0Rtyt;CII@jxH2E39I3Pl624zN^(cY|0$H-5M zq1}UI4BG~OjVBi?lidy|Buq(*fS3A+l(=!44o72DA{rbtatgHxS`8RWZWqR}T8X8{ zsbJ=53M|co80WDnee~SoW24mArDMo%b4=ncMr#`AMJFzzlSgaqC&Vc@k$EXupY*)a zlsy&dsJ;``;-5uO^iNM=`6dWO^XaKl>{HJoXyf0KSICW?8=au5)}1tY#h9rMyup-a zK#3{S1Cr-1IZpd|cIVDTR~~HXyS3%hp=rguYe~D62zC=R4=$c;AL(dq=Npe2&);01 z{G;MeORN8#W-peLvtO(R{9HT3-{H?OJAKV(b^+**u!eY>3{RCTL4V>83q%CG#d#~v zOkS2abaYU~?R0eWDFdg;Jmoz~`g127bV_~W{CeE#!iK|j1AeKk+dkt5-P^83$2sVq znziiMjOqH1o?lSR?M|`E8pF~o_WV{UQhX5p;5d2i2)R1fTw(b9dVBxl%wV)Muo-^cYDeQvZCgBM)`Ay zL0IV|?Q&<`=LB+bigsnKPD6@LVVF(@SGy)ftzwdPGeHLng=Q0@0^*2!_$>sP5<{Hi ze?i2Qpt}%&0WCX4&7%=RJ;Qe@5qHy}9Ay?KPu6*!qWwHf?KQlnXk%>c*Uq|yR@&t$ z+7&F_!bv*iDQZsuOqfn1freVxMIo|i#9c~JJE31zREQlhgo9vkb*c(<05B*vI_p+o zx(zAXPbTR!LOTdH;Mc|im54h5GZwuQv^?dZ1w`?bhav3XM1{mf&C7`jA_F!eM#2hp zs#4Tm7wD8iYtjSX9T&A5F!)D73&H78JHcq2D1Qwiw6;rPH!xZSSEsN50H~D`bSpRj zK(~y*A?`{C07@aD$n3~yd|&LN5WzLOM!2BaO1BjZ!iNFnLV|A1B%R7y?f*0ty$gER ziVF5b#z&?AUn6VF??@*G(!etOj>@3ZE{xnfNxPvItgTz&1UAuSq3HoCT<|;598=n@ zMBHT>6~IBR2>>6Z6L+COy(eqK1Zb}%JqPcHsl8`uHxhKqozS@IxFjf))#?^c(rHI5 z-Le$j3M&M%Dh#>xJy#dR8k}@%MCC@7HfRZ2XfM#N2_qFxBDM1cD#+T;opsAOYL5z# z3>2O~BhK121gY{Qsj`(+*;%R_CRI+6Di=tVYx!j>-6vscV2y@JdNpCXRZxb}(Gjb- zR;L+|*Xk4lkv{X&7MuJYE73vOIUR-b%QJnd{Km$ZxYZL-TMK7rU|sZBN*Lb-|_Q)E#bZh5@Ov`pTj z!DeD{k;U6^i#QvzIGc%aHs<9v=1|TquzVD5S!OdaQQk7!$|6F30Y~16RLdpxIFc|c z(w7=iCt3Zg2C2PFu@O`EDI?X=)tfOcYS!|2xMexNg|s5G9QldABGRFY9Drd1En8%V znQ?6MS#8 zq146OEzOU1aqyG*Syq9ohldfWHAt zv@y*B%<^==lWk?56K+;IV$^i?Zbx;%08RPSkZNm4(2mrQ%fc<+qTVg;+e|FAF;5D& zI4*CN9kvj9zh7i2Jv8h)S^WoqsU>y7D9Gxyn0i+nsl%TnvYxQ1M__i-m?Co+2)L%q z#wV2y5n(igfq7^KTloofn`pi%?&l-I!&7DR+w zCfS%FKiYty7QE#<2-^-?Wu#tzBG}<8S8+R?)Pt#eXQ6TJa3VsX*_M{&=R!J>qP3J6B{5$^96h~kmbG06ziOj3>5025rB$G zl{KWwWT`Sus!W$EJ4%(M+w|wt$?El7MX*M9oO%~sy%WlGQXs$ChAz@~Ouf5{)Br@l zS*BPEbJ$5e^gmVpZ~!XuvcOO*sS!yl3dRT&7_SrQE0-kD+Q~=T=&0Tf*;ioFGLcCI zokEXsq|h=@QS{+LC?Q2a0_Fuf_d1e%p-m{)kiNhKh61KuOC#0M)mxwpGly@|9==u& zi&V=W9kRT*z&9PD(D=wfi|@5SAznB!^$wbPtrH42WCUS!3+LzJ z1rTnKAMS_q=aoFne2838a^NbuXkbR=tgVkwu2E;{Vg8uiBQ!0G`7=4oW?^<1MCgJX zc{3C#1hcg81!+)5v)Zy)e!+;4X@m>|(Fz&Bkpuwy(h3TDT{El?amyh{f-l#8^B34&C^RcwKT!%uOLC2Gl}c1MyAUA;CACAxY5 z0|`))F%rWqBWx_7Uv7~_S~v>TVtGi6;5AGG6nkju;J9u_Qaz+EXb0SZEJ+flti?zx zn_zLg2qm%Xumvb5qpUcw(#AXvrCf|CNEaJu!0Dh;z1% zbI>~Oo~S_?t2k;rHr5cH!ghNScVtCbP@;BvhWp3Ct2{icg}}2F1s-amg34+9<(PwB zyEsHxvv$*n0cDC8(MqA%;zSJM5MgD3OumCm4CR-1YY+oiL|CMDV?yB$zRE2m{GFvS z$;hqPZp;|^l!#|7jR6lL{dWB{IT6dKH8&gPoq6NEd&9zzBO!M5|EVsJQ>F6HbG-*jw%f! zkb$N!KFj8&TFID3H?S}IK`?GS9i<#(%r`rRA)K}fsS&57Va#zcD@;;_$8z}L!hVq|=OB1DG1Q}}Smp!8a8-5Usfm6|%E{amtC@B4+ z6etbjrDC{(`FKUX2HzUYv8&moQr6Hf&((I8hVlBRQyhJSHJEU$G2RK2qeCmm8VWYC z`ZWp~7=a7~K8#7rHT~t3SWp#MmBxA^YoH(Gl-!e#mFLfq za!Rqve_(uf-%%(HL;p8dN{Tz08}Ngi5`V=09BYo$+)#d@wagP)14$rjEZ!PFtwtJ# zu}P%n-_kIiooP@u9RcG=O2kQNYjhdxl6xs-4gF*Pg;DZf814Uo@o49NV02p?90{X9 z_sf4^_>tua7|ab(q%`#hbfRu+InMywuDN!0y&=UknT^iB?5TNMu`S$K@kP zL(-6D>H?&gf2HTrH)JKIw3U(&BsXbDb_)PfxiqApHUw$BG^Aj4fYdJy>Bk;gZdlnO zX-JI#NdcFJfPXBkm^JBL^62;4an=}0DQLye zz{b|s4mn^jj)e*5IFOC$F5&dr(EnkqS!i@ONkGy~q>LZq z5Er9tu=I(7ja&?N+-CeZE9G$)mpP9emu^MK`+eMZo3Q}D5EqwHtP&7u^rC(oi3v8`uO9W06Mj#@YSl8h}`^JdO`+pN5seo%2y>)}yj#&D<; zDnML`O~#LmNyjVM)mm0bm#z;V8B=Dydmx`?TT^s4?JB~TJq{|CVUs4>96o({5p0HR$CeaDvF06$`pe~kBZ6#DY z33Zu->L8)6lu#Wd)HM?7Itlep33a`M`nQC-NkZKsp*l&ZE)uGngz6!odP=CNwHZ{Y?Tz-CB+U&u}f0ymK48BioL?( z{a32Oh!`vrPSg9V)I_9m5$TnfR3jp-A|WX@WeR5Mm^yZaspHMH@KTrnFR$OgOWoL& zrjFffS4NB{kYB7%Da%Yv-ky21sO`_eK~exS{WWcAMn_&?>yc#F5B1GQcMdPOxV`Dk zj(a<=+`s90>E*@yfdPy+rd00k!*%za?k+mGGi2BMkW;tvly?vL@Y0%|JUF!5-Jv5r zr0u%j?mK7SbDG}#Sg@0mU%#6g@vW-uL0Mbd;gCJ~^;bVsU)i=N@LA`*zXl#C@1J$A zx0ZOfan}BZjp22~=h@2pcboU+U5ei}eh<#}p*VDjsS+)s022d&K_cXX%>ZoZR4 zjlT8j^~0=_4POVWmlsWGQAyj}=T40y|NNkn{?xtlM}1=cgRIQDiaxpI#y!m{yZb+- zQn{_4A|KXN?fKj%x3QO8rBZvY&xhJinb30|8yLhR zn~@8B?)g$h(DwfPD@n1bMy~`tXSu7_JrKaT`p`#+vCHdW)I&aS>qSR@ep2D+p4zdL z-M|Rpk1u#p?yA)!Fi+vazUg08_%=&V`}@hcb1xrsgcNab2KB!XR`>H=^U+h0$FPb!e z9%wteWqg7~YwnKgsk+Rwi(0;1^<`IWKKJAE$FHkWb*|o}yj0=Oi1b_2J1b{gpH=ZQ zs4{aiGq$DThiTsVe|Fb5l^@Hv*HNjLF}L&`?_qe-mHMu{+gF;KChV}qis#7DFO9*L zP1>RCn2^JMG}UTFyt3vgC6j{&cAJdf>YpX=P+pzj4~!)%UIRnRBO#yH9lgU=f zYZBbpi>KOe0FC1m6J?6sx|_$Ra)7Zm!4nuOHlzZ>)WjYb-N&b<0ApQ(H!xOjxCsn9 zlY_u8J~1^F7=J(?i>Lmvp%57BO`ZWG;KbDP!1yyE2pAhSKP29zPe=lW^M(LmM4KqjfId!6O$Ww?gfw8dZ-@s*qRByE z1e~0j0gS&Bt^&hr!%bkMn<&qOK2A=(3XF{j*}(AG@ERC7CeLQtId;F-&jQA#ghywC^vaF+s-lIgMJP$wj?wFW7mpQU^JUN zGqiKO`9c3aFc=Bnfw6Bz9WeS$o>8HX5BgkSI3*~AD@+YrFB!xxy@P5wH4zh!=G4Vlka8Q2Z#xjPa<`3S^ zWUI!xXSbXTFL&=debnH?`YTT7c}ym3b;(NXej*!Q<(1fE-U|+nS8Mm;!zv4|<9$Tn zqb&|_$)>Oys!LwfhU2wYhO6_lB71+K{?E=~oDq8q)HxNrU#MTch2!sfI;!(&mvVoh ze#Ec?XIy?gJgm~=(<7^{xBSfz{vtT3I$z^t)*e~#$9A;v7`h)G4697dNbLpiwD&XG z&GBkI7zP?#7>uKaKcJbR+hOecp#JJv15AM?V~p?Y-&DWgc_( zKkxgf5$0x9vfz7|hw#4hQ?}vO2Q$)fd|MUD8=8odE;mqk1 z@ILC>h5f%UcTVykKCSmUnETT?-Y?8uw;yL(DEIbJ<2+veLfz<9H{Qp~*pIUZAO4h8 zzkAM{$E-X1x{sRP^z|3sGPl2j&wn*H6lc$h_mx%ei+b>pdBy8W5A}HZp$c#|cm0*D zzSduu`#^6eu9tTcV#~?$7v9n{KgYwL4OfG?Tm65be!{mLuYSM=Z@KFJ!dr~a&H?;c z<6#KR?%H2?>luZCC;z9 zb?1_nbZAaFSJxstyD1;^hgC8XA;_~rU!0e1sc!GtAJq1GrKoYjaYSY*(4g z)?w<Ij=wjol2v^)Ll(g3 zr?+vH>7-Y^)aJa?Y*_$v4-WFE{dbxx@;RscUhb3uaM{F;mtR)VG$Q2=={@NYb(PwJ z<5aYUFg|wR_zizvb$%Q^Ymq1pLhxZ6mqSZXEro|O21_7_Q<5vbiPRTW3f1*yzJdkP zZJ;`zb1U?f$m>fp;KO5l^%pLKcr~dLGWO189rYr0qy3`Zubjg1$J5)Y^U0aFC?Z3C zcnW;J`a6?;Jb5@0)U&re@f4}kT7~M#i~6AV6dY0rTJ^yUuGg%`M}8X;TAcB@6USB1 zJnTE#k2B|PgOq#x;d5~u-0tMz0#)1s$D~*Y zM&&Kh|qRnS0Izk^1#|9)7Li zb2a45+=U`%-#wf!RIjaT#q|!=L&ol@h`lLNH-A_ym}<$_B6)aAV=;K^ut$t&(oQ=B z@0;_%pD^U%)#lv*o}W4RyP@Vbb+NaGO+@DYKFGtjHg+;`xzp!{MBdVCdnvS6RD3he zY#sz}HShI&D)QDb;~}BBzkVFVqxvqwX3F#Yfy56BEp?Xv26`bj%46#-0SuPIE z3lG|b>T{xD@A`=hvDNlo5}GeMh6LUs_BrFsp$Cxak2@U_hvq+hdw2ri3upA;OV4?L zv(+V`sTXraG*|AxR&M|45uu3{E)a+2hsb)NxqtY>ZfNEinB7iPNoX42gFsQb6`JQ8guTBAhQ#1oHzG81oF$=| z+twj8ccvHYhT7_wxZM6IacEZORq+Joo}Z9`Gfg+alg9mwE#lDp#u3Fo!4|!?2AD1hwzbXn%z0jjM z0`1 zRaFfRt8|``gy!6bokDLVwhiJBuAK&RA8r$e=3hOz!$NOmW#ZKvZ-Te3mwXV1<}|yG zVSXA<-W@xD-=47?dT*12X2|OlVec)yEs(}vj|k0}$&%0cp#5?{qsu*&3Z{_9?APGRBvhn z@R>bK>CjwMED24EJ-q^RS5HVD#xLJ#hZCQ8-a^qHXKVEWQEb(}z>^s#AvDbylF;nk z)h+av7i8pNvm8jIcO{|u^z2n(BE3P*!IQrp>K|75QPnA4HSlF-ykZxyav zThChJ%%(v|q}h8Up?R&TL};&^oNk<0y$}*9reJGLcsFs9g!^V1{x7r}KT5kG*`ki@;L>tKP1u_;o=P~qq3?`;H{>+!93>v7ZtF>GXE$J%_Etgg?pT#p*sB1lWX9u z@tJL+&`j34%j5BP;`8zE!_2!2@?p)MKS8{qJ@&9z*!!+oJvcER?Zzdm=2xjzbKB<* zVQBhQ4C1-FTdMQRdWOZJ8B+Z~=&dguux?dk!j4pbyCgINj|i8&>eh<`cvxQ&Jbtvg zidW6~FYgKy>FHY#Tj$z-46EE)(I*Z~C(?CcWbbD851ii0q_=& zT{z>~`;K9i;nR}P%v>l+4>!W1`{Sc{)jY`AEL6X+ryhSg z;0d9rbcQDm&8l-PLiLKSVdnhYB1oj2p5kMY$8$_O1i(W#e!}OoL-ycu=PrpuGZ_|O zfqLJaejYQp5_aQ~XHEYS$%gjs-7BKl3dqEh%h4Xk_p2l{L-z~4m8^GW08hD@5B3_A ztePLoR-q*SZyyY<1RxNy3QGMhnYcpo8bAOzPMZTv?o#L9esoM zwe8!7TdO@csghBjpSNh3d2x9OoD5v*d@8H{MdbtDbcehbw|?+pis)oO(tA^W>M(OV zBM?Ud^imZ@KBP zgJsoYU-#hu8dp{2YYgUI6`c(5&kp(O|I!>FjelqxR=KN^U@EIVJ?aO3`Ri3UUzD7@ z|NS(zMaJBBn~a8-wMSr&!LDty=84vNNML29y_Fli(ETewvY1<&PZXs+^a!is2 zWWD9{Pa)pdaeoh9*vT`Hb#_yD@DOvG)^*rn1(w{ARqv`O!9TRR@5T!U?_GW=(|gFp zA4AOTKa*jqiBH~Z9x{_;z4g;8k5{eR28q{lmPU$xX%FLDAo7%YEhR6o#H^s_Z+A?LmHUGgmeCb&uST*~fUT&4KSKq0OpUi=) zAK>w0_)My-`qcgB@IL%IWWwT$-({Ws*Cqb}X6oq-aQ4EIURiG~O1g=ExX0Xuv!ACv zsFdk_{@I*Crg#5gaCY+xwygRLMj`(Db)Qh_(BvE`ye4BWz0XJM@dLbP@UB*>$un7R z)n1*>W3=;8J2icof?RO8nb^BZsQ&ydVx8Oe) zEUe1+|6sIA*4bao%6W{E{2Dm(Q|@z?Raa{4z)$S#_#_pY)-yBu+GOn2RGrnyH0bE; zqb7DP&y)4m&NF?ys3-cc?7b>%mv#31?jN1Z-Bq{X7^sDpEUTVzdK_K&ZU^_I)QOnhlC#}6-@nAcq{1Ac4o_Ce-UukK!{&~)kv)e`M8 zB&qC3U(#Up-yh&%asF_8mW;g^!-sS6g1zR**#qUW-g+Eze=s?^1Ttaz=d-_ZHlB|k zySK6`AG?dsl2t!=bv-ZH?^ZtSam+r1%Jg2nKd%KJPQCvL^6dnQ!z=AZnwAD63sI8U^tl&o8Qx3a%7eP6(`S7WOF3un)G)yNCE{s1!J zjC*@zo&8rrdMC4|ITpNS{_v=5??$I%@u;@TesCNg>m{3qpEl73nBT9p_EK}Q|2ZS; z?DqYc`20SKuXJcSAHl`X=HgY8q5l2`esEe|b$-oR13g)1Uog}jWV9ZFr@j59eb;5o zt+$~s8-IL1sVYB~!oy-I(_Z|vC)s6C zEpPHJ6?ww*Lw?30S!ZvJoz~90ax@j*uXb8~OV(TZ6`y!f@w4C!=NYlLWY_FVH?MXw ze|9$YQqy)ecglLpdw&An_u9t?w_ac9A-hHTD|yxcvz78vIyAfRrY*AGYRP~%g*SbI zhsArL3O2MndwiI9I1@rMvv;?wvxz6E&CL0m;c2fjc=Iouy=(9 zk2<$!yL4#&_dQ(xhL-;xZf@XZ*pa3N?v{0SF7I+*wOe}*W9xF* zkyd|ElU0W;(m?Vr-@q00j^4n%U~2g(pVQ_sTGrYB>URt#`yI|4R@wct(ObsZ$$y78 z;J15oE2LIUx&BLcI%Gn#kKOo@N!5bK4-R!vldQS*)h`V{#TUVj^l)Lfth0arlu^n2 zayAm)*TmI+;q1xRc*Dfhd*H3s9y3{I6QBFFG5dY;dZ}-l)DO${e&K5)@6r|A3umhq z>C0}BrX8Nq&-C7&Fd{TtJIiIw{o;;gPx2M?u*lJEx+h~V#>X@B@yj8(@P2$@Mv|=h z&)u_ntErBz3y=2w= z>kacBbJAIO04Tq|s!!J3`?Pt3Tb~>I;)T;+hsw^CQ<)7fm_KG;>7_neIQ$D|-_3k7 zJgxU81243@vO{*!JyqY=!kn_|!w+f>p7}{OH1GPn;Wc%bZ;}qpOL;dNWbCE)>1;6X zr<*T&^W>V@ewhb=A0a=R7@>{u0MPRBOs$MMV|4x<-nVHMpYmz3+bd+9{ljQiXZ5DR z)M1rCrKYdE<$7Wn0y>+CO?kQiDry!`$Ik!dE=9;vva4f>R?zzI>V>D4nGwi1BunE^9RlF zlwW=(h~GQ{=H&Tamo+zM(YdatC1&vWvHZ^60U2jM&HOQuNf{mhZ+)d) zlvS@kdi48;_nnXlhYknH&XuN)j{VFU-TWWa=}xj4fMFMN5jOY{b=WL94~=RY)PeKf&kSjGP+uT=&> za%Dotz?VszU*%&R6jRyR_R(LrD*3^o)FG996RK{=sxQiDyXThnP1fxgUhLWm^G{t`ge7w%upE1l8pydw)>v>MlJQY z7bOees>Q?Zrc2I8c%rjC4ezT<7gd)vf>Y=k3g6T*D~(6Yl&SFXnle4SlYy#>;Df_A zbaj7o{YM_8_yh$j4gA0x_!L*q=Yf1C0abzZWOr%2+CKPCg&3cpp34k5-BHq@i0`*+ ze;om;HoFAJU!Uuf233ByC3^&@QNiPIe9EUvX;ABVk?%%=3VPRvtG92L1~uL*Y4b=> z+zp@c{BtLUr7ZQ-F!1yF?ew9?R+Ab;W>xINJ>%HN;3+g~2t zaz~CDUpj`=9!G+kv3zOj^<8im8flKkBb_R^&vKFuT+8P{I|!FQp(~oQ<>BTkOLS>m z*SMWd#66zG`JQlX9&tV^3NB^wcY@m`i97p8L9-#OD27th4lQ*1=B~uBS#Xz9M-Ax* zx^_<91#atvYcEUrua(Z)&nLkxfI82e72n698`=c-w80g3rpJYMGEt$^A-2U^d5f$f z)u&!j{_CRx{KJDhiJ?wWL0VBkoT%|H*Q$5$?~8(~*XrTYDgNzKbI25($^y8jQ}^{G z?aDCS${M&=Qm2)m>!Gz8F0w-RU6nfO3kTv91vkosCa!k)9;c2hpu0^M?sROPgf8sd z4>v3V;7K}N&Wh^}gqxNYS!CHP0D}w_S~l2NL_@VG=J9A4X;cW{fjcSTzRbk1O@dpm z;7+U_+LVIVR&Y(@@8z{RRRF+lyhT>{g6!~#5k;mkaN$;wWln(^x=m2tveYhn2i*7R zMBD)eTpu<5(-XLNQvGX<;08YRFJyIe$(aVJp2okKuSO9rxPmLb`e`<`75tk-y9j*b z&bDtq7MX&xvWlp1F(KT07j0t>qb{>qi0vX{*nPOXH$c~pY!j_IUOB+njyxqS=Gd`+ z7jrZyZk9&I)<@$eoo`leAjiZ14BzNZ+*ofqPQmO|(Jb`_)1y6>x8lZ)nW!3Vvp#ai zxYxaokyiHc`u6ee8; zyJU=l)r3N~zc0EUNt+w5U^xc>KE{)z{sP?CR+pU~j*MVQs(q539utJ4aio zn!|r45zjI)qkn8ES)l;hK;_T}tRrU1?a`hBS z`nx-C7Z#z3wVv+Y9#U=a>x*p|w)PI|maJi{SuW7rDkon%7(XR5d&d-8=CYlEDF+@UI%s|;v2 z$en?D4`uiVxbNJ>e?@JBQ(z)7)~PhdZTR+=rSlCbB(Jbv{7Z2uy0o~%s=t0IE=3oKqmlgr%Sf`6uvCv?;2TJXiM3$A&N_Tg+HSS)%UO`r9(GbS2jkmkA zFT)yEfnW0_)Rid9%1lR@i6|sUdMqe<3my77-5_(BTFPG6v270-IUaq2-Yv?5tyn*{_85N14j0x!i+lnR$jn6kP^q4dcN3^RqkCiA<*ZW%4{P9$)r`C~Jz;QyQ0 zy`>RutsaeG@S6nx;D_t&FlM4B*7a-uTO+nl04W;#wrs0>rf^ zP+KFeDQaVTUBoq8s9B3^kx(-h*PcLa@@-KMiaOX{O>xZ@YI5RQB-CCjW@*CpJEc#? z-GGlFBwJn+)xw~bL%6B{59Mf}4KPr5+DtT1G^pKJ*FmjvQ72Dy0gmD=k!k^`Muc4x zseT7lSBdI=tV>x+RS(a6DJAF8iOAqeV`d3FBFKS59b{ ze?c`yqM8G$brRLkd8cIb>;gAd&x#PR6+OQFJAY_KFZ^6^1F%NX{%aA;w0F zJABa}isWrtx{7CNPbpE;_zR=Vv*jszzN z)IiLQ6Got8V@+5ma+j(TI{CefHQ}O@1wD|!Fo(C;`*{;@V5MLneoY;YwDz))AkC5B zufuPo%Zf_?!IMk%nAlr%vWAt?9zES#{&caL(}4nr_qd6ONLwwRh!oK=BgS2msu z&MtIlAcEacM;?fd4&IQYCHxsApbOQIWORXdPN72=Q44e86P%6__Vt5lfGuj4#Y7b( z98=(ZztCZbD93_^VQk}pUe1RFovavNsyM53aWoy91*-BXdc%atlZ`h!IgD4uyyJ?G z7hv}l4?=~tonOi3S1>{4s(`bN&q)(-1eGKK$BWN7F5n0%F#?V!pA#YAF!+`BQT%?k z@GA}c3U@QVQqHf?1(h-ZXA_@OEZ_(#_xTmpMm{H-U%>>Gs{+p7d`_BxBd8<^I2-t! z;{uML5+mTO=W`+i96@DBfe&;QpYxqx!333d0cRzj(;(moDwP7x3O=Vy;b5r~ajMJY zX>b8q>g1V)lV{gWrpiq*nmlEW^%N7ADf8J=%u=UV6i!)CH)WBWf%9YoS8D@z7lW;A z1Fuwr?S%$Dbq0QN({@ar7HB;!*kxJ>d)ltlY4X2u$3u7uW)*8M-~Dq(!9grms?q<< z?l=TD_FcJu0)`DKBPN<0P8SNi)8rh-qAG2L6$_^I9EDV4&8cX9r^s1D=nB_cm`Ldb z-bvOgxG?MBXRHbRmg23j(Iy0l3={x!lrFf;cr$LX9kgV_nlWWHD8u}PPixXMPzmPr zT8+sBjH_CZ0XYKA{JktPOvx*JCgM&(+^{PGE_XjG1u#mu`iN_FRjdo!lbfIpKY;u= zA9=PAc^3gtzZD~QI?diQWK7`lH#9KmUrf&-Qpft&>Om?&2Ai8+qx-;ty95O?gcu5o z897lPQGmtZP294SGJLdJKwA`G0L>-nV1nFpUl!k40#vBCbnTqs$pB9;a6iw^aL|9 z3ymunhSy~XFZ}dhV8J5zBkW&D-wC7X_YoaoN9n1X?-GIcX;knpHyyG0-Z$WT@PW|3 z_gR`4)+_d465oUULJ#)HL2nHzd`<4$QDGojs?lB|n8l?krqB|V&%|KLEdy9KHB?cj zHNealFkw>7;CEUq?i7;wWR%cBrzlJF2Ul~B>TGBASw=-Qntf$ZF$_~TM3tX4sFI>i zHL{_VYG8B5$YmMB{99B?>#`}~K2i;DG^QC9bs1CT$IemU`OlH#5GMTRH#$rU{Q^Bq zi*;ZD{Bq?jrGLxiEz83f2!F+_@C_28sLYb1u-KcV=prq?de(u7IRr{h7`*DRpbQ1k zZl=an<}b`Tg#tsDlIR3*=TEI8|k*}iQ7s=_ceTB`zbR6&rh6ccY0Dd0kd-} zc2Z(#jyKj);4Y3-jU<>Jn-m^~IRcr&8V6+6nx#ON#}YuAunx#}EE#pUjby5P-ui8?*!yx zmKKob(rtmP#zAe%zXx(NHV?>_97I<4L~W~L^MO)`Wr2*9DhpMfU^z%Z6*Gm(bBqg> z0#z2Oyu^y3LQuoZpz<0kM>=XORC$k;Assc$94Zx94bo9#p-L6jjC4qt1yt&=E~G1Z`8op61ghkxF-aiq(PNN9k4KOP!VmE3(Nl`=JVg+A_ zHAzQs_WwUhog=9czCXi}1PARsbaj<@e;PXA-v!^!l?W}A#e|zB*`RNWfv=r_SM;4R zMRJPh9Rv9GAUN-bF9vH)(Jpo_*g>i?87y{Ocxt1_gKEg}0dtE{d!% zrwMPO%p5B(A{iqRf0_M8!{B?vAn7bEj$5s$FbZH`_WwCPxsn#X%1yCm?2z;6A-ME) zv5P$Bsff)3Ner8!`0QA`lOxf8icORid5+=%p3)q73AM+1sS!{gkE9k^M@<6i5`2X0 zdF!QPPzyq;LVUavjp#SW)iENDHV31*(6Q;tih(urKtxFyIx%;3jBx~xh6W@|l&Ci< z&^-_)^y5s%uz9e#7^`qkLj`g-TBsE$5o=W8$_}{AqHxCgAFS@_9L*W2#xv54RViF7 z4{n2v^HX3=a8>a6`*q!XTkO=0U2p|x|0Z_-d|P_*+)$`oFpGprS6!ya@-Q}pVqwZaxO!G9@rRWNY~ z@0)MS>Grw|y7l83ODKk11s5_LisiJF6F|3nvwlE958;3Cmf zdAc$uCeoZ^2e*XsMbKTNe35ZNk;$WkBIzQLZ6XnL9Vx#RMWKiiTt~`pg)I^xi&}vj zOZgq48%y~jXG9_fqE?iJBE=#Rbg3yH%PdhVDoCW*_-z4viv|&9GsWnw)gSH{2flgH zMrU2HqKM=rBHb2~d_<%lV$u#F$<0wQ5Q-dI8y#UWOH$-Yie-{wjilIRo2}jI7;ciz zj}1B&2!xFamdYGb98!c--n91n0tnQ&e;?$?$Lv z{x}%1_ny+#ye;jB%CI%^P*WLuJm9# zUIPK^)5>x+nqwm})C`o?K6lW_n4d^?m@&sHz0QeEyH*NK`Mbh7VXl!CebnbfGN;hj zLBla@K6G7%y6!?<523EPNURo#eM4fQNX!z%9CbmA77JoYPeH5; zLt_3&>^>5!L1N#K*iR(37l|!It?7YS780vOVqHk=ClVV*V*8QUBGeiZ>q25fNQ~|V zVv~$PY|Lp8i$-FLQEQVCwqpoeF^D-LvFS+cHzalli7i2`Au)d>b{~m3Au%H)HXez^ zATe9i+7yHhiB%#oetdGbTCj_ zaoRy+!kp7&%*e>f^Q9Y`_U@TNiWLYXfPn1^1Em*d95k@giR8K7##V{#ZtSvb5ZD0% zJs_aC(m?6WKj2@>(`1KTH(9e#PGU}fjyXOiIX%wkic(qh zsz2@5!%14|94tBQHTAM4mw%E*UWsvf=yKz&;hSDO|ED==3is+{(UfDQ9ZO{_hN|woj&7kAJ6{TwI18*9^xzFZSqHh-w&xv34AEw4Glx&0;Q`7{x4) zFfJUwkZ}GdhB<|gS$=cw^6YiX?_@g{k3SW5P)*(}N7cbQw3l$oN$!-3+$p-;sTzY* zb&97N3{EwA6mekxJ-=R)aD>ZRwMKc79JU?~y1x3?tUsy@NyeU|4 zw>mF6c0~l1H5P_n-aM{9?aq*mK`B@-TdbdDf#~7WI=cC;1yIJHD^%g0WxN z#6GAdSJm($(p7JhqQR5t22Ygr=c#^gKnOXPcF2Kc793gY;H?#;e9FazZwJk`(ej#w zHPg18P$>0`T{rVx;=j6=|InnJU%2MlI#=6$ZZ^w@VoJD+4gWc6_&CBaWu@VTqb2Dt zORgR*$y#Z6`)EndO2Y>vvx1d|k4a|FNMSoW>&0eUR{i|;FF1Dn6mEmV~vs!htFY0D3s|>%Yn|)hl z_#?KYf0f~IYzZr_BqFXPI<6$f(ePMY$#F-+(~gG!I2xwJm88X$q?bk3CU1(|`#%%b zsCl<05wTt12s90`skEAezeit&jh{B%?%Cn{T;kqGPYtXw+tJ{Bj{dy8YnFFU=1X3< zQJe60{3!xVSUJiuwdA*&do|F6rC;{$Pdiz29(fE~<^hf!r>BTK=4d`~VeZs^n6Pl3 zp;^)OY&JT!fcbU&b>u2v<%RHhKj(dclw11KTZ{M1y=-ey*DAw=g}0Z-44_sDeyZt#f;__7kCHmhWnRAAG)q2Yc4eVb~xdJK&%0cB8sBGKL#`@nY5c;nv z%VAr_Dv%!-C|&7-!t{rbllQLBNE;!nS#{v9fXng69ooIhw~<1$rq+IzpiZ z3Tw}-&^R;iEP1Z~JS)#eZ+2PPM}?H!TgukqTgOHiyfRQaa&CpjgoPl$0RbKe^n*YP z2-s{J8`1a{1Tvt7KR_T81h)9F%eWN^DF<)E60;oy-h;q35cnGevO!=c2=s%1@?B-? zDZXPPE>?m-E(ipIKr;xu1%aGT3MpP7Py_-VpF!Y02tb2g%Ig1J z=bSxrn5p0Y^ZU(5S!aFEI_s>x_C9Ovz0aQ65V$?i#p5Cbc0nKp0*fKg4uK{TSczMF zj$3>Hfjtn&g1}h_)PAC=8}(<`g#D`_@HI4i41tpnSO$U5AaD)>&p|-_gtx}>4eIM( zsHV#=1+t@YMpn42jCJ172%&#41uHyWWiv$Cu#w;#QGEwc=d);h5o zJ=^5xnUYo}^nLh`O~$%}4gP|`*+clXUF2%~1?E%|nay-@nxE8K48q*Dm--8quyRig zG5+})D5XJLiF5y$TvNSgUJ`3HCR*Zu!Vs>$dSMbX2w9W-talD9rjb~ApG_Ax83l%` zk87GY8IL8DL#e?jQ1h+xML!>AO2Wvmhy}W6U-3-%92dl27tTvsRAhh9_+EvED6-$2 z9w4Ap5-RFe2UK6I5O8^*bomb}wIQ&DS^N*`BPK>b{gIG8xJvC?@|){_4!ZNJgXODh zM9UV|BpGIU9M>c?Z8A2c{Vm|2zI9rnXF?Fl*pTs7(jtv1(BE5YDM~Dg&x!!H&95Fh zkL$+kafA8;+Q;d7{afP`Dqa2#AjS;GRx3i>VcO2vD5T%&unYDY#ZE;l{dAbfy(_+)Gw znQOY9c@tXDzz1lwxH2rU3{CsY65ql$m@KR~5aPE<)$QiA$c}THj9cfN?sYt zhfjAy`<*`N>?Y&QgwLqSPBa64C-&X+UczaureAM0{Pmzm3u*7=xzwbyY%=QSb%TP4 zLPKNe3&b1ec@QsNdE2)ESE_wqED1et)oBXycd4=7jW^aY6@8!Rh^7aiLEqc@0E}s* z!m9f`P&@$Gf)7~y#nOK$o}V$reOGkAO>A#X$OnEtZ_PLbEvG%2+)A79=v+WeZZa;P zNa^IeSsu}hos{P&SQ3xd$yDt4ZR0yt!OXMf_WXA&=7IpImCLR@J$6B4FF z+mLkXrl*{3j^KB*R;=H#0ftlWaq&3H)Yu&Qnx(#a6<)KWP}DXcvA*o%>e* zy+SYy8}OW1+e@LPDA6-9D8kvN*NQOh<;3XhW3g>7`Z<9c+UN0*WO`!!HeaI9^hv;Zz4XMK z_7J+2is34!A!&tX?sBiu*FE=W{H}Z6A;HB2UW_tjpn!oHNf9Vu{pDV-LN{+zg~jKZ zKZcpC2$IDgwoYB_1FpWf9x~%%HIFwY(fwE9{>*8cloPTv$O%qEpKF2@MQ0}7DN5}0 z$|_1U6L^@whzo`f6L7~(em<0B`dz;?3_4#AF^l(2LgMIjUR~@pfSM2@#|mp?Y1g}N zZ$b4=RFRg62Kecic$Ipx=deK7kxkrhNrb>5b`gYdR^4B;#SY=mwLmH=tgG< z_^`8hSgw0s*Za{$8aGkH)gHv;i4VhEC?4Xva6Fo{lVMBgsgOO$9V$xq3Nrr4TjJd=5%(f2{3nML=ZL_^o>qrOj`&`(Y@<54|b6U`kY z8tlC#rhv4{*nLO=*%!(v3v~m-UW_j*^)Juae#5XCl93}lJvHiS5d*gL$yj*S%u1s7 z^*0~c@KW%>th45Lh32>ApedUby!o(JWb-@5(@>1bZ60fHI6Jj)bY+rp`g zR&UfQvJ6}q)-*!OGQ}EQW4)^P^nG>9YrWh@#kgB5zBi*R(Qw(Xq~$9ym1tfoPD3j3 z*h}$BY`gc{A{xAgz+}DOrpA!D~C-S)!gkkB`4PFLqtHSC&4Bzs0M0FBbE$* z^Xa>asM=7`|MQ43h^qIt%TYDG52C6NDn-@S<#JRdol;bdn7Cwyu0f8fs}Ka&Na1rj z1(BfcJbaQG?f8*89zIu5`0QXqdH9sCr0|I=<%gjx>~>0Oo7lz_owQSESD#jACsQZQ zbK>j7dFCg}oit>CFp3~s6Z5l@S;PgVosTH-X>~ev#|(kU18Xc3VPQApY7OfXqd5^G za!-Pj9tOG3Ry}cv#p_);yIaBpdOk+SwCkB}I%^)Zqcl#+`&LWCPZ%fO|G-uO=820k zyxtQlAm9^g1(+u;@dRqCfIF9mmX7}qbR%Fr0q0e~^kZqw{>Sn`?nYqhm^_vbck z(9JQ_LiSwhgJ{|?BV3NA#S~3T!@syJMbl5L(bPE98cm@+s;bEk{Q@zpeWGujp_%)A z#xWG%Y3Sx=7-?vlfR^CTa_AWuF>C)A)rMZ%tWVrKc;f1GX9LAX&Z*#>H%i z8mKF|xxuo-B0gl1bjt<)k2d*=SF~k8#xF9ox<;dZBx}$P+-q*C0U}AdO?VDFx?fG* zd>^*186ZIL+~+D3By2`OF36| zopEoVc`zo`k=R=|Pg!g6{#vei_*EFa{R;e=*ri|hW!@CruDfHu?v(f5SI0l33biDy zvG(guP3yO3{6oca_DzfPKz+O|nU{_EdunRSKakAd;mN#$l6l8ODVgsn^_P2efaM-t z!q|hEk&PFm9^Fm3M>o#aqq~$S_2^1y%!3XMu0)5%`)|BM)0fhEybpgO*U%IBYiBrr zBG#j zt9NKa%P$It+%Fz9bU7TtN_Xx<8Ho!*|d!VLDC`Ie( z5aJP8v-9qVIkWA`SmMTip=T!MhiWTI%<7ecmQ#`L4~dIW2UNXKKNI{;l=c;@8dB&Q zjq_SD(EM0Dl>~l-fOR9!0woO*wBLpY#91|jVHF{ z@q1T(rkb{Q#;xF<7uqcX2vE=a&=k*p zX@u8~+}AE{q%7X(n}IsD>JH2Zj+KUsHr=K!x;<%w^mx|)gjEY-qBw9-rJX68Q;#kt zdI+NKxAVK`F3K3>pxdm}eOgZg?RfUJpdF;aOVfsJ0rfEaxNfD{jXL=zp`)PM?chGQ zo(I}61dz&Lz5ArE%O1PP?~V$?QbV}6KI4_7bqIy;8mYplYtx7-H&T^%8Yh2v27{I~ z75o~JlNEwwvEDH4cuZdi4s|UcK{>KazIkO0%G(fPCVMn1p_m4Z>#Pra%k=Q;P9CU# z#Pz2&#lAuFhEG6QexrvA6Y{|Bw|QE8CPAXU?Pz*G!6!xPiEZ+sOj94CeY_2~lShD) z?={b>f@yFhP?z!KmhNoQFe<=%r2*fgWt@KU(sZTnw&{}{beomBiz>*T?pO}iQX83MAUcKN*?9mogHWcHDo!zjve z-3sQ6MnriGCv!}cb)SmJ+>c;<3SN5J+9|4Dp^b~vLRtCUjzuO>8%OsQK7P

    n~&>RSFv9ou%7wkdz8Y8m%R`;uBA55IT=aMbA z8#3=$dM=EyW%246Z{I!RKiQ9Ia`|X{J&nd=oTSlspH_OZX)LxLWtYZcdp>-|H?bXM z!&vMRoTga~kvkZf;>up0`_m~p-JIju>lcbv@1CKt*@QKt$gBq8W{>P>@J>(05+7FD z2WoEnHb6~Cz&3catv*UqcmPPJ3 z8-_-vJ|DX~f+gv?X*5k1pVlFhT5~odTk|yE_~^68XYEIqS)SApj#^s6Boz_Wo*MVx zT%54~fo|j6TZX|kOm{!=;X*KFV9;e&yBZrhx0q6nWZ7;x>&R+P_c-*&8LLTf<%Y}} z8tDo&f^F#Kwigp@<*HZA=`ehU(ES_giDZ50dC-Iofn;TS+N5*0HluIO7*JC~_j@l# zhA!BsOCb|}Qa>DIv;VEN&z`8-Pu&#JB)RkQR)9B9S2g=3(d9S)@_nzID^VD;=ExH! z_fhsHt{EDY3e@JS8;d8kCcFS&%`O`Y6N?rH!k7k&Xv}IiT^bqltD5|=H@G~*Z2-#- z4aCiI4w=Dle;QxEWgPY;uPCPe#S=x5r?NZ&`oVeCO~R3K26z zp0|ovB$3X;(V+^jeL19WhiVJ=8u#UwX?<^~whYv<5Pg5x>elcP86Ku0ms&PbDR^6` z{PQ;FQ>|8CqSSt`791E8(V1o5S9-dmn+x%GB2KBb-L*pkzU~${me+3kk+l~0c!leJ z*x2`*X57@O`n}!G?{q4fS@s)u>cSr?T59{yCmTc+8$7CdxM{P_nv0G)lLJNsev{}| z;$MF2L|(vzy+k77nRABiAgq1~k&f>U4O0Vlr3_1{mF`5%A**4gkbk`3UR2P(Hi!sgf zZ@U&$UA9G&&Y8=)$x8jM>fWe$*ic4AL`U+KnlkJve1)n6&tHIzvVCK9u9_-2r&btDIA=gbUzSMP! zaM}#RkL%W%DSQjlo(NleQ#kO_hPJK}B8uqN}7 zDlLsmvG9vblBPCmuI{PO4ne6#_D^{54-8r-Xb&iiS#&$G;DCdPi!QkWwfQRR(Usr! zJPco5^y-9(rPI`dVT_aib1>%Ev@8=DV}LQarn^4=H7LxQB;iNgY>d-(MU@;r(Y>z8 zT2X$DRbeC95W)eAN73n65R8HM%gh||MmPdas z3V4Hi&4xbA0-DXTUhumKTT4F7B*XROQXw;sO2NH$sz>~uq%iJFDz#xk|1V09Ijem= zhH2Lt?t(9WzO-+|x(L&yg0<}HCOMUr75;Mi@iv9)0_XhN5pY7$%+6)T*C!2AG_yBv zcdt*MsAx8Y_Yx%)g@#S(;^g4tUlRdur(z*KhQsj*aE28m8AWa#i63 zNpfp&6G79XGo%0H&?tl89m40gKZp`u~f z>}#9nO0jN=H9a&8OK$>di@k;JnM?ccv;`2SkKKGfK6lWTr%AP0jHM!dn=A2Jakc8a;riWAuo-!8-%N;Dv%A!87b(f1jm8Yi+k&F*GEre5VvX zr&&|ciiy+bm6IViH>gguB7~F-E~uH}TASZl{s_GvsU1E33u^>*`ozok+MR=9Hu|E5 z`K%sK*@R&QDLC#K455+0$t3BO3Q#AY&CC#^Z$@N$b#PSRL7Opa z9}g)~@3A*=?eo)@s`uD@Re2-8_hWVl_uA3X9m0D8QeaHjTG5!js&dho7(q-`=gcUK zA>QpAZq`2dRWQ7w*c;m{o0s#7vUDU(y$aXePk8Rz zEOMP(Awlm=Nf_I%Xl5zHYy7&eR1CYo1>M7@}LC%3& zM+B~^pzNeVb{ajvqM_`RgX~0-E`41|vPzVUP*Dl5Z8X3`+3K=nQD>g0vub=u_E~em z{;_zV&Y83EboTnth?kXoc(Lx_^G{hY$AR=vVNDMS>*_A7vs|&o-qv}a-|E|`2q29+ z-)?jss|X-pZ}++@Otl4&gmvfNUn{A|3Jh}T@vGkLKQuZ0c1x+(5W(-^a};;q))3QQ ztMw|&y4kO;V;+s{_CYfxqLKhFV5FLZtWT8R;~(PW``w3Lo!JHA9kUvRP+LxH?fFjQ-^RgL$2I$4Vn>?+ zCT3j{jk)XNS3|~ByOBS}Owb;zNMlzs47k~uDMm27qMDz6uVvjGUQtZDo|2LiWgMG5 zCG&@;_xqXKQ_}o0C6!Cl<|{<1N#vnbGzdsbgksZEzs%vlx9h6($33Zb5L;2-2O zw@IP8=`jJJhB|AV=R{xX*FVU_mBo7xo3CMV*U zr~(72H+;l_)T7-);iE!>)&}9;K=CD4!}nC-11US{k)1@zcT>e7S0PE3 z=&4I_E>i|bQ(qn<27PsuP^XG8MGcRMTTBK*X?~5>&PiZmzVMB0V(!Q zx2A`byYnV}dcYPyoV>o<={4%GEr67^+^rlxWw9+!1s>bwxAjFu07=n3HeOP}$5Ro< z5Dd-@=vI^AYO!Qe+&wqI1&m*$JS401a<|>G())<(Z>Gay_#C|58a}=Myz^HR8FH$w zVR#G;vD^X1o!yBb@ohX?*5lF&m`~V3nDaEpuqp1QR+kE$eOe zn~uFSa_bH$FOW&n8yZvOQ;|R;nCHw2ifoH%ux2yH_s_20%Nm8X6awzzNAtkKLt3I`ygNaOP5p{ zNc`CH^sJO@^S(<-LU5%#Y_II~m21Eyh3jsfU6YektY~JZj_obc?^QIjrJDxjj6bAk zHodO6`im;sOF|E2(U9t&7*cIV&dHfxL3wN*a?W(hPU%6Eol20MGF&4Ba#j0ANit!& zn4NM&$xRF;OgI^Af`{5mJhzKFuaQnx=A8tB}W2 z30v^0WkUFJ^MN$HlMx34;YKi+5tN5yowLMO#L{5rLHpFUlvz^ve9fAQ9<-ltO_>El zPJ2vvzD^uswUXf%irikI+3ko`RoiS4G=6ndTyUl|oE##AmOM`pHyWcRGU2Z3SDXC_ zwUw1ysy`GHIGH5R8rIfL0$L%Id>veY$d*onHJdS2vuE8;nQd=k)$I2>Q)VlCm9rsg zOhzW|6($UUi6djHVdAVR(U>t#+Jj`wH!3kzZ8Z))>{Zg=w;DG)Hq8Wv$8^(z+)Mon zctw>6Z&OlgqKsp+r}o;cO{tG^d(4J#N-Fo;W-3JXK;${ANQWfypbY~(o7W0P^vHeF z>NTE?3X*!{yopk<51!Xk&YZP`4DV4YCNU&jo7c*AZ#`4nX!RvZjr_E2u-_r%tEz%5 zx0b=+2L{xtR=TNuH!pB&9c&${U7KB>I#=Pkr3-p2_L^y{rm~l|pV`%d3gK?)Sg@dU z;BrMvJ>@_9fT#j5W*%{(A=N1isa~U;b26B6&OPKYxV6yG<`zj4fQ94G21{HiJGCG? ziIOM8?4(C_I_#CDp%T97TM7?hn08b{I?r*PL|m&B|;-kDpQp-&m{JYAo1@tQod-)5VS{nN3^H|~m6 zgz0d+vlzT^A#9{~7MKa2mRPj#3A|pXC2mh!--nnN@b@8@M?US5rH{@kN-)D_TH=AZ z=L-?e^`3Yg71|?St#+91?p(McJ$xUvUkhfmTW6>*em@(Ivrgnc*!40RZr6$Y7JtP= zeweX$v9EDuowo0KGVO9`J>KB@%x$RMOeL7Vc0Ye(CZCH5~|4gcu!!gZd|!}h}U&X9ed zPd{onK`SegvT<8;M=+wlj$vB4A)^=BecIy(eTnGs!wvLiHL6Ak!@CqL>sef!%sz5H z(u9_JENz(?C;7wCvb*5A`x1J(={+~5#+TDd%rissafu~N?O#C+v3?t!EcT6D-EcMd zb=gsR2S@EAM`cMG{S{1|51v6zY6>H2dcUrFxv0h(x zs!98PjdXnoJsK;Wm&%XEd2#jU(wp+5vBLUjWIfIw4XkIHS@S}-IL$$|Hjck=19)6l zGfacvxjXG`Yt_;!Cn?vx`tcIWq;*o&uAr)YjaMz~3OntCSU|7Y$Q=DsJD1*Ev6Nhb z6$b|$Rvg4&#ldw$Lx5tppaZRN{+AoI?~&~Z-=?GT@u zbXt!ZdaBA*9jG>-w(8qxRjj4x^m`i7X&FPLC9}_l%MSon$lh>&&*~*wWh!~;(_T+L z)UB7igtet{nzy-^pf9XEQhphUCAS70JAv;7%+Zu=I;l%EPn@F-X+1bB(YNNxjv)7o zi!OL-jiZ+Mw+D_qG_1Ur=1$|m+Va3Zxjgw%)OBOglsVe5F0G3$n4N`jOZjNg-4e7e-mthVT(wiVY6k=NnL{;rOS>hTlrDc{iKp9bKLH^ z+e-52`SD?5zi%Al}5Icw^ijXz2<>Sr|f8}rX?F~hK*^zB!6 zG{vhP`zUHt3S76r$CV5VCXX!+K`F|&q^xVqk9g6Hn-+TErgc*eJc661l3U_kTNh0< z4l$0^f7_U!vb_WfU-N2%VKY?gK8k8g>zq1AyWVx)f(xC)n=Tak8wRe~HLU!cX87^^ zz+D~rCv^k7&$aDnT3WXATGaK)ol$eN?~<@Nj)WhOaN@r-(I@hYUMAuHc)xpbhwuyu zpPNd;KasF0UYNYZ|6(YFPy0JTvtd68FZO|O>1GmcOgBxNqn+Y_ zM8day+F`~kq8Zak^Bxj5Pb1+qL&%J&PWKw~I}ZnXdJzWG-YuC2XKUjY|C*@5^zD83l(tw|BT4^H11EgoDN99o`WKIrzLb!@ zJ22)zjIkhX5x%u>S#xvrLDz)iY502Ic6>2nD89Whb9dm}Hz2S80llE-bEiG{oUrjIU@BK>MK(Rk4F7<4_);Vd> zt5_y2u=N7I43vif>i(m{S=K2by=OEAK0kzh<<4sJT{a+`wfDa{oCWGB2(gZytaYm9 zH}m9@5#Cxuy#ALLMph5$F(m+-V#;1uv)p5;f-f->e%%ZhqjzI`s6?M@ZWKIG>WqK)WJPCbl|$dXMw_~_ z8xJ*0@ALW**TuE(KSK2rWTq+o_Wku>cGP*I_ms1x;;)P*Q5Y?P`-`jlCl6kvKH0A- zwl?t&UK{S)5`o`NaSNdDGDMDKv^=%5*Rl4^Ci)Ttesd+Or2{{uuA9`f?6ZWpg#2b^ z33SD{_|_JS;^fU`EeUbs^O5Qpl#Pv|DGI}L-?>{=vOdRJH>b-gnOYolo8Eb-qUk6` zFY*(T!`7Bhr(O`A6HG2|6i3?`iy`vCz138v=sPxbo_&t7Eg9n1G|>3O47jfNdo-*# zO>cT(xUL4w#V9}O*4*9NiN+*5+AumVsP7?iv}HasEOW^Z{QWlYMa`Kt2i~V9rKsjt zj`zH^bqKYARL3@OXj+e3bwjLeKr2o?dVOxYb;;=bpuR`sI%ZlGsi-SP>*LSmfhn_V zoR4&A@L&$Kp-t_Xp&f&gT=Ktf6MuEeY;&?Gj`E4U^^N1+`ALQDp(@INo=VP^H~+%W z15HHVaN{^HR56o{bo?qr*YPnS@z9fRZ`-(oIh#?t6CGr z6W6Jvz&*ubwOP z8k{L(r36vN8j=!qJU^?Fgim-P7aDsW*hRlC!r1aa#+*Q-x_JgyJasMZ!OV^LahOjs z=^TCXVMwZ)F)ww^$kE{GySBGoArCqU|4GX%{HG7mD*X5JAP)9pB?*-w9JCjxKY{r0 z^K?w|^yvqko(f8>a-8anRy{eTMT;*{g-WqD3Hz6&_{pHsrYvN!Ob>YP)K zp9Mzs%PH4$6&UH^l*``*MtV5qxEc+P^l-}ccmpRroO1cWz)26M95={%IOTdwvOK3; zej#u_=;4&(=z56lgj24kTGqoUmp>%y;gsWlmGyAS^|Z=*IOX!6%X&EF_;0cvE5!+F zC!Tn4@ug%d<@);pC%ML#Bg=Ej<&$K2PPu%BEYB&I&y(dj zlyOcuo+;y;a(t1DbIS3jWSmouZ;^3MIlfQEIpz2X8RwMa=VY8yj(;rUoO1jd8RwMa z0vadzjZ=>M$T+7QA0*?Pa(uLmbIS3_GR`T-vt*o8j<1w)PC33o#yREqE*a;PAXDaXglIHw$+DdU`S ze6fsk%5mC^mh9n_0?AodtK3e<1GSm}*0@Ll&}P;9K=c;bJ#eFN>> z@yD0^;U*D$uL=8IDWtt%98l?p*z946w{3BuyjX!MFSfzb#fr^W#BEF*Q02uoVTjQ- zGPd0`thcsTwu~VY95)$KZZe}hmK>-+(v`=y zvwX87I?Io3XHhuiwl;PfB~j67qa<0gcx=0tO32UhW7}E!=|bBEJqiuh4V>`nhIZRP zk(BhqhSn{lRu-=a&!vsZRxQmd#1>{NvI`yfNCza!0f}}%VjPfI2V{f;66b)7bU;Qq zAdwM{kfYU+j%G(X+8ya=c%-A{k&dQEI@%uTXndrj^-+%2M>$#_(fJ6a#@XnnM!_0f*jM>|>{?Pz_pqxCV4*2g$n zALD3!jHC52j@HLGS|8(ReT<{^F^<;9I9ea;Xnm}s^|6lD$2wXc>u7zfqxG?l*2g+p zAM0p+tfTcK9IYSWX#EIB>qj_RKf=-a5suc6aI}7eqxB<3+W*|NZMU&S_`%ueDCvCh zGI4LNjQqS+xy9Ir%JD~U6IZQRft{o>lcriX8C#jZG;jK{@w8rk<8YvvfM{xZL z2lP?T_j1#zAN4}vF};kUs^@w!k-`2DO#PUbMr0C*ndjxgwEabQpa_qMWpfd9!-2St zkzsWom56IJx@163bW$v~bmuPw1@onn`AW&$RWe^o%x-8BRNn|5FXKSGhe~HZS)FD26?FaWbT9EjQKGL+M{gV2&xQut(Ec_w$cBd4&|n)nKs=m^r$`m9rkW6K zGfGA*Ah^3|o1PvWyvDPM4-*eX5l`Ep-gf9E9==98ylv&{E2$7+ADcuE+o7-Ru&3?N z&vxi99;z`FsT!p24kFr&st>?1eKe_FE}986{$`@Plf|*Pbey7*3`n5MBh*v)natBMsx3y&R=NmIJjI-xYOMP^LxCQV0`M;xsPiokm zqWKPaQ_9~t^FLf9Plf!>MSL!VNs|w@Nd;!zW7Sp?+UZg(3ib(R($=d?+_zZzOZ#>* zc`sJ>$9)NGXew{xD&N#LwIBnwxdH;Z&R%e&>qR7^xXLzrAoiM=xcMowp~{(tKY+!l z24;+8_R|gI7~1;P<`!`iSJ5xD_p(@W`|V=wwWNyxjkM)WW>f8fbS96p#cgYqjP;B- z!WYl*$p9`Uj^+6o8`E_hFFV^-<)x`-=an|RlXw#nP! zT`y4FSe!(2Y#;NxUZA*jmkSiQe1XHn68rs`C9&$dOeOukAS(U$riD=TzXw~ZS!@8Z zHxz9`-r$(majo7iE}X5LKNbC}L9kaqvZb1iT8E$Zny z`NL=%a0$0=B(G#)z8xz~W+_2=M|avbgXM}lXEWRryFfE>uMbC2CT|OG-H6@}C@A89 zycIoX`Cjy#=bO=Uektvf%o*CVgCFz#=s8b{rWIg=deMuv9qGHW=?WG#lG>G3o)vec zSDY5NrT;&tJ7W@3Wj2kvm_TEOL=56aF{fPY8K1vq*pe8g^>Ci-!dz8u+HOoQc&U6; zzO1L0l0pfxON){4J^@N+j0{1wmdkGd3}QQQ`d6v2!6x{}U$}rzFo!ShRF*c=+5!lF;0h#d+AW zCA@%4$)^3W<(9iLJeNA>l(Cj%7Z*SPeY&m!1yb)F#pwE3qF^=bp19Tn%QxkZ*g@wE zsKnDqP5MauG|tNB7uoUEc6_-VPiv2)kED+VWJ>-VXJ!4j?D${o_?}c-;z!aKWyhD< z@ribPt{uPDj-O-4PqyQW?D)lY{2@F3lpTNGj;GO(vOHhg@w7%rdA>W^f|B>Ol1MK** zcKmcZ{&7401H9{2+P~ZG{F`?C`*!@l?f9SVcprJN!k@n|JAQ&4Khut1YR8w@@z`CZ zi~X1VqF6h&j3{QCs)%BGv5qJf@V-M76RTH(P5005e;W-3(?_>?I9Y0Jv50% zGG-wf#n?ZIMl*JcXbkKkivJW-RU=S`s52Eh0Nsd=gndLu!EZ!IV>*ZE7{*2uMVD*> z(Q%B;C5ml!iiyTEww|bgv5iEHjBO{H0KXAUWb7@Xl%sXG8RlU58wYH8jH2}MDrOA^2E0x**Q5Y@>jEP^mB_D zz0X~OS0^kSJ;(6u;^GyHa#j^%SRo73ONa0k`GqpOXz7AwEF43C{P5%{GlpRZlKVIp zEnKuTpM}$#k(^w+E@UpFw-?3PIiOE2A^N}gJPlb?k@a);-;8axa$@INp)W% zzh}Vl`VPhnC>TPI`27pA4`GVoQ4{sy5feXcm(W%b&-jPiMH7H@%;lQf(N?*Te2&he ziFdK@C&(#3+&+XH@^cYCM{*P^`G?D)$#&6ay2jW?Tu5=Q632M-t)DOmm7pA?rvU${ Jyq@^~{{RQY7>@t| diff --git a/STM32/MDK-ARM/WOLF-Lite/audio_processor.d b/STM32/MDK-ARM/WOLF-Lite/audio_processor.d deleted file mode 100644 index 7a6bc3d..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/audio_processor.d +++ /dev/null @@ -1,65 +0,0 @@ -wolf-lite/audio_processor.o: ..\Core\Src\audio_processor.c \ - ..\Core\Src\audio_processor.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \ - ..\Core\Inc\stm32f4xx_hal_conf.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \ - ..\Drivers\CMSIS\Include\core_cm4.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \ - ..\Drivers\CMSIS\Include\cmsis_version.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - ..\Drivers\CMSIS\Include\cmsis_armclang.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \ - ..\Drivers\CMSIS\Include\mpu_armv7.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdio.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdbool.h \ - ..\Core\Src\functions.h ..\Core\Src\profiler.h \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h ..\Core\Src\settings.h \ - ..\Core\Src\bands.h ..\Core\Src\wm8731.h ..\Core\Src\audio_filters.h \ - ..\Core\Src\fpga.h ..\Core\Src\fft.h ..\Core\Src\screen_layout.h \ - ..\Core\Src\lcd_driver.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdlib.h ..\Core\Src\images.h \ - ..\Core\Src\lcd_driver_ILI9481.h ..\Core\Src\color_themes.h \ - ..\Core\Src\fonts.h ..\Core\Src\agc.h ..\Core\Src\usbd_audio_if.h \ - ..\Core\Src\usbd_ua3reo.h ..\Core\USBDevice\usbd_ioreq.h \ - ..\Core\USBDevice\usbd_def.h ..\Core\USBDevice\usbd_conf.h \ - ..\Core\Inc\main.h ..\Core\USBDevice\usbd_core.h \ - ..\Core\USBDevice\usbd_ioreq.h ..\Core\USBDevice\usbd_ctlreq.h \ - ..\Core\Src\auto_notch.h ..\Core\Src\trx_manager.h diff --git a/STM32/MDK-ARM/WOLF-Lite/audio_processor.o b/STM32/MDK-ARM/WOLF-Lite/audio_processor.o deleted file mode 100644 index 792ddc5452745a0a4e62b864b90f978fdfcf334d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 73052 zcmeFZd0dmn_AowKNCJi=EGozr7TL5Azy(1iA%Li;5zwMlN!SF65LR)i27-VZYgANh zwFbqCRj;vPYg^ieMMO(!wCJVQ_6DUEd$C2Oz1&vo@67Xr0Ala&z2DFK{`buXC$pS6 z`^=o@%oDdp#zavl6g2dqAa69>LMYyWXqinT0KAdqs8NK%#k%jO+ahEJ<4J6R$D$RV zrLFs;eu+byM+7C%47suqx-}c2aa31C1(*r&k6GfrN7P22&)@~l+Dj>8Pc~!OJJWY2 zDI6oI;d{r0_wWL3gIRV9Edui@qvb8G?h)1%DxPRBHKmPp-j*+ps^nj#1m>05`Fi`R z12$_{?crZ(1H9Y$2pxm(K({t?e#$obKKI%(DDIWjsBNud-c*e%>xto=X?CR8@%R)b z@~CzfRtjip9fc91cBL@cwb42{HDK|ccPp(upFfin04hWgURv^&+k)z+i$Xs+dUMUW zKUSPuciAT8`SQhc$`Fe|EfMlPnv>r|3s_ByZrCnu>iO{Xrx(_IyThx^EsoD~+dx+#?*m=m4(fx#2Hk3%>S5dsuJBUu8U35`-M{JUBlt!hGL94&DB0u@yzIb?2Oj zdA3+ggcQDOhsOM8v~?zNuUnZV5hFw)r7MCXbt%#LZ%vD=68!r)pm3pnspY-$8_#Je z|4N=K&*JSb(=L1ii0IRh#EUYSH85*FmU8oq@y{o0s(jO1f^CIA8vP1FterFd*l8Jf zu}fSKQNkmK&<@^vtZM5+i}w)f`BZJlmzRI!ws7u-igW)1~}BYqa0GWYn6c)9?Cc zJ6M)QlrA@qmTGP}iiGE^rQS2 zJ*?61`>Fc`9>{ho3?RLhh0yqgG68^w94J+TS65A?C=Q&^*@CFk7nUrYY>hS^pf)dn z8LybVD?ttED=lKEYL?_8uZ&F*{ykP|LD%hkKT_wB{u(QWiZt1-0&`*MXWPJOkj249 zsX$-M*YK+IK8m^TgRZH~&{8{u_Rom*Rwq0c~|fo|?Idz)%p(TrZ`)q!Y1#++{yR$!*Y={`k(F>LT= zDg?|8tcoI_tEgBX&0Md+Z0MZ6Z*&5NVd8z6^KInZQ{&j`>U1CQV77sON0iTE76H$w zvIu6S(*OhRNvDAbxtfag>WUecDhl|(8xwI*lhgM#mNy!m+5y{0!8fWaHUbaw3cyfU zS5X8?(^SZLOu)8bSZ|=T+d8MFMqt3Qo#K330}MFpDH`U6I$(gcz8I?=D~+(l8{SMX z&4y7X=oLBt1PUS8fO-?f+2HDQr`z!bRFxZmx?M$KI#xbb1=t#Eo0eP0%ol)W2ts-w z+K>3Xu8uw3pl#>dQqYOB0=oxH(ShBWA&9k+#oQ2Fk*C3?$pahJF*jhLZt)$#0s6W* z*TDKEmbVm+_bJX@QY_b99S17*RY-z+WP-z7uGDibtMBQ~nT{NOD8&NMAQLhEfmwYDOs>7g8JygxQq7P`Yb-HQV1Vz`uW`Z^h12)2 zIy9ER38)wJ+y^TZaAGE%u5~-zfeLbNk~y}NINyM}5b9W^_la5eDNaoyOb%<_RFMt! z$Ov0t0kPHY8yUv+-l5K3!hA*yCD@MWnDZT2vyHHRU*hVO8)3zzD>oD~^RZtsi;D3M zqjQA)1lFd)(#`WJ-m8SF=Fm3f?5gHqJuS3H?9kR6+Nlgxi)X3D4r*~ompBCK^^LPz zl(Y3>hicmFdQoT@ZH|T}<#g*fPb3^9;{0IX{KDrxa--D{f!CK&%CIo)!MIo1zv)V^VE#y9yaDfLvwa&ol zHgEvX2$A*5*`1hrXoJ|HT^w>)8Cpe~RbMg}s5dmv9w{0c;B$WkX1Y1QfE0XgH{$lI zIX}xeL)tTvK~Zx$2?L?mVV0iro16)Hc&KB%F62Bx+$=4Y zD_6>dO0S#?I{yt1aeF1)hkVTBFG9`_&?DsnSe}5}KUB{ba$pvT;WsI#U&!o%J(>Vm z$HSV>(lhQ#F$`4h0TN&pji~cR)cGUof)RD$h`MA%eI!mf(a-1J(=k9BkJa3MA@>)k z3px1&+Ma&S0OCIG=k!1)@Tq5XgAYr&#V<4oae!59Wl~X!5fY7@NXiI3Ag`43P{$!> z6%pLtmvH-F_a#-TA5tkv6ey}W#d?^+Sf_)fgeL+gpkC1PZxT*6;Dow?^8+|AR1mjY zz_}~rK7cxS4x!Q~#H=R@PB$SP*1V}gs1ElC2+m;^VYPfC!`OlaL!AXf@{+a#JEEoB zp9S1*DPC?^3C7iJ^v{Snu-ssOXiySUK=ol$vM3hbwQh%4yM0Dhm#kZg& z%;1$HsY7KlYLU`*xZ;f{3~fQtDDr=|qyOEG{&ze2-|fh_NB!U4j@&3HpiALRQKNA} zrh_^XSxYJJS<=O5vsRSxCu~Dok%xl%6Y5o3mbs%Ot!M+;c^jz}b5XBUNiow=^^FX- z-|?PBQO~fufh$}EUE)ybgF0Y~GuPQ?@!z8aD=l}7M{9ZX2sMN6m?ile3Rd#@rPI)P zOAF7T{trspSO)eC@0IAVh{qF6QW)T|70rj|$?@=QQz{MT$JjBBRSQ_H0j@$%R(N2E zUDdWjylFNJ*IZ9Fw9Web8R7CpJin|a z-m-7Xgl`KYuJ#}sKX?M%n9j`AR)l(26s0TWYA9*&ptv5M>%8HCs-mDvK!gGsT=r|2 za9(Z{F!S_`ckwC3cpzmKXqa%S!6}lWxRTu zBz;@3WTUWBZ5NnQTdCGqHTBfzf3&9g!-l7CUAuBle&q0$t=*6Rb!qm|&wu#aBaV3` zl6fd7@HCGQzf$28TVPJzgv3NnLdc_pdQ7`SFPWooH38gkDWmXJbOD*TqFZUjROU`z zJe8%uC{QV@%k>*&B+HjV7ZM#JdY?KWJhW^OmGg0jf=?}qPOEVW-#n6vUe9rzJA%sI z?DPtri;hRfQ+N?N&i1)&I^J!XodS0dRJx-XECl%nKD03#J8TwOAt^NA!*Y{W6!;!+ zLpq{KU^w-vw>W*bjGTZ0Z2hZT>#qO?EG)+0Yk!qD3PTXf6$ytiJXk9TM+&lyb#>!A z?=nbf5gk}pwfb*Z6e2BW6tf{NfQmo_tURoTyC;+AxPb{`MIF)$%twl_pyo2~b~3mn zo`3yF+#v%+v@dyqT(8gMNuzT8Kq#!vWtm(Jx2ttrEfe&HL z^*4ekh(WXoLwHBpQxW78@dtBQY~f09h})QfJvRr;33j248Tj}#)sl{Y!yyqKExSpG zfF}@EI6ICPl{7+xnu%46Q6&1uEuf9&;R6bFJ{y<>z6mu3B;OK_l;XzQ0k88%nlj_7 zNn-g(Qk<;6IRa;NuZy*pacZBAd4 zf(%*nb_ZpYDjqsTjxyH9ITeJluxc=g&P^l_xQ&f<>Y3XpciQ`WHR(=}K_m1qiR~9T zQV-S+jDw?GI>VOMK6+6+OhPA0Mq0eKkgb_EN)`3HDHldtWO1PM1%<~j+9wg(z`SC! z{(e=lVzkv>w->%(6n|PesWChWJI~oPbapf|-?5KPAH__|JEHp0%={iyIhvWhI~lu2 zuhXBd8v@FHvjaB*Q#M!MN*%Sod*gtEUi z(pl~SupD5`63gMY>6Pr7Ipq|jfDXK%tiNE~7_FJd-=K}On#twBi4kV+w~Q{=7QC_G z57u7bcbdS_41eMfKbm3lEy}B-8QvwA+mB+{!-+FPTs*?!52EpJVK0)N{=LY?0!V`( z3YbiiHiRmoN?wq9d?jZ4;B3U(&qC z1Cw}nG%@*bgQ11ugJ)9s2tI^<;<*RrzQ|Q->z#;EO);yr`2|puxu`DN!s~=Kda7f=hwr{Q(Bg@{V$75tkkCir!@rneC)?iSh zEzqFCKVmHeE|fi>z33IWKEd}v4;YB}@F>;}bc^IC2&I%jM>PBkbgt&xTg9kV=AQFf z>0+u1+2#pnRf(*sbZlf5g?1?1%z}mItk7HI4MNH!7!DWNf}<{ItP)bjuc0t(gGDtq zRUxADHdUdbMjP8XqHY`8FcD=!l~iOkp-Lulolun|3YbupED}wqN)cV1V7pG#J)tUF z^k_m=j)*d`N-naRSd}YsomiD83Yb`xFA`0xDiAH5SXC&JO|)GvDw$}zK~yu*c9W=a zV%28R^@+AyM2{xg)`(muRUH!rOsYCA5>2YA6)l}qbwVVYRQ0YXcT&|!QOTsLI#IW6 ztZ4i)+4zJ#<5xEBmkM1ORVzgd+caSb!!}dc$gs^u=256kKovxxQhw#uWIDg{>-%=? zNG~J(=R8CL`#?`YEQMoBw_} z8pkw&83CqrXs69-6VHKUTzj#qK+fC*8T{x9xtzICPQ#g2euaIz6{kJwCC=#8(;#~?8z(ONZHuJLP3g>;>5#f*&Qw%Da4wg^ z4F|Jm&-}xk5;@23LuLD`}xs&Hh02X=!LDEmYggzLHTPPpHU~D+|(@#So&}RTO9{>^tJP zKSPd8!h!VPJt4Q7&*=jx_})%;8sV&&KGDiG1WpI0Qs8&1&6!Nrbc8@r; z5}M7b%N8JM#d_ce?nU6vrn+R+JxDa`a4z_Xz`gN?2(Ahyc6B>_4-7=xhia8`>Xi;< z&A}@1toG*Fml|i`j5cj{dvtv++&M{^xuC#=3j1$c;qHU`&_HH^xj*o^IMK%A+!GMl zVFTlVfzu=53<|{Ew~5=VehR_4EUNRH=3p?Ew%H$&~8KpztIt-qoqh*X{+s`DFHb$+8Pt z;j5{0mR@GinmjHC@E4!tMd*d>W8N0=5jI~>TRI@9lnMTRVs?t!R>Y%Ul{tR#wdC)2 zWgSVD^nJEjQeN3H?*%vJ@7>3vQ$$|{2s#dUeD|AOv&1$*P7vso4b~d}#4;Gb1ZCk3YjNU^+iOCnqa}FUwn(oF&U%%YSWpO3KVx(*%Om zBk1^(bkZ`i3R3g({n87w^E1|Fr>5|e(`9)P;>4)=G4YYHiLnWZ^GCIo4!7b*jX`U~ zSX5N}$cebQ6sSk!WfTE9e?pe1X~o5f(W00{ve$opcJiwHf^|WGX?P%AmX(;YPUe?R zKr9j^MlFkpNsNybEsl$dj2-HP?lwMDV>mMw~09G@5&8@nXd)EyJKcpgBH?u?tiGBR<|{5U`)jy8cV7A>B? zILg$Fo4acH}XWV5G9!>N)!vFzc?|&RLdo6 z;IYO&sO5sqNP5E}jS(2ubyWQPY&j{ru78IM*g;z&ZUTW?l5Hwm6 zl^8|r)`_oXq!gsbywDaE7UV3>DM(Hyfyk;@G*4Ur=R_jmj;VP@9mkS79_xqm@fyXx zSddyE3jjY@k1IjAGAtuuAEQ4TC0Z62o+w%tF@H&7UNQD5Y!c(pNYMZd={Y%t1>iRX zZi3P<^8D1S)Z_wizt|`_;TtApjO7*|KrdfU_%M3;2tLsMa)g*O%*$d}2Js1`q@#Od z$MlX=N^GhuWy6cpMgoKnV;k8mB2*}eT_R4*$6}}EN356SrSX@;nFcE?0-Sv3^3!1VZHfAN$l{P=K@C@ZUIonKZ?j@+*>J1-|I%P%D(AJ2=&dvRoZ zJRDJR#PM*y7XmE!2ucOaR|25$3n)eE78X@v?aornSMiY-bX2ymnj9OTcMtTIEl}~> zDKSupa8ZJbMqE%9;XWwrehDb$oyBvyaB&A023+*uq8Ar^xah~l04@e`@e~(|%TScz zq7oM>T&QtTg^Oxj9L9wP7qz&k!^Ig~XmL@Gi%Ym@z=aMMEx2gIMLRC^xah=17cTDL z!hnk&T=e3i4;THo7{J9KE}r5-(F#QwE-G=M!i5?aRk*0e#bI1%a8ZkkI$WH=g%%g} zxVVIi23+WH(SnOMT(sjtkBd%Rbm8I-E)1=#(njax@uE$As?+9i^RINMDB7UhTS0jY zIjYoJph`>B-fH`>grVy&9QuR~PwE!}A~)3n(E9s+B7}5VG1r|lQJ?C_M++feByfnU zzcLAh#;yI?8Xn>B)JB-9AD&tdQ}c(WHu`3xz8E{zB66zM!V!hi7(-LfSTl7Un^SB^ zL}vn_RcsmyAJvM5R)J|OX;drE)KV>@|9L*>$H}S)OB?-^k7E!DMu;CmxGKWJ8-TeF zZU%j5Mhf3Y2>)lJg|4IO)d!^TW~b|^+MpzZQTRsRzoEYNVR_D>KK75MF)b+O15@if zK-yh0s!6+JDhka%#4$>K4O($zYq?czTZh^@V7b-dwvHn4d*VduJ-+-@w2fz)${2kC9 zG>)sUcGO*iw&H5X8T<`!8Vpx?wWAipsf^>$Ke!$GtFCrD-46ZLS349&_)EB7bG4%j z_rrI?c7gTu7~xOtRHT4C<_UQ@A=qEg$9@Hc9(S+1;Eosy)@h{s9s6dZ=VLIzxif^) zfiWO74-j4gLKT3p0SL9hcRMKL3Vg$O10HX?+M&ba(AMDZJ0M^y*={R`@vf^K?d4=! zZT!BZgm%x>j)4~V9)RyAa{Nv>!1rJ6FqC2@+h?QDW@g>jOe2%#6kxL3>lt{B|Bz=4 zZVyAD7si>q`7u}5k?=0fxC*G&x(>;Sp_VnYVoWVJXhoY^fzXODwZfq#^rjoJ#6oMf zsg(q+V4tC}JZMduI@BtKmcZ273$3ZI;4yZpS<5&O_2V=_u!a<{8wkyKkocES zcvRG$k5VLjL{*9HG*Wbr$MAM+3NE8qumU(TMR*eK*6?xn)N5?UnU)=A+AYp@UW=|0 zqQAY*)Sc!d)MY`eOhBpwq<9t$&oZ!rSRWmm;=YthF<8t&=SmUMP9Y!>ZM=?#bhDX4 z29Ii|3#L;ntOOj9qI8-{%+mt4IH&;>$4t z#>o!RG0duS13Wzm^A$zi$Ycm9F`ekZLBEZtVH}={^~7WF1_e!v3!0H16ud8pHeO)|UZX^9U&NH5ezsth zTRqdhFXr&og$OlI4&PMO*irx1Cxqz|)~fv)P#s|o_CZ#kj1hV-QwpBMR(|y~rVCrB zpTXi|3r%J|cz>MYV{8+he7nIV1rChK{uBh${@lY1RlfFwN)BXcgFc$>59|#6sjE%SW^zj#Ty$j~d=#6q3;fowu$`U9`KY*yk9o9V*i7N6euB4TSh zc)pZ?kYX`@Cf1_ObXef1ekoEBSVw=O@~rGk3`uVKCtCM-J%RVW7u25CQ}H{K)~iJD^IUVN~&`K~2HD{@V1g zlVaR~R=IUmf`9+Rz#)vO8f@x#x{EYsTbq9$yY~`dOzPW;=8m>E$pP*B;J)_0p@GWg z4*k%;)!@FEheHGE=8moo@OkhH?fhAN?LQ9PctRUY*savLwBfs;X}6xWnrr zW;C!u`q-0`Bd&sXOYLJF2ZQ4e^zb zv-d*I(L&F=hh7keUQ7=C=y>QS&7q&(3;monx7&U0J@MQhlIQ-YocrMTT=1g{oKtb* zUVq)eNjr@(9`tyn$cKx68c-wL$gQ!wA=s?;e{VcF1|_Spm&jo2fO>2)%9{iW`3CapRHo z>;SPK=A_*;D`5$flGJY#$Dj#arcV>|U|5hVo)ggA&j{{>#;hu6C=E7Y(9iQ~`)#@I}>K^s# z9{uVb-kKiCnjZC<9{rjgUSf|V(V$N3(I={`(hZVygF4-yPdD%~43Z3kI>VsPFz_-B zl1zg-)1c2Z@Lo4aUN@*;H|SqC@Um1(vs8PsRM)dqR_jzt*QxfbGw9d#@Um4)vsHVt zRoAn7^ovwhIX#jbgF2^2pJU(^8zjXBb+JKT+{4>okZkBtZ|Ko)=;3WNNH!YO8wruO zU1lq}kJJeylE0d0!fzr9m8@g1`k^vZCwew^ zDWflyh14k*0y(*~hI7C{Uoxl8G;yc`okmncJtLdiozWWl0!>*o{0;XLgkC_^Umj9N zBM21;ndILAe?4U$uBRIq1;R<7jRM{El2y3pu!`>KJ#og2Pi9OEJRfxM{Krd6mtC#g z_2u8bJn?YXnK#e2Usk=+mz8aMeA}wtZBp;@6z}mE_~5kn17`xP{iHxUq*+tWE=Ssf zu1XR5Ikin{hc?>>+OhbF&`s4tcO&`@x`WXc=;mo~H@zQ2Q~rc*IZ{KnGe4!A&0u>U za#fbF(LU(uKs;!OEKwCSZXrHya20!?v6vDK4a#`MerUu}qPn8Lv19 zjg=GyG#bY%s-YpJ)YZ5uT_+$sCxxPc5!nRAThK_SXrWOvLGd;;vM4%x*z``>A><0* z<1FBpi0c*1jS2E<@f^4w*1``&noI9Hw$@nUU%$Z*>0F)2``QWKcO{(r{T#RthRe|> zLhiV|asj@S-2lJps|E~um2%c$G5(c_nl|)XlV&Od-}1t*j^K_Keo)w3yW9yM+>ukcC9k>FkDX4=4jxzDdJ#tGv2Rde1!1MZbKK%#xK3#Bg<`G zn|dju%nZNek&&HIKqNLzc}|2**CwyM!RoRP6&?wNHyI-m%+M_sJ|yAe&S=@YSIT3~ z3P_e1N;FYO6U2ygrf1R-1zw9p=ruZj7Xb)`j1MnjfUa!l?pEU<;#&@VbZ=crskge+ zN2m<<-nQ3!N0Rr>Chzl;>dKmYE>YRu!jc=_Z$7T8c$`S6&mPHaaPdZ0aaKfwqt*n&m4}T#?ej!FOa#Xs-xz@k6verym10%Y=FRT7VB1p7z7yNkmV%P$9 zp<^2b6BRo#laL#wvPE*G#1U9`3+#kfhxyhzVBIl#DjoveJ`%vm3M~?RE@r9 z^tL!|JC6Da^*9e7^(i?xr5-g8u8xd1NJLNc{Fv~_*m$xIR~7S#8osn3Yx6|Q;^K_; z#Y^BaG$|`5`E>#)Zc!v$Jrh;9z97EEOC&4czI5^8$e3X~OEa?Z%~*bJ9^5wu1rl{g zgBRw@mlPHdV3A9)pP^;X)T=bb@(V}|nZ1T<|9+h8t*4<(7aQ0{~hoU`I1oOl5pi^m=!V=6G*}YoOc2*psqRJ9D2uY`TTk3x~%k5 zQq~RLTH%10I2VbEDZ)SKlrRc7P1vs%D;=&{xYaf-Y-p+b664=B0W(w&4C&6e_3u8C z8vr&LywcHD4dxt7UfZz{Y~pn>s2AI$>h_^OLej>I7CdBcvAnQDc%sPjb2TV8HuQL6 z%Ne(XQ%4^8CtWtg-iurVo?Tm%)>^hAvOhLdoP6bsTk)wQSI-0Tmd=hoyP(sol+3lo zS%5s~V$hs&Kz``!Dg5YnYx+koe%DQgFtunX>+r|YO*_SNC>qzlT|ApIKwhB)kk%R`mR!3ELj zBTJ_MM~a&r+Wq8IVKZ>F<^7;DGT>0285js3U5!0(Yhb`JZ(4#nA`cW0K5FMI8yzi--p{fwJt-w_cP7IMSQj@k-sQstDv z9p_d=^1R^rrTL7T`t*@gyJeS!uRflh2$&ZaF(S%WL`q%_wQ+;dewb?ojNO5`m9RGY zGuOuV0Am|222BZU_bqL>ZEt7)-bBU#UD7SkcqwwX3l~5#sKFDGL8^uH*x2Jo9!+0Q zK~kmR)vXt0e0N9dUAfz(80KdWfXQM-yuQj!V+~1@7@U%Fpx&5tkaZ@45&C4wV{4=< z*VVU9rcT|{*rBOvpd+oT@T?`x{s&18AYbLCJkss6!5m3fx!tjLe8^5X3(xirWjB+~ zS}Ng}X$h)@WvcJ<{EZ!b{Ez)#u^Wq&U{o4dV#A+WI-=dH5r&<5AH7nPy*2?9T> zE#?zpWwu2jg=J~h&0CiuSYCl$hyK3zAVM?fA5QnP`e{46=-qdECIf&dd)Gqx*7uID z@p-*@H5DavqFYYCT9{LQBoZ|ajPLUfZulmGCCs7Rvu-@vU-xSJ?dpjXG=ufYudm&- zp>3dgAC+IT5gs|H+oG-t2`G8S_i zpj)3|o58wcu30WNEJh9t$EKQhyuY{8*a){>K}V3q9Qu8_q|a60r8u^m5=^Pu!q%Bf zOQp=(KoMG;T8iqf(HjSkn3rA;wV@}!>d8|4Er!QlbBJ%(6DSh21Hj=g=#9k(%}cHP zZRo-^o~-iE0AR}@K6(ZK3(`uZhui5C;UV)Pko3fOno-7o-h{xXZ7T?2lx(LN&0+XF|*IB2`sk&Go zal(@|e<6@K1SF}*h zB+defRY2k+Adw3s`hbMtCf)U;(?H@?AaM>zBm#-+0I(5kvF7zssqPk?GI-X!v}6rf z^HU(PX%SB~2s}Io3=vsii!M4vcOH1~0!_AivZAUN@u)#>^HK2(09aQl(cGp}qA!|L z6|dXS4R^pFK3&99>;-^nGexL1yA-v3N2jnpHK(FY0PrsW_yYj`e4B6QW(Q`S$c7$E z2C&Cenp69gjr2y;EG<dA_{`Jz)psuQ6-XDBwV%_hE! zLq;{oOw)ET1Y$WY(JFrn6rQpf-%*F|FA--1R9GVIazo;k1ntMj(_Sl|+xVCe@Z0T!I*8v!dUi zq6pt|(1Th$34UZHh2QIkL8I9oHw233APQ#z<9Izq;AV`OZ( zG8@l&ie)7JKY^ijAnO|=qjGaQCZ@y0n&ICF8TEdT#>n_{pvxE;8yEcdGAg(9VzN@a z9-F}l$YokWDdQ)=E!*KX=u$L0fZe2oekh!gKCrb9&z0l3;y~lvU6d_-D$(_E8_@#O zW~q1i18;SQv7czbT1X4K7MJ!}|2$C0({S4%S9~Vu*}0XC-LDOMjafPP9xSXGBD}C3 zjnjh9O6ge3pZ7Cr=;&)Jk?Y`T>s0IL%kF_A44hn#E32V$f z-wh6cN8^?b$uQ6?4D<=aZpvo@kG6KLunjv}m6>(J&UM*e7w%0_SQ%iKdD?_k9W#%< z&ER6gKn%jAJ|DSkbd?LRNZ7B!3QSwY(30EzAKkI7jhH(J&)saCD|POtvw^cuHB8O0 z_JZCgwD%PzCB;rl3g+kT{-R+0fz!qcru`oX)>j#WsjbD#X@JUTizz(+qs8U~ehu?z zw=srH<03v0?*MXsy~e_m(i=Ruc&aA>=!*a;L$o8hIS@C?QLwqt%Ja4-{RgJDXQ z`BX87pBBD}iTWGFtzP{4qw8$9au0n&|ca-e|O=b-w~N1wN9+ zzGIAb>OUII;PpD@w-$#!T7q93g3LP17;Chx?_(v1e}aO0X4q&O?|HpwH0?~ySfd&K z2Sz)*V-==$0g5nv(~B!jIORVY?Ns33VIJ)v#_++A(VE0rV~wWq&Bsd6Vim*CR*68g~APPz#r+K4rjy#b_9+_J{7=-W?>!u-*6uP zC}C{Hr$^Mjz4&|;27(@PIp07atfh!T8+ic#qx_i_YPW_1>hdfe09V;4AhxJePJ_Mf z4zHeR2QWX$cUhzMKm`qZ$WO*6igEafqH0`S?Z1I1J`wq;V|^%PlgdDn!P|DYVQ-h& zs_DPDyJ~^CU4Y;~6@A?EUS+X)8rvfALiDLBg_SayA`%Fg1v2covM-^i*jOFljTFQT zA;GB!1uO*XIVDSQJ~wJOK7-Ex<|fwk^4De_Xfo zDKLI_zTiY0HHxjCJh{=pjc@h)smbp#u&AzZU4o=7h6Evx-R`9EsX)nzcxsycgvo#* z^O_JEQEZ;q1UTXkI!cgPGNn6M)~t*2{hNn^<=G+P| zVkxOe>T>{nY}o{o#Aog~kw7hXpcYb;<}~R6*Su1DW%vnp!VVLM{Iwv>fxtAM1DL}N zxxiuRiMka#hB++89G0GVANr&RC>sGo>4{G1fh3cXdqK&iCvM}e-Zxz@P22$dC1i2| zCA?gd+=T41S$$?~OoqCRM6!cGLb5@)LQ+lY?~Lh^NSy7taswwsrv-k7?t!%zV&LwsC=ZRH6Vcki$W8G!@90aR2ffsgd+bi1zra8k}eGRlldRlj4 z`-u+hX$P>U8GxW&fHyYNYH$0S(ABg2$Vi;W*$QP_59QG)A2c#1l^g-ZN)Hy}6$;Yd{HX}!T2cCX zt0wwv5_I!B1uF32ix!D@hpm!w15U!N#6xU}Cw2}ju)w=*YpX_bwh3n01&}TzJcQFU zE(6%$b%en&dKoQzdMO1o6@R)PCI-TL`{L1e}C_xi= zzv)L%K=UGCA7Qn!9eBT4vEfKKzw%oGP&ym5RiK~o$6f$AGagHLfo@mAkV11%%G`h- z)vi!+wr)zY-zMNJ%+P|5ywB;2L6d}0ny;O~@w5U{qAe!UL|01pO7-IeN{3K^t!O)aFHbd!4-b`?hX#2lKEEcY3H(t}Ut3+0@RRBO{ z`5?3R1(^+N5JcD5dq z8?0xUwBvu=?--V_pV0Cr@r%(nZ^|IuSG#N=b`}K^M2CWLf=GSf?+bqbDhkR(5V>|) z9}LcS*an`tcwyIxJlJ+rOnRe&^EfS~6t)-R-XKc5QPv64RU8FR0vEo9PteSJF$~z! zgI`I*ZKD^e4-EnrF43@twx+|3=lIxAtoHQ+R0@eTdrM>57I91_967>QWH66^D*$}n z4SXIzg588Rjrj2Hd~frq!-^G*bK`Ksw+I>yyL2E8_~H+A34#@OIkOOi)J<)inJlSY zejayc+rg@=S-H)BKPaDE&GMjM@oKKcVUutI5x|1LkT!&8w7TWpFbi^7M08?^c>`d$ z?_nKQL3)jXFnTTJyaSPEd~ewxOteQuc-b`qHyms?>ALICA6VBCtZU&;(psS6jg%~X@*+5fn$DFa24ck%kp$qmjBQBb z;UDfG@WB2q?>}TK+A|#vmXw98lljy%^J`!<-u4ku2<8EY%j8^Ll^*62NBFD7Z}*G1 z0}1

    v!mJ;XsXyf4bM{ew^Krya?MVo)MkT*{HDu( z(^af8$}3o9!V?9i12B9o4s68xZx9FIp|@dX=8jkZ@J8eT*lRBU&1AmEj`umDSWzCp zQ-YrBd}uIZDA1^M=!aM6ih;|!@0KrH;3YjE#fLVIbbvEsEO+$!!PUTlOBX(W(DGQ2 zg^YAG1t0}PI`fam?r%fKezr+a^=$)I))TOSZg@WV<_oG7GvN3vk4NKQo_xMdEpMlpj8PPd|b zngGiv@uA^vV?3B}1cxfB6)U|U9@J#%Aa0QQWCDOG9?Zh=p!8H0^i`({l|jIy#erBQ z%ovi?P>8!SaTg9M*R^3Jr{+{+PK??XI$&)lSlFgzfVLUaqA@2@BPV27H~99yu5+LD zg0`DZFjfNg1AI%+$3g3Km@=g84@CBPSla`HwpS&Yc#swW4@Uztw-eg_;3`IQvTkJ( zXuFAsE2;Hl#3!@tQ{Tzmo`g0Lf6u^U0&#WXOX_8H4L=`TaY< z1oLxZX&vYSWqK1K&n1UHp$kHuk*Gb_{uSzPUV^&d1=J8+L$H~2hDyjf#6Uv%Fay$q zx6S!a1KPok^*h#N;{7mq{1yBNapUpEQ&`){vqJ*`)l$> ze;8Ds06y+1GDZaWETLw;04{+pXRadvOCCWmsc-zHei6h4+T~as?bbTVRVI#lrpiV} zJ#Q$39^$j4j?n$*Jtkd?|Ivwct--ohW{!w@jvaS9Ym9pKhMLZGz|%X7r+dH@_0W3H zY=5i%8X5I`Iu!LR92xb%+-Jjc-=!}Zih9EPRO%gd(Wl9%$9lFY>N)Qo?z7kJtTF28 zH$^=IZ|B%_|orl_a! zAEv115yT_#f31U_Ax=7DJH%y6Q(u*O=cVW~z@_{2hS=Eli4EzpOS*2%in{56^Kdgi~Q zShdF(^*kPqdOVgu)Z+v~oFatibi?5G{aRVjDcxcq|bz6c8WAzmC~WGyho%kG*wB9m4B_ zWsC!#VI@eN>L1}{>pF8t0}%MkHU&NykPLi^kA7whd_c$*)Y*J13Isl-o+AUFnnL}% z{{Upn@38I-2k)D7oqj|B{VoGo*ZN(H{dCx+R(E1E_2Xn#(#coB6^BORWF`y@{TLC6z4B2jXzr7!O zLJO=Pq^R->1$lr!G9KV&EARmhS()C4qI7jrCHb{KVWClJH;LL#L zVxyun3_PmUnz&%s&4qiVl3P)CmprG(%OX7p~sUocv?bUPDynz=mwuLjM7*oI31+6er1@^-v|DJ(Mk!vN8@H-MjKvaR7qlz{05`VJ4uax z`BFwTqZsXZ38Q-`U*LCcY_{r`nBKXOh98HWF|46Q1-lc{5HH+B@0Y;-JQ&fr#Q5&l zP$cIafiIw&zs2lMCnO7dhK~RutF0h0oq>qyxx^i|PFWe|EmxMDCtnxR zsR6y_;-0~;!zf={hS{(HSW8(-r$IOT_pZ-uJ?{9V z>cww$Nn@wj0{*#HzuJ&*t_^L|%5g`q{v|k2Y{rRKWPmMZX!p8AV#o$EymeY3ff3T&ji|dwi(2_OCkV_e6Po-HKCYD2jZCiInNVIhP5)J@M$Eb?_iKC z3nboh%4o*V8YQ8@o;$GR!! zJXRBLLh7)a1{R86zVLe`r!gBfP=p=6`r^VTG3g0~A0plK+n2g2KWgN9YFRXPD}5yT zW{jH}#OJV^9=`k*HYVw&i+}wKH7wm5}dp4MK?uJ1SS=rd#?8++*C62rEaR( zGty1hzr;#GbY|^LqhO$Y!Yz1HFEb5HeB!Dyz8oEZ?W6CDo&DcF6 zn0Z z?A4F$zuOMC5Y&rht0(y=qOalWh zYdztSla$LA{~iM0`hpw_@e6Knh5gC2Saee*0}Vlv2qYRh>CUxaBa3w=r3_!7xr6OU z)# zQVS_pcU2~i(9ly)I}jo1;s+ptv_)48@5S_L-ih)7kc25+SW5E**#X^nP{I@IK_%#k z)*_lOs5HxSJ9Xq6`$qs5AJfm>ORcMAL!uxdAQ(3ULWf63;gPo8@~N^G{bY|*a?4{J zp#k&ZjRbA~?zy~^IK>sP2E)V6D7=u;6!yF3Am-+BR%2MSg-*Jz1#aRK0#*_zy>+;8 zelBi6=Iek3wroPc6@b(npu&UlvCAIM`922fNKZCsB80bk?{o8!9*|Ag;tE`+wBtdBuOd!u_9;6- z)?$32;~NwE-U`u&oAGNRo#RA4d#T<6xIj~6WACx})t6S~=7VUWr(mRC zC*g+1rTlyAlW;vFITtK6fftbAd1fQPlZeJnJ~1~B&y^Z^pd5*SmA7FT$e z_Z-ys{}K1*aZw#h|L_?YcEmwk*u()`5Rp|u!DWU)#C=5K9+?3K0og=ETr;fVjyGsD zaT(l#TY?dd#%&N#649VB33^EaMstbR7&IoABt+kD^~_-jlIQo|>qqT5b*ihYs=KHA|dV0ef!rUSMB13p8jO3MoR$@E|^>D3tZvnKc_|T{W zx3FEeBlpY}zixLmd(Vb&A>8(R`|invXj zxm>O$X0!_8%;mC62g#iGvE{Eio40t$V9R&iX;WG7WqU%bQ+pqeqq_TJzOLj4C*Gx< z7>}2b^21In!XnDK4+nz2=HJenv0rQN)rNctSorf;I5?eWJTEhk)>?hUeX&>>RCg3C zTq)dvioy52eGT!~G}T^9D7SvUmn4@K9IHP}0&JH`oi~@3kz0c!HXh>AXHO*A{<>qh zliH1>FSvT?G8b<)4x@Jea?=v9D5+cG$cU7N4?fsO@=81sfCzKAz|6t)R0$ZAG%hE@5&8HM$yl=( zrKUm@Ri0%u(sH4)PTkDbe>gEPwLW!2Y(eJMe=Vm&E&8QDlkK0-Y*YHiB7CCcxREz6TeD^m0^G5ADQeEHPzY8@!8jkkvPVY$xtXCS$JPg86=8 zHo-ER@sohcDe*Blf|gj~9kQ)&s*-f(5VQz7vs_2oG{CF64Qjz|$E(-PK}KvX!+w*f zzV~`h66_i$rPCWxzt!OZi${OpbWyat;GP{lpSHYEYk?8jIW}zC_TGgSV#wsPDG@rm zTPzmh!S_ZgO18B0#|N5ud0Hdp!SR%o#^ z$LmGJ?;7So?r5G8)HW10+#Rze_#TaeqUmE86u6_F6q)T1*+Y>Z4a&8d0rnq(qq3^P zcE?CP>z6W+XUn(0_Lf{x?ZRjs-{;cZS(vXkgl?tz`k_a^h9k4(BasypEuCNG`D^dO z2eazX(#vw+eB3rbO3LQCV~pkPGh_1B`GO?W06wPfgE1C7%Q{Hyefp+*cy7ZwMtf`5 z&o0%i#U6d1cRBL*2k#$gzhCEaJRYh}xS@ABfYMkX-rDAJ?1SN5lRCoi$mMuERD5HI z&gB5pZ7#>Ja5*H{qeU*q-C5k;ZF-jjIK0cD=tHyB9FHu zRIz37GRczLvM1KF;cpmplZVg;Gn zm-jPB3x?lg@3F@4ivvgTSwV49@ea{*Gcotm?(uPZat(g5+TA3d3@O87Kdl9I^6#U(66_jiT@k)F|>qIex>} zogIUPf*Rk_oY5V3GS1&oG_;<{tLQVEJ0&9B#T_nqW3Hg0NBP_=G>WX*MRW7|;9IBF zf5>{`RBF7$zP20$p0QY0wdnV^$FG5BedtT^Y$c3AQ;{o>3=c8I63G0a(S2sKqRGuJ z=`)fLd`o13bP#gB9SS6G8jIJJ261P;e)(kE05s<%BR7NoY2jmVTWDd8{b%K~&F6uE zdeNRfv?V-o!gfNid{RnHwtTiRaR+Z5n+r~f=Rf^&{9lHX(HIu=hyi^MK@g^X!r0tV zI7O2`b2jZPKh(z-u+x@5H4p)TJ)Ok$5R4&faBiSumSPJSn49*iS9|WfD!@@OYkS!| zOg^NH)M7P02bbn68L4W<(TObMSR>AkgL9+kfeJ!>?>bHOZO(ZQ7=?GKO4er*!o8L!LU^ufbEmqIaqHoc(^oW;i@wx1l+T!q&ww0aA3phj z{J0VBWd>aDA08Vc?-wOTWd>?1(J?d(?=69nVYL0p5rg|o?Mdg7N=N`%1+pIgMfNaGlBHqqCy- znkA;cC0yksst*+?PuyetC{`Xv`;A2>zXrbp52wXGtYp&|D#-j!^DT4iCdQRE z;68hVaEyT4=ogGu9wW1a;;{up6Xc0|QsNh!zPmOM({&v|~@5!S9q@ zL;Nn#pTbVw0Cm199rlPu4ar_kVq&_CjQ;X%bMTHHH&>7<4Ft=L?JS!!mN}2qDby&x z6Xh_TPk`sZ4rxO4`*3pVv-h$%Y?RkUY$0mclB#HL zyQ92lT0G!x-a372Y2u{4$rWYn5Kj6x~t`? zaj&oCI~{BJ4sAbMmjgE8JY_q>f!p|TDLx8G$fB&ZM&}5FkP3Hdf9=~H8M-4YYnrA*VVLq=xb|QxUOSO8#qB}Rh>-Z zna(sOO@Yz`PHm=P-poux_6L+^-mEu`7E+qFqteWqNom}}oOiOb$mp+T!EP!WI6D4P zTxnahy_mWRX3X4rYNQ>FInn&J#ym5sqcMBaDp8|DM@_fnGfi)wg<+;OKL{#}kznff zAYd-qP(y*uzv=g6{MOjy<(PJ28|8ze!=r&>{$}*S@RNZ0qq`Y-m7MTTWKDzO)Y2 z*D#ZQ?-ie*T2hKo|6$sdgNEO$ezv_oJ^&zX$5{) zt7#u#N-4S)u9v?tSgA@W!h>Xp=~?PRUTe)u(86A0&G&pdT5~N;E4ZE=`#&w~OXU6T zm{Xtgt*HsjL_c`0_mLT%&N|pu$<19Vx#{(l>=WCul9@mJ+DcwJ=k=BB+p&_Q5<6NAsbx8#Gbx8y>B-^7F(FmfTFk|LZKda{bp`E!p{QSXTN%ELw1C4C+>*Oc&~s z4$@e_wiY)S+=HI42DBT~WoArsbKfOBUv@TT*3?RRZZYm?O_!N9&AHnbhH<6cFj|;l zjEcI80iP8u{4SJAgo%+63UEi0sh+ORTZGE4?80!1D?F(|y4I7Nn zb$-J4$n$FM*!dZZq0Wy>x_XiP%FbhR%g9vGfQ)zHk7}mF9~EAr+~37f|IW_Ko#QS; z&&}=qPoA#->3d}`j3h6mK+5``u}oDRy@@=XH__)8*hN)`5pEqD;kKH73?F!%-kC7n z1ioJDex34yS#)u~)CK3sEO`Gbcpl8cD6^yQCvYO)Pp$HaB-J*Djw<8ZRq)GK&9p+1{vX5rm2p4 zz8b&=8FT9jYP0QjafR7MnAU;p;);Hh(N3T`?_?KOm|eV6WJ`llyIpWSX}}nD=v&&G zy-jBqS)Qg3-WO&L;g&RW__hTov35UXv6%z; z?p!Y~I;vUY+7kzBeZ3KfL+O;zFa+0k!)>Jp4IaGlLEp`+FQO=nr6Wl{H2&TmIH$ld ztgvr)Z*#ZLE>> zk-ci=DCFTislnHihu}g546(JCerMA3yVz+pH-{_CDu)=qstwN>aE=DF#(6iVUjsaK z4n9}0Q!G$GvS=dP8M~@bun=yz^b}^pMZx!sP|B)Z1S%CMo!AP*f2GE$?f%=aAt@fY ze5p0P>T#?v%gF#2(cc{446%QnSU2xhc1tbaShbbU7Sd9u;hYsnev{u$JYKu z8~2KxE)ygAPNq4{Lm(S75}gc!#KHN0OvE0aGC|4A`R^#xz;0#IZJKzjXSfQ7@Z{zx zR2%gpS0E?G|L&F!6?Vwvw!S+t%HtRRSEX$3*E{(nHZq3HQP zawwXdq40spebDd)M5RslPbw_)S`|FW444 zof9uTj^(7hHI~b$KCc;OD@i|F)REIgE0lWr?N>%?4h3-}7;MnzIY*BF*#(%V2z{vb`+lC&>nz>-n;* zbE5F!&CTdC&h44E#^szh;09(srO~X_8xI)vTV0`$W}-%OCM7L;x6Y+kHfxeGnzhPx zXp(_Id(NXp(bTFnlgNc=t%%$owT8&UJCLWpH}gHfD+dS9gADPJEPGraQ0C>YsU!|a6<%V7gN8`G!1w}w=HaD81eK2dBR ziHmsnN|y)y?sM=0RXT^JvQvW^Gw<#5)SNRlF$~_rj=i5U)E!74*h&tPypq;O>?}>O zY9Zh5`XPt!6mCIuWZQQFhlMFlvWPyDhqdOwUP`L7$h6f{u{3s6y=sK5P`7KDK^VY6tp4kb}?PFa{C1+}`cAJBVD5%&tjC-X3Lh$4~U zY6P`jAk@q_+j~->EY~W@ZjXBXSxdQAwjkfFp?G*s-X60+QikZ(B?@)$xc zSx?B$)`UEekS~T1@-9NIwISqnE`&UvkmEKH@=Av6MaX+X3HdIg>_EuLu7sQ!R$Qj? z-bTnx*5Em7tU>L4-|C5k{JZIzxEtC50=p!;uz_v|hv#h+9-YusyR&hE!GQa|wP6ji z1?Em>^EcHN4>x?53Qc{R=q&984vVKVaV8O+v1Z_qbEiT>2Q?QDmz@x*%2ele5b`BL z7Az#>!YM#DUPj0oPeT575ID@aC>&R&;&!Wn>}FGRd*@YE_Fb3sxEtPnSv`~NQU`;e zQJwH4F_x7?bgHLOp`Q?)!T_T4+hCIPJK|8hmkNE~29g$MWc%LteKMVpn+aJmj*t052n08BSl41*$UNV!U2rvgh?}-I3XWk$Y%(-bT%R1W0V&Va^^6i zoHO#{E#6pB;=h$nOjE437#b2Eu%3&KCgx4M)lkC z_0Gm81}~tEHwzan*m%w&t)LdAe?vI67hv7JSND4;Duy_BEMON6tHS3e4PE3_?Hr6( zAL(D!Tcp7lR}0WGE4>sS4zKv#=*bzC;)?pxS^YLMctAc z-q})8QEy9vmnlT0U+ zk~NTJakC@F;yz`iOl4V;eW{evw^^1_mZeC=WEsw~B(p5LZm?1ou`GYEETVU_?#^Cd zeA_bH4}DZD>j_7)UhyQ$QgBm@?zAG&7%Cl_=x53*B`2A6q^tZ)(XK@MsL=dpth3#- zSX}<)PUToe;b%rc{keD)+UHv=OXvv7qCUW~^yxwU^Tjyv%`bNvgyx9XoA$pSUKb}~ zwY<@>N46vNGomBXLK#PYlw!n&x1sp(&qWqOj#~{F-6QaPyj!k8jGH7U+$}%bZNq_* zY}^-6l6BSX?OsFQyEAlO_ByxPZO>D@N=$A@)q`Bhdu3tEgUO>S^1g>>IzE~yo)GSK zINak=xc8u0Bl2dAGm6+}oHtEgXqcBYD?}9$HbG@q+NbnIdeQt-JLZ|$_ZDwfxh&B7 z77tgP*PdDkW`&=rU0gZ3k-&1fsf^PF>)#t-OhNj6?%rP6U))QwR<10GKHw=C$i1?C zysN}QWY#b~(b`mhAHin+vH@rPeFTzqmdn>DM0ygPuP6`=*5@ktRDVT5bkwFWJyrG8 z{J_DF4MGegZx?Uf@Cmn_3#t6-3u!*PouI|Rm6M8ur`oP6sPkX=cA3Fv*(T7>GoQP~9xDM74G6K<>xGvf%w}@WMdrt0QQr zb@M{~RR;=yzuP@Bw1)7rF+$ z?F*^v4)2}08$sQ1X=!~8ijIp}oc;rf4*19~N`}Dfk@t6>a-MD37&2RRCR;S*bl@%0l-=|R!sDZc*ud(ZFJWq(51YvUKU z{zq3M17mch+dwpwzS#nzaiJMM>9f8u<-na9&pKbY9(d>kg$4y_vFa9A8Z~rLs%fwrQ-uHITnhfG$KUuo>0r5i_ zM|N!NQxSHl&%>27T}Co)?9;lYX)sj(YHC?|dN;c4D%w3x?!oFjA_Z>5^@0byN$At796VZ3f-B5>5 zMnoOm7dNz2F*LybV1T{rsHmf(>|ICO9~>PuG%)IDVARkv+Tt@>=b#70LHE7S^`3IH zDu3$W%#tCQrXHDY9+_btnQSMP>NP%J5Z{;j1fUYbs@HD`o4-lm$a~KHkt{OQ%b`n%N~@iMFvD z*J}?*RNATB1b4$&1GhKqY;7brKhy5wCNDHzBa}b)ZE#hS$hB`qT(*2@s`*1Do`~@B zzE_>^ASC@+MCP}d!$Q(Gyw5_?m#~oZlUYdm!i1taQJtx#CSM!MeHkDeX;5<8xx`wV zpS3yF)IVX1@qD2?bwsTT6|m)Ya-wau+xq0F3Q6dw5%ws;{$RaZxQoPEQq@bak$apk zTqKl74QzH%n`QSex`FWI@0b}#d~JlB3X$M%OckkjBUJoogo@9kSn`NQFG8?+IfBh| zNkF)dsN^35VJQ%rfKY!EaomAW8vulKAT$Bt3m_Z?!raB#+{If$LE&CdKtz0Q1rVMB z;X5ES0-+iRdp<{)_WhtR4hZXj&uEhB1HNK z1bR;$A?5x+xcZViLczJikOINx#rqI3eLN6$0AbWfDfa-O;_DCzUW-WZg84SWoHB%~ zk4GH$uMo%m6cAz%=)LJHVR`dO6tTcY`29u1)L(^2@V^1!1!BoJFUjT}el09FJ!O_X zGYY}xE5XAi#FDo|9Ctr|sdq+VwxSi0;JMRg!4HPnV zhsShFo6v33hr zC@{yLOOZRGrryay9=4Bmqt9p)f3|^PVKyxVTzhDV8$6p9S}wzO3>(8D4go&A&8}ic zp1JQlLpc^JjFq$y;p+o5d}>S|y@9fQChQ?G!@h@nY~-72475h$K?7(r;i$IBPiYppzYKK(`u1Hv6Hr%)W(<& z;=~J_v4q7F(7U00P`KWt$g`8*0He_yy>51R``gGX9 zv#3{-i}-KA0a`O7JZ?KyZ5|x5XY9T-#ESLCQJ>~OSW6D)J1Rdd9?YpjI0LM{7U=g@ zY^szKwOqD5m%cPTOBL=G`nq+L2> zP2pg!cC<|G;^wQw`8PWoW66h>SsRORqzzwkrz5=o=3FeyuW&JmH{j0MNKHXtg#kVA z>(pKc=qz7O6aMR2PoE0$uHT^oWXg2e-iso7dKn;yiP64=hlE^QuMpFz0irCJQ^Tj* z$((c_p}bu$QET5t=PfwG<_^*Fy6zT&hEKiJGOq7H-}YR zt&7rI$<=y^DpO?*E{ar{2FAI6jEb%dxT%XYV``MGD6~)*Sb2x{r300lH`?w(0MK5e zP*#cMVmiyLb{JXQh+_imUV(=NnIzD0{5O6VK1~TY;0XQbkVU~{J7h&Xc)!su(8hsa zJYfq=7F%yGDaaZs(DoSl5z%du;x}JS+X|_M8t4>^%pUo;RP+sg(bzkgS5kybh~_=A z!WBC{o!xVh4SOw24WXt2#^|2yt~h?9-sn`+9@f6KU?s80Zk8zJS&Zq#qS|kKcPtJX z+l9rPT^eFhcgKVS&*JD#EC#s_?uJE2*M41CEZVS&So|3Ojj!fetn9>MgP*E97RRO` zbp7A7_--k&sG-AGG72ujv^c&Ki^`ntSlkoRmBkYqRuhYth{Xn;#ex1ED_Y{eq&pVh zNa(7?_n0Yi?4*+u&!Vami;KVSjz!0vU0JN$u!dNq2q^l{*Jz8momkZPFYS)Sq}5%u zxR)KWq<99eWNti*OFFTbBpcFAMeq5#D~t6T))I?!C~15l@-cIH*oj3hU`2N<=1CXe z7@WX;mApL~xn>B(;2vKJimy^}?#GDRgU?{~wm*=8s1%bC)xV;?X#-h^xf0p1Y2_+y zS~=iid_>4iG=8g#)Uy3e)OMOl>Kqnln=CNBlhhesbSHJaU3C@uG|d5%!|kVZHCMkQ zVl+ngJPB4f?QV|yW(x&0JP=NN;F^IRCA4L=M1&{o(G$~2BWL$02#}f}>8i-h8{Z;g z6kct-mRIDVfR4>k8*s2YMV`revx_1X?qWzhz5x_pd0X&`{H~KCzuUF38%3I!WOh~L zu>#uK#;PV~INy9UofLWCZTD`PPrYO5wJwT05&QrYbBM>D=XphLVUZzuMHX2d?@o~! zwOti?aU*Rx>?vTexv8R$bmFh%m+tsm=v32{zmKwsKf`Bj`g`0-e~+uX)8BQI16}oZ zvV`=PTEJqq!j>xoJJxSQ;F<2~_b)+wm-MT$g?*PE z`^m;lq_!S`e6@bmNo{4Phjmk}3x{gDs_n7?by|jHEW9__?@yi7_ESrDYFlr9tgG72 zRg>Dh&+}@_4eF>iO;BTZYCCDLq>I`lo+C(YbD!~Q`>B)KURa&)PHi7FbXD7)OC*!M$$c=+OCrR@_;0j*{NAL zSeU}0PRwb?{n8zCzg|u5!kog3hBVyi5yn@;Po0?iIl4RM#G_uY;QO7s_upOUv{;4x zE}lC?!QDuwh6V1CaM3&}zNalX%zZ2iqLTocjm~gS>qRvdbP`~(RXM7*(D z!$mE8%1%+Z|3^e#tQb2+j`^W6+$y{6(z>IbILbn}3VmU|(2PmR`w$fK?>pBYc{nY?3b6g0osPUqcOEy`PI zPEtNBbQ{e{3Vmr#vS)rnfmMJ|X<*#X5}&u5Kl{QJ8%-oju@gk{Gdt4t;h1p{QL7WN zd>x4>@O;}HH)2)XG-VI@pjRsJ8P9g>a19`!*IJ?9t8DXFsuC?wJhHHxq~Jy~y_aw} zV!l-CkKH~OEkXaZ5HmBWS2oZjMq+t1#bth!b^`O32reyj`M>#kzSTXQ}As2 zB-iYoo*BNeN6n&uDTW>wtDxFfjM9Zfu+;B`UbWk(_}iX}25LPLe`-Bt7FVIIM9F5k zuJtsVqV+V$R28Vw=3qSWt;gtMfnXc89z~2l&NNwj30a-!T2JLBTcB!O{i*d7Y;t;H z+SYmw-8@FE=bWM+3DydN0m7B6^_*K1M6KsbI*;(c7jHyn0$9#W&+DYpwyKnPgcP8y zU2+^nY8Twotw%K+X8R^KVLeLHEEPe=S3F8d$60y=g>fW!X}z%>;>iV-`PY}!>ub`m zzLI93wH9lsiq%_jt$4yR+Eab`P_~Ca($8|KFaUZw<&`3yqTkDHXN#RXb^Uw`2XR`> zSlVDz*KZCUn${O@X}X4Wncwp8gvAmA+9$Jdt+nAi1GQg6EcW28i#Nh=Pur)}$+V0T z`K@H9{^WzNcO7iSD+Z;g%hwcOGhDgXM|i+pUHyOTfm0&Q7S2=Jz4}%cg*L4(u z#KjGRDS0MkHpFZDNjK&gNJ%N#l0^lq8?9Xjc%%NMge^gA76=-_Eo zWHor<4F6)sydxJ%cXFBm#$(O<<1}$yzu=v;1ZA2lAn7Jp#+Y%pn%=`wQOn^z`2C4O zeE2rq__1y!MAhvWt=1|~#a9Zc6l1XqE(tTbQcW5iZ&{okSVQ9FdbH2#n>X23_u}@1 z2EqY*xXG!qeO6yG{S#_3|4VhCCevifDI|dbsLUr*+>s-_ymaz0I(YNvb5cnA-nory zorxFA=^?ZVxOOS&eVy&}PnTnzDk{SBS1zr4@&`pKKb6XA)U(Uf~dq4H7}i-Wod zR6m{O);$MGS#&@WNEFmjAoY}w|4E>@P6EZnujo#o?=w0GRQ}GYe-fyvlR!_xTe=e{ zUF6k8pu!o|B#@6aMSEg3)X+&F`SgeXB+%7P0$nv*+nqo;YdQ#|+Y{U3UVxuInI>VE@y95~#M5 zKzF}**_}Wir22NLp_UnJ6@JMn_#8Tc)Z;q})I8(mKMC|(CxL#Ol-r#^6>oJAsQ6Hr z+dtS)?#7NaRM6YpryCo(kV%qj*X0+C2Ek@lSGGS4%SPAkulB?e$|A_YtXx& zw%kkmdVlvte<3<2qA7)Mnq&(0J{P0k-M-XtKDJe|NV2ARVjXGj1>zX_kJrNikkvqq*n z%)CorD`ZRTx?3}P{rha-kv2_Lose4MZ>#~>Z0rVEgZ<&(>v4=YQf6XQ2T zxHbK>FbL z*?tF(FD>r%dc06#FF<_@HQyS>BWU-&g7Jl|tneA3w^kIv66R?70 zE%eRdBHIV9j&L?LJ}sKu^4xRnERZ$&+;RjYj^K{fXHC*dCuz$kRSVWtXNA=WCe@U$ ztu0?um$kMI)EoT_LES^@2#Qg%dUA-lDUK~soC)s>FD+tU8B$tU?6LqX7SE=MU*Q%f zHN`@-g(2Y9+$=Zv;70d~a7{bBlqG{EVu3*FZ#1giODVi*iwrL+YG*GCL1C&{cp{hh zF)d=w^pD2gVs(`R?Xq|FU){{AnQd-YOgstW9$#?11i83WXtrLo%Zl_AWoUeb^t3`` z0!f_xE8FyR@v8gHi1S4^egsyc>@NAxbgNAHuoM59y4~XZ9!%OA{XiusPpsP!d?_ZtLJ~M zhoNn7c!Bz!$|lfFo3mhPrGjD>V-w%^@N(hz6ERl3=+VEjokEe0!c%8Pp^m0hwQ~;9 zEcvaRPxV5gy=hdF(cYc~WD=w7cIZ*yiiGVC!tyvfEc8DM_=JQF=HO0OeOFIn7!P)3 z54pKuMn9*K4WsJ=Udac1f-dItov& zGYSe<%w=*Cs=`_I4(5gY%e(9=j~*mW1JtRzcep{6%PKPige4zPomG9u>dc(g*;}m6 zY-=`C1-@m|3(W9_{z(xDo>Q;~;h6;-Ui4l-4Mx3{8Vt78F)3MtnJ9XRwq+bZ+B0hE zsJ$F7`hxgM?($!~_E5*=y=hqlAAUgw4PY|+w5e+Vd$T;dNZvf<3F%H_dVyLVle{A7 z_b!q*^&Rz3l3(afa`l$3lK*D}FuySIH{^$*X!Lc5V58lKi&xB~~0e z*SU5&eS05&H|%no)_y5Vl-8R?4l-9~jeA=7c@4|u&pSB4sThrJ@;M#Y_{gc4Ib|-MtYXo*) zerUrDDviveg>o8o+od%GbnViLimnd!rMMI58UDF#pTBC+rEM$1KYOo+sTw{0U*0Wq zCjGq+mlf>2%PRc!efYqrZu;=@AG`M94dL7OKH)1ig5~Vqn2&d@*qo^|S3dXqf2r7d z&x?1vRIJ~GXJ&P66q|MiuUK_Z z*NSZ|TI`t8}l_ye{AJa=np9>&LrsKY3U9rRwrze4@$H!y8^!odPc@S*<%2>9%diqrLQC>KInY07^4>d8 zP_mAe?%1ODaqqc1M7-K6xl_0@E}{5?*y~&6@8hB~^Lp!!M9nQD28Hlq`ba!*DsaAL z*!@!nzZi-bJ1#3&_2lS+Af5gryesbUd#79(ZZP3;llxP=!Ho4iIuvs2@sd8Dv@xVR zN7;B{TtxAEW`^qU>lB{yTc2xYZIaeLUb3SNf0T=e1s}^CVn2l2l`P6fEj09}t!|Y% zN>apcdgCc(u^fl;=d<$Hm^cq(3y^wL*Ed8vV({CqR#^j~w)mO@4vB11}G~VaC=Bp_f zp~0GxG>R3LMce+GY1_a7D3Tq?GJ=9>VR1I>YSvO@>r$Q=Ebpf zIWW(2_s`QA&$=O&_aUf5_C*&QhgAQu9*YO&T-*?@S}TXcH24?R$Nrfs4H9tfcz|W5 z%f!9Om&&CcjjP2RD9e!GrGnehPbhdBOnWogy`@mf4T`P>@Mj@FwCiA>eYh-cu-`b{%yik{dq!wy#= zE)>HHat^*e8uSg_a)U87o*Gc}wi%*w=e^R&A$-?}ZC$HfiejgT%%L2~`f`&@u{!&? z2_8~I6w}%Lvn~;PL9j)LPh$o06+o^RyMJh83?9vv{(|-XaTjP6CRHYxPRlY2B`8@L zY&@1EbK6WM!#Pd4Hr)`va6bxtCXy>h6|3LNax2_t{Q!^kqUyf7plpXF6kP|oSx@G? z_-E?Ls>~+5AXOZO=zD4ng4M(ILrJL0zVfA8@z|( z@Vja8W>_bbKMCawP);$F+YDv+2y;-eEz6?TGQ2lEpcmh3Btwy9a$EG`g(qpQ(-<5I zxl%*qGni&P%Zz`eiSm(D78Hos=DyiYvl_3vcX3m}2W);6oGhP#`H_UCL>8x{q?q!d z;LB?SaPXZhdua7yxjCjT`&fCLWUPRDWJhQ3M-C+6u<@YB??eqJXwzXZd7c^5gXhDSERsUR0DOKU0CVz+B`12{}7q2KEuN5Vgr7d(|~fZE@p1L`0-}%>rWx1GVOD zsYRT!scX=XPRVXlb;(l0qfn8}g?VT@H#FwQX?bc)IwV8OeE-N~0baJsch2Bax4A!q zszTowM=UfZNOc{>alFsHT}Ta2s+PK&9)slKqmnCG?v62$m|EA%o$A`JuXyow;FHbf z_%6e7G`o~tdNv37AByZzUW}*?{TGWW_KCE5BL=60;*hg9WCsrgEO?I3NdzPEv$gGup71JACYoYF=$b84{Ma4 z6}qz7MZcswB0Q%b_N|9ZqQPf`L0&&{r=q27_qzNv21|+S&lB1P%f_mftfb6143=t! z7=z>drg{GVJ*e5XE&+Ew9c=7~`*?{Cmjmv+>^W!^U&Wrvr+a0%jsgIlWW+NRoI7gEWuVM6o`dMk*dTwfoHWMmhL;uISR>hb?TR^MbgLm)Bh0dVyd0&jfIwF79wN3V6UInRzD% z1+Xs-1$XSr@O@J}o#rY9;cj?dKnh9f zGdZr7Orji$o#{e^+pM6Dtibm0$@Z2ly|r-j@|VmC2vP6ej;=WM1OWDMI72Hlo$HKVKZMmO>8dwt+9W?x$$h6Xw0{X-cS6i6*{mc3DL z0cUQBYw^S%Gk2}%nqUGaHluLMM{;f4FurNtrt$4ax;-9VOtFTyu(RS|Sl(wKmiOTu5^GLtM8V9j7QN(j+!FF+GZbyv>-l~JqM-OT%E<|8 zj1y6E1miJ2T)TwE^vS(9wv9>^B`2$%Y7tviz=4yZ4Ej{FC)^;MmY|#M$Q7{t>mKb7 zz|}kGv9%T##CUkW2&^i^3up(&p?^8#_U2yPD$O`Tn=_8a;0c|17Fz^$|3(@n;H<_| zM7%urdw9S?JZ&*O9>FrzW|V~D>4TU8mPtT&*yat}k_EgzhY+KyYS;$)jXYSiH}JB( zP#a`ef0C|J$E8JVaPpwRNs*C2tQ6jH+S9(UdT#!kdp`H1-oFqUs28>LrQZ0E(0B;# zB)OuYh}P1{A*2gIT9(-M;nkBz?;hRJ=P0tA2`TCg7l{H&E2qdN!3%ICFW_AIF7O#3 zEHO5QpXDJNr6#we;m#>iu`awv?UIal=EwF~1UWpdhp<3F`TtU+T3pIlaV&NLB+^5x zbN{6%G{2I+;@I-p-{Y%WbFZaP@DwrKaqrcGWz?ZGWUM%`eD*`24HffOjEFA@>z!qN$ zhHqZLEx8B^oEkd7Y<2aizVt09P{n+%+@GrE(*X2i(J8hqtfb!(@{LQrxJLWXbQcqA zQ;vmVt4>v*5wh@e;?^Sx%Ml=ppPx6sckCYa7C|z+t9nr(Uwx5U>gcYH%=2Q{`aiiqs~cmH z^EEEeA5zR0)7zY_9r?vd*dI)CDiN>8E!FooT^wF-NpMYb6j&` z`}B72*UZ?1_6WSD+21+ve>D4SX-zj(fA;^Vdiv6Q`N6~+_CEWb*TEM z`l_$(RP`rvv{dyUS*1IbR+Q)M?ppQBUY(kl&|dW;ZJQTD-LG^0H$~xojrQrw=uXoY zd~>uiRxXAZue18#f42IJj#j_BgVmp_2EqnnmL^8aZ0OKdCm`e5aw>#QlR$3M^e=<9LY zyf2}{ypQYotmC|Ih;7N-4&q035dWM)q%(XG_V%|M{>2=wCu;w>)e9%B;nmQiv{?N& z%U?0}qbbMq)sJ~+Z35foQMee!c0+A`l*(t5Y+)tBUT|6#KT9#~Z0`xW_*q31KWi$5 z!Wq(xcuBnv-Q8JCF@{np`j3`^_#`55&(O;(N{ep+1=TY5)rEt}vlosILHH+u6`@tq ztBs>gBR1B8j+@Z)j7pRzK62Lbkf`SF&If&TQC$ixC=5^rMc2ylqF_(ldWsqJJq4gC zb|g9)8O01*&Z29vP+Z?KWEP4`!;t?+$j$=@xtSrqMaZIFL^+f~apg=R%90I4c^HM_ zDjr10+7P0=n}yiCd<&lJ3N)f?~zh*qMh+wf45iBQ(khKi?0;3#2$ZCoR zX8ert>_n7rG2~T*tYG28erA-%5ppd<{*#b31O7EMY3OgREKHK+D{F*6Y78Nq6Ja2j z-`9*H__$KMq~w7pUAC17Hd&kPINN(%FI~7LU&2ujA)G%3QZS$r!f|8a!;ZR~zq3>N z2IBaHmriC4Y3QOswL=k-$?|M3lqH=*Wxbe85rR@F$d|&C=-j2qVzLVq#;D*OQp;}) zIg%kyA>=}a>`TZI6h2ILgCXxGWPcl?yqFWR(pmlsLM~lI$n^|)tn0R@Q-Khnvpp2&&%%!TgUb z>Jn~nD@ck5ESTCiMoDK5H($`<6fQzjH@?fHSVdAq&FFP>xY18K%FeCCd_g3b&woY{ z(@NJ#5km34S2cnI{zZ~%uaJ8GWD(q6k?IN*qK63ZCq$I4vz8vV)B|LeQy|40OBUEG z!JB%U!W_ivT9Du0lIU+%QvY0T$zp-Uvn+}V%3|nfOvOF)Hbv2z^)z1OkF%sw)KM$| zS#Or*eOuw^GF4cWn7Hy_rNsGADXE(%i}9N*OG^|nCU9hoHL+6WUT2IUm>MaEWkE1C zVr(^ItR-4pjOji5qZD)8QUi)9rerb2h5;&c3wz(-XZO0Z22X~?T~ix8vUHpKtJ>gN zA2+SQceAxxocVdQcPN+LFm_vot-v(<#c<3%2w!>{S%KY#F|_94`;}kEWLe zUp!?mH(zHtpL=a9w=Lgu`iH-^SgXC`4}4=QUGv)`JhXay9IPjr{~KpT*?tg@W(970 z$O^oKY@j(hOjn?*9gB(O0iI89)Qrs-{5gUp)j9>8UW{N#aohI(=!bAcW!G=D?nbnu z`_YSoZz3SkPpAA2#v)wNZ*{xF{Sbd@^^=;Th;~HTzob}8PC?%%Algyk!M!U-Dyt+D zS00);EI;tR>$v$obu$P2e%t)P*5_f{D&vf@Ca$iW7K_@Sw!Y_{t}E^TDiubk)uvlAwYY(@2_Lcy-L5oTss+joTFSys>JWLFa%k%sfg zNmkSH#3=-)s4rg#pJiq2A!}@{6qV&prl-)u*7{2#Qe~Vmxi}B!*#V=*S4anT9`3&F zM9b05dGsMGZ{N&#`g(&!jpD^JdrT4agx>_I)v+avaAockdI~+-PS~3felpJv7&X3@ z6x9BSp0GO9AB2te&rBe~Sdc4UoYR5uB9K}edx8&@BLQl36RxrXZ=Gg(G#9y%k%^2tUlFQ*dvEo@yst$OyO2vjawruVWYp zx9SONt`T9Ql9`D_m~M+sFmz~FMI1;K$Cfd|FLI~TQ|Os?!dgZ+cfK7kYJ3My2I1Uy zbviE1w`Kd?5+Xp(BMV!k3hvj2Vk)W&fRkzuAHBpbS%_`6LS-Y4$5oZg#FH+FO}*%% zfTjlrXBz`{+R}pd+aHWAGoi_EX_kp03M#aJ1ad4S$szx9)>vTC-L859+L@Vf%|Rep zVJV$gP(@F79oqNrrcr>92}gI76JIhqf;r)+-A@86s0fn|m6PLrB=i!*q1YaIM|-5u zx=AHAE~lD&2)}>NyMl=vu5B&s8%t02MiceNoN&OfKb`GdnxNt)!l`bSu!m4I*iu23 zLn8ZTb!=uTsTm8ASqX-Y?P^X$sYhcgnVQS;=F?MXRlAy-`%+UrG~W&wHNGLVGwzUH z&CS;wNX^9w5g_N0g}u=w_eJ0-E(-f$CLEs$!T4`$X*0ir70GVmzn*X5KXwGS76zeT z9T4{$0 zj0>$SA*(#o7F8iw673C*^)!61CJ%gT?>p2$Ft%0I*pL=|OMft4CgftA@}W=d{b6{k zVH+9+OHLxAI;%h1Y`H{%2bivg#U(d7F&cUBNo^I|X;}HLA4L8^X$w|QY%h1j8LAax z`oeu;13mQ8Km14!x=oQE^)+Dxa#ykKsGJts!^cx|_VM&|>`l`1!r9=r=025+fL?PP z5|?Vflm-Aa-w{lrAwFT@!UY^CofdwD)%PV8AS20hjxeT~mX2nsIs0H=rlYB#wc1)8 zP31-D`*Pbu2Bd`3I)1Fn-PuKhHSdn3HdOis+7M55rinr`*S3tImknm@TT6$D=*xPo z4!uDIbg7Ps4N}G8hLh$0V+LCLuAbvx!7;5H(P79kf081?HhAznRM^QukRoCLRl?o- z0nmH!P}2L%CEt)Xvx&zuzv*?hj_K^KJ(P}ROm;~3w#iw#%;4-1s!Q8+`hZbqZ?99+ z0=E70WFBe%*?Ri!{c$W&!U8GGs&T+tkpr2^k_4)5fh`rgbV(c@u-0&_(wO>zj~TC6 zMJG~KI#T)4C0exn#)QQP^~SehiNi=5FYY4t$14$SZp9jrfJ5WO1}!f#&&Gs(WGEFO z^f`q!>rv-B)qvEiV-0BAYJi*lA=^iMq6%`Ltu$M7Y%A$+@NGri&x7{O`Yc&W4adNo8;kW-Gg0_J!meCi@)cw69k6F~vIp`MeA=nT1*1FG zIA=VMZ%^v`tX%1mG+nv74B2_Cle%)}(h4uDaW=sZf={qOs2+RPQihnx#%!|K3(=d4 zY4#&BLi}e9Ia~@Uf=izzUoVo-n6IQTCg2_Np=1seDO%0kv2+o#H?k@I6Dc8&CtnQK z1}AGeP0eNQ zBAdr&60)QxQErwVgNHsYY#G8Po5XKL{B7rZKpr?S5aDWbLnbaT-ck6}6vE=qQZe>R zBlWcsx#Z5-@3iaDf3xCir#H=>ZbGj)l_kebGUT{khDD zJpGG+lOFk$$1exm0&qU%;Wc_VpYrgp0f+iHKIP%x15Rq=Qy$(7IO&H^c{qpaqjLF_ zhg$&N2Vg$s;bJ{LpYrfwfD?T_<>5hqlm7XX;8b6e^mO=?$BzJ<+5n&O@TGu@0q0X5 zzFkj;PkHzrz^NYjl;E5<#~lIO0dPL$@yh|X1DsD89PQyg;547%Qy%{*;G{P`<>9~U z;e5)&g=phcFMP_=x7Ne?l*f0{+XSET@R5MyFUO}md@O*(51;bzFu;j_K4oyowGeRP zhfjHUJm91UKIQ3T0}jzRKIP#X0H<>Kl!q4qPVJLVc{o1xGMnd99)3hmhfjI;HH5408ae# zDG#5hhw~{9e-m(OCwxk9GU%mxIG^(HJiw_R=2M=|JAk9gSS)EudH8<7slVk@9{w@l zWT$+}!^;6DJLOXzUJp3+OMJ@1pXuRz%EOH@5Mfy2_>_m60Z#3fPYF))_6D5V8=vy{ zE`XE0@F@@X0-X9iKIP%#0jKuBr#u{C0vP{%%EOcO_)z-ip!QyzX1aMCBA^6=Y$ll|~1!Aa02z)4;{4X991UR1(oa`bNaO$u4l&6yfIPuS?JbW$S#1EhH z@C|x6pYre>dN`l*@M1ljPkH!Bz^PsFDG$E@IMpwo^6<~~_1=Tjd36X4Y6 z@hJ~~2{_dwpYrfN&=JA;l!trh;e5)&Wq_0Y@+rYd&kOL6_~BC?A1f^k&Zj(lHQ=OY zKIP%-^>9Ar;cx5Ve9FW3>*0LL!;b?_{O~Cczo3WnDG$G)hw~{9|2N>IPd?@0PxNp; z<>7zn;e5)&tzdLLL5EKXP7L*0LL z!nU0*49HYwY@S+2guZ2!Z)#)-klc~}(U6ro%c@4}j z0&-588JQZVT&9XtrKP2$5oFr(bk!TPf+JZKMJ7Xik!hKcQLI8(j^uc--i{HyJS|O? zoZgPq{;Dlsr1EvZD3HrYNnD#0l#tHL z9~bYt%Dc#6Zxl@l=R3rWm-(zvvOG+19WY+v#3@(fM=)GZ3It4g$1i;Y}z$mKj1w=rk%aF*PC zJG7m<*8!tC0GGulN5&}Al^sCfB`GB)QW+h+JgEa1Fe(&g6v#=5s&Y;E{nwF9zi%*MAROuR= zpKnZbWGtiCnE;w3%dUh3vC1^4ba_-{te-FaRK~>cKa-Xxrt`m-MJp3k{6lPFiZVSi zJtcCfpO3EF^G$IVN72j6Os~FK5Lkhe@ZhD~Dhr=s_k$ z=w;M*8Hp*2eY|5MW0lL&+q>9_s&syEV}nOz=q!>nOqm$lE-lb?0{e~#jtA`|2%Sc} zw$}gwqvDq?SH?s}DdhTU&X0a}j=p-pK zc||0l1@I(dl~Kz)@z6<1{z0CSm>7ytDNUKY4DLWo6jRu2B1f%=rvWyWsdQEA2@V)* z%a)?DfuPrxba{Hp^py1IxNud>@@Q&OAt@LiC(4LcTGBG2r5{ru5$ZHune59dIXZ>C zWJF`47JITj#>dyw+tYh-e7acWKO)9|#0XzxiBbmmdXMml^7io?8Q>EWJ=$009pe`p z6%aK-<(nS2JSi$8K$SEcB@RzUKR;ZhjEeX4^pd5dsk~;TMSCg9@qyLq9U;$X&q?9Z zX+F$v6IcrdS=ksFT8WGuFsJ(eI=i~ixQZxz?%hplyG`1rYOS`qtyL?U-L}a#ZK`cI zn@wE0yVl)Ah+Vy8leJBoO~UR*;%^m%f(jMH3jREah^Pos5ka9!-+T~6P(dF=MeyfA zeDFa*zi(#m-q}eC;y~t`@65U9&YgSj%sDeRiQ6xs?##wRYX>6-5YmSdl7Z(Kz7i1X z*0PQrP&pWhpt{p>SiNc+st4d?Vv#u19f=%7)oLX}VNL5;C`4jdT3D;kp{;eb2&%io zuosEwnsqy2YzzGT^(`q>HYh2)^qzI9Y4RPMKg4B7__r)b42Xh+N)h^njvEQZf#E?) zketJ?hPH>5N{$kDZRb4{%_4i;k#GgblpI&s{EN}!Zur<(Gxp-}$po{EL_qsqDRmHa`-KNi?4EKf9<*0JMQBD};y+(P!DDMkNH@~cL z!UyoMA*tL^xvTP!%EKz}&^PfiM<3JCP}1fxvi@Tl3jO8iP)OycRsNXDA65AyDt}nz z4{3XyPEQ=C4u7S3A2trDo4(5J;WbHWzPf-nd#*7UO%`%d(wW&xExI?hI}sh5pPwC! z;_aWB#rPgQmYAL%*x$cv*S%s40;OexfTRXXS$N!~;#jV4PboKiq!+fA2T-y&NB^@j z9i5qL$Re`7JzhhO`ol?kTqRWUbv!RwC?qGmV&*!C zU)$-;I83}DbcSJzmHvz+hQHTz0pSd(cudq3s&jR9E2^qsYXvLtkhPWNN1;j|6?E67 zV>D3#mCF01VR-Du1);@;OKMcCx~tX`Qk{r(2}BdB#<^=K-DI^qzK5y z?(mA^sZ_dHl$Ib_7#+{0^J8ARP`I-Y$g}DEDD*9fT9$&MG&L4Vax%-8hruzN4w&;L7QB}XvaP11uA=QfUfaESIx#}tfL zmU^rG(%H2tcNw-C)^v8u2B*ip+`R(74tSZ*D#phVZPw$9i;0s9@#Mn7FnqRnb{-ygJXx#8k4{dV zs>fkGp2`(7#dsn%5Zl!(4;PQdGjmh3Sa6}y;o1y5@OoU0up|9TOP;#vN=iMEBbAw1 z?}0=K=J=D!CiA1Ep_#c-ELNJ)hD!CuGzQez2{w26D2@q(u4Z+zaRMsvgtUr|GwKjQ zq28$W?YW=lKY?WgxT6qb-EpNGt@pl;8di-g}jFeUHU&wmAOeW}E(q#gAKj(&F6j(@CH2 z8H>Me@lP!Nlg05RU7Ni>EUw)-s_64=w(j3Piw|48WN~^&I%#{SE&gKbS<>|{S@myP z{3DBhX7O(={)@%`vG@i&^=A9FTfEoe@c7#FQx?x!e8S>O7C&S0a~6Ni;^!@X(c&j9 z{)NSVw)k4B|F>E^VeumtFI&83@h2@Fj2-%ZzhT!mdp8s)DoF^t#N01%(>hFZaUI+b zadABN*IkVL+~;M$!+)CWLb;!a(;Ofo{u&};-@zkdv-^Hx1bdJ~1P7RT#6>XhI1vHu z^F;XQFB7rJ@-`8k_jw{teu0P>_8a2mB0mtZx$+AUjrx@F&CRL>xlSBU>gyQ z#b3!67n>#fiCaWCHn{lcra;7IDuOEnF!%<97)ASt+eA(iw~IVQyk6uS5zqCj#2c{Z zL%dPs1L9307l}JXJ|o_YZ)k`JY=0!)g1sH$ts;LDdl99=mK)Q1?=C`%DETh5m$+Mm zV}QFyBuDHMDH74y+)H;8=wISqeA7k50Dp?OPozfNFY*KtvGf@tZq5rtOxUjwZ^v~I z4~o1&JS6fC@vz8y#3c4;i9;fv5K|(b6Ng2eU*PWr@`vtP=loIt@)c)~$3A!T8x0Q!a;McqBQWtM`EdbbVV2H(3Qp zJwF{r;n&rH1dp^zlmt@T=QWOe+^i$`b+|RHGUdNP4>5Wx`Fgm;>RUX&;$?gkvlDuZ zzwvsiH=D~Lc*K-FJX$J!4kznfzwymF+Qci>ruQT!T|7>$L>>NQn&?-27);Jez}%x8kpL;0t+WXB2h3B=+^c1@BRq diff --git a/STM32/MDK-ARM/WOLF-Lite/auto_notch.d b/STM32/MDK-ARM/WOLF-Lite/auto_notch.d deleted file mode 100644 index 1cff128..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/auto_notch.d +++ /dev/null @@ -1,55 +0,0 @@ -wolf-lite/auto_notch.o: ..\Core\Src\auto_notch.c ..\Core\Src\auto_notch.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \ - ..\Core\Inc\stm32f4xx_hal_conf.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \ - ..\Drivers\CMSIS\Include\core_cm4.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \ - ..\Drivers\CMSIS\Include\cmsis_version.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - ..\Drivers\CMSIS\Include\cmsis_armclang.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \ - ..\Drivers\CMSIS\Include\mpu_armv7.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdio.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdbool.h \ - ..\Core\Src\audio_processor.h ..\Core\Src\functions.h \ - ..\Core\Src\profiler.h \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h ..\Core\Src\settings.h \ - ..\Core\Src\bands.h ..\Core\Src\trx_manager.h diff --git a/STM32/MDK-ARM/WOLF-Lite/auto_notch.o b/STM32/MDK-ARM/WOLF-Lite/auto_notch.o deleted file mode 100644 index 143525536dfe41a97ea7f36abd376b9c6de2ad0e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 12160 zcmb_?4Om;pmFQeup)1f20u)CWNn8PTWE;cf*Zet=5OyHJDK>5vH>5~_u+)GMlK6E} zUm>t$hd6TLW=Z0tqII)0^>#_(WWD{etppCG4t0r}rYz6(LhSh2`P@F7xcl6fw0UQ) zB#=q+zJB|?`Ouv?XU;iu=FFKh=g#Hej@q3BL7-?MkQS{%2=#5{dg2PXN{b|S^deMg z2wp0ZBNPYy&0;2N*S?>(b$oXE8)-9HRYZOq(uff%7a}C$X%G)+*1?Z*XCBkf@M{FJ z{L*KLA@PPdVXB({WHYPS!K-{mRC!jGFE0@)9!$t@_Ep=@YBH;my(2RHGrZOr;cIf* zu+vBXipXysQf$_4_UAo5(RhrWo&mXsX@oXIouA>0r(1{lDVZmS;Bk3q%r8%_9T^b~ zovoFf3W;^!CFEH`oq;Dnd$VM^Un&VjUH<(j$!?@~C2gp^SC;q1Wc9(zRkKeQUf>t< zZr=T`PmK(l5DK*M1N=n2lP|1s^7Wfuu6aQF>baXGqA3*r=#Dppw@X=qI z6o+cYU(7_q`4#_JW!$9RXp$$3ZZh$PD>|J<{oBIpCwQ~~q1_6E9AK2RYuUHIfI|3v zU42x)-|J9N14i%T7P7z3J7A|ATFPM|9o5u+n+tI0>#2s@F(<1riBixL!~L zBpbcO4Ap1x^a!Z|9kjirev($58&jThOD@23Oo;}S7fk9&y>fm`xxlI?HLCeB$uubA zR$V0+ETLaZu?*EO^!C8GthcwC>J4Buf~rd)6(|ghS54{#q`oqyoYttWf*hboT$u*$21dftfV^cd6&b)C+p7IE-4T$0CSYE$ z^9|UcAQLO8I=7^{2o0p3G%3%z)fb?xgEltlRgUW_p_MWTfT?{H(p2d1NBANvmw~OU*YP$0oZXCPk$ff#C}Ef^x+5-R6;y~y~#?B zEaOl`&IwrlEkZ}7jcCw1R$46M6U!k%(w4tL=RtZJLXch!o^Z{r`eaN3i}G8t{2K^m(1{qp)F{J$ZAY=F1?f;L!a#f=`4`I`-T+pS% z=$A}iAL}6Q*;%R&B4^3UF%gMT&FX6!<+MqKqb}^4G37LDCGcCF^5c+lb_}A21O~bV z(X0Z+1Q`X@H%=LqKnX0%V-Q=UXb^(G`uvy@uj3dviTY!1f!HDlO&03F84vhUkI_>^ zd;3|cxB=UwWP*f->kNfYH0Xl!3&OGtE7=R{4wwnb$x@}GR9~d+Ev13W6P9oQj-&wT z@R8*lL9q}lPZdM_WH`wdPZtOQRavrlrR|Gp`(xUpG3`K1dpxEM+OEhs!?pe1E~BSd zLG{moCf;6X)A(cr9UOhs01HP01+xerop$iy394cZh^K?;!5YP3Lj_}I#GSbj#_${g z1M_9PJw`lJFittvmUNC&fQgvY5;dvCgLz@ZYO2D*HH_X~88CW!Ca`(o>^PvMwt-A& z+r6MOo&pP}5(THK3)mS1UL(~%f6%4rT1;8|3M3ZO)hH{-n+plDl30EN`yFzOkJ8)ebwoq4b`}#Rviv%o3OzBQxAGRngRJlZ z+f=N52%XT&Wcu~&S;0r~Y=MxMQBBGg0y@Gj(CheCl#%AUhPf%-g032nX~%2Od0ObB zCAwBpFA1i$)0>GBk7Q^E+K*}=pG$`PGiA^?NsG_&$C!;W>KR$eVDqG1`|c3?ysR*V zx4&|^Rv3skWTmF?EiW)t$whkKtMP`aeJMk}7wD>A^EHl*!uJaFJ{qOuK-M*2p}Ho# zDOZ5%(NP`7 z#4Z}V6}Jaul!K)@WYPf?A^sD`@&+cp#mY3A)|u+0BwgmvuQpwE{QIHK{=XD`@9Czc zvcLYd`xn<+PCxdxf%k$=>w%0I7vXxN_x);*Q7{#TWracMb!Wno_)z#gg0`@#J!y=W zjV0KW_)1j(2w3331j$=!59=f(!fzM4IaGeK$-iDBiIq?h&}Y=a5IW$1A^e-~$@a++ z4H9I4VUdN^{O*yuyJXy324H}a6#sbV4}VszL6B=>!AQso6nmC-K6i|V_`rtWM`TFC zoILV$JIP}K3KtOdy(2o+CcX}sXpAG~kYTeb6huM`5d z07R>b{iMN&_$*d|DZbv`c&yCH_$+y~Rok#Fda1s34lRF>xH3CvBG8K4aKE zLT;b!8*$mG0}!pM;fSIJ>?F<%Xm9FllJtgOy2K>fn8eG=(&aj7f$S{avGW=gq%v*H z;qtdV<#UG8(`3clo{Ax|e0Et5Ve5c}+Gc_MPi=$H0}%(#S}oOcI}XJ5vfd`4w@bmD zsiW@fKP^lDv?%>^P|D}L!^UE&fg zy#ceJwQyA9`L)i&W`D|TQWFin@`ydqVuD#_?#1>G0 zhs%C6_$BANkd=bM8r8B%uy@!~GCNg1Vb~4^`FyhCilN*G;MB-F3EuuuZ!hQrc|5#h zjJg{y%OLHPepDy@l*tTT(8E!E_xzIT5-3oeT0Y^~e%g~ZG*vQUD4m_EI1?(JnSwc1 z%vPW7f}F`nb%6mJys7_V8gli-KQ0QE>BNti#Ep8={sGmmYU2rakx|%ll#HsO) z{AxPWJ#y^WdsP9MwzVQJzw)SG^TQcIYu&fO_ZrO9?9gkWx!T$6tl`X}Jw5c%F5w}6 zYRw+{q0CKBW$l|vA#!qZ4V?~iZoQ+W(bVngY_fH_S}Zv&hO)+5tIOP2zjs$be&epH z2e<0?>@swA*gI`zo2!flh{m9`-Rz)?bMlJly`7!yWwgoB(b8_R?WZ3pYHi(CTF5Yu zcH5lR{WfzeZMC@^<~Fm#Y-=$$S#7Q6z9yTwH%5cpSPdRDTics@iW*@g{4><*AG*J> z(rRnW$!WCOTH3o?&5cf1D+tZ8fVSPxXlgS#U3tY#UmB*fy|ul=ncrk~I6#+tLs{-O zoo0tKx4XOOJN8_?-CkvKnR078TTJb_dPhfYovGz9XD*DIYuHs^Q=eOuvn_{-w5#gt za%&>v!)%*6OfE}~rLDcwqzKNMJ2ukeJWb-LcnXcjCF?X|7n-Y3X#h?Dbfnog2j2(QUSw9sB$H7`vmh z#q4zIVR0YAYrDtX+TG%^cG{Y|+h8#|thR?u?ceBjb(s3X4Q^%d5O_K+Gc38o#6^f1TsCD2n~DdQt(n6AEFSdNWeRJG2K^10!e2pEn<-4+mR3JhNz6=E zihwcLUYnGVK#LN#@(73NW6ZK@b)1Cz%?=r*w#1L+)ag9BJK2V4pFgn^61=2`aS;O0}j zEvE;!yv@soQ4S$Q-W7Bs7{IvUji5-k2@ep|#RWmL-w=K`DL_Q+`{?0%(RThkRvFdS z%Q}EWOyrDI#lx(ETiF22DF^p)y*At%TJ5EQD0ii|6Zg7vS9py8ulCw;ufAlZ_ZN_P zltg&nM<47JU2Q;5a4p4bcWyYO4dDLl;Y%o*-chJ^{)sg zC@{yl#y4e0ccceM1u}*a#y9B*A`nKjzR3XMB3ODcjIg|^iy&YkSVsGsbrFOS5aQ|5 zlb*6uWcleSF%ORTB;K+#Xg|D6y_9XaCPQ5FU9BeK{e^H8fyJQ3BjI^s>}IgMHMn9nzEuL+RYq;8o@Hm;~P%hE(w8eT~Z- z)@eVilU`kxLS6!g^ryN+(KB`iCkOrTPCp6~=0-fFCk)$%JQaQtKTlv$JOR!&;I;;G z1-OWXH}x4)s7rczP5@aNoc*A~d*FN6=bzk44iHR0K3jP`$XE44ejvI_*m8+<%; zZA9M{2c1M7#8dPLpO(KRuA-A4Ig}xb2Lu!ojgXj!=UcLdDb(!*Pw1{>ic_=j_`rs)CB24 zlhtW6+1j|{*JSIb?VWI9nH?Z2g|8ED6b2QwvY*=qm-|zO{@082<3>h*d(!xD(&z5% zzIRT+*OGm=hxYAQrd|&U-r0OzD0&u6@`}%86vM6hu{t;=5PFG9o{?o3c2>Tjch{m9 zB;Ri3F+UNuRjymmT`N;e#0O7h+$Q(Ud72+ix-yh~!#y{~_)}O;rZHif>#UAznOcNR z->!Ik@}3*Umy8NeLLf_q6#pnJ)F+#Ww6`D}y-d<%5h4SqSlD6`y(lE;C*PZw`QAB1 zY^a=+7K=9}CHG8>l^%X$f;?@EKW&NszCHf?eevIC4@(T+3ha2WRyJBVN;6}OzHX;! zFzB1)YYq>`SMCv|@aem;jSG@=j;AJT`ToWqGAYNhey<%-D3Ne5lse-+k8cT1!{feN z_?4e&sYAhZg#N70Zxbi{i!UB2Y{Dx@48YSz;?byCHfWp zpq*m>Mt;cn8L2^@?@XdVJ!aGk0?QPdds2VbCo~K*LrZ~vr&xZ^hERYUuBSb^3{M{J z(A_3cb=yL!=R@%jD3wHBCiDy<>wF&LBvRy+AQ|6Nu;{q}b4wq9$H5Yq3IZljdVR5i z#f+oC*!s##dD=NFO_9f_Wqgtm#+3>;irFL&iS$f~=U(Qoz&`fB;X$=d2M`NPCh`YX z4*_b-v44rEks*myWA|vR8q4HS%Br!uTYSax#x@?(R!15*bth@nO4$|=WVhLOhEheJXzVjgO;5eQ# z*T-&b=bh0>T+;!+q#zLgB07{dScE*Oo~7Cp~l=cjcX))&E!aoD+On#1}d*dKG)nQ8cZ1QJF$>^vJ7 z-h*9n@bxO<9`LH>bq|q>jfJ^i;lQ`wC6V>avQi?wq9=MDe`pdz_~xZ z>qMS~#Czd}@eH>Yn+b^kWS=3g!{nX|K+m!cdgzhV0>KV-Ae6ph|Inrhykd52f6pa& zB!c15=LCZIko3SHk$SSyDER$|{ul+x9C|beqHYCdV35eZ(#5?AOzCs*I5-;hsiVTP zy5*-y^zVybrYx%6oXQv)hTgunESqchAED=}dLW;-5B* z4;RQh4DBfaLa-o5XfbiNlEu~B1GZX(o}K!+cocX92cg{wAAqgm94JK)v>SqgW{8fX zgVQO9`NKp)B?sJuJ4B+{7zZ`M&E4NPN3gPeoBL5@7Sz3 zh)?vYT!=9dm-o4E!ggTw*~cdfTk1|#ay!dd0lUs96a7fHO983FG&yKQg4gO2eg|9f zLH61ZA(N31+u_v*&i7zq_+$qsMzB>T=jw-jI1{BB*`y|0;Pc;BDTce$(EQ)lSq z!&}KTJ|NF{bXjkS`(!|AO5`1z&%dSjuX{vLCw#p5T{Zy|@6mv$sm=V1*UXk-T^2q^ zaOtAq^#tmCsVrki_-D}>*}E8x%wmL|ggTi#Zp1kUq!!2tiB&$-weZ6^Rs;NS!F3A! zXiNmEQF%H*JJZxZ2PPC5V;T;IU(xGS_ z#g_sO+dNuxcrXB7ON@`!QG65N@OaTWDyIu@TKGllC_SLiqcCtE)ZjCxu}^*ZX6DNm zH+Np_MDCPUkdd1$xN=&Hg3B&W{2g~aUwb{1bLZ8|S6`5{xA%19beKDwW>=1#v6kdm z3-Z_89$Dd9tRVkuhlxsPvG<21e5DwCXN8Aqx3-yG)(&$HT<|!;LvnXuRvR9KYntFz zud~V8+Q(gV-N9tGwQ^%{qFS5x=k%D`yUls|IZO_--|C{x#f7cKg@ySbsoAtGpDE01 zX7UP(w&k_9l;)e6)`GU?ZOw(|e3zxWqq%3BxnnDgxYY(%l3UHDX6x78B;s`@l86n` zlsHl<6QqGJ^K!ta$wEX*Yf{QY<*-Zm>rhP!DGdX0S|jL}15Tlfaco1JE`=i?JY)=w4`|20SFz1>swtu*>5*TzFj9765oE#4nCu z2^|atB1&gY)7sm*8Cv3G6B!hv$ct`U9YOf&@$=x(<&fZZ9Jt#i{%m z?xj|H>97fs!2&$tDw++WH(_-ADq0st>o7WD)hLaeXbvli=S=nAyO~BY9&^X-H~Px! zZ*=F5L@U>?@Y08(7pCC|a>o`&k!#yk2JVHCW5L(BD138^xU1vv6JNce$W<=t=tkko zT-3*)HM=`}VT`xhouLa}X7zja==U|%*L-V7)82h`JDQrp6nDh4Flr@Gg~3QfLo4Xj zkjCk}a?SoF3W#uUpZVYVN`Uk`!BtFnA0qJ8yln*)hT(c4Qo?Xs6hvWoa1GqO27Yo4{P7z2ZlNxP;-2Yv9r~aP=Dan`_|SHE>iq ziEw7RT1d#y1OW1s;R69512~7_0U6H4;4t9wYaDWE3)<_#MSH?2{L94es{OxK2XoG_GOrv$%#+Y!=s$(Eb+J zkigWNq$tnVnH$r^|tI9Om{2uN6Ksp&a-)Y0kO-p>J-5u-Ecf zl(gMyGou`wR5Z8TxtAbKakxy)D972~f$P7&3;-#2%0yUhWFvGWh8?EH6&!AG8?PZQ zh2d)D8C`8NV4^&@QwKk018#*2n2RfzCYH_)G@eKwuA+VLL13kg@t*=+W3)4-59iL{ z8Y@K%^H>B3+=BbxajYLc{&V1m*|Bfo@{N1oU22Uy41ycnLARhqs01@a3Z}uonn)c% zqvTD|R=AFeRs#rjwnuw0-NR7;>L}g5m^SXV03G(zXo=F{xCJh~QnEM0e4!KfA&6|tcBAWdSxene(M4BnYPD;3eY)?Nn?wTkeV=!q z`y+SG%=~BO%$aj$&deQNTvM1&5CjT51d^hu7($b)>70<|bRb3H({d5YRXHxLh(Ra> z@=H0gc^kI=wxZ@=CmxR3aVd2aV zVaJM?FO?ekYZ$qQ!g2?B@|bis|Iu0U()I$~pd=|T%G$wG9%7Uavya7yRr&4W-x2as z3xA<>p)K{f{^En;p<$?ZpBSM95XuwVL&fELnbAqT7I*KJ4NS#?g_st5lxsx7aammPxwWNV3H7`dN$S2ZPg>T zUP?7KQO%WBo!Xivqnaws4Q#4KK_Oi2)gHlwQ$*Jw{KP37{k}xhD)`7L1U8`N(Vp-T zLUhqC959L8Kx?=YsKBb1TJ>tP0p3!|D7G59tvVjnAho91t-1oLq0(Fj%&4u+Xas7| zh(033BTCUgj}Y4Rj0?{@g_FPrp|dvXFvC!=x!am%q?)DHx&kY_X|}C8G35{pn1sMH zI)Y1GGzvc&$0-*bqK`C!$#LOCPxvi~a2R+4=^3HIO0z*n)kAGT9XCS9F%M(o!ix&w z^&TMzZBil_GzmY_h^FM$*kn!9S#>&Vb0t}Cw5EXo?UVscDn-|UfdSzqEOsn3JQj+C zkCdWIn(0H(Vzah@KrkC5&^ja4R6sSR&#bCc1g#Hggcm{h<5(0h)>zsK!F8u#1SCT@ zbO##EM(h?g)tqkC+pTGQs;Q4sWA%U{UKn>17qyB8l%h|11d}i{Js=s;btOnfWLyEI zDycoE%z7AowRwfu+H9oKw%~rH_me;vPEq;-Y7b;VAN#BgJj`UH7!T`;eyZL`WpqB&wpHIvrB#x3GP-6MdZ+|gHIiu$==LDm7DRUk(e@y^ zFNg+iAJlV-rft?bwHc(*JPe#z8-W&EGjO$}zKLo9Eo!2m7d{2h*{luyRQ8N0?heM| zQT7SS5!54EbNmH;eS{R~uguz@#yth$WMOW}`aV!{kd?nSzep?1xGc0-KxJ3bgxcE3 zgM#LUek|TJ7#AtE66ypR^uLM1T`*d8e5%fFH2@8ogGFj?rb{&|tU9`PSn>}DEIJaW zbkm$eDLiUc->A_vmFZ8UG6Vgk#}1F9v5#s5o0VDh->2$FL|}0j)uKyITCYsPOA?r2 zWOzPlmQrd`g3w75pQ+%axnsY=GZ!h2yzJ%P7Drr>aql>|-?~}1z1+JV?nDuH!p)kn zalf%)x<(f9l{n&#n{^dM)VR6hiik&mv6)B9B!Cx{%2Dzje|-vP{!StFzx*YI@_7iqY+dEA@OE{gcl#=WJ$ zY#6gno3nsnC^+KEK0#y;t1>!NnIljSW*hj=Kv#L(8^AN--GowZ){P?W-yp@!f-YQE zM0_cZsL@4SQ()eZ09mq5tKxgjS=~@uaL4b6BklqZRZtm{P)H$iBMh;cC&YWsRh zZXmQ1rYws&YeW^_O=h1^0s}dAQW0^x2r~cz0kLDD(PQz?A}$Qg*VBf;ZO*;`0-@Oe z+CpYKRGFt-88fT;8d{fe|L(@Z{}Mz2V~wTF`l2Y}s+tRu!427=Q4gVTtI~&EAnlwJ z&UA+=2VwPqB1Sy!2E@C=qsU8Iv^z{*^2u4jA zC&(;l1xk$)n3HZ*4k)=_q{?`|NLBH;QD8us=}~53f&e{F2Rv{B;srU6f`vkzn8~az zSJo+v$+o!C+sT|>oCtI_;790EBV?w978;iP1A-PEPH_n^=eUD-)JFUaW7-`^bkoBJ z75c^w#u4S^oWeE>_WOOReitmx&HCEQy-MrV4KJQyj2SSWfNi4qyrZ<2W#U^KZM3Ih zry7Q>FxfW3WC&}P*b3{2q2Fo%8s^@1su|W?m|z3$(*sxJ|K=SLv;zY(WHZe3P(y*W zUPt-7ni+}UGU>rdfMo*Qq~IAC=x&%k&i8T_3UzvVpmKWgj+ zfoC=4z0||1QHkgCrY5UFM}Z&GjKJX;123W#`#D#^^}@b^;5_(aKBrD17=AFT|Nr<- z4&i_koUtH>kg!wDeb|M=zEii=kWMv9Dd6FGXuawG;YWc_H9&|w65-gm!0%fIs%i(Z z>-g5)&=D9KJN6}7us2KA^-=Y(VA<$~+|Z~OiNTMW7zf{21OoB&h>UfBgdz?rEV7xw zz7rG#AGi;Xdo%h}3@(=N#yA(`aM2Y0sZns15RIyFzrJvAfpCwDK;}1a7C614i%QJo zC2+hU#UTRNgj(bY&{vHDDCMi+hEw1%afc<`8#LgeSOAhAwTBNnF$Rbss6-?15J9vg zh*k#CnjqQ~ME3;I&LDc6rj?>0Q#eRtLXzMCuM%kJodRbKgR2RgkAt@y?%Ozk>v+*A z@)~DE{j6fQ-zO*{VyZ{BKj*GEW!{yGE~K_Tr}hBu73xse{xD9pY-k=g?y?Fq+a zfdR45YZqd|2pbIn;DHkmFUa{uk6;Yy#7u&>FB}5`i3T*_uEX9Z95zG)v`DYgrLGdf z0VQq@Oa1|&38ZM~vA`X~qjuHLFs3I1iIep3`D-xYaYRQ%V;a$b6YsMCIa5DdV3P&b z-9**X^Fu?E6}Ab1$-x-D-|rC-F-4Rjp;~+ZJ4gvA6rpvn-($kwG`dZ}Pi78U?A<(m zbSsIXQ(6?(mP)Fw-wq4ENuK3Z*C13Qvk=@wYSb!oqH*CNz=9nOE*w}r1T`BYcKMcmGWaCikWL^|P zJcu)y{i^f5<)iyFbT(qM)z(4QkleB+2ylp85Ze@7!@<^LoYI3qO>9;!Y&*?0t9?!vDm+i2Lf{%^U z*vNjn90jwnIpM6lH<*ntUS1G48qCIThEv09g4w7p{~7CpU^c2YRl2GX$-{>zAPv>R zmCc!(kP(JMf#6{nQQPL{Z$H-yBw`vN1;&oxyt`#hEV+qo#3ICLH0Ar(%33B$)&Bi(#_@Vt%X*p z`?*_2Mq@~XwCM$}kPbS8ap9rOj&p$IUo_kRw9jrdnI#B!L#ZpJfvu1|n z^E9dYPOX_C{4P!EDAJi3y^SlS>Xu0hW5e5zNxhE0TNrnC)JVPK7uy)UpVmlqH~-$o z$iLMg-O}i8XB3vV1hF zM?9;yXjWV6lIl0!j!o3bG9*r7{$8dv=0`li=BE@ob(O@~b7nr1dUY;Opf1hR*h(CDU}tr%uK!nrlXzqY(<*qACunHO*LkjmI(yEpWm*&Lkpg3Du8tiQ<MdAju6I47}S0n<8fex%@)?tGnk=PYN>@2Z&8JMZuWKep%TjQbZlCF>V5 zsdpDd3f}Hpuj@M==X73Q&$KQ&$`kY-&(jT`pXF3O`zX`8NX1s#|9(CMyv-2D9%E!8Vt%je@~(K}8Ia)2>RlxH@v_GmrZ2Iu2~CeOumToLpGJgm5xc zAq$~l=J*jZXIPcfLFSA!b%2MshfRTtssmS1N8&|HY>gd>CGq*lT)2Kb?BI18j2?u9_L zjYew;+gitmQzAIzGcAVw=q(TTt^@YRWa%(I>++p=3C1*nkNjtHtWV)E0Cv^g^!t=6 zy-Nj~4A^rlg+}kUW?g^{jf-=(%BtG}eze(82}dbb1K(<}TVp?YBH|XpyGb~8fUUM~ zySz*+6^=RaQQ3KkV9X>M^MKnZyiSNJ_#5E>AoDQno{Q%BI|8RQO>nHTvG9&90!u)& zlRj&d2roH>FMtCD3`>Mw4QumWbNYxYt6!A^0=YksJ)z2Khp4OL%q)DI*$DiA%L(pq zx2gCt?EW3xE3n6vB{|P4!3&!^Ixf5f3>3s>^_yWM8xI?)4*EpllrsbNf)`*{RB(bm zMy2XNfLpAwpACUq8FAAy?eL?P$Gt4$!U4(G9@aJb#O0n$HGUCx3~)wB(41@XFZ{)o z4ujS2S~`jkDl*&gX-B48wF)(h5!wbzgI-i1R<(0tL-q0kagwRy;K6fwcAm66J5`>0 zz$STX3rnrQp8=lGQq?knDs=-}DP7YlJKH1UoGVzLv@Q=Ve%u1}q@*lW?b2(PKA|rw zF4feQ*Qb=JGK&kV4BFx+Hg8xaFW!*%=o00o4XRx=x?Q!}T0^E7VqzSvs@CeoX(_2I z#G7~Rs?HQ^^fhJGn%W)W?JLU5S7t1i$)0{xTUA}su%Z}>;Ge2c`Pkax+^X8*l$7GC z+Oq21<=WzULwQxLA*B+Ug>ad?!~j9A^0B-pf_Pi%=k4L7N(?R8pe(A@)M(2pHTrsO zwYJQlDXrF)xiyLQ(aaJiBSO}!z##89)VT$|UZ(N~C{((3D>OrExM_YU#8+KOF)lt#=$Nqtp| z7Fsq`m6hll%QXg#wsv<7PAngtA?l-T*gAu);wlTn)ly%LP?UrG;u)z)<@&^Nw5?|;RWY=mMPrm3ybr_3#7Yo54@J%Bl z5Hc>tE+2vxmS0FH*2}`w$Oydy^yql7b9mnmfWbWjWN${an5~# z5DZ9WWALlXkIPqnxRFuEm-A(67?pQHVuvzd4tGNS&V96Z2IU5#R zn+vOsMvTxnXht-1`(l97*227?i0o2Ix^h#yRLZWrja?z(S6fx6(PepcE5+={ce$Fl!i1>!_+LO$AjEe~G{1HAusOlAMg+!`5_yl{+!rt1#6ZSy)}tpZkDg{0 z4i07xvXzPHxi-atpSYXFCXPg2K5z3goExNleVIA|MO!p!$!tLl$m8p7F=sMWiO!d` z$_CFeHJ+D48T|>-mY&tgY}LL(9*h5)7%i16`K9HpvWa1)M*PE2hAbHXg#dU10NDU& z1i)=3N@7u{Rjp(7eKqS>_BqQ~A$U^h;0qAD)fsDVTjXh7T*#k7_00Xihq_N9&dR(O zl&oc}y~UM@Aa2e!-UvTNFJVE%6@I9s-Pxg(%-t!DLb8v=JUX(r06E&7gi*{tY}$Ee zO-lcn4kdy9jR60{5*rP99UzO%Pcd<|?IwsQ&UoZow{pnYWY^v^umFq}ej4oxRPyXd zYl?%eBB?}1x#Tu%p}Q7JWL&BFkHIy^q~O5 zyjhsx8zFHZ1Yr0s=IYEdV$ih8gy{?ut#sA|maD;R0~-Jw98(2s^gC0;B0@AnvF}ma z`k76%V&~1I2z9k5x!zHx`0UJ2sP@-hzU$!4^RMjY>TJkaF#}ST^*Z#p*~aSMgvT zBl5NBmiYcO<+3GCDc8cp|46ySNz$*P@5~rYQ-?QXi~B6CT=~WGwjP|WT<)-G%9V-- z#HU=+r0HYzA1jwTN!~N(-!s@zzC1X%?LM$$Jg!KR!O%?Cu6qTAeIf0B?E-TeWAP!< zZ0cm95jjtV@n=BqWJOee+uLi2gxNomX|49x4* zP@*p%MZq*<AQ$*4N2wJwCZ@Ak^2B!pePccnkPX-Ch7LhnjU~3){Sly<0duq}H4VyH# z2Meqru}Ux3@Dr2`4!lZQSi` zONy*Z%yGi`VOVrDrx{_o&>P3jkI<`-0}$Ov74XJ4*27R<;d69MN8g;}A;`o81i8g9= zcR0AWBjyD6Q0mXwlg@~pX7HW=;h~O8jvnH!uB03h+b$;*lMck|A(1o`LGE1-^}Z53 z>*u`WXJYWISKV{g%v;p=(pF&_`+fJUH`s)iQWqzVx&B7| z;@m6(bv$a>qoY1lSz-|5u zxXmY1mHb+8n=RlrD_#yA=odv>h*hx9cn-Yc{|0~ryy60In@@q;+;=c^z?c+mQDV3G zbpWKnCMvM_HKopa-yV&1cWlbZ^z7Z{ZheeiBJ&O&Qp;G)sbxhDmL6OKm!$8dU%}d} zc6~_soX&uc=6t4WV9A|9JO}+_s{TFa=DhEw>mMaSJ+bXnv%kT|CgxwGXODIIJ#_Dy z1*5HxW3`9PsCxxtxi``NQmnZ(P2A%5KfvXnP3J_UF;zix|SZWLC@Ze_j2#*ro*d5ja18V3$i&5712G-ExD zKIKCX(&&QQ1oFzl*_cCB1aO$o;UcEf!`Wt@GcvveTyfL~eb@3~0;oAktinqQoMCh* zH^!@tSON+G9m&giAOYvI69bupv;<(p*8X$|e9PnGtlLwg*RKh?E~d92FnWegd-$j9 zqB1P!b`dhRYCuE4Jx#{WMNQ=$1WV@2cnLfqVL^nP-~EHl8xjhy*nSVgYRAjFylKs! z?l?Dd|I+T;JSl4?TeHRjLl98O_j|%_>0p-;P%J+x$iI#M(8Fy6CpxgdyU><$%JnpG z^CsV7hh543F+{%L*P8%lY$#{gzi6`)MQROGz#La zba5x)syW`%z;f9^;8poV5AM=0Ie(!0S2r?cD>w3=b*oBny+v4azgSsoKDQ66$)_j* z*`H-LdGeRVWBZyUQIWATlvKZB1XppV8AglW$DgcdJ{)N#ky4g!{wt4qj@=2K9J)@R z%v81$JLDgny9Nbi9Z>L;q=-xf25T>K?hvRV_HZ&C35Q5#Y7BE1jh^*Sgkm^l1BhSC zzNna{N1<5jXg#|3J$f`k3cn-pmhOMhBS-d3JtA6$$#s47UJC^IXO;(cV|%MlnWk(_ z+0y4KI7%bH_Mc9=_*QnS7mJ!aGG(s{3Oh{hwtTFuMM~OUOTl{P&Q!Tr@{H3X5fs~Jaer1i?mPRU|9W%>Gf6|xdah=v`Xoq(Z2v2P&j4D9OHPK zIA%~iWIxrJ<)%=#R?$aC=E0fr%ikJ}n1?%{J?cRZQt&vRC|2LrBsYQGAtTI&+uDHV z3qx@pd~(62wex*~bq{OjrrDqZ?<+4%X{Xn^&SSxffwf-OQ~Jd)Fl<|rfBXg;G01RG69!Smj{ zX3Un)X!5?h%mc-LU^M%U?Bfa3jRw6jWi$noEhhp-6DcU}ye=bHPTlQyFYDd0`KXCOz`u$+tLf{wIu8|Fh8;YaTM1j!EYBVR{b< zMzbaC5eE5?)pYa^ZX2N?U^T9A(@rDQB8mSo7}jFd)LKfUBD5IXl?TkSsi;_tlPK5C1PIh*RuC| z=AGoc&FdX}ML8RTPv>Ir77SL0VX#30?jf1ZeB_u`Y8B5v$+^bs8+^qnYz45x+#9jI zt%ZGF^RX?$1mN!^>HC(D>zL5AVxI!QOCpaBzA`?4-t(h!w`CB{obgj9hLr+BIhU7c ziT#G#cW?tf;ze3;CeK0`MRv*XSupm)${|M%VIll5Xbb%CgF_+wc(@{XUV+TH5dH$f zXbADQ6Z{17F^%!~NdXLIB@p6EQN%oia{TFmFpypd>G+#SAjIixz&{1)*q083bUF-* z1JW`7_`!VObU53kX?%8#({MMaEg&!XX1NbOE9#)uX z{KF26?r$Ir;N$)H2uMkj;Nb|K{{OW=h|>wIH1IqPHqrqh*ho1}N~t&K zQ_AoM?A^8H)$nOsuc@ZfQXfi{)9K3|N?%T=uXrdujZR^+KHPq)kAc*OIgtA}kUz|UTuy&=pYgS4#wJy@Td#)?(of|- zPJewaFWr&SpsC)i1@>hrvK>_hu{LdadD`;j@?~;Osb-~Iwmh{|mbz@k%GC0*47pZT zzO15jW$ALQ+)%l@rnF(Dwq^-@313nRmkX9?HKkP#f8j4nDWrwwd#Czi%lzRAf4I^g zX81!8vA;k72?F$|1h60;Uy2-73Ya@1iTT{<5R%Jd#lxJ%SdK{Uy6DxM%&;u@6iyPv zI?zO>1g(o+3Gt9*AYgKt0|CkPVW?;#EX+r;!|BpEk`Cz52pT?wKNR!Hn34BV-|~xB8ezQ z3}ZH$OYn(UB94eB1Vkb+k4Pr4a{yO*5P@fF9adPe-Y8S&R<#PPc4dwl&F3`FTw6`|uZ z;vdY2=>YjAL0<4 zMJEm;5&9H|(D*nGp`M#KgnF=S88BsIAA=F&^C>{L5quOu3}8}a`6h1?<9r@KRm_D<4V$txv%hxT;Eb8?^NSF!&fKMX!ZRSajJcxDtj^YT@=)%G$@C zTmth&*|Py?byckvrQr3mwCsUK<0TGmt%0-BT!X{^;MomWzl%?oQm;m6Z%}#Y7X5&z zXv4w&Fn*T%f(LKxE8#~Azd#urSHO>-fhpgE{c`+ZOfYT>V6Yz>cmjDjkPx78-g&?+ z4N3@*xC!i&2A*y>`5T4^)+bOKTI29ak^(v7MI`WG68~Umr{P#I-K7UW z{ydCZ=cfZ%s{j}L1gaK6BFI@6$iuj&0ucxUxUE4n&h7$SJtq850QWq^L7g8wY!H+U OGI4$^{P1{SS^pnFhJ%^_ diff --git a/STM32/MDK-ARM/WOLF-Lite/basicmathfunctions.d b/STM32/MDK-ARM/WOLF-Lite/basicmathfunctions.d deleted file mode 100644 index ae3f561..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/basicmathfunctions.d +++ /dev/null @@ -1,59 +0,0 @@ -wolf-lite/basicmathfunctions.o: \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\BasicMathFunctions.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_abs_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \ - ..\Drivers\CMSIS\Include\cmsis_armclang.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_abs_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_abs_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_abs_q7.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_add_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_add_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_add_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_add_q7.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_and_u16.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_and_u32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_and_u8.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_dot_prod_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_dot_prod_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_dot_prod_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_dot_prod_q7.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_mult_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_mult_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_mult_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_mult_q7.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_negate_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_negate_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_negate_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_negate_q7.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_not_u16.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_not_u32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_not_u8.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_offset_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_offset_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_offset_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_offset_q7.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_or_u16.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_or_u32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_or_u8.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_scale_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_scale_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_scale_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_scale_q7.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_shift_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_shift_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_shift_q7.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_sub_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_sub_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_sub_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_sub_q7.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_xor_u16.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_xor_u32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_xor_u8.c diff --git a/STM32/MDK-ARM/WOLF-Lite/basicmathfunctions.o b/STM32/MDK-ARM/WOLF-Lite/basicmathfunctions.o deleted file mode 100644 index acc2d313aa3c646a76aa30482190cae1b509985d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 264088 zcmc${3s_rKwg7zcAW3OR!lRV(JhT@C9- z8eAVNjROF9U#`^7-EjLGRolNlu`y|IZjmTEf=O30jOQDMnJ!Le!~hcye{##5gZe?~ zI+-Rr_i<6H^12ActW@d42r!=Y#kc??}4SDpF+B%FcGp(T#0V zFw$&C@ePa^;GVdJi8;{E&3lf~CUl7IS})>stmJg3Nt@t(J`NDEj`xy9ncf%TxT13A zaOn+<@)T3_>@5sy7g^Uo$0)=tqG#5NirE;+`X7s330m2Sc@fNKoU-Q!N}c#hv2yGO zN~XeZRA%0$WJa%W%Ie!9br%jMl)eo7*kB#H6m+5}~ff?+l#FwBo743l(u{=~QNBl1ghvrmnrjOtTQo07*YDW7#mjgF*T za;FS-Cl7W<4W_4DPRILm#?%Y?)UocUAyMi%M@pY1)hp84%tqVZOgYEe>dm%2cH3@+ ze0Q~^fwL{EwwWFBM#hI|Q%~ztFB1&!k0hVBL|x;dh=nT70~y_bIpv%y+1DL)Ex{PgYDu%g)@ZaXO8{czySwDBWWaE-JDKTA z9!*a^2lXt7P04` zRCm;Hck+Aiq&o_laLSVUfj;$|FZF#3(#GU4iakxXWySJ6TEs>*d`zEu328|ApgZbp zcj`G{8kgFq2Rz9aLwp0KRZI3L!eOz3_2vNB11m%PbnT?*}Lm#l;vo$Wr>*674n%{p6iwY(09Y?5QQmPzgdFuQdx zC){^Q>Y)^m!6|Rx|18&%D_jB^*Cb);zNe~rl+v5PrZF^XJhytLH@2!^K=aNkN zh|K|b(#wQV^1M)s=z@G3@kx$T(oikm&6jdYkV37k8H8M5>9PPz1C;`WjV|kMV1Bg( z7+VMMKnbuH`0Ruc3OMoAo=n>wGu|{QY;_uWb1~lURS=eTky2gqMg?IS;XF1IZ)~9( zq#PTFz19^V81EgzLkH=;KtcHm=tri#*^Fbh0mOqaAzaii!HDaQx;T9{F6h2daHnm(TR33<8IlC8~+8L_c3$JlVNOvD8e8ePER|h zOZ}ib^}GcHHTfKu{JtB16veOa4)D1PQDl9A5>z1w>C2yQiF%F)HyEY^av`( zbFR?X|1>>i5Y;#*;ZPA-8_n{D?^Z;*luO+ypq63y_ghjxtB3f8%ExRoL;rrK4!lU1 zhJB$+18wtxDZC4s?Y3r6%S0c-y_u6Yfl|$}3G+xmotCFU?WasBpwdAT0xO`ek=wfD zOYY<$;0*R}3g}5Dk5M$kNX)U-J78*%H}%MkC>~J64>OBJ#k7DnPJOQ%)K?S~1Yx_R z$Ek{2%8%b(Ydwq_&|0jGS4#YN1Wnc0E3Yq>-v_T?g6pyE)*z94UBtZ*B>YL=vAVr{!;USUyfR38a83=sm4% zw-H+k`e!9k5e+>ciNoM^MhEjc^Z=gDkb~C8hT2U?RiTk;z54LDun#i`G%LT%KUkgQ#iw8=<{KxuK%t zdT_EfYXb(uB>6m?3Wf*Fq#wA6Tv<{+fcY^v-5Vu8o5ky{2N{=$jsDQD`ixb7q*aZ( zR9|{!pZQh)@To>iRij?ns9p7i9q+em)mK>cxL0|;VP&ginEUaK|7X~;bx%5OESa2k?2fEdZjA&C(& zd|s+zOsdZ`s!yO^M*VlY>Qfz(!L2-LT?qt3!68rH2~pmlA-BV@VhHdc*+73Tb5WzZ z3^X&EPoR`ncDYpbS9s!;K@&dIssGNZx0}@;>yS1knQ2{l(vWn-y0Qzf(G4GG)&Bq* zMpS?I$}W|vKLMuc)FZ44uzeol8!+vJWo4^%<&Yt%OOkg&4+P{pBs%qHrAPoU1lW#D zBYp8zsS3L0^RO=PTJz2VLkJmg-zv#-8CLXqawntu9BS99{^mvI{~cHXeU03fT_{yw zG^&6zSdjxN^@(&|L(ZTFxSfB(o#Qg(GbkQVgu{MsWHjR%)n{haM>;ih%}3Bjr9dq- z>Zm>k1Yp>c(h0pUNjfUZ9g^fZ-HFgHP}6YZ@Z{SKD~9n^zQd4z!kPm_o|Is>9<}5H z*q}SlCEO2*a-kHDVc44MlBC!T$-@NTgg&R!ljqbYwObJeZaM&oC&wj84&585dnlkoNiP#dNm4^Cq6_kE#3#wamfRDPl~4Q_y_Tr|14f)z_PJkmk;v6$ zKWZ2*7xW2?O$G)x6Yn?&j;ym*`#7quG-jG(nI=*+KdF5+lzr;5pJF^aa zOZ4Ak_v;cer2Vbkn*GyS(~}2V9bLM(K1+$>ar}5%+FhC{)c_Y|Api zlCm`pYvs_OPaJslJLI6lnzizJuk3lds>v(+*eGvw$am}H+l}fv7A8TwZ`R1`Ir(;t zs+kD@e@coRN8sqbQGd$**M7L`M^FBK>pz+|MD2?hF_(9xKA81iA8Nmz(fQl0UVl^m zzKG}E{ZD7^hMTu8{m*wJ?u+{AA4bPlA1d7Xhdp<#TKHz`$lm7j%0HYr_1b+U8{hoP z=ReWrZG7yfrWNPP_B{6S$G^Sr(?s)f zoU79#m;@STsq7|0B%@_?P?Uk|e3$)nRA+k}V`Ml+1CKbSj7y)9IzRRX1_Co879KGo zCu8{Krm}grFr1hX>);6^Hj4Id{*`mf5r&a5QVyOlQmnCF|8}v6i3IEz^HFdS3Aa3A zI4%;I5rX_cjghHOJ`@KvQ8oU@s`)b~)j02o((GHHCPu8of}}>RXcyE7Q4|iI@HM)` zkrE(G%7}IFhzB!^9uWaHF(YE(5utycx9Kz&$FL&0(tEX2n)w$aG!uePVjUFZvHjJJ z&796iIe5ZXda(kVnLs!5OPj$iW|jaGF)F2DrfZLsN3R9`XVUzCZqCh<`5(FAY2d$u z=Ee`6nICxrqmwWq7I5+Om)@QT&6F`>9X#O)d+~4)5EjKqIe5YocF#aAaD$OEVjVo< z!9Kij1i0a%vHh~C?vKC?Moe?#%_ZA)k&K^~jfb{%PVT86zneLwr=07i^i<6s4nd_H zUFp2v)qH?m5LW5fk~*lA6;T2ixw|VDWV?&z+rY{VDZt)Rn!W3Peo+OzFputqKdJv9 z1D?b&QVyEW^Q5TyDF9Q@V88L+a!?FVRA=>de>6J+Mh44Bp&%JhOV_Ml6A5)vwEgmt zDWW?gb-`qY{BqMRQyB8n=$I&Mcox@cGMoRThJdJeCe)an+Lb&jy2RcwEo1E|sUfk` zFe^?!t%K=2zO-cT&)sd9JEYXcc9ZpZ_Go@B(51>Kk1@ldkcM{E?l|%kGu;}lrmM^ac z(^$S7ta32MAy$zo-#rllX!mfotq^ZWAc40o%X~1hKJ}^o;R2&iQa*^T8}Gm+pEqGt ziMW`uKZ1n|reYVl_Ih$U4KQ=UM7jtnz1p(!EX>j#UkeV)Gmoqs}eSWRlDL4n1rQs0}TP^ zqY1(rn@Yy*YUDubJ;ZfRPx-)|@}LMq0z>I3ev@o-n>A<1v(jnE2Zmhj%NsSUY=@7Y zj)6$@&i4R45Y@|+*LPXAdLZrVBS_aR&{G7~}_9{>TxvsOB-V4Wp_b3XNnw}%*wp1?7~Ow>Ca|PKcaaMM4J}RwelpaT%>>%JUtXTAe zhHdo?i+1f=dXIUL-fS*1HJTQc?5r@=F4EU;UsP(UxVK@E{+11k3>$7;ck8013zsj{ z2JVY)EnRf$&Rz8t+ZGj?8fq#wm>R3s?AlS$ShI6S!T}+_vS`%^MbH zZ`n|E(*nS3*ty-jbH}zFjVoCA#Nx-A+HLjhvV~bo+08q5)~;Yp_1i0IO*`&p?_640 zxjc7?R(scfoAPx#Dr$FCZrcL5w{8c@7gpD0E#2C9)3%!0t-F_Q0aEbKP@=zi?Uurt z9a|PI+)@)L($H90v!iigH8cnQXD!>>*igN*zOim`*49RNusECit6NU~<-psTyLW7> zWGkvo^>xb@lc&p;Y;COF2`wTQD6}h3aMz9iG<+`FW2&!WZ-f2;juvez-*q>;Zb#Kl z;mICExV53Cd0RuHsj;SFYyF-|=)G+_c5TOpTg!LV)WU=5$`&s<0e&y4 z0OA_93O%~8dh6CrxBj3oYuQe7cKx=7UA2w;1OA$Wr0MYjU7*i`zwDYFh48;H+q@}j zY5g`+O&CYa9Q{ylFXKW_i;RD8fI?34rs@up_Wb zgB?No7N0^-1|K5p8+L6EcHz!ljal%Q4S$Q_ZwdU>?8w?#Rn@Sqk-u&=!%*4=f&(pB zT(e_I0}QckYwArEAUriyjrZP)SL}Q8v9PAG0mkR^PlG~$A8m_v(5>jx%I7E~DIKhG z7d#k-2iHf=QMB9u5B9(VA3U%F#DflaYE6Zw_i0=F@)zNi->ndL;?uMacJ2$>7MG*1 z^fp(&2aHwCpl2PagN15-+~^pX#Z;SKbKh}j2V-X1yCh6+1XFTdN_Dr4xr5tlp9vAj zWM=k7hPhwF+`3MF$59a%|54)6wpV40oNLczxEjW_`TZ<)12bfO?{(SlMLhH|9{Mam zFH1T)@NNKFx1ERH%?x?QKHC3r0Qw{k?E~nX)X~+C$^*EYc<5$kNb+^J?dt&aB_8@R zKsP2HUHyze$$dQZ{Xj{S?6ZSbkxRsutC-R>Mq4V9ikTrsxfu-=$qcTJaplQ%vT4#d zS5cHPecUeBgm#mpY#!)ZnEj_i{f%Fpu8+w$ zs9vl*UV5faX;@HpLhCV3|KWlm?Xb9N`eNlP_CNJ0tqZDut2Jm5lgk1&C1Co^y9Tvr z5y1qXW&uK%P&d%0E8*$e1dcC|DI$$hO4-=OrkKUiVqL7O(UN6gWs8+2b8N5H;~J4J z9MX;!-R$dYY!gMC-&pd)1iR~-e)te|e&dj)!`;}LCF|c4N7oKx zjEEW1cD1hS>Mv>VozyR@S)Vw`Um~(*unj zBcn0UDO>19f5rEtQmUC*Pa3VA=~7Cp*xJ&WyDZZ^*NIm^^)P_cSpBGB)u;!m_hI!8 zs6L`g{w0gmb69L}E-Sh=Tr=6zWPr%?SkRXw+ruU;a$8c|G|!pXEAE*9GDxvmiF z>bw`5s{D!KV@_7R=~tF@3I-}WVn}ohyei8OF)pR2+PZZLJ-WZ6DH1^qCL1RP|c*k$)1tFyA`VP%D=NoN%f3Xw}cX zpcZ2rF6-o%T{l#uVD>_hmX)LKyc1iCrHt0^<_9`G6lQYE0}ZA~J;)d25U@gvh@`MWz=lpiZ?OXX@oMP|*nR$Yn?!@+oYk_5bPNRjhO8XH&|v^b7w=Zf2jfVC@71Bo(OMhwOOnC7*nF>}#RM-72Gp%cR)0u2Xf8m<%b zIw8V5`}&sIgoYas2`aaBJYb5c!{U!!tUS!&pz+RL&@%lGvrZ)_Dp=q_dvuF5AvwKR z_twS|TS9s<=Y|LMSNleV?|_0j`60lZ3Sd};B*px^PX@oq zxeiN`U6L4hIEqD7qdGaf!s?*Z4ZWgpm`eadUNsscpEnY!jFI@np+cP06C~0YIjY0w z*)d&?EyKf?&utm(e{ajFOKYZ`PM@=E+3%M98C$#)}oWP7nU8n0E4%~ntRBQ4*_1g1h0n>l~{&e zAFQH+&vpt{cDj=XP3}6?hp<`!>ou_Mz%XaPkUG=!clf8@5(0&R$qSePCWZVqIG+^&M>LInWHDm7*aL(cue0^%nIWd~EHQd#;R0_=#eJu)STC|q|zy|;izx zhyeh+2rx6Y)x9V~A+81@z0iuo0C00f3+p%~)f*oHfW%m-Xjz7$uNE+z0}Q_f05bqw z1^^!b_>*E=MS0N7Ccy9+09*us_W_{iEvdfxv1u*7)L3cystkqoevqR%GC9*?bjY{c z{|c(f+5;E$FW^!zln}?5=P=rGGs7xm&0bj(Y^x|}{R-aM@#1L}h2HJKcO3oBY)|xc z=<8zt_z2@_cZ)bS0d&uKTp3_4!NrOf^{{mTqd`el|P7;9l}=mCbgKAKY;JL=yzU8-$y`9+s5h~aj=pGzLH;J zCBLRB(Ulp2)>WHEu=NQ#MN8Yhgi$1a)fJzD8RzT+?hgG(Y~-UM?xl<)_Z){AUIG>8v$YM{ic+p^f2i-wJhe`wR{J$vJRN!w11#GtJq361Gq4tmsFf|7 zuvt`4u_#{sD5Fy=o=E|UjNL$y&_!eJpJUa(!qyMNAd=zOw1&T++?KBztG{`~8OGw7=rjSt?Mus2BsN~5gl~n*4cA5+}l8EXs zk%DWHp+_>9+fo#O=I&z@8$0^QK>H20;wsHXvu9jxgnCB%aqPXL&2)nRm(HFjbSZw_ zJ@i&~>1@uxDUNrahuiEyy>L6*SIAELMfV8=@D=(LFLn37m3`jvjCdB2`-o`xCz;_{ zry10irjJW3O394EV)!5o?#QEr5^RVa$q@uZ7%MM1iP-fb(>_L~QRC<(B9ex%cloU1 z7to4{R!Rzek-x-BI*?Hgx{@U*g(I=F6kbsi@#m#bdLyuJ6)lDR;un#Uj}YGpxSJw{ z6GcP{TSg+G`@^L0Wf!)@^D37A6fWUXXbkKAInhwDk?(%4zYoU+H6Zm1dI`S}i{UEb z3k}FN9FP%Z{D90n4yd8gvm-8PK4* zHt4W04XV%aB7#yvCEQG*QqZ7f-N3{!4a%xcAcN0ihxxFmFhH)ML9=2h4VrKRiV)pl zomQfHzC?V|?~s`4(J2z6dIbwyCK3ZEacaJn;#`g#2q;e1GeBi}SU;^G6PcHAAnQ8> z<+sx(3(6&qbitd@ZZ%FA!Kp(ZCaK4;;rf828hr#!Ce`hqI1r5i{UG(*0W^ZbCYn+( z6!a^d*iJu^=?Ta)%tqxd7|r3r@hL&+At+DNluc46NZCj%uTCoc1Px8u6&IoGZKUko zNtBJm@>59_77N!UpOIo7QtUmt_V6h+E1nXFY8LrYM%VriAF2H`)_!JE?V`X$b`KT{ zpHIIa#XO|g`*iJ-^as{1=#Sb`f`+dBONJ=0cd+*Vm{hwkjqbo=;mYGHQp`h&{gJMH zl1yOjf=rk>f`+dB8q0I$KSwSle2<>kL+c5-kEk2Uz_Q1Tp}HBz zX{{7ZC^XZ-&?NI(TVP(B5amD(mEFXK1#-F0bB~Yu!Y~HDzN#2SU9vQlrFmY z3%W`3hY?xL)}cQZ zPrEuLWNz0X0$5)UxKigeC#2XZyQ2T1V1}}j*8u%z2Ph{?8+#d1cOq)PK)+ym4;B3m z9;>V2Qg9N@!T>$m*iW(0A-)jM6KI6HGk;83`}#!ahwhn=g(OJ7 z!G)#lSW1#8P+qG`a5M0s`OH|z7dYEW2)Y{yatfX8i7G1L;KQbwXTU3%@3FufxB4z6 zI2$WqPF(|y1?M0=76$E)GFOC5g5_7R!#(HVqDKD(O&A#7ZI8$OJE8T_Uh94o%c> z)*YO}I?K&xv6PRnF4*a{I?Ytpjr9|PY5}~!CA7j5_4Wpubq9m2%V)JYFkO$e9S-?G zuGr6zb{Eplp>2mlbewaI_9LZFQ$4zoG=}{r07ATXl3O491!6ggShVsG2lN|>twRcV zk|=9G-$IOs^8l}=Sv=mFKZr=|fP{&#AhoNXLu!pox+4Bxrd|~Gv4Lkp4e-ZKXptsQ zkfv+0>ZpOq|5v|BYw+|x$c0xd=KmhTS!+n(Bpf(HmD2|v6 z;5$dVsOI`w0FU~Gz_<>^3IeW)xMUofuMcbfonzR14chCHd11{D_e);K<_G)| zHr5Eu5A)Fd@#tL_bin0X=al9XrzA6Gu1LICHgNlV%2YMGi6R+8vX2U6nW@O$b~QN9D_f1U|ce7KprHyUj>1KPUk-v~UN6gFzvI1J4XH)(#< ziUZtFnvd@9cey@)!{!HEA0|E*njaQ3^3I%tE$GMQ+o|SXQ|ZR$hnY0pn@ICN0X*v0CpVurX_jn?onq3kiPvGxUL@NmkUbQm z6lF2Y7Kq>8DRr%RMR|)o_D8N+sdr$ z^{*)RX#l_!*K%k$yQ>gO{XhZ0-be&4PX}Ph0t9xoA@E5A79}HahXH{1Hz2S>g}~<# z*pP?7JvIdX5`nwZ5SS}M%#S0mIR=4mn+?F$n-JK30D+f91F-&j1Rh7={Z0hd#Urp6 zfjxI1aMQyG+>kyp_@rnFA!7|<{)4@-@*O4#fve^q=IzBRUfwqG2m)UqnDY_1cP(O` zK+L6S2<%5-$v(t9@fZTni9woIBXEB)Vosa}z}y@J-aQw|cnpCxPatqr%qZDfK-O%g z!)i>dbR$`_i6Uz@_QD=fVF#nRd*Ooi3nn-|mVCjba&bx@7X{Y~#uZN=dHd+>-h&B6Z<;SA%Q_d@-p-k@GR%iDBWvE!rpup5V2oR4pI0Qb9>~Sr4fuSJEqQMwm0^ZU z+u!c8uY0O%-K!q%5oTV7tX5i@kE=g-;Ob9_Cg!#-cJ52ClCuR?a=d*~le2AFVg2;j zR_V+P#kz$h8qHm>it;-EXg0Oj+db0sS+;57LRD;QcXEcplM5Jj1BOqudfj=zaMB|+ zwcDl@maAi1M^iHtid7|={r3ZgueJJOU3rVPwI47Xm{wRA0~qE4hIN3U6ENJ6r7zxG z-ohR2mzs(IMZl=C5)bqFCee}#*LOkn|KFL)Am%hT{m-H6CIR4mk#WY?2- z4fH!$XGz0(?^6x&TQc>azIr|Ax0Gg`FD!(}^195q@!HaZ{e|TsVa}^|U_%YhU`H~&kQBn* z=MF05-XkIn+l`33;35P{bu!6QS(%qaebG$94o%$RF8E-A4_(_5T8*b1JvRAT#@O$3 z>NLu6F6zKz*GMJ#M(YxMHww7->CoOX^)U%q+M^n&bwjSi zbjnfs7h{esS+qu}nl5$g)aO_X63Ac0Lbt#rh_Ki9>MZNw)K$r_N5-M-(lS-k_Tz)p zWD#e1NU!NM_A!HuC|0Iht5}bI#y{wG4(fjj$kb$kq?c+ZSY5{yV(7WE28@kIi$4c$ zwymPM+2AFz*@NYO4Hu!ybkmWWU(vOA`C2fJU*Mu@;kh{x;ASRrbAsn)W;<}xM|0Dq zI{YTol2!?qK;s*O+;mEo<2$ro_u0d_`N%^8H{~foZhq<_+be02P76SD`oX%gd; zJ|ydc8^%vhg4A$BhLTfiK%+Fa`W^v$DJzCrGqK!2#&jtffz}DQPzwbQv#@3|P>c%& zDe0Vh7IZ}>uDu9a>rfxjCBMb4=zyXElb8hxu=O0Ysmn!@1!)rQ*C9WJaQ!T0C5*#q z3K-J8dYuFrx|wHau^kv%N;6b9};Qn%4yy+s4y{}Hvn!51y44&w|zn6djfWc~;mw6g zJEHPHv`4qPPsJTnZl3FBs^1Ry=b{A@sNuDULW1oUdR*vMZ{g4JDXPr^7u?}wbUtOU z<-LTsB_^0}1h}(2+y#W|Cvbd}2AA^1ooovr%g(|(n43z$Hg7A-TNRp{ zUh`qUSaHG#UtS|~Q+fA9sBM>9evVLo#Y)e^ozM@wHXRgyM&_n3TuKm>m%HuNI$n6`8d=LlTRmdE)@%LI1d6eVNFH9&V3e*m40~mfJHawtT21OUWkzr5f=Dc`t}-iP|s_1@c6>7hx>zOe_gQT90EaZDSw|c>;DMF&d2o zcLwtQ(7mNW9tTr&IxSo9Sd;Q#-Hudq0a@)Z_m1$~7&$HNUw zxUaD8rjW1jvuWTfoVXc%1rPcPkHk;%6+V8rd#&f%zQSPoLcv#9mpj-d_zGE+uP|)| zgG(EsqdiApv{^t>7yRg0J9cndaU$Re1>9Z0qh&w z0+#-3TD`H!)Z!Wk0Ds%GJ_h!UtpQ(QC zs|l(QHkO_BNG->}+h~A|Wir@UWxt6Q`uxDR_q}h5)aTrBA|{mvMLmA z7b;TkB%eSd+lKE>((lZzHk>5NAm}b=yz)|F+7Z+6!E00jn@Bho4E=Hm^ ziQ^IqNLyxgVG~Q~ce*r13;HElVP=BmDS%TG1AxT+fJ$6VV4|#GCiI7y2|QoDh?sXX z0)bA@9tpU7l@%KcarF5f7PQAe32)rlB5=E0jgAb=Rh+|7TD*ePvreC zG#(5j=7-I&nA+Hc+G(>Cpb(#uOID03wB_b9+*;@0`P+)7fiVo>d^Zq3)DQMLcv^}U z+{N<%n{YQiq}$=*JMS{Kg9{>n-uKA*$#$qLvWA_eD8>by9GY7v_%0#4*+^ti;8qH9 z%SYGZ<7*kiS{z}uMClWeTiraj40;TS-%N9Br=tfO=M0t_a|F5NPC9}Z&B!eeP7P>d z1i1BBqQI@OAh#Gf@f*o8($Cs#jWlD zw^Yf%tpb``LzbtJKr#)Tqy(5D+`3BFqT_2J-NE3DC77TfTS>^R=y+bXOgJ-@(cId_ zxRG0$V5u=@kX!ncqsT3?GG#z(kK)#hWPw|kgWNL8@5RQRK!j`fTnZaj zEEwB%=>}N#RF;Vuw{Q<2VYDg!ppPhW;_|(Q^+6THN~zT)_o2yBeFjbOFDx!Fnd7I2 z*67@6y;w89H!WB*wKeuC?;-vg`vTX9(Mks9G?V>}H}TtF*W+%*`MCWRv~LfS@U||( z6UlW7+P7UyCYWQ00^RB<+BcI-A}sOE{toX^w0 zC3jD0B)G}?i*8O;AcoE&*p3#G&N_@u#yiqk%!;cD?M+^xX60t3xMDtpfzlK*TqApy zb}3G@5Co{D3NZW@>}{v0j;uX)tN|8r2a-6D#e<0thj?JQkyrsvA_qvYzym>$dRv~s zr^IW-SW!S0e{t=;2k~(yx?we6r=;xd(wTSef9FFmp=X{Qcv7Tnc@35$EN{)cQ?^WT zsr((kOn+DHtV=Ec@T5w29oy!&Iu^d7JmR}D@T7hQ0-tQ@pL3_ojKD@!>ekv>!|6SM zEw8wM9Q)zz?Wr;PE{M@LV~qY+^Ep07?`M>QjA|IJEV=4b9jol}W#kvk?MX5EB68m1 zuMXya@O*mkyhYt|%IsbavjRm%p|)zFJyPWVS60x?t)IRyKg!ScK5q6*M-OE zMGtqs`yDa*!I4EFyPIqMf4954!|ZN1#^~=MG5P|uyYJy+^eoujI>GJ^#OTG1V0SAZ zMqdgs`cx`L&m8bbMTuZm8>kpP3o&{f#ORx-7`?a>%<3_S(W|K#Jr{`4ixLk^6Bki2 zdKO~zI*8G85To}~F?wnB|5A*8 z-ZKZ3R#@!%`(yN<(lPo^sTh4P9aV-HJ*uZrjGj%I5~IhJk88*1i~rMDJT*p75C&uP zh>*nSFHDZnUkH!U`!GhokB`y+la4BXZ;W09^VfM2RZbIQj6R}(=H_?C=-)wZhQ+SG zKSqC*j?rJGV)TBRn-HUa8MzsZ(X%O2V)TQ^&1=T!k(*Ov^aNoQU?ovyL`Y)veUoGK zec>_s05?gD{$DgVzc)sY+??RKNn-Q^|6YuqaC6STAERGS$LQBnG5Sn8qzo~7G($r% zdNyTBjQ%`w^O`YwBAZiU^aNpZh?_!;K66Tpetme1J{3&JaT|%zZ~C`m^cslKuU>~S z`fma;`gyd2^xZM~$Dkj2Xb0*0WAw(b7=0NPqc_qFg%~}HCTJ)|UpzHNe-c@K%^3Yd zjZym>r^M)8=&X!fg$s$Iz8{RyKRG!@-x4094@fA9(MQ}wI|knuqc23qU_NmS0x|mR zW7M+8e=A1sqGI$SH3`o|^phC9?*FG4{j&d0G5V`ijQ$E8qZiG<7`^U)GDe?9V)PNW z|64J7GB;Jy2KD#H=!e2$^v9_f{m`Tsy%AmUP>lZlsWEz7k+7loO^MOZzRQ}PG9^ZT z8-P+V`bHr}-!~;juMLmUH(`uEjl}5N{_Pk&5!WgzM(_OZ#^|q5G5P@^Mt^c@j9!xn zG5W=iV2r*SWAyA2jL|=J3S#svUX0NrK!Lxc0%G*~YK+k<0C;}W^BAK?;6RL?09)?{ zV7sEQVp5F00x|`B*IDfMGavmd)1KHvpT+J4yZnDUCSO@eoyF!q2xqaQ_ZB~LU%)r$ z51hpw+=yqfXT@d*&SJNav)HHmsk7LvQB9Spm9rVH?tppDcGsM7Hdf4Z+|-(MrRhj& zRXP7W?Xh9&It@9yEmby2(@Mk)7q$AaiNVLXbzNh5cHMr>tn8T6*JITE9<=^nL+d}T z8qQ}MTUciwoIY-Y)5npqts`(u+p`GHFGDn40nv2L`*2LV2Toxd;S{zXqUj>A>Ra;Q z{Bkn@d;tJ{0DxoKCOD?uXM|JOBe3&EydD6+s#na*(re1fTiBCu3Y&vd*esmF?gp#A zWdl@k2mn?BKobB=0DuAxS+j5o+cF={P~Q#!Cjj6c05}H#y>JSf0|4zz09XhZ?t&^# z0zfkWOaQ=D0AS#dH4CS(yO#h!4PZD6052j96@URwVYeed5@5h%+S>u(JOI1~0L=g} z0RRk~!q&nmYzrRK-V0TX!>Yn102l^<6L3u1{MfWUKLB*YG3}NI0N`uDa1{W)LJR<) zIXJCFHyij+1blc1s+jQK zag1XZ9EA(Luj!=dXYfEx&W}%drzh`7SXX!l0iSy?pwYIGI$OSqoGmw!v*n(^+42+D zI$Pf0Ku+;z%YO}blR2g3&z2KL_$P5nE59EbK+cv=eMchoBpNHcgAMFoU}UPSjM^C0 zNC+@sFR7gr0lM3bU~N>0;LJ|oka<>o1s95yLCQv~ya#Ct#fu@_dn5v4Wm)klaGqHv znfN{6z&*m_obwE>lhDCjeJVMqPGXscU|5zvKXeH98V>}{4>j%9;&$z}Q0)%>p!!Ly z-53@!#`72Ycnvw!orb$~Dzju%bMN;Nejml=_R`HI+oUVc0I5bT_buXpUH)*e#N@;y z6JZ;4_YuLe5*)vyaKJtaK6qP+HrhC+lRW@*chYn-kq+eAS)`j-k3tx;5$WC(pnIDi z_XyqIAqvHPEtzCM7(+4FfJl3z;i$pt+Z&;c2})l9*0-M=otf1R!NN^p{Jx*WxK zVbY!&vbTFR+2@|m_E>OFO_hl{uhqq$L;oF8-wx%4C?S)ACpfwyT1lOW4(MZ3n4EBt zWRwvZ42!9f7MmzJsp93tE4vrC)qPsevPj1r>{H?Ca=T@|9nTQGQ>wv#rCCR0-Ef}1??;-` zaJarB^dX=X?bBbzX7->w^BYD2tBgX7`&A9;ev~EUf+cWSS45OZXjEkd zMwM+L;-J0et1Vt}FeFdpP1 ze2V*wtWboXz9s|-68-1-D2-u_7rJ>4slpX7m3E8WA?Lx$}vxa$qAofiuXk z5j3!#65w{iFpAB0!7t6(BxYa8(bOb4eF9<=&r)jmU>qJ@|NNebTG~sJiNS~Pk`mD}D5YUmF z8|uhT#@|DE^|r~XAxx0%F|(1hG3-Hqpa(&alMlfl&Wfkx!?OMm(&Q&J;S^0yI1!ox zhMHFXF;vwtkU+(SocvL1ROkgbKZlxs4OuqJFCzka_Vx2;rQ0za;lwaAeH=_M(MClp zfu2g5?XK3>poDrG)*C$aOGHGl&-VI2Ge(57oj(sv_R|K>L+idvoFC8t+ry2srDq7+ z&tcVdli4n4CaNu<_(z z^?BrgPk12Aqq+MNZ&MxN~8g`3?EQhp@JdVr^G|wK*pV z0-Ciyx{9oI5!MPvMuV)aLN|`h?Umwo_!>IYJ~r?s()l7>3UC(Z{F6l;eI+VMThKd08-JK|6t$TU5#4(P+vdl%Nmc+P?;;d-lHTjEgdp`i zqy{U4@3#;j#YYHa8P$Ma5w>_p_~eq%fPg?-?mZc*FPGxwuS96F@r8U;XZ5ZjX7>oZ zEa2!EXks=#YKIH7Jv3r0_Z}Ju)O^hj`L!jU?0q{bNK0TuJ%hnGF$UxAr&FZ#@4v&2 zRd|E!P139(3F)>jp_+Uwofw>?VnJYCuq#yX!;**|B*c0tV)eD;R3X{sW>`saS_uL)1Ovv;nHaKNvx1NKxn}ML;SY;c za!HFwd*Je|4os@h6crJeg~ua)1muQ?DPJQ3V@1JLqt%GD1w6r!fy3w7%7>)}f`Fcd z$M+M#@?+Jbf-ndMj^NcDb|+2t>fRt-?LiK!zY43jGZ5mdo*;or+Q4B_7h^qMxP0ph zYvMKHl7%&`Z%vL(G@Zo?`G}Jcya~?2+j!P;iN68XcG2M~UFuS-cNFU-wi-42^9Ih5 zQ`>>H;o*skCL+x^($%v8Wr($1A=b7DPU!v}h=6A85&3&q6e%u1TP@6XNU99Mc`sB!YQgGi|aOQ^38P%6xw?q)nC z_$_m&KQzxeQ&+&F0vxB`nqxv*!`*xtVNn>qg+u5G;9R@b+yf+3(C(UZEoqksEjr@- ztfLkHG)XT40pWgSuZ#we2Q>KHe$hoilTi6X>&tIcMIrq<{kRlo0y9%Zq$93&ugX7x~XCL`bqR zIY)p#5@m=8M9BT8Y60sunt#5xNOe&g!m0~6kKQDd-f-t}jGPHoKRT&Eh<}>`!G$+1 zWSr94=$mwOR3)90=@Wd$b~%w-n!X9!F!JvS%v%y-M-|UMZR%@4Uso9axIt1NZew_X z5Pd@M#`^?}c)gm`U!EI!s5>y%1^kt;TdDuOk`$nMiXHrXw-bAkD=j zcgaf#ELw)ZUQBed|IY~QAc;=yC%{bz%#z$CV+d>tB|4FPiNMCRm`7`8m0<1?$wLTS zb`W!yES&xE;FG4ch<08xA3`gCsh60JEZqHY2coJ(6)N0z2j* z&0DTR;G+ci$B4NXflWU|;0Xkl)giEVAp-jlSn@go_x=Qdzd~U5Rs?P>LEy(pzLjhQ zzAXcQt?wYP{VA3#JcEpwScZXb282Bst4XdE{Jy)uxyd^;1CT{ug5T)+y+9_|OA z-2cHojZmHy*r&ngS$P)ntYkjXP!_eD;rDQV!0*%OJg_RXhx=dh{g7v+SPOf&SGSC; zVe(_(>}vHBzTRlvPm5qT{a15yc1XR~Z!*u}W*E9$>kbXgYe~8x_U8%B^B49>o#kw7 z?o&_bBTDBmWE+Rcnyt4T1IG%|tQ048u3OO&I8u2sdy{-0pMGWVhZ%n}#z+ag$eLXv ze}WWAA^bde+{Q0t04EvSvYX%g#(vf z$lfBt29%Po+cr60D4Ov^9PJbpg_OaQ8i# z1ppWUGDCY8${9SGWBn;A%Lm~SG)T(%{PwJ@0BnZ>asViJ$T9eC6}}^9l%~GR$9LpZ zmhjHpZ-bdJpFV$C;YADH^E6h!kFNf_rU}ncj`ADzSUgOBkl&~`;FXEs9Oc{^jSf!M zzZ?+<309VfWP&g6(03s+w3rL*a0#xg32u1eI6VAThYRD@ci^H9nGPcU9ls($VnV2$ zdkl{meami8*PMsFC;`y`?m=K{9wo0!kOnA?D%8|LU zh~H<;U@nw0YZd^eje>!)F9#!JCcw%ii3D`kr3^X{p?AzKZ!{}81&98P%X_SkGI2`E z0`kf#v6aLNv!kg_Oy5h6IgkKlKP)D7rW{tS5DSdy)jtIwe0CcWLK4h+!JSJ|?nQK7 zw4NwGrPr+`)&YrC5q@|cWey1Z*gc6KIRsdbLM8trTu6e5Qb?h(qOkN_fFERUFX2bK zbuq%A!M3mZTKve-)*?TG@0eY_5I^7mS{OgH@@i}VF|YYuAZV{%av?vWV1Wtwkr(8L zS5Ks_35$?`QHUSpxGH?1HTrD)@(qbbVdIY)LdWPDNh%+HQ3x$v>KNUIo4~*rtJTur zyX?pn9-M@l(9&?K@`DtewS0zB=^=(DRz`)W&RWFS3;fweeCMA~lav7wF# z<&ZH_8!me#%kUlPDw6*-l;Y>zJ0Pn};oVjf1@5w*vS^E|pQ- z*s7}mh4i;{c*We2h;h%bG$5DxWIkm76K+2bsuHuo$bTEbRPD5Sb|g0ww9{dx)UCr0 zFj;(NqBfPOy(+bhftoSN6Be>3Nj3oK5NaA`HNfZ3( zxh%MUS@EF#7`t0Xsld%WD48Z4?Q)D7kRjh2&5S9!*|u5M^7%ep38so7UCYk6goE9I zn|ZrY(~!O7JEY8@t{q{|0xWB_5CP^7tG;L?gW?>(s_~A@P)=0^4x7N4K+?2(@ZBM} z@X9OUHbsm3d07#RY8;22E*LF5!lV3Qd4h0^Mp(&lNJ45>NFU!u+BSl1v*ElEYMW^t zXIWwWQ-lFhP|iGI*A5`*!$(tu|c_QYFmNuJ76&ED}%e> zyfCs~H^T*LbL_y_8pimfC7}f}UR@715c5n{=J0IbvZ7rS1@k%YGt~J01GoL2JU5(u z)gsNfbN>%9+lFpBrsgoaUwmYNbrI(A(8y~_&Y)Jg_0Z9swKj8?`$89H8Yucg=1mnmW!+aKn?n(2hT>xf|sd=VUcWmEt3*j@IAMdT}+?l--x%Fq+zFIua3Vz+i0 z7=Ll)*%_6$WK`WUj%HsXntf+)LbK1ze?QZHF#Aft>`Rzr_F0ZWB8~<)5GaEKfhBMt zunP|aLL!a?NW`IqL>!hY0Pr~gWPn{L!9*N&0PrCs;uwTP9G#G7BNulD!-+u=B;qiv zfGT!Do{hf&z&XI+1jEq`0Djn8ECa*Q0DFtO_5#2-09*oqVE}MJrj94zNZ}gD)G-7{ z3KJd#fPVoEUje{X0AS!qVJsXe)X$~tN|J%jisSJ+?8;EiKEXcJ2JOmFUXQ8oLU}!e zcf_u2%L}tBsk|QhfQHFtt(MQSH-t*_-$^GZkfx>bfdtD1Y*jBc8|VYJs$Gt@s`g$@ zDdhZEEVoF>hcY3qB}ybo9*8k22^yT#wC~{aP!J=9w`M~NVh%S>l4`O?c*;8}+lTOO z+@iG;Gdh`C2}mUAL&yeZJ|{=O?$Bi6M$1*W9Q**QtO6}MYMn)GvpC9E-i$NYA!-I= zqA@|ns3fo@gD7Yx4@=VQIs{nV%21{c7oTus0t3L@S8ySV1IDs+h3wDSkOv~-F}Z;P z_fp^?yZ00O960g47E^hANgspjC4~N;kP7%M=Zj>B@lNY{>YlN%JR9t7Lkyva$ zM>f9*7eR`2ZyBMMuZ_6V*J3{bOg*NqqIL^wOl{<0%P`9c z%fpg|KVJ~`lTacMOET#qvPI1LHzWle7Cvpt8BA@Em#U<|-4wWofMbuI0N>#Oc8MAK z@v^lgwCtpllc7eorZdQXVYU~d=f~9eH6Lk;$8eX(H!f{*_#&!GhL3%yDL_b375 zR@lGnmG>E7m&59UE^2SDJB6fyAv;w)LAx4c-b+NTfa76#8$6Z3I?=3<1oupz00%^v z%$rCTSx+Nh$jTr7)Ua~%CCRr#dFYa)jROVzu-XjYpK&EjaJ;-QI8hGl zbaxQJxe_KgJ|4CojVMPD_LETB4oey#pCR7crvOC>&R+z<34yyQa1Q||f&)(018gPw z;#X3D)>S=zX&%ekMn1> z6?&-D%b(SDJH;-kWQOuardV6*9u(^$1mEX5;#Emr!uFAsML>W7Uu|GADUBpf;Sdt4 zK{2Hk9!n$1I3=4}g?Hw=wUMx`psSVIR$%1errN}wD19cbo?nR}>C_}ypsWUN!gOkq z8HS~rK3znEvK4j!(g;+8pM=tXxNmge1g!Z2E*`{4N$3u(p!gxVM<62< zGo7@KWQ20j2th%~ack}p`eb6i_-RB*_KTuw2)b{A;`bI|s*m-_xO!C=OQ-snPw4WY z`s7z7aVX%xUoL$KPrnQVQp@z?Fj|CLNg$m^cPyXIg8`FTh~Yy%57~Ukz~Q-g;4O&Z zLs|}q;X@9O(=0rcBsN{Z7(V9k*r|bpA9@w$@HlI^KK0K1d6>h)B}d?YA+R+QfwgT2 z{7X!#H!>4}+YOj9q~70O@s3@Ez~}T|MMM4gV`3TRcf__|OJc;7ZGSt%$B2ER1iL61 zz6a8-Cs#{y!UL?jmi&_Va0TGuZTtVd93N=T3pqZ>J<#yt5edYHnb?NR$96G%e0YeD z4|CIK+g=ViJ{niW{YByU-1OlZ<{5PavF!(+a$p|rXszXdX}~|H=5U5J(y@k**Y?Sb z^{RdFUC$V=UB<*VPE6-b`6lDzDmIIMt}Z$2o~sCa`>L@|l_6qW`)8N*SC+t+x89SA zXZz;h*}i79?c;7HXZx6AeNxdqV4#l*XZz+u;P#Gy1rKSrwO1jss{#Al4EA?BSn#Eg zcAIU0gdA72dT!Lz!n_MPKQ4g%eHQHRK@8jq3Z$G5CVh{6O@_+9pSbROY;R&;uMW4b zi4K>L>i5AYp>#Nj+YV8-a8|$;c1B3ytKIJsfeozNs1r$Mc%zLWL%0Vu0rAYQZ3tU% zysJrsl5GB_B9spP-8evmLcSarjCN7Q-O7i)Ogf*wmWh;+pcEe`D&-?k7t!C=!iD(T z%$~t$M?tFz(q8-3b$qr9MhY3J|L6dSZ*BQ-Nh^m+_^iL+j|XBJ#wgTX`+6}y)(A!k zNKE6rel0gYVI}0G`!64aVN11&P@q|#L;~u@zXPxV%?q-Rpcjm^Z_<rgT*^r62AstjyQ=*arcT?b@1A`}tRmBGs zcWNd`ccAGYD0o5gc52ART4JM+cYb0+kgu{0VD_Q;z+(=zIq=R)zthd@fH+2zw#;W) z%b6szHDZW$_}oSgvK4$~C_4-ZRh1HHYLnEJA+|sqac(^1`W8a(xg3%=g6q(7jJX8E zEjtwF7)S~G(B5{4D^<;EWg*;h(FC!QgdWbv*jp@-3Kerb`B2*88PnaZjC{fgppb!_ z^!KOSdx-NEf)g&m{_ah;OSBjso&6dnLTC2_wM_>ggdj))e8)JLWBW2O`eVUaO^9v@ zsQm=BZ8M_gqnnr>nXi_9H-?f4sgrw}AO&qp#%b4DjEdn5cLru=A*eT|e+Ce#Nq+2M z93NmXk)1k$sGud4LDsQh$x23r4v|)*2&) ziB=!`NGPY4JI#-B=9+3@FQ0oKJ<%57p3U%ycAsK;8Zv~Q@>^SehIKlzPE-d1Lm=DC z1_Er7Q#R0H2bx~|$;LO}PEcarw7w6)ZHd@$)IyP(Nisa@&?X2=?BHhA}@G6PO+7UEE$;?g#D95xMe>5{_WI+yO5)61R8Ici=;MX=e-3A9~cNsjI zl?TG@IDpBE18Pn;qZn%(MBHxNhBR5YH(So)(=AxzdT1&N@ct;pbqWZpwqzutcv<;X zvmfLj5)xLG<3^p(&~@A_!lC;Ue&{OZ;AktyV6xg>2m#lYj5rF-Z5l*lA^}&_y8@aq zwdf`Sd>O`#K)z!}5t2WKMbhCeNd8v_?`Gu9fu+D3VI{#Fu?Z1Ah((awlX)!pVOgkd z67~Lnn0p)WsH$sk{7h!@1%a6)d;~(s1VV_2FhS)TGLsMpf*P##Mn#$Mp%D=W1OybA z$pn%p>LBP1n(9PpjY{pH*rK9NzAz}Y!9TA}y=gm9YN@5|V55zemUsQuo-=2kgi!zY zdEVRWqvK@GI(x6Z_TFo+z4uz{C!L7@M+r9%d{ym3G4ivpRA!Un4<%#rLiiCh*+?C}LZ zO?ufYZ-p~$qt8|e?}OPHUB-V#ycJ#;yDjRiV9fZ&xR<;Y3J;B){n6OD zUyhC9)qGJELr2iXLy&^PM=2<>?jm%UPa|e3&|w~@gXZja=#Y0inW?qpK0xQl1r>CT zoK5G*HFS>5=rDgF@Rtz5$Fvc_i$nl)7!w^6AE#sD6gno(reosb0z!wWCUlrALWfyS z$Hdv=auU3hqax)=BA7(zFw2Rcjqq($3uC2?Z+xD?2!!d?dj#6!I6EfmV}XV@+aXqA4Rb?V;hbUuiKkM3s9pkD$fgp=l0%IKdFODkHH&vP9zs(aGh z9QSNX!2cp^q&tqU(Kz?8J!-Sj!GPMxau+x9Sv2wh8p#J&!u%>{JGk)T&-gmbt9zD_ zb=6AZ^SpPLraF9r0XOO{kr_CRd%SbzA#|*_AmLHO)e)4bbm)1vsGJwG7r+=%um_#F z0JLE`0$ggN?d~}<#e7i_B{*@t5R%S@k2L;(-V^D78!-2Yw}=CAaw4Es&KFVuy~}6B z$&j4H?Ov9Nm#c>HpjJ(eqX+q9=pQAt)u!@}9+g3Hog z$Jf=C+=9!~-p1Fp>aWvgueZmMu9`@3D)c{lt1rHC3f-gLZO?7C7fsRrh*(aJ(JVMN zOmxW_)YblUDs2S4M;bM@JVO4}(MBAF$mMUTi9AwuBg z6GV&0Lgx?pCDdaXSxNV^H06W&u&A81FO~*X_)0S1K+ZQ;k>nfwC;~|oGO|W(<&opn zMou{1k7>dO*E;jAInFdDflRn_=49;#fsPF^x~zOwA~U+7(iiUCDnl-d)dgZBb}Lc; zX}ML|+xxVtx_}1-T8X)CCC#mVH{~xQzEVZnpNY z+Nq3gs0<^7PTyA|(?mOqphqHZms>UahkaV*k9iT)oH5o%-=clhPpcL;^lnuoR|Fdf zP;QrQRpSIc!VIzaWkxqtmK^HSSC@0g3WO4Lu_^*xt8PRd@$-f92t3lntvZdqLa+U+ zR;@(f>Upm;0xbhy#LKlXd)oVN)jAhtP0?$RSAEz@6teMxZqqb~wx>ilF^ zax#M>_BCU;OdwbmPUqU3D}C&&iJKV{bcz4rK(QzU!* z$|+HMe0o~)EJd6#NA2-B7q`dv7%hnr@8L6Tquk`Z50J*$8TDSv2>!k8g|QnYd;E=l z?D3b_9-qthc#!OI582}}pGE9()$zk7+2io*i1E^~aSqwzyW!VCnV@ZCk2}d850X9Z zA$vS#MFe0)cH3}9>~Rm-<1s5JXIxIi9#12C+(!1e^MAWNeoC^(m;7(E$LXdW_IT%a zv&V69wvO%bOkt1z?Yr6Izrao1-=g;TI=06%g+2ba?`)4F617va$92Gm|I!}+sy}<& zrrF~*%^tV?D|_6=_Bc7pz&PhW{vGx>U3|hGU-#YYad<7X&VfBnjxw;vJ9XAj|MocC zBsw*Fd=BjKZC19&J7sI=lJs!^oJ$~_4u|2M9)g|q5tyRJv*J+S{X^(#;+2f~Fdp!T&W{<}Udt5L0 z{_SyDbqjlZ&UduO#hXzB@o%ulyFJ1l*UA3U_PD0Kg*|?zS=vVZYkQm}{_nKMw^@Zf z{(`oMzIUi4+QL?AEJ>J%jJ-*+Y8nwq0GU}rC z_>0CNQG0xoWRExYV~@WIdwfVf+v9!QIDpLJr}J^UWRDwWlDS<;_INJY<36&-8JUIb zaW~oH%5iezc!>yr%#uLox9qn=WMTDaEcogXkISuMdtBeD{_XLL zw~Fm?U8^o>kN0U+|Mqwl+vB=c^>2^Mtzvsz->UxY@r$>L?QvbJE@_YVX;uIBcoo~@ zx>ogXkISuMdtBeD{_XLLw~Fm?U8^o>k87=Bdt9$U{-r(swPcTXMC|dM|2}&>Q`qBr z!S`>E%c`60@z}ZF(H<9XM(yz)w#Tc4J+71erR{M|dkcH~?EgP|oF)G6w8sg(h|kA+ zzMDPH1D5UaOkt0EzN0;Uad8WKTqo{J+T+?#7xws}|CT)tc$5j`Mq(UBekNp(lb=bD zF;iv&28HZ#@-z7wPAO!MlT%6>W2XEFPAP=BNW@3jDW#M#QwD-qwa0site$UxmKHU*(3}U61EJ^lI+zYZ^QPUS&dqali?I zyVy!J5ufa0`l|d%G5o*AU0iwZhGnkx_euaO@-M_gYNdb3O$!%1){)RY_S^8-3yM>z zt~DyPiOPuyF{A#l=gqX6EHfzs#;2*TJ)|tynmu*bP~&3K0w z#cRfxf(r<3#XWXS``Eu*Qw@}M!!+tH@93`h{T3XkhuVHQ_8-m^Bmch8JNjJJek=c; zkk*}0ERfF)3kvEN6vX5Z^0|{BSgMcL`WEhw0N)ouVvSFoQNe2Z>7FfuLcTlio3}xA@0%9qUfmkYz5)Tm|7K;SLQt6j~ zSWFTSOO_18(kKD3BuGFk1u_szg$l&dxq{$i-ZN#n35dm#nOYenAQr=R64ZI(;oCe% z!g(Ta6V6J)p2UI@0%B>50I^g6h-Kmw24b(=5%3pUKe4E`|MM2-}_fWYnw148Cf#v72r* zWKZI{LmvFxA_ynt>eZt_@_WpN>sCt$9eF_B?|MxNn5J4Y?vI6qvRVc{@+J2k|`8fSi^O?2+16S@A5rXXYF9 zZWg>~ALU}bgJD&cEtcwgPK-N_I(tX1k8{OsJ4pq1yIpbco$1zz(I@WXv2oj$D^{{WsH95<)Aol-R$kZkwd@LP!II4{JwV;$A! zPewxBbHf*EL(Ory4?OzWcL3ki8S1|?&Psx*J|?v)B*DGFX)4;P=0Q(QKwzL_xRHvU z*c@Q5vxU|Ho~*OSznpZ!zR+zpj5&S$Bl>#WK0y6i6B_IpckuX^m!GiHSHrl&$5SSq za22Mt#f+et`dU(KGX2juwDB%rs^rx%rb>YTSUDS8pQH}oH+U=x7Z!LTtHak8any*| zu$*3B_;_dv&^PcPq<&EI|B#=tJF*1X!f8uO$PuOJc6p$^8Q{tA7Q}}z2$cbQA?_@< zh=FG7q=S|{y6y{#%Gra2$C)}x7?Nd=)O}mfeNG>`Z;#x4jgv)4DqLWi(Iaa2b-Ue2 z?W~`<)0x-`7YdPh5^;Vsb2+KDI&;YlmZGM0^P##~^B932m3}^))#gJhs}(UH&dT$l zMEHHQLCjFg3Tu}N22at=+0WVg#rO`<3GftkFrOTLEkiajc*-`NUO8js(aZ0Uv!_AP z-q9w&Hf;i&)JbqAPf|C8(o9Mc>XOvS5X4vNCTTzf-To89#i|qBGNF%ee1!yeUMILC zg{*uy6+o{3opMqfX-S`w;yB;>1gYiuNT=MoEL+lF=Uix)_g%>1=aF`)WByzTcR4?6 z<6#MC6#cOLlHWHT$NLmzrO9PaM3xMVvPCD$Za2%4-(hGJRhE56qqFa5oWuTx9J|lR zC_dX57FyZ}&%YRK<00^7~(kJbP` zF&}U^#0VS_ra5;~Uf(?V9PKOO$fwHHbPGk?G@^&!krlC$!d`M5ogR#oF3=aYY0KJ4 zX<6GQFKfF^N6<$$`Vi13G$*^=!MLw5pj!87`re)bAJ_a2G=fbXZT3b6;o(N$N$k+| zX-+bam7k-I(j@?syDr(#UgX za+y{qAjcxpdUKGt(+@=(Q+hkV-5MQtXCn*m=p)44A)V&7rv4s6*^R)OkdC`niMzAX z%)VXb?&>|@PSnXbCSsy&w~+cS(&@vgOlkZC+^yGfr;f(V6MaUbJM{~2cL3bk5#kgb zCPm9M*>!8&73uWOtVWg{*9i}gi0lqHiMv)Ece9z&`(NO0vyMB}Xt^vz-06*$Q0kw+ z-BEDI0a#J)S|#rK)rw{3Saw_|1C@~MRLk8_Dzf2W5%y+~@j!5Q1#__m%jQ;fE3ecW zh$z2@td_ot@#O$I;&xg47;fgg4<&HPH0DN@FfqlqXj6O-Pw}`om|R=rDPFge9W%L3 zcvN$pl&ZM`w!#B4cYZ;+72Nq$O19@z-N@J_tvc>Jx17Mz8UT0R%XHj*7rXj!(cAQ* znd?Lnga~&XO~q8yHkrF4f)ehZ`3)*1bEhuQKP#cU&y*uu(%gon?C@#%mfkXAVTJPj z?&|SG>^tC&>pZH~Ima4~K{jjM8r?1^e+2H}Xsq?@xyZW0;3Ta&?mV|K1d9vYc>xxt zakoS3*>jTe-XZtw=~m{B>pZ2_IeqYzNP@hxe4@0TZ#~487i>lIPb0G>=%O2IC;ic0 zL_s2qCa9Xx_9Az>*MTOYy2EZ|*yUuOw6~J%Y>Qx6{s*2k`Q>31`17Xk<&?2#2+z#ggH zC4qEWMzSRY2k;B+v{vhuh00@Gz$+-ATf(WZjI`pX6XGRp$L%Pu1cz2|SO^ZA2N@Wr z!;p^Hi;2ed$d0iliHs4I_vZ`&Uno)w|k= z)chKKG0T;tzPW@97ZqYdMdbZ0P+- zSL|cT|4$P4YUY#mqjyGR0@;>}=xP7R9LT2=rBGrv?T}@49UAB6#!Z(7O=>7#%^8)1^@SZxR7N#8y)#K4x&_0cP3 zJk+r4m>CsB?xVX8q8Z$FBTzw_2Yt9&?xW|TeKf~fd=R52F72$g^$#9nQ@aaI2+&d) z(Y(b!2IaBCT5nj=$Ae}!eMBHVsNYoCv<~5SSfM?!5DTz5Y+MYqjD?XG!`maTXDe zh4J-0k^oMLZv7N|0=SI@pw5oh1!4uW^Et6|BE=eg#5f~fesoUa^wcrdugJ4Zeeqj|%^b+)T@cDtP;L&ROT z%$-$Gwu8HnN-1pl$hM_!*Jk2QzpX#bW3dosqS;DCYTJhIbOI;gme?4HLTsu=zClIl zHdSsxiN3vHS1E<;)J@ef050e^RbMzbTL{LEIY-CcciB|6kDf{|=5w7swNC%C$~Yt` zcsnM)RPpheos2z}CPAHk%Y-6dpYt#9{il5KzljHO0~QxHt$k z|DcpyJ3M+OellI2r)k`y0yHFe4bO`9`F9g*qvg~cqQ2W&Jgl+L?K(tko?(VtJZ z33wH6uNO2cD`Gz<^s%2$2|SVl#`_Rk_qA;_k8}rIo^1@X>26cyq%)h}auAY*-fT8( zM=tIQzV==(XvanmXvao}bjL>9#m=--eRGc0{T}dWbk=fMpp*<|SPsqRJ;^7}meClB z`jh9LD&8eO*6RgLK>eN}R3>y#OU&0Xpob99mM{tEobGI++KryKf1`38IVToJYrpS; zfONL8p@y@Mm3T$Fv3<7{e8z4Y-%>?L0XaE2tmNTly6(DYB2#^qn z%NPRW03bl(Hi6h?2Ju-C8}mWj!w?|j7<*$JN;4zGmj@e>d`7}X=hs2;xtv32wfkFFUJX5#HASxLT&l0b}Q zgp4AT9Au!5^gWwaexT%ljTQiPc%KGwH3N0r4`RplAa*lQ#}dG4_!y|86xbWyS3rD* zfjU0pl9z%wun3~NAH*e-QF3@(8>R2XKV??(N2NRaRFlXnfgEedE8)N1u*dbvl!TYI zl*eyMh~H$5w^@zTokpA0%b&&>{C!6GxAxH;e)DP1An|X=J?K*^LP0)?=zac#-R1c0 zPfAwx)k*Ks6Xk#GUOx)R5xv)^UzRQV-nEMzi|1{;Ywd=qS1sGH=H9h;TKQw++WYRh zbM2~?R(evlV#S&@>*?QBcP`s_|CLkjpL!Mi0qz}=laP5CB|Mv3Ixgeh$b5T*t@RAm9q+**3MIu2L`*7)|-a7jislQVUM;K<|ve{TBT49CMq22;j6_n>*t^c;WI{r*!GN-AZR zHa$0`CIzMKRbmxoKK)1kIF}f&no}|C-i+GB7u|RmXfTY-bPS{tR$gyMs+11vzK32s zI5x?Wt=LKzq*;sIhO{*aO$WX#vo2PCm|`r8$$dI4A(fPsy;dPW5z0;d_)V0!?iHIu z@ghm}VM-0+qSPQ^%3#++*d$KECg~bRi9LE_lOV-(Iijc%+BBX)yA`J6tC zFkaZ0t4@q=M0_6l@q(E_RNSzQ$TFvU`ApkSS32C3E^py5S9Cu=vKvful56iDPyuXVsoDm^L->_*wAMzdRV|)| zMtXF?yc%s6yB^KLi9DN31o`4UGBe#XeTke(Z$KdZlxH!e(p#=UE;zkIh&Xd8mA1nU znNcbwx?Z=Y`o~sg4Wd*|uNKlfYUU^JR141PcW`D}rquH1)3cQ*1zDydrR1hs2S&h8 z3is>5KEiRAqXB%u5Wqm|zcXwObZpIHf+z>zzBXMiri zif)T<-tSMeJ!Mg72UcET;eEfrDY!4lEbm9v45kC4QfB#thX;W1F;Hk5ILZJGd4w<~ zwG7bG;5cEZU{f6zTRda;Lh`P}GRJ04XSg?onV#8{FojxL6cz3i5PaCi$H{XMSIcfOiKbGXc7O*>=AY{8J=ZYtzX*1FJ;c@$m9>2Nfc66!){ ztB202_N08EsUU|a#|KxELOzm-=mJtRn{g*rG6eU&LW$g-^?#z}k-VwwJtB+$K7C|k5lQnmGa-R8&DkC1xY*l7aSr6Am@|$0{zA3UL7d%GKx23Ax;QFlMAFJ8CFrzp zpT6(Ema=_5hKG%Z6O~X35>$7kE_^yVbs8t}0_ubYZ$`sMNL=gJ?)xR)jse}yd8!j;@QUvj5I(-)=I!%_f)14bE;9%)5I!_ zFa7xtrx$>;@Ob%imvIm5bTcc@0&*YXL#AD2hjE-q2vzPf{bh*6CKmqN5FfF4<6P|H7Ga60MF;aF*GaH?2)-uIL*ooVu&Zu?=ObzS87az4r>^4AT{_ zu5xkql`lC}OXh*8znZdp9#XaJjbvXbvntAi3D~n<$G+wCRq`!wsBFr8(|k9>_^|H|Np!E%L&IvM1gAiP(t=WEU1@qC5HBF2`4|Oh}f$8I zl{h2ys&h3r7r7|YVQTY3WeZNNyf$vAop7WB^~$GXCM{lGG&MPJf43Lfqi*J_=y&iCy$ESOS`FG&~EAk?WWT9({^hb zC3bNwuLz!PF?AUqCcvIyRKmoZgk`r7!Ru7QJ+vP?O#~-eO`by!CpP_TI1x;y5|&XF z9}&S1sf3ah72(&95f6`03F%bDR3ab`^0Z%574H&3a79IM_j{(U!pDe*(Nw}5s^UQ+ z_=ZaOimK?Q625uQ~dWkvYsZ6;6s9)dm^n_BtU zf}Dik))Fq!l|)cJ#nJQ8$_iVCW7CrstC4yVCs77 zKPk!1cktJ&5po=2(FUQ1d4TQdv5 z2%U%FY93d?uI8iI)jV0ankPh3G8(hs9Gg)?$uwUxK`bTbUaWBCG}~jn@db}05$eb2 zgc+0%hLALJnj?IBMu#8~H;gzPG>h8sGmgi#XVP*qnlpU1U;J4I$_&cS2w1|KK~2dy zB;^Y`D}3$Q>6))`vDO7i)iCx^?L2Y{@HH+VwZFIAWm3Mi=Y9#pDDldmmR>k)oK00_ zIzFU0QF{4RZ{L$EzQ#t8h6Yt~4_2ZZbSk3kHxN+q_xj~k2JB~%?RaWUgYQp)wY zmbxXh#+5WBQG-;=?7GHZ(2FA7^D+9Srb&12YXof!Xs7hRgf(Olv$5A~ruv6(n6aY7 zUpbPFww-L(cv2Fzi*v)})fnT#62LdMYiJZvLX;QDLa9$l*N7d0SizpBP$u?Gp^NOD z*W5_ejd*b7F@H%-+ycdKu6%hY^~n=9qm)Cw)C$|s(Mcbg$xP{{t*dOHRY03GcS@P! zv{#c6R-ZDO>W{s{hw>XJ^PZApK=(}8L+w$A-l>?Ez&Se!3foHz4;o9)U@T3P$5MwN z{sSf`%o}xrDwiSGML-)%Pl~amcxRJvOLZNw-T{-pY9oDQV({uaqO?y(EaHwh>L6t? zu^y>kYfV-f!hv}bFjPon<>UOHeBH{{pOhx?o%T5PT02D*!n*ACxZ;qvv$kcIc1Ir; zrLdPX+d66~2au4XEM+LU7W1BF@pFxoQuSmQd7kp zPvfjsj58nX=Q4SmHO^?qfZ^PE&5_wl-Q#lhnI~uLY-7Je)MmaTA`vk29*y}D!MtPe z4aAyVH_uDsZ>2Xgzr{SC4nr_Hw)XWNTOKjC>S=7n%3~{69$P{0E8v7ZjcnL1^W5;o z|AiXSAWPn27QqweiM*W#9cS?6xji8|w+kY3`)m8?J`-bW2orpZz zz`DslfCSzkP3(GE;EuWM*WzO58@MWyx+H-=7Z^;9SR^Z^Z^eB60L}aucWkP_8#RHK z3W0lubdtcGI)R^wUq^3d-cIvrN1IOIPxcmgqY(IO5cnc#GEbBRUS0VHII+=3{^p3l z)rnp`Zz3N*y01;6#9aqtrjwj?3&n2nGWG((jhT8&D5r%{9!oqkjH31vYv zV|_3iOT!M{967K@*GA9Y-i;{}jX4UN+J}CVvWk2J-aoERw#{K5R`{~upCUiq7K}p0Ygs)LNVmEyo7o;ZA_7)o| z3pP~#$|}l9?3i(yGAD?|Z6QFzVy}@Gx2v+SYZeZ|K(UJqptluTA$ICkwyT*^I0&;V zF;-^QrVW}leL`r{o}F7ro8G9?rml+LP)+f#ga0$MaPzW!$)O(_e957IedzC0Rsbh5 zg@^&eRBVs0n9)hfuu)#nJc81~KC3FFSdb@1Cv8P!(zZKryz`{Int225c(n`*V%1z~ zm=c<=h}F2iMWD0rQj36d7%Lh!P^?gmG9q;Bw9`{~upwe+zgK?NsarBl&I=>%BmG+swar%_fqi)5wqQW@?^!~y*0kpZmk zoII;f&%;DB|CBy(_%u9q6=7)<6$AZ`KmqO&pa6v6%{!Wti=4ER$$ZDuMsR?&i~NI( zLwCPn`q`j( z!_NFCae<*ERCq?W?D`NtvHKr9AqfqzePr*M`f5F17AM|+R%dE|yBR0%P~_cA!=PvA zb#caBtqQ&^jkJAJc5iK8D+GTF3BLcW%$o*iOCuZgUq|>M9diQyM7nkAY+MIghmOdH zKGn>HzV=z;$w#pK$b&sNtp$VKO|M^IeX6an{n=+5Xt4KhpY5*t75Kq9eExZr9|8#N z>OD=#K-I75p&6CstM+~gHd(QYqIQfEc8s${pu7j?{26j( zQ!ct2@nKsiFGPH^5i52hzWLFGXmj7)h%IwH>NY{!VdYmRVdI0}VQkH+Ip zozEOjOi5czQy^*Ig8{pxXp!w_C!uO!2_5$M(tk*WRJH(YbCHXFzp{+ZODNmy24li8 zI&zq%e2_-hT7Oikd)4LG-pR||DalFDm$?ai*{+r@HBT>KVJ*0pCg*$Uf2$`Cvc)nq z^|wo~{uC}x?X{ks5kjuUd+MbAb{*5MNR>`7wuit*umfy#T267RXD4%3`|M(xD`9S0 zL{$Vb?+1g~(|R?gaeD_!@pnp%31n^t?eu9WqLJ{i z!@75U+y@aAp$a(rBW{an7zsFFC>w1s&@1JK=oPxH+%pV-o|y@QtLewGvbdSrea|?4 zuX!lD>G4t=s%%43p4QGMJT={rv9E?5{%BQDE6s^vyJ8$Ftrq8So|;OUFc(U`lKR6r z=6N)2C7Pzr#M%V*_9(qh8YDSWP+Z|I8YD_AP7V6&kCGPHBk|YLO|RHWEWcELyd(9; zKx`#+{c*Srw>;)sZ=nbBIcNJA9D(M$R&almOo);C<9M_`x;^e6v$*c?Yh4*0a{#Ya zHmYUP!PT)b7!+$H$=eFh+UB%CZ>idrSL2D`RoymA~6lDv8kc zDZiUmts{IpFK!Jrqr`@|h|(L02|i9rczC|1>Fo2jdy_iJ6k+3Z42~oc2HM)Ar*~eK zT-P7y^v*q+jMK`UBWYAS{Wy|H9#*4`mc~brA%mcJ?(02OAK|PS&bV^onbn<5QU5-~{oZUM?;|Ji2? zu(cA$5LMIK9**oGFKtyks96<_>3vRpJhegas>E-Pa6)tYu!TmL{%CYX43<`J#(7ng z{qB2<>2Ohh-eXI}`kxuLU!~45K1l4(m^gn)W8z@VpQteN78luTk&~YGfvX84PG|53 zbJzksZ&)3fiejJA_n2pU{k46}h-r;bQCUN7qH(KjulhUVDH(IAjjrJbVTji{Akb?B z8-9Q*)eEixTWL|gwB2wWO4wnKjqYAAX*2|iFJ&|Y#>0Oq|3DM0Mp;J%#!q-|fFB0J zG=IV~h)qgPX(pp$qW2`3;4~=y6v`oqFOpH~9nbDY8^QzNq8>SX_)D0{EPz*laYr@fePV}UpDO()fpYGzj2zh^MTyhy&;~Dm#+V7XVcW{r@w$;Ht)2i`B z=xy3;ex`}$8#_d!JC|vA91>`r6g$E&jC6v@+gOL+@T_92HWNTw#uhb^aI`v+q!G0Va-}{Itg0<F}>qfXlmFW@6M-EKJaDjMaT6;?Y?9owNVbN*r3Ih zo`;ej2XQb3Ik25$kWu?O5Ql1z1AApM5gV>R$t^`lnEfV*DHMT-3&s+0;c5_nQ7ao0`R8NZrRxd=S3XX42q?jA=ad)rX5Z9j-7rK03JQ1a=;AbtkK;i(}0 zJO^z22E;v2gZKpymu&=b+jU^$lf+1p?=q{R#F9UHs!8C!52Jf(idCq1(J{?Gm* zW2$eaugckQ+I-I_W zx)L!8U1mxhmrF4UB^0Bue)(8;-9h6I)EEWJ1jHx==Uw+tEk@z0h11=M{%e~Ii^(I! zc*S~?ec+~BAKqk~uFN}OP>N%fnd2q|O@V7>wihf=4u^gpy7Ai?igWdvWiG)o2ayB2H*0-7mRMBy=9bQy-D$}T22q=qz-h(l;#~hez5)h zgJYD`8A~26YqgAjefi}A#lE9N*>P@oPS)_J6AZPflqJ!fnBJB+>wFR5hzyHAv0wK| z*JKmG>z!X7ubEZbB7XnKe%)^zlNi+A856fY#SBpUBlDEKCBD=x?Uae~*tnS5&g!~O z?_33bFhSFT)2Byw8{h|{88E58VZxB9YAcH$R5<*^eiWC_m)g(cr`1$XI)JY#IrfvB0XWR zEm6=1K;MC|iWeeb6@kRZ{IS=^=j5TK&b?RJ2R=I(o;`zFUOMvr%fa_|g%-S@8Mob3 zGAA!Q)_u*xo=n5O+mg;xf=D$DJST|!oSbtCub_c*E5$+_p;(B`6bo^lVj+X+8$>xkjuwi7GI35#4yJYmvp0jLC~OzVYLF=PK1Q~2+U!T zmc-zXyMX3!NQkqS82oWKTFtM+Q7I=il3Z~j&p11Wcn`+PpRGCeI3U%3ey=M&&ybr| zDfyTVvQu$88gPza;LQq_+D^w}_dhMW?l|TT=C@?Dm{iBWa<7HxGTZQq7Uy7#`tW+E zvZ>-%$XV`H)(xJ?AcJ>nJ|AKjmRBl9gzfsG>~iTo*TeMahZ(DkQp5%YO~Aj^2MD z(Nz$DSZ`bTxE5S6x()+L#E0-M5_7S(2GJBdhgLXk18yQgxi{FvPeKw~T-v1KOBf;l z)lr^KH?pwTfF~`RCTjo=X>g1VpjP1vt<_2q5^Al#Br_Ye@=v1Htz4^-CBZvcQ7eaa zuq4h%wRWh&RPB0jMr-?nEMC#}BUCRB?_kc_Xs3pS zcsHQRkR)E^mUNt5%|2AAY4C1Ay9uo+31dGhd# zx`-S4r_WVLuHid}QaPCgv^?!dpl`wrU0MNdD8=nj78HDyk3MJxP^OHg>+kA;SGhU( z0A7K7uDGr&&P54ztnl7yDT<+(+KBq`4Vd@RKFa0z{rl%A0Iiz1eao5b#a#CG$b z;>&OtvS(N_O|FT?C6uV8=$0fBl5w1IoTc!_-N^2th?yt6M<*P`G_c`Nu^G!LLTLhq zlW~m-jhroqXwcI!di`)itzzGiZ~|$#)^&kpRk8?tlh&uh1&@G-AED`x9Nf}Wb$Yl0=Qn0vpZK^!eip;;agyKzunqco z=8rrp2`7NJ4b%{d+n|nlc))ehtFO?|)mYq1VG5M}jz0K4G^pK*++Gi7$*S+uN9K*h zDu)_ut{mYVGpSvSWa47?g|bLM9lEzvRbkF2Gy zV!*Yg5p$}1JA~|C!z*o|CJK3|c&-qkwBXpt9Me#V7#^N0I?I zv!j9nMT&u0V6CxIOg{c8vnt9;jtN^GHZZcGlJEvVkNy1{^#4da2yFxvq z%VH4+LOa2=m4h~Ck6t-O1ajOH9Q&m;6>E|}D9DT=f@q6ZD?cRmaz>k*Eyge*> ztf%cU0u#_+1jfg$F_qknz>X#acHCH=)FHtLRD>eny$Injt*7kxpW7T33gNIe5R8Dc zDzPF=U||N@1Xj$Z^!Zzf;ASAQiT!dSW#g; z{2sw65R5>WqD1NmMxbIF$>HzB!}&ai}%)rl{yXZ3qKYLz&rUq`PcjiS1+~7NN zztbJ0Ooz?s6u&_R)?ou~{a#D*iV$^SM%?Fzm1}$v>_m5LlB8_$+ff-emIDc;t+p-x zK|JGF^}f$IDy0sRQlC-o{jcM25nDuOhRUEhQlE`-O!18h#ZxQd&(Y?k# zstI=6ij(9h)9tp$A(`wxnQvu)A5!Be)?gpLmqhqp#lQnM@22pG=n9|96T*q5(fb$r zP=~b0d3N&#;!ouM-nVlXa{D)ps3wDC$uL>o0@c^emH_MpwG5JX70df(A@2sy2x`Th zWh4xBR@64}WPS_WSJSJ}C=13fsEe)SI!D6Od7W;YxTOUDc6wVFK{QUdr`Ad1iVo1?pgJ`&ID#Mk_&RZr*eRa^uqYG2Z46`KK zSDk_S7`Qv}Or;be*TBBpmk_qt3!cvHdX>I@X_?;ibna*P+HJ{gs>}U7eN8$L{hDyr z;w;#o`vwTU&|dqq`s*~GXQB_jiyxF&*o86g0K*M53AlmX+8^qkW8kY0uLKT2$0qRJ zSLf;*7VdtGIWw$dSZvW}3R_JVe->sT=$_57CcRE_u9Qcof8bBRz)=iLC;bZO>U^A*An1p{YMH!;VK zY5{{M!3a)^yo_1VL@v3lIu#bO@`%R1*NhEDw01G4BPtC3uz9+nX&ubQHXl`D3m0u} zM2ToBY(->fR?;CrVi6IGYmX+@3CE&RE9r`d6TinT9 zsj2}Ol3F>^}tYIM1qxZtP&V-tfG=*GvF0hac0G=f13REE?M&7cMP5#1eRX}^zm z7_>lpN0WABWefj`8j&nFqHzxEDnGVWj9LJXOI6zHKu+u65h-IE&E?nH@tQFb<0F!1 z?iLERAT7l2$P2OG{4VCq5s1MFoPbNMW~%}`d*s#Z9~L++8BfrM`B#xHR`qHg^eScG zzcWZnMUie~{F7g6=GQ)vM}|6t?+o!f@*-v^u7+x{v!C@e(YK;~`-&)nC4wV#b2%^i z2OY)KsjFlivD@?pxSUEK(P*IFYu91N9E`{^Y3=ff*Jj`~9MAL}#rGf;YO8+1UAPat z`oXIg3xy`vJ2ic_E~3xY`MCgDu9vN2x&DIQH?Qig8gv8Mmof&daiYm^qz7pMA5}+_ zIC+>fK0Mbj;7pi#!V<0V6fx9n@qd7-Y)z9iYrym-cz{CJB9U0zT*OLq#h*n1^6DE- z5%Y|;{TBjcKdL60Fc~5y+G)cq;%9{{_FyH~y9)INbYjnoD32{63SMh1m4}FDG2`Yq zzoxgm>AEIf#5mh#f!KA%+0`dm?4Qv4W;J*zI`veIoQbr+OLU^WmLYRE#>hKLSMMqV z+pppHzmjD3=|mf<`Ss5hjmSJlfuboU+6*SBCqTiIVX8XU!IF zS*z(rv}M>#u-1llIn{Pip6>yF=fNcz^44L0g#_3!Jfu$6>0nNN z15W%@B$i#FNGc^oQYsY5(HJNaov~53^KHDk6-)}~1XAy+(o2pgJ%Nd!TS>~xP;cRH z@$vy(y{dwqSWRoQ-pue1`x~0r!@^Kwz(g|OQq64NEzI_UEB0{#ve|wsg9#|#qG~nh z%GPVI;TkxOR(0K8BQ)$A)VrHLF8Foz7TqjF+u5{`B%?PoT=gv4KcI;Rbxpj8jy_v) z4GNHT^oiJa@hV>_3uFaJv`ZqQ6_5$K^<+aMix!5eIiRaIvbiXj93aW)Hy6&~|3DMj zJ=Us*Y6;OwJEFRXXr~|L0%XzN&RP9~ELxbgP^eMS-id9Tb;c1BZgEy0l2q!MR&M0W z#B4rRab8iH2RUxT^3s@k60VSi4y0+n9>Gk;jEZNKH}h;Q_Nvf$_u8a{y!I^L0A;kX zNZnrb>|}EZ{$crN)B;u0emg@96v8StzbT!p_r&r`uZfrFq`}z;OobymH)=*wc(@gh zgBS!@`-SqJX4=Yb{K<)I|P|mhn3A9r4MB2+-g>A;k;XZBK>nPs`yM{@OY6l5$zilVU zC0t~JKRNse*lJ@Z@UF=CCCllWauV&fJUQ~=M@;Eu%1<@Q z8m26xMyZ`pH=o&qs?Xm|3zn`EUhru3$+#1BB5yyxbVlj~*T@OnOx6ZcCp6y0XafNj z*Cc5}`GN$R@CJ>#5(YO|W|!LB(8xSs;?ls3sNq4lqQUhLLv52gEjNE`lusRZha`#aT zJyG8bNtUk|V6usqLedOAGN0{dhH7w2CwrlhQ;1$1v?znM8RNOTu9XjNzPNaY{KPYi zU78Q7qa`wavRxb@Of?(iVzV@hi(=GG)AZXcDQj-s5vBAj|s{=MTu`w?) zy)Egi2djx=f|1aeb*wo8aXMM1vm|L8Vw?pdUw7&PE$3s;WyX~sLr%0CD{gC{5CXjo zye0q{^D&UXhQNO33IK%`MJ$HS)}_?fdW+#Ok2?_$8CHkt>-`;1Y$>Dgjv}31`LlBv zxL&LjW*CBzo&L!bKJhCPCw{@<2+`1;RJzkAb9?CHf}Kn%9>$T?U#!C2v9}Yu`eVvB zdBAuvDa~s5W@IO*891#G1Lu4z7a*^~-Me^Tg;Disbug#~j=HORu91?n>vwhUa91}& z!UDb_YFO=y9PyR+&@5Y`J4aC@T!f&miAB;X966UPxT})9pY>-Gn;BwDoH(h6H_=si zl@P6a8 z!-eLBQ~`m6%}1*K2xtZaEIQs-GV(%XUrBSE7|`!4j|lt(HUXs74o~tR`GWyA-|ht{>I+G8~Cq!^ViD!m8SocaT|0e z_1XMNVXPVus^T_O)4k%YCd5K~A9x5834FjfoX`on1_J9K4j=<3tn_2yNFWk88O*`O z_zZ)ANYDW=hqyTmj4%+ytAWo@I0?id26K2<19PYan8U6O47o5E#34q0m<{414Ce56 z5I1I`lpcA5{N@Ue%SRkh{KHhFb`l3g%a|^k3no;+hSqapTpiU6UOy z*SxX~Ws?`*yP|B(WXJk@CYO}0xck1zjvKC>TzKvG7JhH?)sv=8vPHhn`(DZ9@7=p` z{fbqS3(D@hYsIx?8_MTzT)Se!UH7iNZ*tlCdzP^WMie7L{fqveNB>Qs|E{F};9~Of zf#$_)Ot!u2O9yRKgnveu{WBh|T{t&sra9Y}HE-AM%CF;hWf-n1ww+Dz7gdxkP_9i$ zniW(2RBlJI{g?9^A60I$tayC<)=yJkd&s%qME0azLkss)+(6O<_ecX`1CqO?{$M2TVbjVXC$dNXnd2S;V-GlU&bc`5p)%vL z*eh$Q9#FW7>dR^u&h8|q8C0>hW$|gXii)v!j8UuDGb&FFt!R4mO!fXYR1wIUnR?a2 z)T?h!oqAX5v@NOAYf_^?5=~Wx48xF{lg=dgL(A<8lqZH8XU5c8vk%%F#kZ7I_>NG_ z!Dhl=a1s83XSm218n=!@3Vuf+1>|mGJ3`(h)$k^X;4gURl9L2w3{Cnc5fm)1u+_Gh z%8F|eo2;o64@2H0-s>o8;Ta-u<~h>Zh~Pl0smw_UK`p~mE6XNP+{0}|@D>qlA%Y$v zs61vW%Y7uV$vu(?uBH<1rYe3#1V1B!4J#-xf(R1G)q{LND(hzxlUs=3Dn`C*+RY`HPwVSk-XoUojt`-ObJ1KN83zUo@5$d>Ms6{0EZIPVGVkY zKG^UIX!|c`+)T2O@;P^w6=turKXJy*z%x!P-1pgZJUasOUVY|jgA03^`D4u_eJ|y`&`5<1lN{Sji#7|YB^?MGg)&xTqsS8SvXa{ zfKqTjcNGO;zdv{hy`v_2{KWqr5mwEhkIWnBgEM+K7erki{evoJ;Tcj~!*MS9p52EU zvT)hUUXZ667r1w)%_1M=U;78ojVZBNBr`9a9Rw|~T$=eD4ZDp7?_-p2dNE*#_hmds z@2IB>!N$jk(9B-T0jgJAxN03_7&U~=>*zE0%<~C8(~3Kj0AkU#Ko4T!Guo(#%yKk< zGar>5^Am_gPcng6T>g}hM`lzWpIfm#iJy&EZl{ml@^B8eU|ed?>={8*am68O5P=|& zSF#QS;gqMAhy`M_aP%ju-aXSzAP5EX1qcF##HizblMO?z@EN@FDt)Nq-u1x~WW_AJ zadwRIpH2!Vtuv*E`G6@%r;aJBgWAmS#jm1f=5roYKAGYT77f=Ng!H8lVMh z=ruZ3Auop_3eJ5jy18)V9>m-2@NE%iE9iQfGNZENh@}R>Qrb$mBbi5ZA`K@OH`&AJ$vsSflSrvGu9wk;bOV^uPjG!g_0^)fB6ZPCt7bjDpkLE7_SnGKO)c4beI<9QXBRQuA-VuaRTnKi!Ie|iLR30kfzWW5v z5b_|qc8F(2-Lr-9&`dYnTUKQ=>>5;Ux46mu`76R918U67%{xbUKmE!=e}#5WZzqV6 zoav(t?nPgOC>AQU;s=_7SyMLXQyP3bd{m|Iqu*rFph$*&c^=al3_FNQPW~Sh&&uUz z)yrmnWw!@mcgoq?1Ypv+sKVT)>Y{UO`F* zZ&m&R##pyXy*}fNhkwE-;#Gqq-7d0fghpLQmq3xQHKd%fXKXc{Nhq_DZU+j?nPg{6 zNU>Xzx|NI)P`Yqe=K-a(SST6a+J8g8$N!Q(GPUS7F-H%bQQcUb;rSQx= zfK@Jt4`dP{W!aO^p4_yK&H;;lmGwnvY*0Nqn8^-)VH{Qqw-IfDXq@Se;X4VAX8$5Q z)}ErSmYMq1(w}h?TC*89U|EDJK<(a6?anHrVTyM5MB5$ACwZARqS3ud0 z{^lgFWHGoNo=D1eUCK~WN8^y&v~^*Cv=tqo;vQ&xJ+!&Hm~<;Rvh=cwU^fx00;igw z^_P$~bUFt@>o2`;AQlF(pt$*`i!B5`^I2Jd<8I_sqrV}$K zQ=GhdITZ?-dioaAXEc=$n`o6%g=$M-H$ic8gC0{Cw4d!pvdl>aA;CCHg)?xdsDuXH z&P64XP{%d0Q2FxXPI_I{q^@g_;q;uY`ddxEr|OT&)w@#Oh8P(O+9y|ke;ul~$<;R& z`Ed5VSg!uEE>v%PA7Z>)uD*2!#o{W5&g@=frP7bWzq*97P(~Df z(k4yehq`(z{N+ufaH_MToKwnnP>`*f<)W4y1F|qjtENge=<aK{i#TQ z&WBI{M~M1D)?nl+f$crBpxTH$vwEv~P0X)}D*hSz$UN;NrQoKtXjd5{aA#!q>Xd>z zl~aUct8u~3o(94+KE)100LpqS+Ltrxq3{a%KyM?uEJ$up{b@Jn_>aLd7u*$LIg?mE z;(ap0vS#>ht^mtb0s6=ct1M@$YTBtc=snl7Pl|FzEG!Cu*&a~nNDVq#(ZVIKXA8&5 zL!JFPpf?5Uk0uV19uu^#^EVs>oft!JRY{4AUakrmzb;iBGpEPbshk5)pR z9fQ;8l4{d!+aZcj9!o3$1V-RU*>gsJ*vmvU))=drQd71nyT07 zu@d3jz$t=Va`pG0LiIX5_RV}3j#_nk?EWrvs}}>#{Dxe8(Y2Z$o3XC99@}c*i>{UI zEFhbx;z8j`x;(Z&XP|xHL#J--$Xe_!Gmf#CXe<}M?a64uvOe6k{Fs09oJ#s@dg1sD z$NUY6k1ik(re}{N8bg)N@kvpg^R5+UcGo9l2gE3{G7=9OKiNqjYL~JqC%4i4V8p5n z1ylQ2mDZ6tXgLBsE`+#6;)H= z`7Kq$4j>cd&80M)Rh|DrJv>>c*AY)vNLvb%iL97g(cB*KkkKmMSJ~E~z1>G7tn*HjV zsFWRkjNisl(4`$3en!b7Ptebq<@6!4w-Shw)tE);CEpAfr%-yyI0`gBRg^(R3tMH$ z@cZmJlx6%@8oNt|*VA{~a$y2&Gu=yL_f%^UVYnG$P{QUmEn3rQ#D2R9i%4b%P6eQ) zBa4o)!;Jxu1#>SRLmcL8nQ?g{##6(?yR^0_Q~7M<2-?yWZOht{QX|s3AF19%N2DVL zXOqGWEvHt}61aSF1y%H@rS@a`@r!~iEr8hf2+j*Q@#qd0AFI&YGYecxDc4lPz`0h9 zvQ|)TCGs7cHA?sGpnQ0mMClil+d=78Db-_~^3PZVn2DJmlbqFD7XCp`<0pQpoxU-g zT;6oWVlvK=pYdu)@A(z(pJJ_lV$-O9)Rng5*w3j90Y(x=aq5VSj62Ugoftw2hE^dy z%*a0q{Jm2<(a(_~^aTwe#p^JkBWqFBz%R$pWPdXumcAz&jR_80$Gq$g$|v~Tq)8Yl z7OLk|Yas@N*y;w;XH)3{JJ8x|e9O<6NgOM#u}}0UM7Z&!)SU1!UVqu4p-ol2&4X@Q z3-27m@RKy5CN}SZcg|8e`ldrJC+@IL?JUq338k~yQAgZd@T*4%8!G*O!X5VT2YCz& zmcxRQ1DoOb$fqY8Dud^k@?MEj5x1-dQKq=OztjB-l_*_ zI`X#e$xuSIL5X0t*%$mY=|Wb`@h2TcF|W}*RWZS^#ib_#vu$k3{xu`ovTc-|>?zY7 zhgP}w1}FYB>Ao?0jz3v{J%}r>0cP8ci6;Qmtdv<5<^S}#2YR+3cjxj3&jdmnr#f*D z^a9cTJ7RL9_-u6Fvtb$iMSQ5(Z0p0(JD+2G=krK7VGd$)v-YYnx$7C5?Zjz)Om54l zPsc=Ka({0buEyk=GEOg7V{#8jF}W); zwFI;_qbf1xdCKL~l|i|jC`vbNORd%RS1REw-K#{RbYngvB(~29*Lrs`-HPm`5^@Oz z?MAv4IY{>^enMjVUTUi87~QL!xRy$&qY@}eH!X;369QTrK}c+cgv9naA+Z^6r4oKh z1ou)2A%VnJ7Nm<8A0e^bK}c*#gv3_+E>&@WO1OrA*6Ij}%|S?PuhGSefsoh=*AM|+ zyx0y?32W(IB}^ADXNcgh>8YkTx_CLUnJ!)sg!=~~_?Suv5E9!Kx|y-l#S4OPPf!qU z+<7YDTO#<}eRdz7^~mp0hTauy zJHokKwFB>F21I_77bFJk?M~9UJ$4Ep5LjTDX^aKNS481@84Ik_{vo{>zl1(AZ={cC z()R;M`X26TjwVEAIJ2I1DsyQtgrm{B+(;g-(3P${q^ z{q(kk20Am&943Kz%?#l3$a9K5*g1vsV-eue5oPe&WB&H4M4*6~CSsGjtwgHoY>2A@ z-x_c=L8mx7+-;Qx;=j9|(W%OwrelYS%&W92y|a#RmH&rc<;?$TmHs<`AGY03wGadv z5~U^49y~MQ2LJ#&Un0l!Zsllm@5@w#NKQl)?2zhqOKJ*>n>k%Cg z#h@!j$ii?Ra1yP1&&}I+!)(X;aQ70JK>n=L7E2HA1Q!wLcaWL zIk=#+=_M-1{Ckv(Yf@px!^>D)mtC-gh>ayFl5?S9(m|Z%yZ=ld0{<5lEUC?<|ChOU zfsd*>*N0~^$xH|#Gf5P}EtvqtCQU37%);qg_%r3f?^pI z+h7qVNZZ(=4N7fP)EN>qD)kJMzl|+=28=dU^bDHX$O-a)pLgv&d+h|Y-}n2@>Bq0# zCfRGR`{h~h<$0YD_SUt)J=>C$*G?aLvLpux|CmO67{!MHz%zAsCxf>~V31cm7cSwY zmY=}2de&9)B6)=!_l0&27dUnDIj(k4lX%yrpb!O_%MUtJp3d_BfPNXx`Wk~3`Wkvu z>qjV;nK9DWY`H4gP5PR+zlXj?xJ)0u3Hq9daG9RBNYmGNGdMsquN#!gMwQAf#GCOV zx{xKe zw{?5`dy)6(!~~l9V??%r%cegh}*U$;&jH@{EthamF6v0dSg4$j(FC zsCs+!S#Cx~PHN;vH!TaWI_HdY_-x66ewS31Q}Qq6O zx_$i<4{r;Pp`2aH;@H$LbxsZ;{Ng-8j-9)XO6qda`J`4XRKpbmU-w3zQqqOC^JpP; zqkcR8XB1bWW|qJIiEeuEfalc|vG!O^u^OzV${Vqo^0d{|-6*;0#7l5{wvMJpky}|6 zir#v5f7e(l{j8k4QzX9}$(O2&C}^cc)YZ(3sQ5)%BGpB*vEw;AFCVN`>tB@$lm1h; zdEbQ9VXvnRS;a=aDz4a-D9NjoRCWbI!jzU_TSeSWLm!U2nVd0#x>2`n2e$FHb%F&8 zs~}aO(5H7Ic!FWGRUaMBH?xN1!LG@K6^vOLR?uy~WkQq=M3&cz{hYE>@A|P}rL=($ z8zBLOz;-8Ad_GQ}n(;LL2%ZzGd{o-1-!>qomu{=Re%J?;-trVZ^4?Vo@tAgJn&}st z62xKP%}A@?YC zCUwgZCKjlhDY?OWvoE1UMJ(lu0hwao@ANw?@Eg$YUc%dSKlXW{0il#)%FRejxv)h{ zxv8x#+L?MF;ITVqrhf6DG`Hn9yz_n9vnWz=Uv(1QQIS zPa!+jbNQ{xKA~=4S5^jTICL9WYTx^*;5@ch=ujgfj_KI^3xby(ng<=GdTTL>KB5+> z5clXWP)H-!IZ?<&`ydbS@`Fkc!vG4??P2zZxv(#>hZ$HroxlZo30x32F)m0d2(|#A z%DBKQoG;PBa}L&GB>KAO0kOOf8p1xCA6wT{+Wh-ol|+_R8%jA|u9=1|E~CqEe|B_? zBRij(ow|(;cEKiIw~g(e^*W`rK1dG=-=OSC1NI!!kZ3S%G%Wxf5{-*sg|*b?bB$^t z2_R4eAV5JnD{tz#lZC6fuwSCEMzt{Bv5i?53H&pzz*)&R0RP1&X^0G)$4jA{>-q-i z)4T=r*a*uN4TB|(=y5I@v-n&b=f^gwor})J(zzJ!`zW$2Lv|rGI|X{0$RN5W^!&22 zostSZ;5$9a-q-ijli0rM2>qUMHdG&=`7yItDx~dUE@Tl3X;KRz;n!?F8kM*aE9)<( zOdgF=CaNg7Yd05$y(rJC6ejj+1_(&B|Thg*Ah3@G-j|+4Zqj@n`AwzF+Qx!b7-zs$P!alzLJXR?nTs z^P|cHXQYv`$IMRiJM@wDWfYR|V^j}FPZp?q9&Ij%$ILbzsJ)Xty@FV}LQR4z{c+nC zGw2w;vT?w!i)PYNIoyml(MU&HXmDUwdWGZVq>eXyHq+4bCPQqT&p1w%OAi{0I{4^u z&Ii3ewo3IpZrsGRd>tu55-to6VlYr8J|cz8OVxLh{_IGWBqVX&wIBB!hM@`fL8@wq zt2$A{78^2~s@f*U7K;rUgVMUO;6gp?)AR<{hsECwQhj2B9QcUq6UxvOME<)tl&&3_ z1*S?e=I7XRO*kK(AmL0D(U=Y`C?gL)1{rOAq-acvo&`?B=RB-u!5+_H$DZt>$YdfM zImewlw4j2r7|@hLd;GL*@Nng;VB6+d+K~~O$QGdm$Sxl-k|mJ(Y*ErHw5--GKB7U# z#(qyw$~-`+jY0L=I41ZA?eXguAJx(|J;G3hFMMTcI$C}svHW&lGDuS~1^&vG$VTj( zmY-00Ko9OF&yIc$`entimop7@%O(d2fi!MDG27rIO~<}e+Uw6ay~_zrb@Y*1W1qAF z=K+h_>($nDn2f)nu!xHOOx$z#Wj`hMdAjH3;GWyIdBGe4gs;-x zv-pyu)G^|oJ8hqoU9E8F!iG}RrDmt>J|#6- z&hef8#?55wb|hPJG4|irg_Vy3>|CIf_9fgvNmMY2LgO4-uw8O7j);_vNZG8VOq#v*1Y ze~ROS5Gew~i2{zz!r!Q5^7;O*t-?9|i-SmMc}$tMzFJ`NwI~0S5LL3uX&M~$7x@Me z@%pKmh~2i-L5p1J}-ZAk3q zcsq+Z-p+g^4s*PnB?zGt8I7D9w0Ju~j<<7$6LY+sa*nt2J0x~-yq(V3h+Pv$&f#2C z<3oG!+#ka9*+tislnr_bNw|N z$VaN=bVn=dd^4cIm7P{CL7l%>NyWZQn67amC(JU zw~9MlzLS*T2zfQNl6r0rY2O-1`xYSWTWDLI-8qs}W7m^%ElS$ACeprLM9Q^NQm!?Z zQ-bFy!E#DK6u5?$4ka5OBITN!lxrR2)fBviv~Q$bbB4ybe6vY+79!mlG2AB2B;8pD zDc4M^D2ERzL5y;Edr75X$D7H6F6*lmijirrXC;4ofLPYqF=DRAVhf zVB0Z^a(IMt7_`IgoIKu@vz`)s)0%8dBl=nQNK!J+ryO=tf~h;~>FX(nuaV#_iYW6q z)!{PAVIk%4TS{;}<C_`|4Xfb-&(OAIeU2Upk*fl5?H1HAi~*Q%p><*kSQg3)I_o2nikE_0m z<|R#nVQA4_61XPL!(7&$3R(B(Qk%>ENgVbmMcajNmTV%r{3JW-utr{B9amfyoLIjm zkg(Cq3K1OBDq`)ppIGZF^V%sbvDQ=dyKR=qWN>L4#sYxBbn#mhwUD`Fyd;k=f$VRy zHp2xuQa4meYR~%)K83n5e`giiN*Mq*P}G2BQEJpIU87tDtP5p9xaKcJ-5&fB#QajD z&WJ{xNO#g@wZl>O8qx4YqNxtoK zkk*w!X~*Y~Lh^)lHRb0s=7V##=m4fn%J0ZPzq+WCr;kw9d}9N@*a<5M`qUZaAI-{| zI2KUz0eYybh(ZckR?@h2HxH6-{D&oV5K^lnYq)eP(w0Cvz?NGl^CpuV>08^EcGAy2 zv@t9z`EFW{xtIR|JuJ9ys7gNj$C*eQ6jCQrb#<2vq5Omr;J01K-IlMBbpwW!iq*m4DQ$ih#D*c`iU$*pE5<49*vuSQ zZ6*m02TQPTTG<-QK!&WllHkyoo8Et2YbL1-Qz=3kT}d4|vHUsIm1X(RmyS=j(Objc z-Bu@EZOUa;XKct?O#dd_xIFZwxLmq2-Y~)}@%|jTNZvNk`~$k8nXj@prnWg7@;~17 zGk;d;=%fj@HKp(WdRZuW*u&1uFG}BfhJH+X*qKo^;JTA{3@q@6hj~q&P21PsXuisP zf9ep=Pq#loKic=DjyUni_PQH44gNYbw3Y1f>y{PN(tmOqd~2%9Hu=*Uew?~2ry=JI z|59)({i0eF*4#t2%qydAOsHiN?=1Wd!DBc2w2P)`eF0kLhs?^d{2=Xaei%1a=DjEF zZlT<_(FdiNSNY`X$>RBkuv)rU`^BpzVjV&^#Eq$Mu)fD9*1e!W@A7^@UkZKCF-25a zffXCEu1T!e4Yf2y6(N1siGH*Q$3$y(q6o2gS+P9);V@KK8z8k)1#V|NJn4T%MdZOo zMP1LKqN>@n3Le@}F0Ye~z-IX zw$(v$Y+gqh3m@CbSZB1&^6lqa+vrCrakmHkqBeZNun#Fo&6D`#4Vt$|DcTa(+ET5w z#SMO$E?rw1T6k}oPTv>R!Qv8nIAtf*#$}^4F5O~W+?#1!y5(_s;b8+5SR3VWx#c9P zC!9B+IG4s{6%FD;8+GGS$1BXwDxitVIukqcx7r*#t;{h`jAUttiekJCi)(Xa9&;$V zu^luIR!$M;+r#Onb9PKd4nxybGnqy}NaauFeMoaWR{2CLdDi5e;rTA^qpzo4N7v3S z7{j<*gl5EW$r&sGzQpEZ^HUG_pEg^_O>^dALI#uX{K3kDh9-Mn1wGwuWD37?0}SFT zAB@s-6U^dEx_bHR99*3H33_KT^`DTP3G?98hwsawa?aJWPQzC-#Hfz@L6$IEaIw!j zgN;F1{;5J@y@CF|u_His^&j~5(G<0s`xdsRk_B#Zv4MJ{FejaMQCg_0VmOutnp5#s z+2bAkd1kXi1P*JVYN~NquoB|BQ!6Os)R?eEK3dB>dlpXTRjr-F8^k%>U}F7??i_x1 z7ia7*lc7YK1SPs8 zC~-nSiO4MkB~Hjt;->r9d4QGc>NJZDn1Y7vMML7)=Ts$Jv2YZ za7pqs&AKxD216e!G~Nb-bxM+Y8BktiOd~D8-`Ue{oueFx2Ch0ubQZ)SZfqH6O5)W= zsKKocC*G>o+VAIJU|p!Sr9$bCP*L*gGMWhbqu)L8C?>*WN_p-Cj$Uk0p-%}@rfpy& zGxj2hn`%fVnwKW3rG%j&5F3#yRE^&EW9+{o=pH$gyd0dun<$b-A%Gl^ts@Gwy&nu%Qchy|UFi4zp zUXe0c6$)SQ&_CBm3IqM2?|PsU!{OXd`?-c*PHU+0AsM}VE6_{bRzfe|%IM{@ZLvb^ z88Uh~H5_%foKWCyZ1P{p>b-q1;Y3FTVB&aH(xqjA%L z^Q{pFuiFtW`6&U?{VgDUTbg5+?m@0vo&>h{)jl|z-!c=Vh~2t9blCH_Ed@TtISHkx zvIKhxH#{S~kj3ld00rCLLk$}nl_}}_4P$?8>x@WSXNt0QUh*hTWO?gcopp+oD-n6? z^gqOOF2QG2_<1(JW9BNV{8?S)M`iIL@e`Ff?m`E%|B^74l&-5Q+2_k_juCGUPrIv8>~=IMwg<<5f_v$4dES<5#G5t z%Z_U262dztBfNcAxrd`-GQwLn9@Q@yQm3NNHy^J}2qLP7LlEH}mJmez^dUZgAJPO7 zm#*n4h*8YsrDP0@IJJo#7=1+bQn@vlu zCLFVqb47jl9aOFzL#N=s6O3yW4PB7l+jNn#1-EXEQ@I|6y^Qt+Cn{sHOVUdBz zDtKnsB53RGOFnh0;_vA$3w;_v=rBfC(7=W}?~u)W7F zNtOJgf$LAGkVl}2@&(5~e?12PQB5vTR~>bB~*vVXdpBE=WO zGQ_J`hCE7eISk7XLco{*2%nZopWgU`uIf(ZQ%W$O6SUE^OMvMg_c`dAuB@OAtLvu6 z(c6MaqsrCgw6SxKsF(O#elXDTxMbLI%dAZO? zZ}S7vB>Th3v`-y*v?Op7u#5iPx?!yqh= zYr^tMMOfZlwSWd^gDfl$+(A1r!hb~RgyrG65Q;gmu!~L*J&Ljayd&31f7N7s-=}`LnvO!f`ej~d6zU@% zp?|;bgMdm0O^73aXip=^NOQSDG^=mb-T-&vbAmi`Jvb3v%G=Ho@Q()B8o z`~QAj@oaTg{3~jc*9au))h2Dpr?@^<+P>R3*5l18R1cTcuk0pdR=R=&l5<{qhwl3W z;t$c?e?U6j`gfYf0JFqK5oS4VgYu;HcRdN{jBm9)G`~Te^r{wZ#FA0JGLA&A;GU59 z>tp7pjU%hTr6kJ0l+LQ8ODxI5dCM5{%aTPE9oZhCJlIgAU z#(fvtX#2Zfw!fXcTLfLtof5x%ZtzFY&(lxzOT+@?&{ucHENf-X1qx{!9*b^ZK*`Yfk9$K`%@ujn?6DOF)DDeK<26^mA< zH+cTE>41TbTs~a6pHE74J}2rN8lO*r2D^20V`smDjzbN)I)9I%U_aIQq+I70-|w@Y z>a0@~`0g$>j=fQ>^GD^gN%^>~jnMy3&+LGZVEI525{$`#kl>Qrpe~3lgSr5sf|#xD zC3@=kb6%dZ<4`>LZso z51=^fp7hFS+xWdM{BaWEta~kpv;MUm38o;$~hzPi5Z;p9ORtY2RRSp zoJUaNzz!rXdkl%!WKrVC0wnI5i=3}TVxl*voEQCo5|_MGI_3Fgbw5YquQ~CpNL;mL z|L~hO{S1i>S0J%>B&zXl>9psUIevk}cVtt}`74pQeLkx38WNXHMdIr&LC&X8jfN+Y z_*G8)022GkP>rlKN*pdmVs`;0Hf5wx;#fTrFTDu8@knJ9_I3E@u^SARGarVq*&}lz zve~OrjPwe&3@Ui!WWm;?XXJSG+bNC0jX}m~2E%8u|8YCLmCJ7TR4mgX)@#30`D)Di zwGDmN83ZT#L4_ZqbUyeoG9MeK#H`QanDwoDBz}xNA8lJ3+$;?4))k)2xFVko@wRQ9 z^I>F!86@VDlFWr!){#a-*t~nr1MPFdGympK9$(cO+*URL@#zO4KK(_DC_a7Y%f;c@ zWdG>Dn4+bRA~UTYleyY!4wvl>Pok6 zG{P!h#CSiy)gChduq|3|t39R_sFN-8C^qQFWHdKx!9vMY>K;)D8^isMic`DQSq}H) zDG2jXZuk~o71CGJ?Mo3Hv%N~%$lW5Qdhdwt&E~3+AR^e7Euz8xbqM7+?OMtD?6~VG z^d~fSMTa4Wpu8DiUyNX2r;-Wqx{U}wHSIK6OLY38?~@p0U$*Rsp34?#F&;A6%#QAi z)o?^Vv;h8R)9nweqr5w3R!b1tvJvGn^MY4a7cS&*a@iCM-j@<9g1{s|A{J9|&M7MW zh_3WJo^E!SWQo9R${j*2og_P4`W7R6kBa2Bce{8h3+M4(ZSU6FUL@LHW?n)q=$3ol zp2jn=jR#q70Xa!=eDl-jdBgQX!Qix5<_g#%!m|G!9l&lxO2>!2&7Br-R7Ba|=p9D^ zM<5^8RUA(JUH#!V$CnNBmH1L$s6S3Wj&F6TKMso(+xt9IzaI%=#j(_9>s#I&e?0VL z(mLpYIlTBSLWCn53L6|{IIl}YQ-9#eAec2al0zv<1CQM+HB|*cd%#<=jAUm`Gai{wG z&fzO7$yKbWrBJX>lilHtRsI&`G8<_N{iH4yQs`XE?!BjG@;t2^PlScDu8|k{i@ZLX zU&i-e(nGDca~2l)bZwDeBNn;iMe4jxd8!5bg3rH*iA7<)nHV8;svS`l`MbH4qi&*i zBtgn;{V#mqhEFMPZISn$=!S1-nVpuGnQLq-W`+$GG9?%}bzfGO*&$wL>STE}%qEhr zAR*LkYHKMjTsv8x==Zvy&K&=TjBvujU6`$(5ruY8m+s5-s+W%Zrc=ZRlYm~2hO^DfK*QB2ipSZb;UPI}s zp5p@BUeZtaYk3*`-G{GBUdPv@0%jpj&w23Sn4a^XLGaC-!#n_80qYBS_Ft2j{il`L z?*{4Sp=;zqKM!%CP)k}#Xs0Wb_c>L*=bF}tp@^ePnw2i01+_TL%UNC)UvQSqoTVCZ zo_pauo58>X)KA-Vv$8Ob2~&mzB}JSUYq4Efi~N~>ClH@`@mW9#RByPzdFL}fPYpOF z&$cq_Yv@1@P-z3dHiYr&_A^avKOz21ci6ebXG|b!S3bMY>Th&ij$J|Cd)iIB^|Ce7 z!V8>nP5haD>5I?CQd5h{zh}t3zFtFqmI*RS*hWbUF)tl$i6IwkEphJC31WMpAz$Og zPN;)B-*T4v@becM@}V8QhP*V0sa3{)cEs($S66lWRfn8E(+|1$%!|(g%4ZiGa?7i| zhCB%GuJ4%l4k7W(D&u55%8>-2tLjfwb?9b3PCYg?!NeFtR`D$|N_VkyWx|LA$PS}Md zLeY~`H^n}oW#yHey2FQLOoL`>J4CrD1RSDs*_>-VW5 zR>TJ472q{xW&<>aXH`(9?|AK)Yy+AfG5APZaDOIUcRGFER0MrR-7fAore@oRB+azKYtcL@tf$+G;i@XX3(h z?SMxlG+5*^?Ukb^`Qa$8K$DO+)mL9f&PHV-iw z@4Z%v%-dOY0BP3HqX?v3yyVp$neO2uk-PC8sYg0nxGnsVJh`ITUFZ?EO^ai)t1IN1 zwg6YN3vueYP6Sz{Bt`CF)!=b~x@)eX4i5NF3Mq5Gxq=(YjhqF1(yk9ew0G-Cbg zP{*1hyz+26wLYhI{ZQ;L?ONAQ5nb=gz5;*OVZH$jnwgE>Mvz7Y05uSB%u)eB3-sr@ z>qVQW^lz!yqE=nk@6)>el<4}TYub3C%6E%fs=Cn^==u^s!t-IxSoTEB6deEm4FDWbk^VOHmJR?sI1V_j8U9oT7g6cXj zT>`j$XzQv-TUW{rH<-c`RbJ0kv)@9Azo$o0r`lWUy5bv#;poiypqt6p1!!K*0c)IG z>{zvzu68817|3Kr)n{CXSfry6*|a}JGp$DqS_8+RZAA>)=79)GTFxT|_2E#sL_0CS7!!cbm=x?OE7-XNB zl9aW@u${>4SATM}vLN@9`rMZMj8lg6RzpS`J?;E-W^9=ev`pmxG3WPP^!>j_uaSuS zs^~T7cdE;8_mohqS6lY#g1+|{gkIyQ&}-E8k$4E+t*IVt+&{y6JT>%>`q*s4AFX{S zC3S3wHDx;gSrU1~aD95w?vZzm|845Zne)~zE+1Fb=NJ3m3MDmAxKEG_R4g8!v4s4Z zKvrt9l>S%I*lyzcu*g5ar$OsPTI;q&axH99P9MH8XbD{0AweUcn zn6rdA2X3z%DOkaO4%&gggLdE{!3thVv;#q61^0M~cA$)cse%=}nOMO$-9pNR6Qltl z6^VmXB%ZRGKE;)!BB>%3$z0+kcyt|wV zv93c{*Xr_A;Iq?wJ9h6@SQ#4lgwde2(~QUwMI291ednEnc%(X>YuCU!d~JiMzNCX5 z3E9-7WH)60DkpU@;=(EHrs=mjNgVoC|8fdVH$G*ML#a;C%n=>gS-y;`(*wcX!OjS# zT_|QOJ!CPcco%pF@0d~zZ4G5iNm?3iBTerq^S!N^zDmDjMe&~VkCeFPXY>#xYA{H1 z4kdHbRF9JkM-gI;yjxp@ubQvld$hf7WTs~=bSYVMlDS3SWHN_qi*dk4?cKXB_=Yqm zfysYjIj)f|TplcJm=2AysX2{eLOgnfjg-#+r{AV~qA08paqL2(FurYTQA|aK92y`n z(Z;^<-1(k2^d_nkZS1THHen?=VOydZO3nosG?BArO|QJO%kS*{l;DKTKh*^%JcYn_ z`!K$r<)3Oy_zy=0+a^%X!U+jymv3r3H4**P-y`~It!WY^7U5f$Cu)0d4AD=Qis+{n zEgEcl4C7^)fln6ELv?*rJI$H#1Q4n8ZpeE01B^yokYIp|iqo7{0)t)@K1I^C^?j#xu}fhkWa-aLYUCKS6W*hPo&rF2rTVs}fKB-7(IhNa?*?mmz};J=G%5LB ztmx_mf_0(=D|#xlc`8ag<$4nJU>=L()YGawSG_q!MAodZX#N~YwOf_^PAD_fC@bAn zNm^)ej9H@bNz17@^-jFRX|-E3~jL{Ls+|9*7|I)X?Z6I=+z47WuErjv5+m%C|ZrrJ#` zDWP+R;*q81(I4IQJ}nAO)j{q%W};vZ0<0d~bLXU9g~UatrNp%&F;fTNlG?MQ{RMH1 zA*($~u<1x0?JwYvxi*NCO)p>gUCB%0ogoFGZghj-NMpW~CiEg-Pt;y)?ia~{skX6y zHucmaej5g)kOt&W{g&8LwZZmZ%7Fu%T!&Xsj?#JROsXq>3V&to;2sRKFc+U~C&Zsp zK7n#n2kch{o~W#2!D>yMamS-ulCS`#3W*a*bC#5KK&?j`R|)Pn6E!2&M6JNQ_NDP3%w)GNG7cZhFx_+PNA`V--0otiu=@Nlzb4 z8=&P$6YnBBgZwmY*7+~v!D9xJ0ESrYIFj_;iCHJ+|F6x(m|vJO^CmU8?2=sQStt6d znaja$*t71@w7>E@Rh39L`reb@HHx+FL5yDjcRRHjhqG+PaR$Uo7j{hB|3)EZ#>bBn z+_yZsb;ZC=JH?|ZDM+SxH1?D}^IlAU)>cPUU;Pn}=6GfD$eT9Zno7ZI+!_8GC=dMe z*bRoq8OzRMEZdj<##r{ty{L!zi;u$E~$(%)FNTG2{_ICORjp-DNWP$-*I zlpsc^Hi`rTD8X1t;1#IW-9ibr5URD;5bPyX`v$RXHBX^1G8I(Br&PpyRK$J?hO?Sb z?N^k*oJ$l?6b#3#1jEVcNW^;ac!6_Ec48tvNROU#+9t4U7z-u}xs$Z!Y-Wy1en5Wb zt*W7wG_9NjOX`weT*grP7bs%Gd5W-`mt!$8l#cZ%!Y*JoL+Ql3SC(H;bxL4sD*e%U$G^SkUA+Kd;%CN$5|x-iE$9aPbW@H6AO2!*A#Bp{;8Gz z+)zXi$t2!|*pRv=rP3X;EawV|@R@LA7M0y}F-5n{Ujk^cG&jA2{55MM9=|=O!zBtc zK#p9Z%kV+FZ5g%Vm>eA>BwE2ov|H{fRiJUL5*_3XXm-xTNsOi*J$wB-s0a~YXQydqy3(03hjP)AmX#_ zu$ZQHfJLI*o@!nn6@>-QQqo|eFv^f(oYW$ae0NA{MU!X+TS4gg&Y#^3{y`f z8f38W4KsuWg}FgECEeu|9(nM7Gpz^LClO!9v?LlBo=q*HFjfKq7;s_8kV15PMXfkg zD(lPFi22UI7zdi#ii8GlIBW3yu`=rEtK|0IBihej{!aQ*w7)=Ze^gWn<}^iNwMqoq z)Jba`TDS^1B;Fb5I;0M$Q?$Pk?Kd!#)!P4$MEeU9?LQ_8W6cda_|l{|AVX?@1?jXe zlWW!{5;L<`t6Vd6{2L%h^!*7pij;Zsjp8R_T|Ps#vc4cSpKcVbe53e6x=}PSg>+dY zW^~hyB0bNrfLdq3bfpE3Ug3!8Zf;$V%lvw=S^Wm(yohpWv-FyNX5;vP_bVo?`ZinlD?+8yl{rLd_q1hq!%D{He>lLuJ6*? zR+aPws=`s%Wz4TmA6J3*0aP>b&M>t*F@0=l+`;XbK2iPjxhW@(>t66aN2nRmnZ;(b zn=4lGA&Ptr*`oEnjG`opuhfO**8d?2(Os)}i4}x!BHDrQnq`EisytF|m_UtQ7c@fk zaOXa$RYB1zzMS~z^Tej$Es39h#Gog=8+uX_8V@9_Ca~kR;AegNBf^XQK?mEHxK|D4 z+*>KAp&jJ11HrvoZrVEb#q?F+i*+%%Y@7d0;$EE&R@ay%ixB56AeU_(z3zd1%bJ*6 z_7(ftR4N1iJa&WO0L3-TPVR**Z!jEUY#B4=1dT(;J~im#r+-M!gLdl*{vTt@Wt5M= zmMt^rN5iLNL0&R-?2qnQw&tF(_uhNuo!+r7uXm=W!ZUW>swJKkV_j?R9$V&Fa@Rd$ zUDwSYTQdKLbALGY$}yA1IFz4f{;+K94_Dp0X34U##h!caSTf&Jv3&NuE0Z)(3DxYD<|P{Wn4K`uIB#tOofry&<5YF5`{6L$P4c~a8I ziQ_7+DUYYR550gTSVP!mZ=)@|l@t=J3f34rhg(NZy&%_pn8 z6*~4E>IFlRT^zc-7xY59Z%Go(~Q`^D=VaEovcgsOn%=YPyn=*Mv=_o{K^?!i|WiSCvlAXTxp|64M?X3kjni>3I-9kF@m@PHd^1Cyee_de1}g_+nPoMqUx!&`Q_h-b zUa<{_--5#F+Tka}kiJ9m?hD=_S$S^&Z zdabkNPuj4BM7!CxUk75&<_}qm#8pSN#El{`vy^(dlN7{5b}_?}!$2qVw;Bv1hv39q zvQO%YxabPD&yG=lNGGP3Pt0CJi4*fS<-{}?z$dQATW%*mCr5DY(syEJ_&I$NzJi>h za^V!!VR~y04k-HPu^SAtSf*0SG8JeICp7qrnRLAP82u*XCO6T4m9y=Ci+6nGs)}3h z9XC-CnuuSZ?<3yvXl7w7bB00Z$JEg}{UX(m$(2$zoC5ONeP?6V$J%D5hJVV!jj2Q5 z3ebrUp-1hnhp!Lki6FnX5u~8o3C+&7jk5110#D5)>10cx`gx%v#FJv1Av`gbV2HcscUD)4)5uhEttpY{KG% zxWKzMY{H3m$Gs0=w`gl-7+nTIgvWY__K|!GA@ZLG{p3mA+|N&PT`J@qN2+8p;u8U4 zJCL6GCp`8|dB*&7ZK8>Y@w36%>VZp%9%`upQD-zZG5v zDHd{)=>oN1MOAz!wZL!9(9E!mgGGyR$u{v#o9;Z2QxKL7vP5~tOuGO6b21fuN|uF0 z&CLDHE;c=O?K!+_i;f!I>`o@xw(~8!*_eOIzdG$r=iExOe|QTCBE^rxj`=gw-t?Nj zGA|`T_TljqeuuoH7N+0sv^P6v9nB=SkZn~)f4T}#XaPMNV>y63NDDRwhoz;t`H3Bu#9GV0Am&eP~igj<`FnhZjwu9zfb zXczuVe1AD6?_0QJCSf2;$c0I*SkO|BnaTU3xbG_a)LnTzZmj1JIuLEttF=*AyZk}Hnay`Y`Pxfe_k6Fe+YsmR;XB^TG^^C} z(wU-lU0CY6EqOh=uBw<1TlPRoT<>stpSSC5Y0seR4r7h!dSht*WJvIIU1v|@em;)G zG5H*lyUrcPbO39`(0nJvXR&}J1S}H@jCN#U!@wpKJY;7FRhZwJN$YF=GI*HHkcB6| za_}l+6#{5*)Se;fK^3cGzIJEyDeLln`~BeDDa+JH-E31`7!oT%^QTe>$5 zgi=LuRL;Sb({?{^8ecn4$?u|>NrQuA(B!uclJm%Z>CKp#kYKi8-b59b&3=Mv=|t?D(6(1qzX zhUdH(!{#P@kDt^rbXug@Z7!ra!?8UxlZL|IOTYL=b4ALyFw8-8 z==mVepqKCwK37Yp__(ks>ra?OV4Z8+q+7Za`lNx-CzS@mqhCzF8~UXD4Cs@Nxsad` z`lP7Ef5VD_#ra5lY3bzWm$|EvxDkn61CY3@*o~Y8Hkv76Bf7(C*oc0HKIz|ui>??i z;i4Y)Q7 z-|kOH#j}NQ(WVC}!C6Y+BwSRb;i4rrh77wfH8#pqLpk~!684GrP{KIOtFTNN30&YE z8y+Rz9e1x|1&xG@PGkTV4K))ks@WoNk?VZ8$ZNg_WwG|bfu*KypXyyhIqE?XoQ1{EWxB&1>N%qr^*`(lKPjPj@(^LX6&kTqe0l}4Ri#>J?s zQ}qG17YHyel2Iac-EQC_UDrJr|gbiHXyM^jRbe#bwN0?6zOVXb>i^&oy4Y56k zi48FhNLeKGHgO!O>VfD>;$dPyAR|!Hi7kNfM?54Yu1aFe^&)y(##}^c&~9_ zTpL*%l!LmF4OVg%O~^tg+t!V&H+vvqq;XbqWme_su1jmNP`nInAh}BP`lRF#n+r{w zM;PdZbav7D0%t$Wg{)*Qq-Np2l+mycSp?)P)Xu0Y=8k^_m8+#hau}gH;f4IN{Ehj5er;#@ zv-u7j|AjJu{4IZ4!k=O?B|bgwHaCclx`;cf8BX=;EZyq{Xb=T<$X_WZLpY5UNF3tF zM|~j6O~Ywyu;#;RQr}T1!XXVXf|oI)YZME>By^?hm(g)>=q-eA?SQaRTYL`7v)sKB zvNrVPiUd(um@A@mFBzFJXMvHC^&kC%d(EKHymdKxL8`-9>11;w;UefwE+wr8;UZFz zlydyNyP+bSmkj_c`fi!g`t!+* zX0puaZ=wHreF(%vlO=4IBCX-(n-3KBJ7VkzJA*vAW_i z!bVF88x;^X3L@AXVIwEWj5yfbTcpqUjgT27r;^Mls>qC-Bs22;|3GHc)C(K^8)Qaj zh0I8YjV>%R0@hBcY=PW%m}>fI&5@7 znbBDxGt!Ovg=I$4s6%F?j5^DVbff;AG9zu&)l=t!GNU{pGtzb41!YEuw6259NbNe7 z8R@$2J7q?_y6%E9BjQ1Z%t+UD7nT`GUB@z`LdcBH3Yk&(UzQm`{UJ^^Av4k)`QIxu z`a+T!HK{VA>HniLqdXxq(w+at3(Jh8USgS%(n~^Sq#MNVlo=Hpu!|1G9%p#`qyPfAPu|}M1cdL6DtjX z`|oZWSOqgc6nKm|77M|INZ5!JXvO(RtjLUzxDkn61CY3@*ft2zh_O+LS&Q#$p}&0} zS705b`Cqn4UUl1T_bjW>xB|7`sV)jv;I^OCWv*9bNi8Z@AVu%39WpR`yuuZD9diY~ z-J;_P%v`5(1@1}O+G3ig1oQnU^S(MYm~Y{DYa*C$Y}atcm0^-Fg~%$TlGp<`fj#hbhs)MV?14LpJ6g0%C2gjFE)t1fi%(Vh^kWdmx4EwJlgoyn93rSWe`C zF=7uqLF|E*#2#1$_P~jhU?nB!pagA5Ku3=l~3$}2Z=o}`728BH%f4f66_`RKrgWe#)&=9 zMeKpi4*oE;QTB<2;I3{Rwx;1z6E zcm?;Lk5}*>mhT8&L0mI?_J+g4yn@_VA&=37NDd)>;d`L^E^12p8b-7_wt)&Rq=cmt zvZTs+1FQgFdH6@Ddit+i(!o?T z)b<=`-ZJ}3WN(Uui8XIJ36pSwF9+RKP8AaydbU9}>9d)^Kv7jKSx7jKO5tWZVs{5c(WnH zIQ+n-@pR<GC zMvlnj0}-KkazZ%KEEf{Bk!VEl9^^!M$ft`Tckbsrq#joS{IbqS#}TNSoT@AvIlrSW z*Ksa6`u-L*3}K$cHbO_o2m)YQY*9`>V_sycSD*q-IKrrc&J=9mw$~{ zYYFFp@l*3ih+UV!TwdLPu>hZa$x40UZaNra%f26H1X zgL1J9d=XG~ERiQ-lhC{~he?4_Yjp*RJx{&eh zN?0^n*AYyJk`v{QP&WoMmbZ?3$8KerHWQ7+D03YVi^9a_=FjxIg7_@dP36Xw&vc{S z7i&Tt^+BMB(Q)tir*~p01Yu_zP-yiM1E3s#mHe6R_=||ogn6#=nQkW-EAIp=%0SYm zTZ?DnJlo!(Vy)!-t($G?skaiJ=?DJ5`7;wXAnTu%&*XuR$parYf+9vY@Lj^~dK`UU zwEQ~<{@X^($!4zLpArM#8e!f<-O?9XPC%9`&NJ{q6IOsa@RpZ*4SW`#N-!Nh7wgIP zs17`TrXP6mS&Ro>$Mz_ok1snzUffQ#4%PZ?t^F`K%TjNivGl3^bD+YUFgvt;i`D37HnqV9}h{jq{mYkn3X_A}OIJM7R-uK_hM_s~v%T zZUHx3PCJkMecL3my0(^Akn#?C6z$Rayox#Ww&^(Zq!LR8C%W0$%H3R{P%4(9rQpyD z(avxyq+`?VLzqmfdbehU=M$8Pdtp=Wg!UDNki<_Ir5$|RfX5|`<$@;kO$^;$g6n~7 zgB28%i4Dj_?i-h&Bjk@v7%O#vr{rVHF#VMtM4YHuG_}t>fIqFI2h$NcUAQ0JXQX~| z$E;YU?X!NR)xI&CVMG(kjYbtr z5B=91N5|U$<#h9F#%k+5$fScZk^9M!)vKQj{l9VW_dsLH?q!QWx_I9ase!&juTqBA z)yTC)ZQu*ZZz?O#Sl)%5NYo@x8cny6XT=Bj_yK7&eTV)7f8tuksg`uJn(L#9rMoM* z%9#<;jrx_S^>dz-E(&$Y(y`#q8cyA z61Z;UA!X<95||NUNCXt|@NG24Ls%tlqm@@Ta0b%d$aqsb<^&rjnR?Lxdf1iKXUm>J zJ+(zXk)r*-!5pilhZcdIPozWQ8s5rXy<{6-!|mA~WFTL|4Fmog8EioYV31b3S_Bv1 z6R99;gps^Rt8b9KNLTOUo`x>o3MIH$eWuc;6r86lt06h{)zaK)O?e%O+2jfKguK|) z?PJXe?sqm)dQSdm7JtNqPff)0Lj0-o!#yWlWKkTQ$6~5xfK%@MIhr8GmyHF~slfjQ zhdBeO_x(3_A%h^$U?TE6-He7D#EMdINFx&$>Trlxup>62^Bg)Rz(WG~#<};4MDK^0 zN>Fzv4Gq9ev}ivvNKgr?ctEhePq%kh&8J%EE~KYY82+>1>A2dLj0ZBsCBSV&ObhiA zFbhPg9vKgGRdWVx{?wsnpyB})gDwGgp&o;7%m-LDF&|DMs63NwZV|WO8?eB}DYxLI z5zatfV7pz&poBAcO`SKY$Y~3%z`hCBX7`f0I0o_Mf{m@>YEQFagvLSmL(uqvgD?ON z!U#ABZOlRVHaG}PgFvL`W)8v-m;(LGLAVnfgqxUyFvc8&OPN-XIS5;sgYZ=(&Swt7 zAUFuk72xx0WDdd)kvPB{gpJHWcm|0h%t2Viw1Uh*=wlATa;6nz4#K+4U<#ZBra%vK z5Oy(P;XY;x><{w4qeyJJ33c`{2Vn&`2=kePFbEF9P0T@PV-CXQpcQOp4nhOd3Vy^n zGY8>;IjHkD$l1*tgkK_Y33Ct{wxJp$z(E*c4#HM&5N=`)!XR@Ht^)_5sSef1VGhFE zWe&o8iMOSn(1{Expe2-)|L&q2ZHG!G2TfC2{6oK&zh%w8O;?iOA5?TDD*xaT^0Uo( zY;{3)g+cfuwFzCxmOe8yKie;A9vy6~C<6cBf5XrAbL$T#B`x0w{=xrN_y>=UTnYZc zrStAwy!^?guFUX5u6Z9OFEC&1BoWdn7yN{)<{ggYiI_}ZKJpe3yBGyOp?ls@m7j2T+LDtQXNaHB+&u5yMS_mJ^{5$HPkL6Q~QvPC3rpgCOt{9HRtH#N^^5_ordx-Lw=$Ez>Che0RTA+@FY_(9;UNn7X4cWkMCHnQ8H@MTp($x+DZ@djcJ zJSjp>oAcp)C_+wOwrQ;0=YMEv-^uRKupLR`462*zUkoU+W-5xjjvf-L9s9Y4`c)Nj zb*NPvCHBIc}vemZ!} zw-4P--wn&_ko{2uoZf2Fu+%I6#Nt#NaS>$4$dW5EgjX+$<%xS?)|E zk8*Mx@1KPdw1KW6Ic&Il92u&+z5+t&-Dp&V4?(;$Ok)laW|>fO=5P=qXH8e5ykqf? z`Yvc*a(nti$8Ku#%<*|l!)(d!H$tVa`iHJ1(#I3)Fm(U0t<~5P-5Iu>RJO(d=p4E( zxwH~~z-|3L4G%Y@m?%E43iOY;enE+?lTh0PK?evBi9~EMi6NHNG?+9xhP5$RMg}nY z8gpM`#`MG}v_(+16;9Vht<--)E}wIKYGq_%pa0n6s(&FOCqM4z(mA=NE}Gg2!U*mw zuVo9%hF(C1SZ(2q87RyEsvhO*vHUJknC{&e`Q6e;8~JSiqyJiRtZnn9CL77S`npx% zK%82<{CUxj@l3;0=?K*Rm>j6R)9@~3WVx0ec`N9VnD9;c4JL$;{vw~ksn;#DRnpir z)e!F$tr%m9uaEh%tRh1&_S*F5z`)`k?4_XYN=$ZBQ5`0B1d?bA?j-8krO@kN8W2SF z2@!g|HlMOz&Y{;Ea(?BvnJ;_vc4rl>%A6ceOH809WMvat&{70(+?(`m@EEvB@69F(@zZRbVG zWI3)&**SrQ5hV%x-n}Jtv}Xzik6#M6f%hph>j`>Dlu4UiHo6Y;x~u9#BVG;;7Izxk z=`&@J9fQg$jn8Z&o#s^PiHeg(t-6y%br++$Ih0hbuJ5O|pI(c^#ZqFYNW2t@Sy!U8 zjn-6BCe~DRvw0rbLrgIEX=@za-;o%rz`_QMRsMVQr~%ZI#;VkK-m$`PkFKQQ_T|v| z0sc4P|Fh%AgKye2A%5qQcbv>EHxuqXflJr@o?x7C@3B{ti2?od*bRma5*E>O$T1B0 zUJSCH^7;4JYphl|`10EVw`dj=9VQQsNr9Z1G5tv)mlCuS{)m#B(F?>Rw+Z+IOmeqU0x|+|hbci7!3!Ii z(q2JEAe)F9q=cwJ%tQ_106>Y7n^6O~8Qs9tAVe-gRw9SVN+gG@L>#9nK|AGeIN^t+ zVU)#grt;x!cmvJVo*2b0amOWy-IU$dF7OLul-}+E{QmwXwEE8b^bLF?$!VrhtWV8}qZ|aU8;JbaES^?hO3_9s`5MtiPX3(M#%a{XaG2VdpCt)&BBJy-myjr3YGZY&XyfE#WUi4l zSd)qyhjP8`tZ_f3)|Z(~{bJ|9gtY#F9vI+1 ze+-_R@iNa%+{LZ_6&ezg8{#byj3t5PAtf6(LkhV3Z$qE%bTZDY)y z;Bj_N(@5UzY0Tgk?WTNUi3*8}R!;J5*kov_OC)bkbKxewRlKei9u*{Sh|-fOle`%( zt;13^U+CMatpp113m z=Pi4y#Pb%v?<5J7*m3DLc3kRLc)D%;emE|fC&O{cVcE5A#lSC^2rjp@5DwjNT>4#X z^P4~O^oQfp@ygEO1ljnX$4;zdjQ>PD48%wv``j<{3Y^~tk}h>RyK5?+F`hj9cuHAe zm1#=Ql>41wqyl-=}uLSSgm&30Zw>Rg3rSsdCmKl!`J>KB7*w{115%U&j=Tpyt-RII~TUSBVpV7=w{*;KDK}e;oOymeFu|I+(AgMouJ?eGWUg~$-J5b zN$mp2IS9ykmJ^V(ku`4z0XZ8{0*4+Tr>Qf-C(5u{^B2E8%qvmDCOwwB*L)Xt6~-L` z+-S?)Yi5}Rdr0?w$2^fyEFSe;@Ewvdr3o366EY^xG{~4LXI_$S81ZN}-4e%?;?KjU z;Lk}*E=l+GedtO0t9`9TJ63C&LRscP>$Wiw5Wz=RpI;m|+@7AczL6wYs^F;I$rsTg ze6WZf(mi@P-=j}S_vl7(k6v7tl$6up+ZFET^QU#Bb|fyVje)7iXC^Lmd|gy8bm|p* znsXlBG3Ag)t8k-fW;=aoc^_XR3IaIh#v_`+xQ&5n?WxX)%ssx&jRUuY&*;R-5(Cz% zaV#a7%D4H%R!6#df%I~jh8llQr$1?*=9kl-1{RXYp=tB4 z=OoIFUF5`cZ9usm9G*SJI17xB7S-HHkG$Em2|$dqsbA^{48_TjPvmL>iyzuJ69_CU z|B2+7<|G)-Svh$&3oLdh!lhwU^Q90jk-%d2B@kGAYsjiK^2|J# zn3-ovYHy_Sn)>`w%f{Y+0kY}hZ0M)NY&cU=w}=y8*`bY4u=E%&BPSAt`(j9sL< z?BpWVoL4&i`DM;(JGn^x&IT8${(ExYAKyVPQa5e#rWTv%bA!ijFq~u@CU^(&N5pbq z-a+~q+&atXzlxmgf6IS-)f$a*Q2b1FQ2fWY6~?Va3Z@DROx00a1a6%^+2c-c7Mz2? zM;_DABP!2e>EMpm+*36|LRL8Rgy~yFLiTI$3@Q>b)6j2Bj}a~{**CoK=tX-n0oHAw`BN-qK+3N{{0&L==rd{O~KU>pG7lCnmY4^#bj*V-$@%%4iMue1xC_buDR32$8~%)P7&FdQx|k?< zT8SW1J?oY__|V-&^Wy^CglmAQSk7U1~>uTJB8D*TjuUj z{L_6XyG*VCa~htuUc`iZKL!2BxiT}06hz^7l`(>9pzo}|riXfgby|y&HcnDx!Fu$2~Hn)7@7c&DwPcvQ}-_;IEB*GYwI zoMzI4j4pApN6ew1rHY(bM%?8rAa=y@+Ap=jAk>x19T$cSMp%mU0Bh}$r|6Xxb~i=G zxQ&{Uu3hqL>h;dW-|?kZeOFQCI7VmPX_*MbpWBIJ&4zokh6w52Y(vDOLq%mYVx(C8 z3HRne$!{Vq3TL_+S(ahQHtE!_=RBqLX4ZT`bE4U1q6el6YAZG=`(DS!y z0!;68ty1^~JJ2c{227O|ffc1!t6Y~hBB2khYW{*AYDJ0sa|>*_bz^nu79@^x7sxUW zU8@Rz*{fBdBuG}R%+MF4pVWnNp;pan=-H~qG!|O?h<(hHVFp7b}S9-BH!1lr7)e#V~Bz4r1HSl!s>}KmSg}&wtij+0XxlMjpqP=xZycXd(+m>*DCk z@i8-MUZvRe1YCV#hsB48KDp8|B8n>;TFIG8R@B zrg~DoA~wMTl%w!HlditK{LKkIh3cUJm*kZhdNfnMMLv+M)P#2 zLpGSFKc}pNM#k}2{=aKK&oi?hP*1)8_xJvO@B4ZCd>VmSd#|Ohv){S6ig}%V9G^Z5Ql>1Ecdj0aHzu4*_hlbnPs=2o)@UBJl$QyMNi@K| z6m97_NKqLu828rdNTi~y=t`+5U1s{@M9N!fV|gpDQqic&2)G(~ zD;E@I5O8`hCAnO0t}PvwJf2lpE21QqEd-p|kANGKspoBhr(uKBf0>5=vG%x}>XPhn zWV-a(nT>RcqtC~Exq>m+qbaCUt#w6 z6lRaRV2|6$LHVU*kEcl)FO8f%ZihYYmxrt9csM9`$r&$UkF$)I$OLXjCUC!{@L&cY zAHiv4b&7^PZbVim3(M+Mud%}(_gjj51o4tR9u0fk2z%V}KiwWb$Jyg^{wLbw+YBtn zc+)Sl$LVr*9ka*vfy^HN@t4`-f3`r8{phvF*D-rsAIR+SAAhkuPOto%eD=5^)AHK? zWRL%FD|_7Nv&W4-d))Y+>~SNr$NleM_Bi|Hzr!AHvom{qox;Yur9DoUvd1TrJ-*Gr z?C~arHFRrxoF3dZ`Rwt@WRGt%Fnhe|7u)0X8fD!tvd7!(%pO;a>elu+KPqOAD@S!} zd;I33V)nRVRJXOqJB{j=_IR6}+2e{)*>7o&^P^(+xN=mtw#RQiDrS!>Ms-_zywj*| zX^*$rnLVx;mFkxEI6o?8k1I!YYkU0Wqhj{BVpO-a$9oyi!Hqd;*VsHBUvi5#}&N4y*=*J-l9F;QunLu zamMlgPJ4WtLA1wz{AKnyTd>R?*NXP|y3k){kKdf#qCKu)x9)cKxNoV8_IL%Ke)kvK z;}l^q80k>eRCBJPD@78xSlGct3Olf|e5qGi*nysst46c1gBMx8)E)#}jeMzOj{`V8 z*o@?=vONx9LlMO&v=DG+KLTz{CUn~Zzvk@m=KtUy&w?#1#T`t-kHS}u|ML8*WP?lT zRoRbT-}w0SUv7)b`Ba%Le!ypotGoYSw#6w}K(&es7N88PPWZ-6oGq@J2va+s%dl#I zZ`=f1+y>vcAZ1wf%YrQ~XIM4B7WZXXt=FhxVT)(M7Eh3DaRY2|6KrwY|8I-qWcz>O z8<%po{z6-vk}Qh0c+Ri0#VG}0M_WAS*V^KgVDtZeTioQc#Z5k2-1MJpag%6^k7l+w z`{lpI7BBiWw)o{h*%tpv^o=Vmpwlds&a3WUXN!w1JJ{kyzuFe}Y487c+TuS+zVTmZi?apGY;pasv&C=D z?q6$*`fyQtrAaKQDaa$j=K~9Qk>#ioqw8%r}|^pS;L| zPkIn=H48q;AYjL2=NlxE7 z**5Q{NhRC-Yj^km>RQl`qNMaPUrJx^uk436b{M8_sUKQ+-`O*9WBuAv6HgbX3eiIB zSAwv@PZ$!|7iFI*r>`S!@{_&lcG#z%%bF!VJx2)d`orIZ<%l_blb&cw-E&>a>ASxE zn=pr%(>Ljft&_vU#hktkVaAryQp)LjVu0Th&ZIpD{P6-1{aCYlY`HiT;n%ixCAPRD z?zk0rNQg&bnm!K|^qUZjJjj-0F$ZvL{L&DNV$OxQf}Z{IXAEwxq&sv4Xo)u(yW`gBv*F;sees~jd8;M&xHN&cNb8= z{ttxxuUiCwdH^^8U_x%-ium}znpx=K0D71RfX9{~aW4Q`xa7fRBoEdgd9Wr60H+8A zas!)o0tJ!>XCis9-vXd`3n>nr0H^h0Klb@=D;QZ97FnH8vt~AZ~9?x%+MP4lt&C5p|=<8*4DvY)rqC7Zjob{ z#S^j61Sj38aq&bRF`kIkd6d5s^AC@Vyx({8TGN|7Uu$K7OB6K1F5@ox(pere`(k2_ z(5*3-Vdj|l3cG^tALZ}l{KHe{rA(ljJuLt5vXSWV7v&#zFhW>#!S8Rv4Wo7wAuFT7 zwssh?QOjQRvXBP(J1PIL{{kx3CEv?#NGBv}_R^(_Ln7uMUR{Hr6pNz-xmarW%)R!$ zEEZm@-s64U1lTrP)t?Z9&>Tr+ALZZ@5x9ytTIU4@6Lewlx)&@kf}CjU1!UH#=2H?m z#Nn}bLFsg}%I;+WJK2y;5i_o-h(9vQx7H#sdyr%C0)y!(8Y*`c6+#&;4^g%#E1wUq zReV^*0u{V*HUi7Doax3({Pkh*=*gkoZ6Ywc>ZP~{cEfB!$6cLz~S=8IWrf(lCUnC>D`Z$0K3V;4eyp z+fGY$HNRBrIowBC|A=o8muey9s#?P@)dmsxDN#^Xs9mHA-n@Pkei!;dv;%US{%!>6Z0=o&g&I>HA-#?Ss z_)B6lsTy){j+a(f@L|Sc5>y-h_buRndH*bMy9}eS(|hc zIF^I?K|c4@dnQt)Y8FdF!}M;Gt4)E&1t8j_$iXaDbo-77rAGoXB4jdriqybre&Jjf zsbWzyq^f0LA%=8Z6&3V}P0(d=8+td2E1 z>1$@CMVl!t+Dyujc3jcyJJw9yK-f27L`u00nIHQL+s+LBB6}Ob-22@xe z{}d@bZZR1gjG|-7(jaUuEra7-x8Ko-iXdBZ%P$YdDJA2nutqy zjg7M0DdzCsF5+JDhxiclKZ`5o4VpuATBIE>u%x91t4BM|6UTjGEB96r(oK+c43ds>t4-rSq87t0IpHeB@$ znusqtS4m8uowz%hzD;EM+R%#LTPY0KR*}r>B+yk}GRJ8KtMH3_>SL8!*{KidtiMvZ z>n%EUU%$tN@gvjgi{;eS%-}a&ML&7HiJ{!7*AT&u9OY!1cbxB^>8e@g=gP3ZQ;GOK(?GxT1lP4G;tgW6le!l0Op@(q@_EOt`7Qn&x*Q*g zE-u#B6v5slu;;&gK4R6Z)3hpVjE0_w(;ZD({7f%5oxT1=OLXePS$F!5o?|l^&p#t1 z5BYeWsUe;po%V+BbZ3cR=Mu&NF`F~3hH<)4#Ag3h;^A5w4!%{=;Tp^t+1t$2zz1pg z<8Lc2`A%`36gH|=G%B|&Oh|w|8k^M7X>a_@1VDvmdf zh_|T`%bM+?xsoQ>Je$>Ma1d`4xtb@~i3!Pnquw6Iks+O>H-6XzK1H`PrSaR+Z++BX zs`ueNDpOw4X5iI_mpOmYDPqwL38ffBN^2Ff?Eav`xcr-UaOEX)7 zj5L<}n{2M3H6xdZnec?jJBc{A*prVERYoI8ynzDJ)p{;k-7<$1otpJW`L5e+>EQ+} ztSa7Dp^IeImCsSHZkfLH37p9jAJfU?S^Aofi8(YC;`W{pgVfUnift~7L8{NA_fV%a zX`+ilJolMrl0H|Q`|R_WkH|#h$)lPoqCT&kS%&=53fsCygsdXSItJOn>T!j4vU(hm z?9D_Y6C{=zT0Dq_-wDn%@SJgqknIG?ibZ!cuaB{rR+!gS1#A^%qe-F@c9gV@9@E@~ zactmS97jdS9D+Q|AlcqfKasbv{nD!NJVW7Du`g&RNfPKIkLA=B_prc|w1;h!b80_= z(KPah!`4XV49JH z>wPoXl21BZVQn>vkgotdk@+P^-7rsIvv_8>lLV+hac0O4^NsbWPk<(yq4oR~2GM2) znM|V$rI$56<6AmE-rf29xTu)90Ek(2RNX|f>@ym%n3R_NVg@M?xSA|I-?3pmJ2vPd zNq`#oV}mE^OJXK_h3O=-Ry;!LA}4|E3h!a72wBgT9D@{>oSS!J6#L%|yc_u?XFI`I z|2i?Wm0NNS-iNW2vT!Sn`-+joRMDyXuDpOccntmKwSox>kD-0#E*igyKJuur5Zjv+ z-V4L5bDBVWv*k4?3)v#sC6f6Dk$GJv^9@b1DMS#&{N2Lzw?Zg?cfe3eP924W)aH=f z1C65&nCXvWD0{V=N%A%ZmUB~Ae-?mqv^;}Y2&=VEV)Ztt&%PgEm6w-B*O7a`X&Z4uw@+e&8m@^dc= zDnve{yNt+(bo_ZFEtwqYKh7`1+?INRL|2;=| zYMUEZ(I*Df?soWw-KaHW)<$=!bz?t&W+&uY3j%hP}M#jM${^rwVPk5Iy< zQ#+Bcsca=n*hKjWRCo_nOYfmBB4LwyXqxUhs&Y>;n5U7S;4l(4S&*;^cd+>}xPw(w zYVa*$YVhdq0Kig%1Hg&=1etgbrA`Du4ghcm8;$y`#$)xG%<__;T1y0a7zlv7krV0= z05Snk4}e|AHJOH;LAB|TNbWflrBCMp;3xnd0ze}GaD$pz2>=_)uV$kBYTjZ1xB-Cj ztI?@TbB$#uG?~WTLABOi5&5byNISI(0Dl3%s{mLCfYSh|27t47L_S@RsH#APu+*fNufd0l+x`GyuS*M`o);&`=D3@O_4mfuqdP z=~-Y@gC;Y*6iL=&Bl7K2LBmb}L;+v~0I~qk1OPVxJaG~E`P1VAXY4^Lh|&8D{a1}L zr(Vp;b(}t_$!vTI00Y6OdqL#O=;0pp@G^S1cUi9S$SKUl(?PZF!C=&VAo5iJWB}kb z0IUSSMF4mJ&^iR8SO^;42EYaYd<}rl08srQuH5$q)e0kkVi87h43o7P0Br#H8UP*u zv=9Iu?a3#F)hyFr-E+|Ip_QcMX=||hj%1y4WBOk0y2M=X>;}xn_F?|JCA5LEoDrSB zqiiRMEQgf*ot5o$ldW9((noZZA}sG9kwz|HnKbJYRK|8>_$R(%QTE>X^y=S67pp8$ zl>DX>*TD}7RBaKcv!`IwJ&N54h~Jqj4c- z?`@-V=7+{W?zm~vvOI+CgpZjN>;jD=dxL{r0Xv!h#m5kncE(3&66(G2rA+WyCmKP- zrGSkMVYXa5^3KQ^#4fSa^V$Pwq0hnxOD<&UyB@jFGoMA;r{^!}(8f|PrgHLhJB!0n zPCKE@q|;}|luF;(nu%WDcjwGpM*4}$jP&Myl>}Txz4>xDR3f`q-z`K1J-Jrts|bmKxy;C-k!P%_2424~ zDqt!EJQx5YIC@en%$)lCUYu2OT}-IXZi48dirtyu<*}>pV#-lTcL5e%3C#7Fh*jLX zV_lcEwD8!)3444j)4Dgm2EO4tSUW>NPaGpHCTc!X(cIL9)X0NQUkK2PJgAKWHSwTv0W3#X zjoMC*oV)4$ovaI9*0JaZvhhQ|K^s}%j7uy+Oklu*NjmZwwP`S=M(Mcjx9H$*(*=)iLUqSd7xPo|{s3%xdQQ(|-iE3M za(*&r!JYJ7BM8o6SD#$AKk2(q`0rRXgsEQ-yX1<4V>OH=Avk>Ag)Fxl@~zrO!Q^B8 zYVn(;mrF&4|7zawZxW*un%O!4TCa#%lY5?KDIu5|MWv{j5EtZ(=^xf^cMqTW`B3$M zk{Oy}O#Y%Wr4k-b=mMoIQ5@v|vJg<-9|=-szyk-8AO%LIz8qRomoA06JS<+JvWxHT zbeP5d*HqeN9Mme)&-TGtE^L7+eKB-im_p~dy0J)|deZDRNl>lYAs0!tG9A6$Kn2DW zy4`+fC5eoIE+X@g?2#218}K_TZUEBg!X)}w5>xE?xwPu;sNl0GT^28)-lgHOdqz^P z`82$-{QXRW`c;CUuo>}|kwz5LrK4^&hEiNuqKBxL%gkC&B!GH*1Q%DKn#?ZpY(%hH zDhulhJM4f#Fq^-(`9UP^Jwkm((m;};`$02@4*v>8ca;Mlk2W3j>9cq1-ojKV^r@92 znAJFyr&vPslV%;=CMnRgb7&@ZM$5-U4`2&X z*%H)v;mVdE59h?B);6C4eId%LKpl%aD$qPfmH&BksDFnFpkCKWt)$g{0x0cXeCX>fCs=tB zUk#xS7Mp4&yXtTf`_=5q&xzcr8}e-d*D&)`Kxm?OHV%vT21bA;ma%&SNZ131lf4S0 zD!8)(Ig;`_z=w{cM&2T}n~v0Bsz)-d#ct!R5%yyO^5ZI5}|?jnQ=9pV<@n8;8X zdS*~;auD1&Ue}!C;JlSMSCkRlcpk2igKLocn_f%CIf-cgM>BA6e%g|1A*ivy*$DhMbA8l@VU$f4QV8O^gRb+nii zYNU!o<7W305}I)>c^I{5mequIR3n}U4_0boBaqy1vfG#{E@ST$6&ZNRwEc$CwNwAw z=mo)lJ0xdOXePA8N9|f{=qGaPP#CO#e~9?_m_}|_A4-=~ISglWD9N5h+t0g+pU7HB$2xoABmUbDgAULQV9!xG~?9fv>1HJM)Ten zPrQohSwK2UG%RLk_D441*OTctIbZ2fb~(hjp{4Y*l)TrVJ^{vB#*szPJ*3`e>N+F; zK|D3Sh&d8$urJ(Uxr58#1y-Kcsv^H8Fm_RAWtRllI2XPaj*U}1s+kkX22d$%0C%K# z8X)#KK-eJK9;}h_oMwn(p>yo|$|ECO z7#jhEr&*(#G=|VTgAghYY3WhS2J{fII_8h35zeI%Do$t?5w4Zs3^H6(Cc!C=XI2i* zD8n6CM{tU>nT>-p$#8w_1gAKdIXJj<84i^tD3@$xzZAOXon+=hpj-Tpfx=KGku)-| z9WLejy&Qk$wjNo7nBS(NNC3Pt0y#5cr>jX8gDQ%ov0-=7tM5~ zaG6JAUhG5T3qmGC%oQ;aDbDi75SKiG%y_w(BWG^h7l1ArlfXz2h5f8~ zXeVL+3)7!wI#X&N{ZGQ~>cuMmR;wn`Rv_;6u5PQLmXv$_(SY~SUnsM@4$CIq14pM~ zyy9V@HKOxge{33S^d?#+9+4YuI(FQ<*9%c>>or7DTjgHgaDuh{1GTO8wrwDU;$ClJ zjg))6JAg$MR#PLF+-R?x5Q=+!x`@!q+A8<@rb5>C9cp{p+x7q zoCK%X>o0O}=VZ7QhY3!x*SBzRjWXQyN`h1D^_MufCK*oJ>vspf7S(aDKgNpm=FveU zl!U$GUSFcyidj}18Qi^D;3N~;Ry6Utnj|z&2c7{M@v2@Ow|GOp{zQF?oeh9L4HRqHR=F9^x&ln=$x@!XmbPz7wy(nh zI`)SEoB*70nkPLw<(iM4U1wOOx$%8ew&a;#Vk?cvMSTYO2>y}!??6_R7+uoQ=>OhC zUYwfHX-jUur#r$cy?eT6W_~~?Z%Ad)DRdOtMuRGcB4iw^>uTOiVT5zS&p=TQMT%V6 zgRJfw)F><;)vg-pE^Q65#H*7IJba`G{Ic@pbE>C*NS(G*re7W5ofVwd~ zL$XddTZ4_L1L?BqPzkVhga;ja{9;kd;{8^&9!d7q>OixxaZCTo-So8)e+tn-;@gjZ z>GS_t^~^*MVUe1k5l?2qNr5&;?WUCU(jjRZi`~&x`^I=`D?diNcZ}FK9Mf?9fCQh( zdaT;T30(D_f3Z-Xx3QXqlls11mb+hIsRW#ZEh=(kI?u_Y2vo5sWKSa3E_99uMYKJ5LgGu7h`$ zdY6!-3mJPy(AK5&^=ZtLT0ABE(K6QjAMM3J#vBbnq-# zOeKC~@2uepIpp(}$fFM#m-Z72Gg%~FZA_$(Rm(lAL!kjd9_<&)$vSyHg&| z=BSmJ7e|c=*7o}XmXr`OGz?qYb-!-l;_#~V#HB*T#ZB4T;+uny89=UfJ=(;)A2IW$#y2CQpPKWoAi5)~Sl{f0{jr7?j z<{j+;SGy^GFT9gQWC`RltfdmQU8;Ix8yC6+K&Qx_*^E*uBBuYW85_HNs<(xqC1FIHZ?gukeGVrs>W>y;by0{hSH*q1wQ!$z8dwCtSj_9mwn9iJa zjv`WuBNfiN(ND4|37~C^`4r81;F0Y5&4fp3*IVLN5uTOnWjq6}0*~YrY!dMtW_XlN z!3nj5NBw||XW^4H9)&sYj9X1z+w2cYOV?Vob^b=}v$OK7-_5FiWa)4f8zqd=Uf_#pVV3!ZhC)mln z5q@2Q)pdYBt z*%v$R@n`E3Q=cE*@!Wnkg?t4?j)dL5lfGfrMaXrIP;wUcsZUb9qtY$GWWTRAX>uui z@a1u2>i3PQ3fzO=eqThtwdiB%{?~uOQ0_i#brPMR^7=aIJu5ARx~|ts<0&6=Sv{%l z4~;{)i(z;CMjCaKc@=u)m8UyCwpM!wr#X+76gQ+WD7pi_NdAqR9Uacsv%!^TVE>i< z1S_+qb~-wYf1A!zs?ZEdS^-D^L<&dR2U-;q>^u7ld$NAa*p z={hhD+)U$Zsd-o$pY`}INSI2z1{e_A>5A8Uu!Y2}O8c5DZhgnB_o|h~RHjy(#hukk zb}c)(>fJKmH+fPag5n9n8Qa-g*fQe_APU`X=`AUuh0n+Nd<9R4zJi^kkCeUw_rM&q zOSC1ek%u&6Cx(>CD-HXh>of{emv_9Q82%)b)-3*FAm<8EtR8eprg+6MqF8Zcu$}q> zFrkNCGLd~$aUGoly1_YsNpvKw^{yfD>h&F6CN5H1`8!dA1nW0J3H$6jx+Q>BoDqz2 zza3!z_Kt2TVB7hAO%iNw2iV?!)MWuy;Q&mRU~6Sqt9l=v0>ni2fj6SZ^7YtBgK7{< zA?uLJvvEf~@~$Lr;Le$QcKL6nLGb4U=gbyjm&M%Aw;iiq$)_#5HR2+q>F{m*f*DVZ zg1IL71ydt%$PoR4S+hF&1)cZRYlfXA$q^p&cmpb&_ss;JoP?ciTujFN-;nvQeLZ#0 z?VSdG2M*(TpXfBOEtwlnPgtt>H`v0Em(WpSi{In&%-vXg@)dG=Bk@%|Cz{%9%21^z)tae?z# zUKE4~A};U{-A&{qj}GhRpy>ec;ZTeV`im#OPQ&w`z4!L-)HZCTb!U= zMq7##L>28<4=L*TmJ$~qGrw9A>oA=bdjO9I4dybUM9y+Ahcrs%0 z<&qxx<(GmEwbvhstu7stxqIpTVY^Q5zkb5_YG6awtlAecMwZVw)Q(!*(NB$eXg4}P zaB}~#Y3SUYHEZ?Kc_S-N9QP9AXKpkk9c^DRp`> zQl}RpU1JEPPX8HYzHJB<3`D44I!YPU^ha*>8OW_(27p0`!kdpsz-r`H-wXf&=~@aA zOPGvU!on&5ECIkrOLAS#;~M)6gbE@XOMVTqvD6_OOTarwzP=I3*Ds-mCd3jp0>Fh> z!kE#>@4hlkSAGUP97Yf62=qIQP(kbNAiwV^mT)X+cmy;w0pM-)umJ#<0ML$DLII(I z6_e1zX7unq09w#PBLI#fRM4>p)y$DgrEm&}+y)}A1K=6}eqM@UHfZcYhy*ksRInxm zM3#WaNHA*HD6?fDLIsZ@RInTXCWH#cAXISI6F|`yVS1Agrso1cK0*bJPl1#1NJ2jg zDE0%zSOBa6z*kwhF84`fsYfiK1+j$YyMf|4phyRb3IL=m%XK+UY3zd#DrgxTq4|n} z4PODk{pjJhL<0bhA(qev025*fEA9skzXc5o08ot{vJooSh8`|I4MqV#gk6;0boNkqJ1$&@jh6cgC1@G zg=u-N(Q#UnnXnJ3^hbfnETA}r9-cuD!|ni)%RwXnZ2Lgu9UyW!i2M_Xd=>!X?*NhL zq3tw?L=WEsK(!h@d7*zm(kXgEbUi%FpV@8`Ts{zmifW`_e`{W4Ci$$Oz zbe67A4}hiUp5H_Aoi zLDH{;i73~9^!#pu3vNvP5uYWVcc+egH#Hwo13JQcs{pQv?s5l?{a5WZK4*X{k9=xk zrCIh&6tNEqyM9tC^=2nmjrKDF_1!J1CmHFxm|y>0G_`9c3Oo0Clkb`XGP}7bE@M8-{=@P)0`viu=~xCQ(=+z zecTCS(wgkhlE?4#sO~A8Kd?Dw%!lq?kD=_rBvcB{N%l#tWuQ*)Y5r- z^<{v!*qK6dw7&%}PV>AFf*&Cky04*`s}nCq zM)ABD%2r}4;|1wJ1ut@kGhP^p7cC+$LaZm8c*W+1U)2JY=l>v2^P2)&wT$M=9L-ky zGZ@~3CT>B##evePLLQzQ>Hpoh&dubhtQqE_c@j&(LGry+!ckjdB=`1%lhF4zL#w$j zCMY|M$s&7{>_$_0f`kE-$=<^+Y)7z__{IXD(g{>v*gd!6ilbrYwCRGNHqsSG z>+YX>g^KEf`jiZs@ROBv4vk6?X`@^KT-oatI9-TX*lT- z^zlVJo8LDQo7L6$2&FlbdFNdkkJHky^lD_GxZBTgB4(>&h}iSaRy36nxvX{3tt=Eb zYYrYALZ3ZAw+Cg$(IeASo_p}8!mee;u_FyBFF!b_2tOJ}T2c-^_%HhF(2>TJ$_EGF zuXqb2{Wz{tHNipttPkBr_K(%D7K^kEMNqxr-c~WoKMTP%V$ygwm$h3Jal%Q1Gs5v# z)aCC^Fkxi|x4pKo(qeUQ10)nT1k9=ShQ^J=Grd08Etp=fCB-Iq!i4enjrYf?{aQsM zc9AuKr&J->FYrNNqjWuld%qB?oMg+TBLSz6?=zsEiBh+0YG$2L}N3te-< zX$UIz;WPD1B8Y6lS0mx`6S8)#AXtxmJ7sX7pL;J8~=7davo2fFT z4i86a`crW6Ov@xcA?mOn1aNR>0DkTnLYp2x87_S~QRpG&n4c9V!;^b&H9X1#3_g5$ zIjp?3o$wVsBH;_Z7rg57OcgGr3VY|Wy5Y}Q@yio|MF(J2EWuP&8~S9|3gv4Oa=DOu zL(u)`MxTULpF^CNm}o3=fQbuvCMJlGX#^Q4L!zYlbYf!d0FjC3C)8!6%Tq&17+J^WR@HtBCz@FdvS=ScHp!5g z>e{dX{8Cv`G+l6p=09#jtj&e^SZ><)mD8}AX>%?bCy{GAP=lY=@bly>nMXZm(LCAY zc^cIYSGYZ*>mEEvdW3@A{b#fFxJykQUf+6j@lzrUTuoEL-ZtrpjQFXPUu~cGH4*Nk z_|VUmk1R|(?PA&qS^4NnK~(YAZl~h2cdnyOJ|n(mu&__)+u`maXsT4d3%;$u#=%*< zaE+vgX4Zbqw!#1-CS_(Vio=gjipMfZC@CP@f1d|8VDD4}7Wyv2oWnn&VX^@Am`G`u zE$W&QimU0*tp@cUSwLzt9bB~Yq;-SUkj+-ZY+4O1YSn%;iygpAmDnuvpTs1&nJ86r zd8u;ke3S-HXQ~{hJSZkr72!;(USm&4L@j?na~myh8^LyNH%*)>N|q{5e@ImZFI7$s zF2)Nd3;??Z+8?xRdHM@zHcaCnN>5Rt5jTkao$WGw3 zd^>wiGm>d}Q^f7G{JCN_qBitYv|bvK$8UcpE&mrEoHr=Zle_;GTD}pnLz0%a1b5c* zi(WVnd|FcV`cGu;OwqZWV>)Vi3xh18@DhEa3@P(b((;-yR$9giE#Fwl6b4;L7d<8o z>n2*>v74Da7J%hgSy(27k=OFya9Td!|2A6QHI~AMbC{FH3=>E+-3&uJYIzR_m+6Hw z4ZVeyKjzc&S8lQ%&oe8By=2jD^{vN=ZZJft}YB4Ut!wnVNd_NlxjVVgXS6ek@KZm3`o`NY0pCNu8J^2xXx z%*4Jb3SwXBGwzZU#&)}rEW{d8Alhf7De>gK+sR1g;EKF(e(y0YIn-y|}B*>_F9sl3Z?w5uoioJ#3h8jYjrk-h&%c+#-iHUufXxxp8fpIrAjTv{&0EqoapK({r zPl+>eK1~VfryDT?Ww95=Kq%w9Od7>Ip&&^Ob)+faGX|H3vD*vj-O+lE|u zowlL8uo4=ks$C}in#toIhI8O5evpRJascVOR7rgBO8cq{Y!|PIx`fk1HE#_$Q^;$c zlUl1-gVp?iT_T8~UY-?Z1bM_G+TCOX*(2CF_f0#Q$G&r}m8UH|jOiHN8{Vb&8J1q` zK<-?T*Q0_BaspHtJ5CL+A~D@i>lZ<80A~Aas6~;A@y|~t<;iTH+^d~!A7L7Kg>qIl z$`nu0oi(Rms-ELF>?ImzD!=Rv#~W+tlL`IP<+*I=6=UCz)EY?bp_QDH$zn~48oW)` z1kTss%(Y{C`D5h#-ai1N6Wtb-mhbXZdzUzKdxixp++p95nG$UsB*!eT&SI!%4VRXW ztca_^4$=e;f15k3ukKHto%iMzaMftOh(Iy;{c-IXjK=A|%9 z!YpJ2r(!KjhPiWkHZk6P#mD$3`+(p^o|@LM=ZN4bw7Jj;6RR|x*_w?PKy!;a^HcbA zYlp?+L?Isr^kM>wkgM`4ok_=FYcSkp>A!LQL|NgY%09qwi*_Vcsa5h9%cW3 zVwE0WP;N)M$^bP;IM35&cck5=|YVR<} z-7+0!j@nHbGyX|B%>O|P9IH`HTIjG1nF08jIZT{9vuv~KX`a&6=cFNca@(PQo*!=D zm_9a)ENyewxnQ+)D1UtnXuiZV%o_0;G3>kvb{tz3a1VtuDf(kJ*3LCkV+I_tuAST> z@rcOF^Zp_sw>?QKww3SJCU)Cole-m7-9@7@oaiPNB)ZuSm+`_@J@&kd1wFBpMTf?S zXx|Xj69=&&bhF4q2);u5)w?!sS$}<)&cWIV#WF{)nc$_tIIr>qRXz1Ojq^JbZCtea z<#FzKm&Pf}$ff@5JT!5oud`^@nNnoM&aPVI&cum6%1?BwNYGWiCNon#dRX7EjQ14LepR zx@Cgo1)V!gT+pAeHh(DQ*zvR2W=^BrCV`xQwZr&1N@v(>LbGM;yx^A5_96-Hh}_!= z?$dA5{G_NRd9~BjPJ(+cOOT-}XqA`&!5ts?6p18H&^_~r9nIbAWr8x*vCPF(ymLBp zF-=H#8Y~>nD=4u|W{(q68-XdPa(*g=`xthk{}l^x0BuZC2Unj zdgN&cUf;i??5-LhdM%1&W@0~Qg+48 z9?O(FyNT>tMY0w+<(_!iO{7>^S$2bNC%X@{FxmCL?v-6*C)r(8!MGH4Mds2J-CWh( z9c6cG0r9D5v0EmnqwL<#+9+gqyVz!3quk~uvTJ47Yogj^?7Zym<79X3ZDn`wA8CH- zRb--xmc^`2vb&HT1?T9BbeIsb8X&tF?VV)zQ5Fr|+$RN_4%@Rj%I-XBqm*5-O=jO| zQk$E}?t=`wQ5!B{SIBNWC%Xr4C%cVPnHy=N2?mZNyH9nJ-Kcko8RudU>dlw|*^Nr; zB)bo`G1=AjkO=A|yR3~;cEvX3MRJ>)$*zdK$u478$ZiRpH6_^{cstqM@(;Eu`&r~^ z2)@!ucEA1&W5(uUnHiAXqWdu%<-WW3Jz~dq=4rQ;-Ae-ib)#rYhOe{eqWjR9Qg+48 zu4c-e-9&a78VEyNV9uX8n4ZOLR=R zzoYD4_h+8E9JfqRN7?;^wNc3K&tjX>M!C&RWY@v4*XXll?7Zy0%*pQWZ!5d|&R`7s z6h9cilI-5sNp`cQ5i@Y_FEInMo85ptRJrdy)sxuK+`nEXsH5y|rZ!61729MEI3cyU znd~lO*o{GCZ_`vLWOpzpyT84i>}H&xY0y4xg8q zX~d4~ATp+jpiZ*O+9+jLY*St$x4D_@ir5$C%GedMyMvS6A-9v={WI9AjQ7aX5WIds zr+qh`Zq&5>B%jNPLm ze(U;O>HFnpHiyhXueoJnW#n7G>c2hdZr{a}`q0Rd=iE=>cBVSBp(owpxR%C7;2O;F zoCO=zp4>FSF#RhQyH*w?cs@FES0MMUGBb{}XVmoH;fnjG3nnM z^YAl^Co9+96Yq@#v5b9#kT?n|r7#ey9Z%_wZ#FIS#k#GygAq4x7b{!DQN1FMeKEg< zs9tSKsG%^imdhBpH>&JUWaEY|Zyt!_EIlm@8E0Iorl+6TX<3xWb8Zhb@yu}q2`DHR zyV3e5lYiY(ZS-h$kB}$&X1V2lj?9{M?D)$PiN+;jje)AMqiZ5x8s$q6cjQlLmB(KO zx9Ym)eM%3Ln|pl>h7HZ@?hixl;qEK^grGZ!NrEuT>uzv9Vg-pg)}8m#7bL{83*?bC z^o0dq_}-|0c7k0?R0~fBscpb=|+FZpLav$%btb1|OmS8cTwT2jv>@Y2@WC`eU|CXkl-|J0#<^V_v+2;z=Lsf+l}W_(&rl zy+`&Q(b{`y4fVqhxa|Zp*x#*^`?+Q+zO)?f)!l)F$I~R1AD9hRg_C@dm z+(cbHL8NKlvtpv;8MUlEMS$+$c|2et8^il6X-0F&f1#LBPwy|#M&IW5z)fZ}#W$lh zr*1c+rh$x__dW6ehiB~TIHQ3FTM5(k=VeUQwq>`N(bs%4dg3NC`tcxibR%KDhH!pNK!a<+ZSFR*(VM(sJHj8Sy9_3pYK&bC9ep&qqY1PKcrcVI(x7xr`p z3CszVJ2o0(BMZb|X8`F9`@#$fQ`|MX!*aJhR{P>u&n;(gQ+1FOo_<5}B5D20s;=r_(zvrk zg{1nb4t_aS@q6DF#(t*wK53lWJ3Ci+O4cw+iaslsDPgmd*>Bc9%+6*ORj}ED4JoR; zD=hV|G6V24bA=j1Uqoz;q^~WTJjAeu-H%HQ^W;v3EA+x7Cw4x03eU6qrI>N8@)UZ% zcxX%AgV^UY)qX(~g=E_ElCNvqrt;4nGI52ylVUcpnE5>=0C~pzdM=jnq%OcRMQ1W^ z>d5D<1KFbf!Yy}j&7>>%yd$6654IDZPb^*BfzR!IS4_IV@v*&cpz`;FAB_82@%>vp z_iTf#Bt*kQ_xz-CZuG58{%gjy%cEx}h4Sez2yFHQIw@rK%fOE5zcvpW=H_zqKFPIy zIuBNbJktjKH6pcMoh6Z4ZR?JuJU+D2$1&$tCbhafjt;BWx%J|SNlDAuj^beYr!AXX zl&4;b4VCB5@{FmMw73!uy_brveEaaJfg?`GW%=x~yel=qR|5A1sSzjjm#~94k?_|J z>hCK+@eT9j6l^NA#|c~Zb-G&_FAnR}Qh8Wx?=G0nJk`~^NlC0?o@&cDD2Ym+r#gxE zg6943Hld1{JX=C#3--jrKz)<T;&15}z4xCb4udF;o=9RuFZkfC! z#u^q)qniiWQJXbzBfe4`7@Jtv+JB|XU3We(=KaV>teAYiOd@w+w13VW7`I~?-13H{ zTu=H#f8k4+ylP=BmVx4i#kQT!S~&zj^$!XnlK$eOQj#~59^R%V%mn<%^jV|n< z)aE7!#&!|=wWTt4{=iuNgzv!UnRuH6V_ukF(nYz4YGETL)6HL(+45~V)wA9eYt;W6 z6e-S$2{Epe+KzMBNX~X)@rq3*sMXe;+)bY=-xeMwcUaha?uvX;tA?GixD0%z!j8^2!(;YGG&A}k@D!+MTZ}eRwG*v#}_bF=3(n$EmLK}6s(71 zK2>%>p~`wqT;88-b>_)6ZR#l8uEHmJmdXTNGr9EbApQb>*H&Gjp8l0Q=8iJ`v%Ql{ z_nDZTFC%nzzA|0kQeFCv#2{Xjg(*H!R$aTDC~ryqwDg$NgU9bO%xJ|;saikxv6Qu5 z30CEQ*HMB!Mcs{`%43%OceT<*aB9nTv^~b(o4HbBBHoh_-b8Q%@kg)g#u zZq+r?!lhB{p1T!Vca=W$ps!@V7#KAmEz*1bjG!fDaO|JA#0l zVgZa-_W;)ICg3;22zU|$o=(6&FxMc=_3-1d;&z`{q zynO(G^QsBBd@lk27;8WIj4F$OkEBu0dkNTa2LWft6V0CzaQd?ZyfFej$E+n_$875P zV*)nZNx-WH0$ANnz|n^Y_&WkNZztfkyQybg7XVi!F`5&I=5GD~_EZq?itYf;+)coZ zbExM@1ZX=|5et{!1t|Hz2+%%u-MI>J16)`;X; z_sqCw#F*jZh8v}yQ|?J0anIU~>z6JYk(Bx9LrZ66Zdf^O)#9$LHR(Gi*JA6YCV zwHP;iDgPVjc=hlL(^qR+!Zx*sZINgX^#jFk z=Z6dl=vVsGgr4^bWBdeHfVzF>c8}I!ESZ%rvhMrlq;9`6o20aAcFX zqgRBc82Kpfeh6>>&mmdFZ-HV7vPqmnx{21^L4MtlZelvpO}J6Is^1l$KHL7=zZlY}%y+MBU!z1!vLM{jg zazQ+|2|cu;hYRT8kMB1aC}1k@WqjNs zF955*!^-!_Z}?@Ou1U+DfjY=e?))7q`@`Nocm8e-4DiHazMZwE2TZrgt2vMLMi0Fm zO0Fc*DBMYYy=i@>56lLYq83%(YKmbr((Bwj3M`Ue=gL0mM%6+3D|<2BK-ND!+8n1@ zM|G+SQn@-+v8+zjSY@56d=1%^sjNU)zqRW9uo8W^jwV59S({4Q4J_Er;d zJNXr&g`y)8;s5?ACSTPL=hXximw~!Xr1$sK9i>*2pPvdPHE{&XSB+^GVOh-(id~Rl zO*w%}*<<*bCN9BRj!420BH@oj0=lCorKURSco5&Q&5tqd6(XWl+3_+D9@`cuI<84kk7U!&?M%fF!h9Z~rMF<4qM)<5+@;xThXZ}c?iB`Z=h_-~+O5B_x! zk-eUpS(uB-2cDwW6f&SdA6=JJZipE2>MskjQM5a6_q+d;z@6Hb#45rrUnjnPOlJ2g+1 zxbP}+PST2=3HFt-ru?_&d`CZ8Vs-wRi^|@c7cetmabWk%N6N0@N5kI0KFzDk+UFJa z{>;{=fj!krC}vOfY^U-}h4vj4(*v`jD{brZO@*=(bR&CT$)43}xUFv%4ZNBLu9A-?iTSa8;Y%;G zaZ>V`nOs(SS%FR`n)^RrLkHw6bIKXI(dXI&`lex0189^Qb5G z&^JRm@Hm^p@YB;799EBrbt}P^%ifOU)cY}vjG#c@{2BVOVMLS6RmexY!s)y4@3auR6-ud1^;dMJCE?IN1_AIY zrM;w-j7%w+y3kSEl^=~NehW=hsL7@2!z%i*$_MvH*4#<3W^UhUuVYdkM}#uzLkH}> zdMxE)UXqJKA1}c8;F+c1O z{BW%qV!6K&T$ufG(X&QjxK4{K?16L!%s0zJ0Ty$ZWJi?xl8i6Ud{29Y_&i_ElMc1rd>f)|;#e71cr zr4hB$!jVO0IEjpfiHxTYiHuDY84tZQS8U~7$N237F^a7`aA!6VdYWWgN8QRI!)@g? z6Up-w7QxJpnu$oG8Wv%N31SqBNA`YLLmAoY`Annfku>zg!_=dNwoutb`l}-!>TL9D zPzDEbBd}91Ob?%kPa|qOT4&6pe8n|0TJV`%g)KfNVI4jdc}CaNP-I~;E$Pr#hzG)? zi{1hro=7s?5{dUWoL!KpX~%8%=5B;+D{g9_b)4ohQ*LLXM|th>;<0vcFvt5x-9)X8A~5q>2ZLPxJfl zcOoQ<{aUUdF@q;j7{PcW5MsNGnBU@x+0#a8iz`m)xW(l7H1mf+v48rw8b?#$ZAooVkRfqtFi?re$o6871TM-^4Et3 z1e4Gq>vj@_cTI{8!OnzJ)jwR60JvvBASaT>-jAc(L>tj+gW1ADzS@dh-IhaVI5qj) zv8wlIf-EOUK{nGJKL(=BOg?w_n`Tni>UMmDUY50BPT+S|AfKu@E50Q{lKu(3B0-Ah zxx@T<&MG3#2iOgxjJT~x2we#hD|r%aA|&|=ZkS|9cPmINP>}dGLoC)({J}@!70N1q zTtVVHB8g0$T~&~%IC55rh<6cUtBm;KNSxtn2e32TsU+^ad5TJX?6uL^Q!4e5*58I# zI<*55d^U347tEPa!=jL|Z7@SAjSg_f*a6NwpWXV3hfVW*X#LCKoYsGKhvq2QbBx#e z#(vCtILz21@1`HpZd%R^(3Qa?ozh8jOvR6E2u0x#S)HMD`JSw~uL6Tv7ZL69sHquJW)1baXv^!m6Ua7z` zo3$DS_e5GTs05S%C%UZEN|3*Ei2Pc=~uVr%iko$~5$W0?YsS|fO(*pf{8 zmB5zRph|-iW)Q1Pn{CV>`NHLw&JT?D)L3jN7I`h%Qt}zt(_l2=TVX@c#{x2&JwK2; z*5v&=ofe9{yhJ*KAb$2=l%FW6|MSSJGp{f=5d15R#>1h2iHN#u%rX|+yA`h$#`iSY zOr3~GyujdZrupJ#5*x`NFs+f_TQ(QErqJ;-eP;`xo@q8B zz9nf`A&_eJ&`Ch3!mHAkM{>1xU5V$Zi;N9&7xJO;26I`d&cYtH?VzL4dB3iBUpp!q zlX^MQf{tt|n$+V9Ts7o7puJ&jv-VC3K4rdxmuHwzKj!#?h4@p?NgyhF=ZfiG(rqd1 zVNJP7R*aeI+#q6e_Ri%z6cx+MDSk|*t~tOzg&&|v9-x3+WnahQO&~VdAVl0>7*@u; zL5z5rCZr- zghSjT;o0K5!?f=4Awn0j2C{=kqx%L6PK?JF*uW(rfW@M$RS}z_Mw2~Rpua?^4%rcG z>_Br4CTZ=uZ}E__6~Q7GhCSySL|!C&&|$wzPT(S6rq4gj9&vQ*A;my-;-YgZnOiyT z6J9PB&X~$`F;(UwoF7Mez)n4%^r??8*qqJN~dT&qtj)x|vvP z4)MPCmKRcV7|F3Y>`z&vEpcRAD4OCiXP8TG3OIf~J0~r;3nPUr$N( zH2aF4wun8AYf$vGMA4I;^;8qmCigUnHnD29iK({GCe|!&Vk#;d)68#T3trLO!C(t~ zW{4sFH(*2J;hF@}YdBT&E)+{BXJ1 zQrMca1K~)KH<;?Dx{oY)uA{D-J-5GpIXMsoa*fIwaQ12-ZS?zx+ER|n zFNR0+UISBZWpGY>J|5qS2B)omZYY~T<%^TY{fM{8Lz?7bAKovXBqgLC+=N;T#+J>0Tt<&D!_ym3m!YLv$5G0j#CL1CO$4St{4TJJ~R zNG8{uWm*}=S*Dfr=2~HyzOh%h7fMcHnJ${jEa&-@l90W~;LXwr^p;S*{g{BFGD@@b zTDSubXOw2?5q3(n2DeFa?HaTGd9O`ceu`|;(b@68Ob;I8Gs(gnqFE_>zAdEq*gcv7uzpmGUfMJ2Vhvh}(pLoU`lIupKP7?ib zabVKY4-MVSD_8eC9Rq;6yEGMu)G0BHek){L7=SYe5^(V}0{)nQoxKQnVH|*yw-Io| z9s>S}fMZe!*jZ8*w;*6*5P+)&67cnu&q{~Yy-dK_PZRL#vEMd4V}5}3d>48y+(5wY z;RJkP0QLMX0Y^Vez$+q%j7JFg*eqQy3=02c8U*3Vt`yI*fYoe4Xp1m9!ExnAVnY6s zgdMe&_PGfquO~clHet`q%G`<0>43d#6oiEThFUEvA6mX)@w$;?q(Bz=SH!U-qgG3L z#G{s{HVR^`maoM)mdY7ot(J3##ab<^)<+h6qz=joERBh)`0|2by6}0Fc7k8|eVXyl z$6qs^9-RBXd3*DKCa>&o{0WH=_8=}G6~ZD?7aDNGl>}VSszvKqwblkiMQbf8wbZI) zK>^pGw#7XtZRyl$qqQws+X;&nmA27g9O^huppHYW(}|AbP`_5+&$-X@kSE~u`~LoT ze}5QBp7Wf0?!D)pd+xbsiJTF-A>m3~&{~Bfd8H%P&~tn_ikP(5`=w2F#XYkwdv?gx zlD)Uiq6o{`@zX9X#G5&XDriwd}WGk)4S6mY5ZJ#_b+P@<)W zeU8hF>Yedv++{`B+sYA_-aj{T>jd6wB}X^SVT@96^n2_3lSj4J@xPB7Hp6F^n)h-E ztMVOPuHb*q)=j%oF`i@aCckAd!T;gCT*`iv8TxuPyj+H>Uv7@y`cFzRdcK&vlU!EfK<_t}|Vebx8l5Ey1J<5HpjYsTLxzM5___I6%f0pjg z!O`O*__Ne!nJh)9Wue;Vn|2rlSaSNtD}6^#Raw>m;I2lO@l%$`;H*J4BjYLvQ3s|__I`R z@lD&=J5(|Lsd(i%__L_K1i(AMfbuB@luwDC0e6+-D4$|P`IK`gpQ5^2u8u?b6fKoc z+4_|tItkTLR>56GF*aVAu@h`u zU^D<;1Aq$v*8zYiyR>8!KPiGg%VhYosGfzh35uU&=ogs`_2(7QW$V7w~66=@LtQqe51;2Q&-;4Jchw_YE){0u2j?pceqx{k0-`C107O=sGHsocjwf zoJJEUJd%OJBbM@S6wxCO_@-S#;gNdO+c|d&L|y^FCqs1F}08pkAKE$dNfF%S(@l7b4>%R8{$!^)zApUe4&m7&=y47tuuav9qL4+q)>-^q>9Xj zS>NEphpD{6fhlZ-&6{rS?nn!Dl$(bDE{Y1P78Z~iBI+QbQFLSqVUvA}k79;R5_d9^ z71c0OOaE8TTD)rl>C`MOxUo)BaHCOLaKp+M+_*!OF+-L}*~izlh$(577S*_^r{VD# zjgKh0trLfbFRHPIifU+664F@HD6%jpMul-;t)(0Zf~^>N*=}%jKS`D)Ne9Q|Qs-GN z_2MgpTpUUvG^c?tb8?qxU1_V_D8P{Pjp;(-5Aq>v!>4!w|9hlumv!4w{gN-`f$Ep++fmt*=*R2P zk{S&AYxkjvU^+;86!zeflsLUGcXM$88~m7q-F{lTTBaikH^Y6SPt=41@meY3N*?it zgqW$bf-cJi65=-UV;2!ydBn`WMlVG?lANg8{GY+`(`z7(nf}dMe8zbrsC&NUZ$&bL z?1}w$Oo!|kt_Dl^0xr5ies2#N<0ZQI)TbSwXG`&sdIBHhmDlMRv(bvr z;_8~=Px9|xR5cJjJPH|B#mraqK9RCfX;tPFd_(y`e9H{D%F(IjvXoDAc{i?kXeP>u zj}A7dt}u6_O{AC(r??FDop zE$xomir2m|lVBPkCHcIWfhRB)s%|f7|JpWvh#~1 z)bi_hHK8Z|6Nl2^O^C-!5vzE_c0%luB7TBwLFGk%hr?XW6F4D>ch*lU-T@cP&-B9q zDksT8_KnfF64MKnzRQ&}u>z~LJ?Y-eDp}$BzS^3M|!NSvYmAAFdHxtDcY9cd%HKkpof z?NLIdVjqnR5jt8GrE2`Q_Zlt~+sBIzSms*)t-?3%xt3m9EF|TYvy(b*6s)|Xql=bG zv`~No=@bI)P(6vw>l2W2cyaj7fmk2jQ@S^&jLA9h;U~5D^ScyPT;+!j`ty$|_1wt! zemrV3?vxD*>h|+{KYoNi#}5ng`R=`!KC-oV4^{dm0|84i>W*m5$i9X)i+AHAiYW+G zJzh_A6AvIcHxYj@b4Sft6z9kMk~IE zcIw^j#DW!+$=4@Ye6^nUY~uQ@qXm^gT9}dafbA;poq+LJ{~5k7;&Ie`uw7vSHezNA zDbbdI#<)JnA}luari&V4^(TCorxa+k#ul~03&L~R&#onf%7^ZOqrSmpu;AQ2Dp+?_ zrIKke@WinU!LmSMB{pP{7v1Cn6qoOPw-z>&SpLrFy9ur!;Wm)6sKUqFJ%cutRJ)B#|*)qc{;K-DAg;!Nd3opvR&^)$r)kKlTCN~ zF3G9P5_7K(N+YpwvE3~euJf)?DQGgaNpywk8=cvXz4>&}b;*t#N;hY`Kb{_b*Gzys zX2Fj(lEpBc)Z5_KgbiLBL|#Zawf};zo}n*HNOK~xA{-$&9bQ-{P{7 zZ%X#6+scA$+N=7z_o~E|?!D^oA%-)wOU>!BSLIrWH?2Rj2Bdox|G@GynAQ>mKk>~? z&l3ZZAAkZ9B;yNXU%R4BX$-yzSywQ&2;amz6?NbN;EbArk3!n6n2;Tn)^4VI77uZp z5V(sVN#7_`ib`FBu8TPSgNCGf1*slBD+OKxyJv>srY+5b-FZkON$+A2@-ZrymT!0} zHLMmJUPZV1S=|p(rL2*X(Yq6Jlt!;+9$ft_XS5`B*S3kqJorLn&+6KF_~yZ$4(~=Z5quIK zsdmwCu`7G(UbfRbYf1G;A0sfGBgAV^eP{MG@{l&Bq==A@Vb804!=F&Yjbg)6_BHEbjjeaZw9rP4F6w_AF8$Lf}m z^sFQ=AYOXvpK?pjZ4*tGNqXjO>nuGBn9hlsLgW|B>5HReq9$=IowgRAXXljSTC?w2 zc(uI$8R_zM-s9CuTvC^KFfbTMAs~)4> zs(U-L1Ogs4`FzL`-NLe&XwlVQ1c)uR4I#j_^|_V{l@ojAlALi%vx(n2G=tg zoEO_afD5?xy@F=0M4|@kE62o(%UbLuH}U+!zRW9!ThrX3`f?L*QQx&bpJIWCysxh( zm9coW9#UN$F7p0%58l5;y#IX+j+Z}1-?GJOCn;51!DAkVt48HD;0bOf3C_4afcE&; zr4=5UhBFX(KM~}*2JVN4 zpBjTH@~_7*8T?(u*}PpUGje1Wr?*G-C!2y+qQ*L6?-+w$Czk+jw5!8S-4VM1&8Ie{ zFTfHI8ywrp)R(c>A-637f_h-&-2_GTpaAND?nmTorsFECQv$y^C$a6GlYcHSA~y2G zR4mI+Et2e;b_H$r#mP>QrAkOE@Z>rTlgqMz`y#t+SAF>iNUx+xGLWl69Y7TIjUC$n z?{n1Es(O}hOw%ql#x#MD-UrF0@1JFK7VCGo!=7r{v0uY!GRi_psH!E(XlCdisHyR_ ztZIV3xNnCzZK`Lzv1rIk=d7q|< zJU6;pL7nkc07*SzT-L!=UJ(Xhs*qx;;W24_J`gc=HG6XX8K!F4O9Cbf$ax<9Bg<6Sb=`(RlZfE_i`>OTS(9lNKILo{V%?!5nl_ZDQj8$1u`ZcE;5OuW)0! z!@*H(vjvcPSEyU~Q3gCT%e9F`Z9q{?gdpwT#jtNgObrvwLb`lj`x zTBg@GR=N++c>`!WNVU}#2y?6LDxR!H27XCIS5A0?n({E4ZzlK8;M|Lm zSf|ON0WH$QfaVN=0qwaw`0jX0B>iksvkI-tV!&bBj58{6HO=IVjT^TOwOhAFG}brBOY7~lr_WYrA5;b4wBu;Z%8jyu6)gK9OA1V~S5|Efc^Gt^Z9lgEwBRvuHE zOT@$sI-XnIb%x2DVjy2b4qkEW%pqLCq+l4FUeCeXkS(KB*ksc5lOA1yx#3V z6m?ApM^QdG(F*0IZZO08eO!*D(Tl_T#N!qIzBFO7y}xY7gzbLj@Px??{V(n~v)yld zxNt*R(mT&q+zTcv<^jL>Z!f^*u_RF90k2(P&x)K6g^MXobG;j6NYk1jhpL#r+7z1Th)7&qc}`JEAGzL1!rp_vtfV)1+`$(gRmG}p1) zMb6uUER9NFye3WD+1#5XUDN{>_C{XEINEL3a*)dZktSTcw-Hc}d^T9b1oN9BcD_Lg zU(?3Mqn)|l?^D9peKbONUgOqsS)-bhnP<&Lp zQv(f?H%`>Y1~`PUg6PQby-+)juYw*}P$|RT!iB?2p%z?$L`R0~Wy9r0Hzi)%kN~Vn&*V@nz)W^`z@Q|a>!ucw(SRW=q=q|_`x3@v3ZD$q6u!r zV&vPDvJm7BOdBIfCTrdmGAnzkz}Zmr!WlrLBr!F&sOT*bh{|>5*<9bxB+7Q? zyBVcXlA*!#(ogU_ff*FX)B>N{On2L@Wt896I&j3o%#ulckl$83n~jitPLD(IILBb1 zTQUAVz`Ce=dAX?2iOtW8H~LlsJ?>Rv>0TvmOr$$MuKBGQ^EwHH@I*#YQUx;krAu+ru=An2t@{Y^A zNEVbxlCQ3r_^(n2rb#M*^h<^wa`6j6!xqA|?r02_|O}MHgxW~`Cyqn=9!MJ>jLvTciq0E@-Z3t&qi!l6#{c+^l5~CDrWejq z#(sXz3xeNAMt(Ef%&I84!apnCWQzio;y*z2JN;aKUU_tE6*T zfix?ycKKQTpc`WE=Xxy_R*rW0{gy!wXb%v0M-{O?%s&-0j!H`j-v9~cVZ;rK@E`n8 zz``94?Q*rCj(BMhm2YC3({|O&i8&ZEkGu2pXJ>B76a?pEjJ2AGErZ632&8x9U$`%4 zB5o<3970M_vipvFJ>mhxJMt^O^A^JbX4zeziEt;h>4n^xV9pndsXMH`vIGHeoor|LT* zCGf>g+p#tuH4WD-B1>1x@-Ed(g1@KiGS3!u^JYyM7Mu(+GFkJivaI<8l zjvTVSOfz-$27O8|fEN@m&p9GWV{!Es^gTXf*Q+!T(hIVJ10z%Lt6Pg$uq3E4=LKLa z3L8!5NV>`mh)@~T933(PZ@I|IwfYakd7Bsewq_T;ZG1Wd7uez~AAi>8vzA2RxM=C> z(DCuJKF4p1&-056BVIvNtH;f*cJ!;32p0~S%)G&j5~s(1?>@L@j($}Waa(vUy~?#O z$J!PXK^1zPM&AbXbtEkuBf#MEGbJ`K=jheD`rjw)(%S~-==FO1 zDzW=ck7_FY65Rc3R}bTtyn-EyUEFf(B2H4ALCheDmx0;!v;20Om{onTujuArc8v`D zSKHfeRYtuoBYn($#hJdxh{*?gD72FJ9M$JjOS{I*hjF13!W+(nG~9x*EKZD`#`*0DJUx>(2bha9g5 z&+W7x4eQhXJ}VPF@;I9s)=x3wSN8^_KQuF}=Wa~s%q1doj`m z4}QmH4gqY5;6?cGgxArg#$P|9R=n1{q~nbG$CZ8Q*yeFYbsl=IEx03@cXdVn9Upc; zrK(1Y!~F(J^pyKcdX0}0vulg8r7da>B?BkTKo#|8Om4H(_Uek76Xs6)&92Qn7_*(; z7Sn=*G4Hf=VN8{2WA_%3@gBpNc>btOd@g0o-(h&|-M)7-rr`iFX3C*1jH#`-HaFz8 zPK?QIT)SjG%eO5xns6JJ%kZn4F}2apxA#1b{&)DsJjQ}z+wn7YN6~_EdPh6Hd00@6 zsuJxDw5$J@YXX}p@u>2O@EjKp53JvIvgYdiTTELkMUOUi8&*M;ctvh8`nPy(sS=+{ zO(uU~CX;rz$>i>IGMPBO?P4-%vfQ^neU+$?8s3^LPn~%Bs$`!ZcHRG0_YuEtg)4ec zhBVH+6^{G5|9aZz_1h$w)wyDO^rSYD3*<#M*A#>BD{d(##$Ual@Adp9jj}QOg2u-< zcX{|vY7LV0CMp&mTjbhkAM|O!9aTBfEk}BNjMT;B3D`!!nY{`4P7Hw4Un1a=Z3O&% z2!LD05OCWh0DC_oU@n$`bK?M9w}*h|>>}Xx-2ogui+~-Q705=sz?Xm%3HW+HBI64J z*6tzTL7@OPE+JsUG$Ny#fHOxB@Ra^U#!Uit93bE?3AkoG0XIBDz#%(pb1rDp33yck zfaSrH7ad=ea)f|a^dK^}#|`~1xorjkXZiuNc1riX$W;9#(LAUdFt@!!z~2*a&Hl>d z?~<#Zr4FtoVB0f<`5^y?nGCn`@A-dl!OemU}uxV$)=Hp0^TxFuv$O)Dy zRr0k>+<+|bPu=$F$y1*Ac(ZoW)ylz}=1#E1uDH|d%+VM}$cP!G zS+hg#mh7{QN5t5=`e`}Ydw!!B*BYO4tZ{%>)XLC9a~AhB?x^;iJ7{1@(#2h4Z~T##)LwryrXgg6Zrj4yA-hZWHB(4gWBs%|gp^rJ4?Q?{G$tW*#N=&2URk>D=)_}F zM%|E2GQ6H}PCILQGMB=QZMdoKSGUE+iJ50&4txp#XJBftQ=L~UPT(i1OK6!bJLOsh6D687NtcTUty7&kT zM4^gJLZn)l7^!wX%VaM5ZdpF|Do%=OP%c4#9902!=Di0SpL+)7%Ayn*eD33h{Q7 z+&KzFrUIZ4-Bclj3(1}J<8w^*tqlreQkkz8C3l{PPtk+X&wQCcCpEBX#_v)@%1t%hbk5?0zX{9K)nqB%@Cb_F#sF@IJw8S)_`caiA&;@ zQ@=$Mh?di20iYfLh?X-Z?eq28H5~l#a)-jU+Vwrenw(sKfgegyy@@`s9IaS~(! zLrAOhXbQ!Z#T}KTQ5w~D8(_L`@Zk;$Kz`S-6%Bsm=R8wdn!zTg%cH2DVA?KZ&NSr4 zW^iMZk7iM7EQW+R|j=<4BScSuLIJPOG9NV#%$k?Pqa^Jq3cHEevyA&Gi);U;3${C;nf z47tlnLtZ?JAjof;xrSlL`B=5g?=hnGkwUCmq!_ElC`pkA;|PFQwOfygRg;g?vd*&* zv#W%h3<)$nf+5Z+Po`g4JROCPNF%SD@*(u>9?h2c3>BLLTo|14EnD1H4r_2N!MLcY zXmaCV{*UNgMgWzSDfcg>NQhXte4ca^C^%I6GLOYT-Y~Ve3E?&})_6#WoYH~Jl4l37 zx-U0c0p2FB2)K{-t_%3DwzTgFMNI&uN3!~F za(BVd<2<1VBNQ_H)zJmm_0w2^sl-TNK^0?d5AegOYV<=~E>kM#;?w6@e7IBSVeSl@ zYtYM^X{~fxJBI^u;!uX7$;wdZB`7jm2t_eN(SW}?roY*cz&d|Z=zJiB1lT+}-}4)y zJd<@^N;&I%E#LV{bpDpm`M@SZ;nDe?mk7li)_EFxasI^4qnrzvuD*fdy*8iDl@LBJ z`fI@Z{01LUm!yPOXH8-8UW`W(@AV-W(h{<+X6Z|Yom$`_nB=6e6l1v=iO(35Y1Zcuz&%OG1G zG|eJHEb1Qlv?6>Z0VdAgw}>fN*h&_FB}}25p`wC-*3z#gp`4{+rV}pNwec}-(&c%q zrRb}8&4(_(#g^2IXhil&N2Hd?MxO-)#cnpSX4q!qH3B2jDjgB|N`}_>Cqj#V9cZha zffy0HuRkt{gb}g(`bi~(SK(KyvC;}f9rfRsvy zjznnjYHAd2V_qDj+onL}1QHEt{Ug!!ajJPW^x8owYg}Tl`MgT6Z5Ce32q&S`KTI-Q zuQD9R=*7mwuKPsjf=0&#ab+x{sTuLqF zjCPftA<_Gs6Q;jY70OL+3m_LsnWqagNn9GqQ~`m7(xh|qXzdS@5N9rMF|N4=z+!fO z260*Pv=CweZVS%>&l|u3X@jIJ@H%@fi(d1A$%uxQn@QV&%3s1|dY+6tBBMnh!|9cY zna>_1Sqt*D3|q}#2wSc&QT}Ps*hY`r>M<1&n>aEv9nZs;?bVd2xFH*nm(|W*1&|6W z5=@ea;szfk6>gAJsKQIMAGXPjz4O2U8YywwsPP2TK*ZG`nvBK>7n_+(A~&`IzxG*6 zH!^`;lKR&+^Y~r#Znc2FykCYWvb+a`HKiovSWPpw)oJnNQi>+Wnr$tW>)4mDoN8mw zb{QXczL?~lcsXRz5+OPk=C(o@gN|n@^i0M-gI#cCyAST#AJm?A@35*;#=Q@TEXYsR z?ecyG{MAI-jrV}^opW}BlB&`Plkue-U+RU6@3`6Y5(}A#reT(95DC6a_>adjFH}mh zd|TsY(o1R*tI^b(ajH!g43$1c5GZOSMbCkf656%B;-x&ei;om#-An2a z5c4{VO!;m%93Rey8FQNEF|l|Q3$A5TG)ov6zaL*>FBAXlnS&LUILGIphI=<+vtDAK zoOk$-Ag*{9QFw`%oix>Ps-3$*K&}b1FDg-arLzfU9`zzq?1d;DO!2&QD1Q^LEtht_ zfPYO-ugwu(W745k+Ie|-E^S0vEJks-*m=`}&eG8=fh+ES^LmjcF*1UPswB6F6}(GWgfw7pk6q|UWlFd8pO~1X;KL4rJYyu zuhr9QZQ^UJ^J!AiD?e66ov-*iK73L;I`vv->1dX~6?edS6`=E`L4%SyX5KXD34Dp2 z8be}}bzbA!mF*odf1>t|QO7den_`0#L4$rDOE<+!Jmd+2oFYPsTZ4wS2E8Cb)Pt`w z2|_M%GHAbo%xwvnjvG-!X@eV5J9rgA&LK!X0Xd{IuQqrnQkVI*Kc=>)i*5V6S|DZ1 zrF&+x1TM1!?hae|whiu`V^1EwY%idk**V#4=jhjU=P22-fZDrE^Onov&>*hvYje$S zV{$x1f`x}9&%eSv5mICfQ6xB>6ehDzsxaI*%m)7yHN)pX7q?BDR5>#wuBA~bVB^UW zjAN9l)p6Jss(mG+X_dh78PEw%8~1o^Nx7fN3Z^aPnYw68@-LLM$*}lWpXUX3g#I_W z8evCiQiaCML+Z$bwpfJh*g5lCF}~0_gDGXDQ#$#Er)sHNEc?8S5+cw>!%zM}DdXLb zVXD$;8l_bolRB%lv%>U10!Ioili>cdiT@ATYTc86C=Xw@D=8)OIyU+0L0u<5Y#UR& z?$eaEi&J`E+b+L|q41CjY94YT$SdUBXDMD=6s5xWnnZN)m~`@|9AVx119hubAonpk zlYQuU8YLw@9_dKsq*1EY$7Axt{3Vm`l)zNYTfvfl$F$*S;Ux`LcG!HfUr3MJ`r%FVvXx$L=_|5mHjXu$Pg-y= zPIQt8xxt^cjV17(%1#Ue=^|l&W`tF+HmHJ&pi}ave8I%gQjXV5iHYvl%=~LInu22S zHQv6^#_S8+Ak3=Oe;YfH)ei?Y_Yxhb{jxB?64&9(R_bac$9U{EjQ|~9-T{(sNJ$C{ew|>LX{OzSq-@^6(hQPB>y~vQF^$x~ zVjt5+BqadHBOPs{Oo0|i+I*5oy05LK*F5Z6PX0A5y_O}uW+h(pJH>d-KoUzZYRQH$ zrjhd6ziq@X(Zs4g6m{>xYi+zmDeGxOgdFzZHU2dgcTz0ACbeZ562WU#0 zwX5>KqQPR~@Tggk@J#56yjCUfTJRW}3#k?D8hee;C^1`jjbprK<9Y2gc&%12q1pB9 zeQC4T(^<3a)a?Au&DyBhj`croHpy_}%||j8s0#VnCeI4!y4c6DB2`v`Y!M;Z1c-~B zCO};50oh&VeH_)QDXgORb@BgY-c!uYn42~!yHy8oz#CD_1D?-z4?RO_%+$h|>UWA? z0a`ib&2N(~JV$ULy?+NEg*FxwjQ2%jo=I4EmkkgTp6mTy-CywvZ?WN%-$VP5uJ=ol z_1^4c?@Q<4ft;PtFuIST_{{grR=|rHaq34@gHdZeIPMWgr$kw^NUC(PXHr+i#V#iq zb4%J)RY)1N=5?quf8Qeh7DbAx>;OR#VTGivF#FAYZMpm^Uh`b(JiZbUAJ6zVn2*pb1rx($P`Q!`|n8RarZ57V@BLMBmYxcs=~d{wfXwZ<;XIcGebcZ(YacUm>P0MG2v zAn#&}Ud&$cY3q1pg>t@v%oU%Nqr!p^9mC(=!1^3#ES<23qGPh!;zG8ZS>#tNhOyYn z7y~Ds6j@3*#Mmd2a4D?1j=1KO2iB0z2A1j&_Fh%TdvD2B)niPw+hQSntl3;&T`o*K zzl3aEY&F$H>6VHY2>Ef%d`5Y!7Ew>=OB3~|TTy( zc*RAD;Sm`EzDu$OJt)Ao9;KnhjFpnlf@wIU^-CS>!U%NuDz zDk%bD#SslmmsMfyU?AU2>0s$Up1@PchAndpx&$SJ$_ z(I6kEBLb(N)~u+ukIMr`oa3HHn&{*(Bt9_i)O{Sk-0QjqmSnGf=A=1zkT{2OaAzjx zBB@s>l5K_2bZgH!>7{QRly^TfS%0}`njyfOLav=LW3srZBf?~$P3)b$w3&MMibm`m zJa3=T!1MOZOJkO~y=(K5X`fDypri{jZlo7i7Z|e7fILYReV#?2&>-{BZg9w5)?=v` zH)>(#)=9X8cKCQTA@BT|moySQtGY8hPgIL|)~hpC!0Gk$rU=Q9H%?|m<-0_pL~0v- zzawh%^^zg4+0QOiIiKH3y45(D0j}}{WOvD0pLYP5sd@a|Sh~!OZ5K0fIJBfKb8OfX zLfFvSg`q`-rW^+jlVvC9{A@|{`TDgS7<(Q@9Iqqmzms7&xXw8pOs_Y)MgoT zZ&yAO#{D61qE_P3R@j{sGjmkEI9heyMWF#;hTDC1PbMtDS44(oTj1Y*y0&Z zv$!e3M5*a8LtoOffG5~9`C`mggPtQF&gHfE%r}Rq-0R!;!L5veRVsy6LikgVVJ??q?fL&la{eBbxwNc8~Yy%)=UYWndz4UA>#X|ZZ zo7k#2?6x$z2TLEcRg#UK8~82_`-$4uCBtr;Nq}_&Jpn5Tu-;Svz#ajZ(wc}%psm{) z3lWejA>jBbz8#B5K%KWDgt)LK;#+rbj7M350GD5z*zLT!$kTqrg`XwuVO%&HTzGY2 z7IC2|(%yMEWWT#bY^?Ejxrbw&YGCK#_~bZS6NBqTYQ*9AWG9hn9=u;N9GL?@pk6lA zu9XbOCx;18-U%=}+yA!6h237sK>vH+qOhma6$jjV!BxLK&9&>$C62somTOftRN3wH z?fnvvDL(>x@CgG8ILCI;*gZoe@w1se)tV?bH`V;EQ8u_yLT}!{e7*m_K*n98z5lC_ zi;ZW_xZQaS1J_e;u6wN)D9~z>Ns7(S7z1jHCWG456}Odm+0nm%UhY=se%Us#kX~-9 z6<-GV_7W!P=a0er>(vIogTR}=5*S2z>pK5eycGO}fyG6mGnurdb$fv|xSJZx=NnWF zcmoYOqz$?TR#1cOwHw^y)uWciEC05Oh|~`F67Mugd&niScZ%dxh>6Bndq$7HUX2D# z^DXg+z-!ugmbR9(_lppcI-_@hBoSKmR$6!JphqGD+GI17a`0T!8H@wAqu(}x=dybD zcE2M#$==BfQiBo_om@{d-frGlPl;dlAxJo@cb^>`7UugVaN@=nG#DvyHvi6+m3ib= z77)(F!T4xe7g@c7cW~S{rH2goQr0_A(%z}vL}O-9oY0;<&%AH9KP{3KGf;`DF}cSa z?9}4$SNc;D(%_q!F&K2I>QTAn2YPTLGsewKx>NfJw;`w>!bR>Hode!M8y@^)O=QC1 zsF_MNvC;jCMt3zET}^+CZX-XswI5h9Qc2R$)qT#Ew>{`dk#d`CB^%vFesoPo=^dSP zbdCHwuAl)Pqw8R!TXO)Ts}n}|lP=sdbQ8ePoDr}WN*7v@W5LW17fQh@S!-?P5x}%-c`j4V+}=UBQ1{!FYwEw zjlHu*x;&cscmAUm-(kyRBfmTz(DGQrFAv+mV;F*F>GH5V^&w^})tSCcm<+5(etE2+ z<)MGS%1?}V+q(ci7-DPU|SRcPmd!q zHWRR|jDSbQl%9JlYbpWnpG?5RdT|m*fxNG z=l3JbM+vy>=PGp#W}KT$%J;^3iF8`7;7mjkvT2MN$~>j|{kP=`@hRfY%Y`svY(5 z&u{vf0jCk>ISE9De>Wl{`iH5X28`|j%r(UXTt0&^5Ay?N$3y~dC18190=6F^GTtEI zmK_9KIg@CfO~BmK1f2UMVIEAt&F>KKmjtZaZ#qS8?Oc|c#p#A@|HVM3EGEd zFGYP}LU|h8SM?hTr&cgzpDC$Nm4~CqKf& zO@0L0{-9D0zj$qEe&((kq$pOKk4(P6uc`jZ$D6rHj-n_$ zwa4bR22XgeUbcgqtxAQPcx&iDrKV=rnA@duC*;NEcJK4m(HLdu(AUZ9y~KBK{lsGk zs2cm-uDKIb@wqX5>c}U4X!N%1GkNjrF7-G2StoK_b0$|<6RghazE3I32-VMzxtdWN z|AVWyjDw4LL|M0?Gki9vSap?>;`krA?sE3~&yJ$LQ%#&M^ZJI>Xt8CYJ>+<_$Z1z3QVvi{68a zxdkrf2Oj~0I$2kcmSf^d8x$?!Wxllrc#!A9gFG1ZR;-bG)MLga>kJ!mOfJJYh0G82 zR$}8ri&{``#S8~=^*A`GuLr4&vMo=M^pA z!GpXW^;X_Py%h`UtsH!Dk6P;l4YhDFZ$LSf-@=1@;Zx`ZJjjE;1cr6Ma34+FTV!&% zE-12c;X$q#f^k4O6-y&9>;ZzX}Z109Z8y7#3ro zzE;RuFITfS?QEOv|>IyP)P28IfMN3kJuUCFrXpzsxcx8Ibeg=SI3TW638WN_d4$c6EN?@3> z6afR5Fq{24G_ciqWH>S(Bwgqu+D&OI&2#I#8lS~biBhkVjZE}o7Z0c!pGEY{`S@o! z^z5qi8Snr8nzXJ;8((Z=G_bq<-;uAI_kZ__lI9*CmGCcs$(VN_88_I46Xlj!(ZK4e z+>_Q-vB`%K$bFcSB>wM+M-y#bqcaIx1!3#z>m_wn@}+fE zs(D{;<`d}lV+Uo-SAFy6(z+@xJ9AbZOI^vuM$ z;Vn|&T&k0rJxG#sQ$CY1pN@?`T|J4c%onbon~w~VD6R{8qu!hHge6ni2(JW6ICsy< z63$)H!e@k~4?vMm$`1V|1th2AAXcc7qFxPOwqrL7HTYOgGjA_X-(9;y}m7Et2it~qJ6vTsKwVgb( z>PX)YzKf3zF?H2>(TmQ0qh^quAi2j}oc#iJYh#kCWyhAx{bH%SpK5Y|GGxVf-_V~K z0jsoE=k|K#3e3X(=ClX%-dcS{>#OK)PQNv8_UbEn>ESM~aM(FM4hRfo|D7*G1~KG&ihlB!kT)SURm!fV;L( z`MxR$2eHW+W8LHUH$5GP<)0HiF-8AS!l&yl!Dr<0=?S0Njqd|3@J;Z*_jiWR%$Qhc&ejJ`ZZADI?-`hKVN!#sQ7`+=wLG|`9b)_i_oqAyE|&&cC5F#1G%kyIF9 z=HVaVpu+{bvq@}=_j(b`nV3s8YLeMvkwv+)NkmLnw31<86GYi0RQ_0Q^YzFnVfmWn zsU1&s8iZWo>teLUv<~M}u5hpC9r)Zzt7$9CIc)HA=UbWB%QzaW(YuWbLp-ODq^^6A zK8Pi4r`x(m;(&=v{z&D|Y&Xm4_-r@3)7m|<5>uJ~N~7{;w}IFde2RF9F3um>(9)AZ zlsl1%zSnpbefNn%(f2T)1rUW)Io4j)WhaaQpi27=ZD(Bq~uh5@YQtooT@4ZCzM~tXHQu_W& zpW2MwONIyeEPemSPi)B2ql*vQ0SS8g^`r@B8kS4SdVZ)Uqr{XxZ8lg1>g< zN;E`YI zDA|)C3ITW3_GaXS+-IZ}b)wFlY3H4Zno!#AVksMax9AFdhSR1O9*Fc;)%r-c4EV<| z(|(cx2~>mPLum==O#cnMXD7uRkYXh;gm!Q{^UC3?UvQD@ItnCYZdph3bqODZnvIex z^f!Q;F{^O>#NIUo&w1s|zfA@Z2; z`z5+WHyQg|Z1lg~hPD%Dz9h(ef~*uFE63umBo8t9{4LsMTy!;M9v~?8C@LLZPK|qP zHasMAn5z>Z`5Mk~7w@_ow(||=QNuQ|;a{T5YbZ~4KPidzJY)euo)96s?hMBHj6@Z! z81q0kiG3`P{#hO*@{m@7tP>%5662*LI{1cZsixY+hF_;rZyBW@QiM*Ko7sl{Rp}sR zbH;dC+=$;4r=G9Ecwx4G_hU^$9gbr@f6E{r^2v93(^yxda zbIi^UQC&myIiL|&m$R+Ody37=bFG!K_S_qC=&J+n7F@}&Jb4`qnvTLJI zHN;-;2OA;XmXJD;Gn7sQCaPMZ=L^Yw+RJwZ(n?qBhmzckfy5L=88`Mk3HlT{71ZLS zqD;HvIJy(nXo&mY#FfLp5^5GH=n}2uW;7|N=_F!;AGx(erxTg%&tyoo&L3AY5%ho{ z`wRLSw{!>I**g9YZd-#ratR>#%87>Vqy!-$@!eu|P$4y5)Z~VA@Q@CGKeC9B4wsVD z-Jue7_b0w#M&bZbQ7lwmCr1=dMfRUOB&kxt14R-8iNxJf61gZw;%REwE;f9(SRZr@ z@03ePRPm5Z$9IU3jKqx|B;H{S%h}i@iX=*PS(;EGGupOEUjL$ftSZp0%Pw_`mWXo2 zO%g4(ND?iUh)%lftnb|{*cfNnL2Tz@Ecn+>x-1vrX2G-Z#`VO4C0}*cW%oB+qtSX- z)2p+-+Miu8r$4!sDhxy}gj+#mKrcurxTY(MIIv-XCX95{hpP5yIJNpE2dMCr`$acG z3+QfpamKIsuIKUP&#ANHNE{E zsDi7^vbVE^4c$J0cILvWCgva~u>;9b*Mp2==H zde!QIX`=_GR~RO9#8EdUi>@4)7D_SMc}%a_Jusc|z_gNKs*5-wVq(gcX3KO^wtfLu zI8EV{p{$H4@OLL+4-2!NV;RbtOYnu|BfZX>xI!ouzC4moh2Ix%IXBY@JD+Ew7^*Db zh$SX^LaI2a2ee>(Q;UjT0sS1Alth)fWC~fYg4Hb%(At)Xr{gTo(=p6i_tS0WQ7|9S z=@?H%8}ZEd@%E#Z9{KRkWJl76syq5goh8JSMI^j^X7jF8SlSJ1p)z@SEbV8*c}(P_ zDqy;20H)0zvSvDu>A5iGl=XNaF^gf6^=xosx^E?#j!C7~Odivm1P@GU9yI-iVT$hA z=*INWIu$f|V4BTinw#Q*$|9bzzvpMJ&bbwjtLt?%iJalQOdBtyYw0|JN^<~pGM9*oU2Becw@K;cl_PpS+w(q?oikrlWEaGAu74!;~$>w429tUBw(@ zn4)F%e;1gxN-^nqOef5qm=;Pg?dLK5O(SBuo`ecUwSz`c1tTY`4>EHCW_dhY#c-0r zDs~QJTY-H5Z3UFW)pCLHhKun=4$m8UdTStU2$y&x_aVj`M;UL72Um!qTJ~j+*L2LG zgJx1Rr?t;)?zRo8%lk=d;9gy$H)5f0LQP&J+<$R`l418~3pV!6UxXmNI*y(?`p)hK zUw6Ng(%t>u-(1BJkPXZ{P#tY!#RT%R5$=!rUFFb39HlYPM63$aA;s8UGY14Lwki%E z8b7JmtN~7g=;-cxdrg9GUjd8LzVXF;IFl%( zmx0i`bG;jK4({SQC%Ml!JJ**Io-;Go;o+4@GB`rRIf_+(m=STM9B$dcP$8DZ_Hnyn zYxh+3%Me9Dmb_Kzg;A>7w#3~Qx9HtB+ucPUy6>c-4_?FLfnF&3(3}90QS?DI6Gb1q z?y#Z{>TB+z4~9kevf>@kj+itR1@>DPi{I8#PWX6>sq}RqX0mS9Gz+^kr0vZ9k8L6IfzqN z1!d0>u4ym5@?S^ifUo(WK^)Lc5r_8?A7u}HmlY2wMe&f$7ZWg4_tOn|$fu$WJnNnd z!;&v;cJbBw>6TRlux&U+NC<7W?b$KtjyP_jN_p@}`Ek2+Se7(!$iq)Zv=mOIkdJNK zvnG1W7%))+S%x3OG&ZXH;K4K%)76wR_`fmDa))!!T5&mvoPMnA?T=kT^#>CjsWQKp zOu1^`(1a}`#Tk7|dlPrJ>(Iffz{Tzn&@XS`I+Fi`bIv-KV8E_apLH`v!=`}CUb95@ z6MCqQ7%|7+g*g$~r{PB6H`&j)^=|p`mF7>{J9uMRI%GqGo>|uxT5#}wId`G3I;yti zy6NFwZnX~XP;11Fo+vec8iu_aXGaa|=(6v_-E*YfH*yZD8+1tL!1J^~`~-m)HxL8E z1YTRPEU>Zj0BB#nHVo`E1bDm|5-t zFn{u0?~^HSii<-!0Ly-50Guzo42eyhX4?GO&%IC00j|dnKtaSYZ9DKUE?=zn|{d^D&y6DkZ|UZ+M%(n5g_QX1wZs!>hK4&-nxGlNVuGpPwlym(Xim z%@s0vv~9)lWDmPSS5xf?vDElF(`RJ=HSB}^H_;Ui{UlMd1_tECjK!Z??KU9)7~1qT zG+5nt%z)fX+U!KqW+yUjwn|bLV9O!>E0`SrZ*Jg|={zEH&G~9ccKY;AYf#_!(8`c} z8f>XSPk$hD4t{kr=A8aLW+`3(#+;s_=Iiv-d|}V~CEEV=U$Lo?=Ie~*Zf^aSoUUht zN-l(Je%xwWeiZKF>`tOC@SnA#^iZAerq{qGcfq2sabHSuquhyy_o$S|RHkcLhr7*j zi_>Jch&SuMVHV+Sbbt;ci|`P#2zyma%BJ-4TfPLgV0%7Ugbn$);z)^wU08jD9mJcz zcHg9(%e0RM({`&O)@6<(q7MC!e~q}4L;)mZiWN`QC%*mb>B;A*ADra+>O^p&ZSr~0 z*72^{|D~PD;C7Sj*Gz!f{a*!h3nsw-oPDK>36R^6pEYg{gf|n@b4@vd2EuJv@jZTb zZ@q@7E9Jp&f&K5NR~=}=;;>6CUL{d4*mt}o%BO2Lx|s2rxj8l<<}}4@V@!hJ52Vy-4Xp)v6^MfX?GMeRERp_SnTjBm7nBG3jaJ)}-Dy z)5Yg)!C9|4K!k^%k#j?SP3mF~BEqCjOI%@o&A42V20yHLUC&*fd*g$C6JJ!L|D6UMdyBO0dr z87a^*bOIv#2BlYYa~~ab{x$M#9m)4a)*ajwq}howF0c&VNk=J)ZgZriC=#23h8-5M ztL}BN_dSKTkBiS?G)Vm$$n`ktbLs!ci(QUiNl0?+nxSaI5%>LuD*Yd-*q4RpAmZLa z5V6sNh~%cAk*#7Q{JnyQS#C&EQ1Tt|IjjV!KLMS`*+SdWx&J|79lQb%% z8-2Q;QXgP)=;$*53@dVwL2#1PRi-@T;ZffUg0$3R^X^mniS6dZ!$cEDcHE9%f62tJ z?x>&g8L5^nZNPZ$*UtXBjpLEvP|ctHEgOFF>2mhJ)E3oTnJ=u?@_q?k*>IJY)-q7_ zp;`uM3om4P>vETeUs5Z#Az(mJfq;RH>Y<+o{9aXb_N}ZZOpfN{tK$jy00Fx~3HWRb zfaOaGSi6mYkA?tPHk^P@Pa@!-2{j&WU4++@zHUZyfz%LST$yCC8kO2=T;PdeWe1(A32MD+-6qt>#60m(b0sp&f zL(TT{%LZWt1LCgEmTsB##C0z0Dk=3P)QL3zJfs?7MFoXEH0xO z|MPJf^<(L`HrxOExQth`UtBySSqRJEzaS>VJZZVY8S;9@wl_GwRsq>@de&@nH9QkA zu;hvG&}2TaV$AX0b(^y$ov)wj-BSyRqDc%{Q50B^6PXjkM?k!6kG-o7^ZfYN{7!2%NB^yd;U{1=9GNkj7tuH2%ub04M-JI{=hh0Dv_9Mx^ntoeO{y09XcqD*&iI0}KGrAdSBf zY5Yw{<6m?d0BZq&2nqEA0C3xYp%0osgoFuc{1r_A_<(3w2n=TxI+#LCYD7pFk;cFF zWdK|Sz$pN%1wcChxE*LB5&%f!Z+aa~+(jDyn*eAAz=^LEx;$j*cLAVc901k>;D3PO z0RZk31^}qad`((p>2Dd2aX^-SMbABI<=|wUYJQGMZEsNM$_W557Bv&&m1)S*@7)&w zV*s!Y07d73VHW_9rN3n=Fd$36Vi*8k2EbLq0Dy7?VQBjkPm4R<3JY_rrMx-8za>L< zCxPqqE`a?!wS@Vi(uXAfz0>m|-_XnMgF#IMb1vh^E47YA zYIf2!Pa6*sX_bWRC_WzH@<-G|Ps`Y|!;gKYW6zFAp9PFiEaTwMyYZRvxH|hdV1f#r z9hU^n)~YwyaW<=qfR+Q#-V-w3v)8PWsI#lBG*WW968bNZ5|o=V)k;0?8Y!`ko-r@j zuFuxfv;ETd9CELFAoR?fIVo=6-F!wsI?YnB4IkoEY3J(EkA<=pI7=8TXQ1dL^;&3f zD-(L3H>iOsYCuglk)q#eWj9>eCH3lynB5><`?tVR!Eo3vG8}&H5=6SlyL7)|rx{A8 z!~vo+G9o;2BSVx91*K!v1#2|$nAo_{-MZC>b?-E_u9vo+uR!ZFda1T^+F)f=B)YID z$y?Ihbxt3Mf8_=)-@)u1;PBl&Ez{E zj+G;XBF*RKgT53+ODeUu2|?BJ+VB$isjciK9q%W%Qo9D`OU|w^MO`*RRm{eFE=$gazHR2+>vRe^rvyT;XT;{C^TkoFsso?$>@2e;r{l4ziF9<3gs2{>x0N^sWey_pDu@IjX3LSX!+=tw*T{bE+9fe?hI&rFlo| z{F)X-7{x-&@L)}K(8ttTGj*4FREZ)?5F&07wn$*jB0$XWSW+X|QZ~?vOvi9C^OP8X zzniDHb_fC-&UjFKBaw6AWi~V69XFv% zctSN5Ak<^+*Nk$~>TwdGby%?;gzguH_6#B?Cd`u#?JWZv+6HQr#qMK^6BaHnV&5dE z*`*$Y{$!zd%xku zA{`)+g_k(lJJL-|mQg}$jg_>Kqij2~Q?LzW|AgXL&XBHpNX_Izevefj)n(8ckjjXy zijzd;aD1e$`!9Rf3Ef!Y$Sld;W#L~NNv~0AEGb9wT>Nq#Xq_-mN~>`)i>9(tT%nm_ z!QA7z)Gg1-1bMd7$`DA$^~sfNT)!oX7j+g59*k`19z&$H(G0qT83ZFgu^)>#sh5O5 zj8pd0__0z7X4<0NGzp5D#^(nT>Sw|vlGUa-MKq8=Po@3Y-TlXCX?Z@k2!V9>b#>?0 z8F`!7pg;2FFHvO>RJ7}|(V%CMblB}htldS_uFa#}8NyETx|2e`JoTKZN7?SfTzext zy7(C7Y`uzx-9tGWc99MH73%WJ&ciNB%y! zm)pzNq7BMzqjK9|qoP9j)_?b~oAMX50IbVj9uSl=rp{w&c`$b_X3OM;w^0(GQWBX_?$t1oe3_9P)q{})fsF$@UqRGuC}dz$j9_|c3e!6x zMWStGHYP+goMWo-?PF z6VSr;JI><0NuSZhCAQIwTx(Q?T}QKe&{|_)%BRSe4JrA8^6mYG+_a~UVG~d=%&2PT zm;4^8csQtvWANy64L2bHEss7P@*1K(O_%JWG+8mX5lvgIH0>)sG;I*lsO#dU1yHqg z1m&wNE^_8}P|E}_E()ezLKP3u<+6=tHciv6S64&_m8Q)&$_Z$j_O6R)T0b`}U@eA3 zplQoa5Er#A?lhVyr2L46r4IJKhH^ejmu$@9awR7?@5Js-Vd_^BT`6O?JiLPL*|e6K zk7dUBsVyoZa+bDYR%8-`ctMU8SXJzR%D9i$>gT6Eu14u9ZDS~eR2xMUywff$&39;Z z4EKgICVYV?)mHDG(7O?@v3)AP#%?cO<6U@-)V8fF7-Z`8q`KU@F-5bN{Ha?RwPEtH zoKgBOA*Y*4k!f0}hVp5qY-GxJRLVU}>DFk0A6UV~1FO&8OA{9NGSMlX@v8Z~s(A?q zRxoDeNQ0V}P!%(atBIH3)4YVPvcqVu4sNbg&4TioeVhSCrR62cAkPXx!$yauCWpFI zl9$DpodAKrMQG`Iav0BTEY(E$!3jihajHj^D73_L8?nRuqV_|PFXjfK%sz(#OW1Ob zkHz7O?9XU>?#f8fbB8@cKJGmas$D^M&q35}2z4`L5+#N=Eg@U^?d_%GWrcXfDN|aJ znM#~MP`XGLcav#?mzJ=qnD<(3xUyvnb-8!c@4EGXXtiiociR%WY%ru)$F< ztgdZCNKQJ?qt3G(@tNe6jd6OpDpDPYUE6RH&zFwt#yG`70wdK3O$2(j0-x5^5GWq@5<6oxo9SmwePal_W z(pQ5csO1yFkNXSH%D`bi?kdH@(!-S@^ zoBr=G`d7Rd{Msq1aT^e2X=z^H71@8;x-o%@2UeaS=%Jy0T6d*fw;37 z#Giw>D*?p2mx1^Z5PKp)oIeA^H-ork4~Q2|B;v%cfOrVRp&cN0OabwmOuQb%Ro?`0 zHHh0&K|HV=DZc{Zp{XEVHWOto1M#YdK>R}xlTrr}_pbo)??CK}1@Zk%ycNW@Z-ICa z#O@^^?p%ptdr{yM5%7zC)oWBpmsWaZ|d(^!W#KWsVY#&F&_T)#1_-zoo z4uIIVAH>@uQH*sUZn+7?xDCXp*;%iT?|TJ3;Ke9mE$v99j+H{!|bTfY|T~ zh&#Ux;?F?bwGqTkxgdT7#GZ5ze?6Iq8{YtN%VReF@JxNgZIh%HJ#;dbvrYz?(1cD# zjP1=0>#e=rStlDadc1KS-88>0maaW~?em^6edrIxACa@3#&&YQ1U-%Fv<0f320f6T z#=qs38&jg{@*fhmGvAi=G+JL@8lGuMYDrwSYj0ENxLvWjx>femX5Y$&Gb^Muk+f79 z+&|Dc(ecExu7{+U>&RqWf6A>@Gd+`CZT{5sLt;#P^#*{|YIpsfjc|R^O0ojT9CxH@O?^CyyE3{X54U>klIX zmeWZ^BqP_li&R9y2kp-2-w=VHG(cLK$K|ZGL^bu0ipaPnxz`27c$A7w{N~KRIKHF&Nd_l#GKtim%x`bW!0jKf$g))4tyAIe1k3) z6*?$k@)rq~34uEway=|fv(x@J>1WQk8l$hkM!LmfYsCU9rcN)PcKYAwE&+;edCk*x znK{uo{5<8xWfVtjY{_p-1I<|iC_6GBA#+ozWROoJ*SJ8!0zwUw>iZ|!4A46-SahiI zVKR}gK|uYXY#)+WVJ(2_41M4C&2+3Z@1l=Mt#MCAWa>r3bUGg+5=$K#hDAsM){Es_ zZ1{Z$>j!$$tW!F>*pg!-%c{6NNS}0%XpehGv_}RLJJG33zoCoL9&ywjdB0WKL)~${ zyKx?9_~`D^vDzcUUXJz%gh}-SYI_*I72F|)f^TWsqNwR+M)+_aon-{(di8=jY8!QxL{JOIi#V$XR7n@*m;`>WMvXw_3fJ6 zP|&gFW&#+8D(qVF`WBU(MNNz}B9;V7bkh4FdSy2QO=PAKQJwVa(a~Iif^=xCh{!3s zxHogkn&qb)W(W!!2aV2Euk4JI?cX@b{>_6YV^4Lh^gL{Raxv}qxy#}vduOzJBFxz3 zu>8r76J|_U@yLd4h(a2e{s6l5Z0y(Slucc-l?mA#CvWsPY&ACi*Y$Lecusxe84bC= z5i6MlCg+n0c@)%Mm{`yw+f9@#kE5@u<~lub{=gVgV)HnWW2?%~O4wcKGa_u2my8xH ze}B$7DVg^x>*+loeA^#Ups#Cklo3?_Ad!Nk^)ZlprfKD#=bX$IS^w!YB^h8PRjvZl z6}Z##8RI=ui90Z87A$bxA@oc)M!N}8 z10M1)uV!wy(ZQn)vj-gN1~uE{_rd&pP}*n*rxA95`W(AKdy;5vjnHZqqwLuN3*j{8r$d*~+r~KTZVM!MI1kClBWVzk64ThYTMi_D?VMz1- z+Mx*oL%u0v$fngxR1EoMb91b2cxe`4$j{FZhP-64EYjzv4Vxc7`1;`)o%`(GJ?&-h zw6BzI2({n3f5EhM(gMBY?_Ab7aiKY?Za1BB@bl}AJ@kUJ?VxLenbm%K!lLS1zNusX z``yn)Bs*n#yLtb;_HhLMCKaY97q3m;w00P66}i}0sFj1 zc8=56hD8}erxHPGuC?t>LUk__s@qDaZupqdd!7jXLIlZ#3k`$|L#v74UAwcO&)wi} z?KXNBLo=96qwB~tx+{~isBg2`KOlnNQVJae9IJ=`y2YUc99^Va+;$%k3=_foL~x1- z{LSO^`z=w%6$#1a<4Y-p2Z-RWREE!p;35%7WIH{IjH^47G`P~klO40U2dF~_fL#Dr zZU!sr(c(kFf^4B|FWWzM7~9J}stsdYxic#WSLPx6Zsy-R#>n0tT88Y8BblKQu+|=` z7HxDGLyW$lcnVhScd1y_sbJL>6R@iN9&4W85i-x@HS$BgkZb8;4k9H6qlN(B)J*$6 zoeEN9G^?ua`nn#YxE9tC9u?;0FxD*=VZ&Z~3r#2cEYnpvoinBl1z-hcm$m?WXx#Fp zkd|(@g>ND*dbV+z=9}PQIrD93MLzI_C|I^Q5hvH2H7F_>%%D4D+RclowUw+|yE}91u4}Tme$fphOze}eNQX!9-3>xx&4Y`VY2U}*+aV#rih?{@v z)0DJRZVFZ&4#K@c)$Xhds&=NF=b$aTw2EphRwtDO+>4%|63Jgbd8U{tIet+9bN z^DFYPi0K0q1q&9hd#5eB`~iPN=FLS~4$HIK zG`hWAaR<=lSW~q-0B5Ps_v28f<=GyYR>t7*OlxNh9?+Tg(E>!EgRT6E45!g9{AE+c z;CDhrvE@Fymi#^q`A;Ygvn5Xi8PN9H-=g<;!lLj|avgiKCu;c z0op;o+Hn%N_yuS@`TMKdao!RgMhnCw2B`3^o|fQMxWwUmEng-jlV2X0{pQ-nP~FHGsixTf?Cl{H6I@H#`8F3 zrB)GEwb?$NK7F?}Y19e|WV+FqxO;*#1z*q4NAlNmLmDxw-kVtoVzO(2^_U~1Hheb~ zILk1T82>M%aiY}Eb}(n*Qmdd=Rb=QG4-?7RtQ=Z3qSPJZdG0!k8jTL)+74>NXcSgK zA!be0QkAtG_MiZUAJZjBQ{2%5);8b$DOj8RAeIMFn+DW^4ak`fBLn8;f?+HJYjZ{w z$h6GbhGbf1x*c2zu))EhKo9M@!3S1Qg~YmX(Xh4(yI}km1M`Fw#WDr|pNdW0^gi8deg$y4jC(XtINPjp_CnSZ@sYoQlr&E!B zi9@gqFjxB{YsV*Yq0${Bw0p0po?zNP4A$qlds(*BwhOT8rT<0HE_*vIF2 zJxj&*KX2X_tDC=+7>Mt@``s1NLzWQ6_I;1}fbA#R@7v?<9hzD8WU_oNQuMdYi;jgB z6h`czQ$Psf?^`JCue}f^L;0-*4H339+24};>#iiT1bTgF0YQA7*?%NYp;& zmEfcAbp-gRH?|Y4=Z73dmeZ;;{hCB#QSZfsYskAa(_=kW(j+e-e7x@rg*X^ng1k-V_=AT ztI?mVr<1~GBu&wrIlh<=;5mcu(5C-Emw>pX!u(qa7L4xOO?GxRO>44|xaF)H7O@U_ z2Bek-vi}w#eT5(L8oCD^)JGoCo*48e4_WOFy3!CQ-MkJ-mvYjop&4x$4%NYVFo*bPgydiPS3)XA zZ*txVnwf=AZ_xQ&O2hI4^o~<>3CwVcRL~pGQb8XB*jGSGCI+U#AoFqKbebuP?PTyo zYPK0k{`**!A%Wyx1_QyaQ@>2anFfW)v&kzw9`rplRoWFuHDr>9A-W=K(v9pQJ9s_W z$O!Lq6plbS9a0>L18dTv9#SXTu7n}(xT6vFqNIQ2ZKldZy9_#;smesVk0;t4=CA}b zh<3_vYWN;%39yk3b|4yr%s;GQVz)_yfu8gMddWK*U!^<2#ON%UcWK$un|s)+DQe0f zis}F+=*GAmDPi5KKLlUSdyw;1m+E_uJ%O+nP%hTN)o?Fu*aaYI3d@5T?%v#1%HI)+ zGg&r0`4`ooTS`bCktN)XK4M0pMt((F%f~pivqZK9q{-pZ44fs^HZFr~M6(?++O7(L z_q;Qq+#;b?`fAbT@^QWM$!i)c@3rmu#mYeEc1&J{9Q)9@yP$?NvU9KVo`mlP5W-|jR}KkW z3zH{llKHw7$+$82P2jsC8M0J%GZUYqaU;g2Z}Kt}e-Kd;=`K)wGJ>@ZZL?f)5}HT6<(08*a~6^Jb~HMk0Q@e1H|o)!d3?v|&S=KX0kWa<;A1Hmd}I}p zM%uDJsv}Jbdlp^BgKp7*Tt<$x4k>g16de?Xj$=K~9mlV99J^Fy&cS;ew}|Uz_s}Pq zEeQ>4saZE2`;fZAfDRokOEW5d!rLbeFHwRPJ6*)65TidYti%Bx?!t5gz}}&2H^K<) zalmPnig$PN4yXbuVW8yC8TJRj9A=gY}ZkG__=M8^bTX*Rg8HJ_bR`wuVv?d62kX9?(i$yS_{DEd1S+7ync?$cFLxbsRpwUUbn0`l=QKZ8cbx zrIojGn>2FP01qN-`{|a$EE&3#M@wzmzP^H39qmppwpx$Pj()c)yfvxZx+>@T=%3*B zZfi=;4biXQwnF$W%BpdM60e#~`&7tr^tPCq(&;-ggbAUs1}sOh9I=DtVCPTx~7()k+ssLaI;o{ z*q{Yb{n}b3Jus?PyK}fxK*c`$g0@z1W7lea`^Z}5jpzQzk})e%5K}1xmZNIryq?!L z9!7hZ?F8DZYZ}59y5M&uTbk(SCeVI-52{txQ=@9-(|;dHzlvJ5&|TnNU7=RFhep;) zu@%7p0nF`-Yt=Q2MVJHJ{Mv%nHRT-{^{#H<7i&lD<(&kI5G<%x>ncXos`D7v>MUx7 zxAwPcRg8_R7aKJ_&>HrAL~aA@>A!NVs;kIqN-zg`u7yV|gBKKBOhqc>l=mq?>byhaNXPgDj=tt+86xeIK>}_GY(gKCO7?97aq(Vm6KyGl9l! zh=HusT<#|}Uf0xNf>n&5wr>ghA&S|FVlwbm$B8l4ta9&vtuj&6-94Ju=98oH*5U$+ zu6D)=byZ-uyL(9c~# zVR4C`92n!c?9+V78fthJY4g_H0lEux*z1_N81N-)Vou6Aeo-Zw?|cKY1(ANVl@Ad` zTeZqBYGiZU$Gi?x;6I)X!`{H^JJ6G3C~O@hj>g-4*A$d1lvGMpmgLRyuJv`Vq-9y^@&<4(mYj3npleLGRnOU#F<1bwS zkN<%2_)^B>e!}Bk!sGgP6+A8!KkOPj4!sV2BZ-ZZ36JlEUI*cEJK^yH!sC9z<6gq! z`XUk=hmKI&C{XaYm+-j0h-}6sD|kGb@VK4uc)>qA9zUtUhL%| zoK-U(PmuBWCs&8Ze}yk~p9JuDHRJIF8ION*)p#5`QBSLQeCaje@sF;A$L%T}x2t&E z{BA@Rc=grcacC{{E&(1VMH%4n)2^$-<4}`0t>W<|z~kF( zjK@!F(a@0T3-EY2O$*gmg~v%#1$aEDR#(R3+FCIl53bdf@%Y%aVmuyHt1rakqiS_U zJU;AYJRVdl?-lX5wpNVCgKKqVJU(`<7>@_l>I?Dss9IeSj}Lnpj|bICcSSs|trg?( z;96Z7kB?m|#^XV?`a(Re)=I|XK^)|7;_;6(c>JWmc)R8A!Q;>gnFaNaDWvkzJ^?BpekVLLq4MEjm5-01 z@$Yc3lE*&(Mg+GidD~XA?jStw`TuyFR=3(!|Fz1;FUj)ptHk43w9c3D_>ODC<2Y$>Bp%;!t#}+?(*F13 zafgb>9V#Ao{4G50kn#9@#^e0(rQq@AYr*6E1(fmlC0RZmjE1f(ABSGVNIXvJIFOGA zO%Y#+$D!hIm3X}QTJX5GR@a2b$F9{i;_*?nx-uSbz7{;Lt<^Q*@v&=ljd*-it*(s6 zo390rYio5)czoYxVyC9#6P7Jg#Nk*M`UCm?Q9b^EKmfm3#l+iO1BoVV%(=^+cvvKld75y>6B7rG}7a(n|d)O{VXy+@1IDP2+#PwzJ@yJHsEp>(oH@ zu86_f3wbX!TleqPMYpe>=85z?rOQZ6iSixeO=p$ z-^Y7mdOp;3wr@@FOh56t?v#5^iYNZ1z4{CLVz2kuzVoO)`oq_*_sn>=U7t~S|13|^ zt{3(B#}3W*B=>%x-}=a}=6X^dYBRLeT*~y!^7k1!*FL_)GyA7+8&17*(^60B2k#j! ze0XuG$Nv0BO1(-*$7eYynSNMN}{E@zJg?|zGq5Q&yKgwT4eyEdh1^vG&{=yahyT~8u z3s?BJke`+Qg)97hiobA$e~02PT;cC0e+&H!SNQ*m{HO~^!WI6%Q~ZT1{Qs!<3s?C6 zh5S)p;R^r1l3xV<3s?AuQ^$h8aD{)2;xAm`pF;j}!>g)96WiobA$|IOr& zb{4Mi{~GzDJi-tFS0pARG7FX77tg5LDBp!HHa!{Y;Y}98i)|UG6I#DjZ zSE9-HauoTV$ty}WyX#6s1m#JIBA(<(;z^E1g;Xk0fm^u}e9M)n+_b5-Bv20JNl8{b z$;pZ*PFB{{L@VGd`-HX!g^V16LY4a8=N-Hi}oL*utp0}xRQQ`cO^t#geErs_kD%moJlAlviSy3{l z#9dhC%AEVP+LD^ux%Kr6?yj2atg2c@9cgZEB{j!fXU&$mdG4ZnYUeuFu9@pvbKC0M z<}OHGlxkP*m)({(_qNLVnxc}qIqurBqBZWi(iQa;MRjGB6}4k{rDE12j4)AmT|`uf zA;KCOOJhktMOqzYh{z1Pk#K?`@Ic(am?Rk@mWGAVZHR@4DDF%2Z$KiFkvNIWlisN`;D81n}5t=E78ugn*=s3NhT7+hBXs9j(2Gv61aUtbg zDP%oe^lfy(Pamx}{F{6$>28$MGaG&)d>rg!GD@z>EmhR;6?K_5YET;<%gRe?YztG< z7TDHRR+eYl=;aiZyDK)^?pRPKysHS^Lp ztXX#R94f6;TUuFDCzVyyr7hf8Cs8-1D?Qs)n?e0e3mULNk>!P8uSPChk(wA(Vvt_;% zI>EHYEREBT4~>u}>LT@%^pmBjx@g@rT@2apn68_lOVTCl0De$yor!L&x`T8zNZ+HY zPWO4l1iI?b|L9*w&GnyuMXM`{%Ik|sgZ<``vht1hF4#bMXg~zl_Hd0YB7D`;(usFH`=>&(DZQNq&A~ z#B=wE=T}BNzcu3dzmIsH$|D(`a{3>S2>rVIJ2L;{o>36XS`5OJq~v(q;!<0}~5U!cJ5L^OgYv2+vSqGP1 z)JC|J=sj==0M@`IX1EV7^}X-HB_NM}r>Bj-KZZ-0zX+H3p_E!&Qdqy4@I_%w$-Po4 z_3b(-wWxB-7Gf__D)pRHcU@ggSz&!$Nv$BRt*enzYf8$MxMdZaDy3AKR!dS>-tx6M zwRObm1!;L%MTwM(NvE(#8k0+;QfhVXLMgTOzAbRC{?|aH)RckWMWa!AB=E$8il3+% z(8Kv1z+*lxnxA-EG-{Ym1Ucwt>t!_uYDWGct-!0N`%a<(hY&vzwuC&yJ;H{GPVLib z*kTF;<`%zVst2Y7^cCt7+?%Ki@EQ~8+36p@BNQ@6lL2n=KUr}F-P<~&gmtL+3A)wf zp@}X{L5I}*L>Oi(YK1Bh1iG(_2XX}+)~Mnh!R17E2sg@4ggr>lS{^gWV3hJG5aB30 IN`bci4^<=}GXMYp diff --git a/STM32/MDK-ARM/WOLF-Lite/bayesfunctions.d b/STM32/MDK-ARM/WOLF-Lite/bayesfunctions.d deleted file mode 100644 index e1b4b72..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/bayesfunctions.d +++ /dev/null @@ -1,13 +0,0 @@ -wolf-lite/bayesfunctions.o: \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BayesFunctions\BayesFunctions.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BayesFunctions\arm_gaussian_naive_bayes_predict_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \ - ..\Drivers\CMSIS\Include\cmsis_armclang.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h diff --git a/STM32/MDK-ARM/WOLF-Lite/bayesfunctions.o b/STM32/MDK-ARM/WOLF-Lite/bayesfunctions.o deleted file mode 100644 index e979bda4b6d96d6360362eea00b392b9250230e6..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 10016 zcmcIK4OCNSmfuT4UVxB9MH@;IU&MOwFFXP&2xvm6;)tCnw&S$4B!M7lA%rCS*&h=U z4Q=Ucw6tY9p4~8|Q=Gc9bV_HOj>^MmntwxR{0?R$A(we%BHnjK5h~XB&#g;E zC;`R|e0uqgAOB}f{Wk+YOdncaLMRiEoR82`JcN>2a>N3fCGaDi8HdzEoNZi@GXF5) z=HHRPOOtV)G&oZ4WECGyF76d6Q}cM@?McdA9i^6&@{E#&o=%baFspiq_ev_I+0sG% zkx=e(i&tf?@@7BMUvY>!H3V`Wrx02SPvx@GM5_7#=jmm=Zn&Ly_jpqmmOas#?CvcS z>1-Sw%~pj+RL?K_LH8q+;W4&vc_Q)r8-(|Xt8A3fyVTU1Sj+h&%bcEucyiWL#b_Dl znFWq~mbN=XmHAAVy7Oh8T$`bNBE!+jAz4X{y;H9*d8( zqhYyZL@zr-P(wYEv9NTaM>^<~j`}6zJt?Dd$rK?)tsu7BWA%~`GZO51WQ&e{3nb!! zx)v}4B9F7oWZ#+cp+hoB$VPP7uTT5}077BekX$;Ahh(81*{B*DdCrN``k`M2WD`2scnqF& zNPsIMVLBigcM68&f@y|el#-m$%SL=DCj*!UfYTsEFBvD|V0j#@j)V1aurm(siGu@i zaF~JBveV8Kh{l9`>9|vN4q)(3g@=a1(kZY!EFA(QQvic%*=RsEw5)fBlkCrxdgR*R%tx8}5-okw-)7i-oi&1uF#uDCvw(iUEi89Lx@13RnW+ zg*Z>c3ldbPNC_8`MiqI%V$xtO6K^bduqkB&36CtPV$h4$#LidL|dxL7cV8 zsKFSXiXo?%^hG5&aURjnWn(aB12BJYQR*H0V8+$D+FD5)t}C*+6?YiF1o{cgO-IT> z5lYt9(_CVY<3V=ub=paGjDpsaX;Mg=m8HVt?@MPWz zSK*K*zmr|muh}%*p@(By^K8_}dl zFii_DAklI>bE> z#`pzSP&Y^j7Iv{!-L68nrU3N%g9W{=f)JR&9v6HuE&zjp@d_=hN5acm!FjLX3dq4` z3&JXaBj3#~0GpA!;Dja}7`uao-|>2u5`G0Xgau!OxR*qNOCTrS<)R@?5okXiEEotB z_6GBhYx2FC^eNCD1hH|hu~ncQM-M^=UR)LlW{~jWxZu;d=tV^hvy0rpykp>qtMCN7 zs4JKk3Kk4$(mOOo-7bh*Ay(wt)Wvp?NDW~TeySDBLO_u4E7S>;z{1`H@eC2FkS1>^ z2${us?1Cg}Kt=vAyPpeOpX-Dz5QmVUuAe(W3upY?hrloB$N)G5|D_UK)Cpk-()v|M z@Cg_>BY?aE=4&9M$SVksVwff;fGa9NIEjRIm7r0_5QMm4h`gU$PYEU&$@MfA0;XC3 z8G{?+U~3#~i-YZPa8n!%*3QXkVc^TOpbnxz39ljH> z>qWSRzjlx}y<&HOp2>0~P_1zu#WUg{FrvWU$q*DI!=)p!wK~C9e(2EAkk-dS8c_;d z7aip15d08#dPeXG(1RrqUWl_!cwroy2k<2=E?@v=M1u2_VAhLas2m(pT8O!5;gm># zz0={y&jC1e493P-4qTB;MGVHU3VR&^V*WC@gFGV9SL1>!BEe*In#5*zv_8+mbc6m7 zEa+zHsy~Ql?p$-IqYmRPvlN-wJv@I}9rY}c=Styd&|cVUZG*iQ7oLj_s>Cb!CHgYn zPZ9~Gy0j}%^J^O86|lqES4%ebdkQi=&9yFz7w&A>2(`ezB@;Fb9{UJQUVte1@O{Qd z+F<7a`3-S@;T5z(R3Z32vROrf`;8RY%E0?Xq}4$}bktTkhYac*7ljq|JQn_ixV5eq6LaF?j9r*q)Yuxp?un4_~@ncoZo!O9|LY zM>gU-q(ldIS3B0K01>;Y5&!5_hsl8;KGACkiFfr3vJMp^5n%8}T!b)LP2gS!gNo2$ zvKL)B1cAdBxx57RirQDZoP|_NKt(cOm9p;Cv;}3}&cqd4PjfV^3tH}-T2=;fZ$U{t zRMHEoqyvLIKOa4whR`cu!_&Z%!*fVZh9xzrL{Wz(&mHV6cEEOvv~@S~q0%A^KZjoo zOPKWS9!U+Iz>vApp?yJ1gvDjJwbO1PABNpgsmEd=_gUC@6{9?9!wUq{UO|`^nrY$r z8NrNJkRv)NJqP<$xfGV&8v1cq8gK(2U>Cv~f&24JYO{`fxR%^d3(F$8!Qp|zu;^g- zNH$+h0I@9&PbJUOD8{?%wr=Md=&_f^nF&a<4X_)BZS62~AJa?D$YGV2p3!qZ^g@@` zRLVCShM@YK@+ z_Q0MK_QT!IipZJ>E9MnCBk-OYwqdu8gr(=efYP*repk_eD;-vrPFMnhg~tPUg*wYF z94;Mbg#EmZY=i*r@uYox8oHM7^KmY;$_udEQ$pxVX6Qe(0A8<*b79Sb9)6Y9gh!b# zg&BgeT)*Y)N5MQuR(~*SM6+>Nv#~?75xfp*Hla2OAq&)$RaQ!AI{0acR%I!b;p{wg z=-m>ZD6_gSTUq=Qul$8>I*;|K_9J!1%BPm&Z(7a9th;SSt1YXkY2AaCEVac_qPOd_ z${MQlby;d_eU?^V^^h$~y=zC7W=Hw9@~m}=4GKC6my~O>${U)jRmQAheVfs?rO8}n zH#L}T72kbTRB1L=l$q?tit^n%a+DQ2O17^BiJFFbOM}^Hwr`~1jl!>{I-`|ZugG3U z?QUqO+eqoH^;LCx^Iqz{b=B1y@^fkW{*o5GwT8L}Yz9pwMnltHYMZ&HA%v&J3bi} z@s$g^eH9}k)1wtbC*Q6({n?hpBc06a=((ZKM$S|Wj|{#&xg~p<>F29fFJ8a?_v@d_ z{k3w#>pR<_ksO8IAO}^+N$>^S;vo0!v2?@cF$mS29}2clN>2Br;IA(DfRjrjwY((r z6Rltpc8gj86wVcuF!`{B#s%93Uz6P+A=K&0KcXpey9&Lq@o^O%!J=4PJOg023-t>h zvQs^hA$_1xa2{4mFJ33XhIheryq1u|up25k0uvYZk1kl6^1MM@J9-MCyE(9?aJH=h zQihh3)RUUoxnTO+%vW{v7SvAhZs5*}zN8Uq1*To5ft)r>oHC^gm zg+JW6W-BGxsuv&37PHl<{+W{G|EJo_g2Y$u)i>E}CcU}RtT#17QQ+#Wv{;SRrYd`7 zO^#Ag^*^E7ZZy?ZHm|FI>cu}znfk7+6~!iVg+ftbGFR0#RU0d8_G**auBe3>&;&q^ zveMpUwwd;tjnx#q|I_ntTT~CWw_b0rRY3Xw1OH5Bm=fkHBX+Q+u0hWj!vM|3Dtm*q z#8htt(;Cb$R}4n$4{J1adYcXAQLWLg2b1gdFc)n$t<}_EwHYloQ(c3(++?;CH`UY_ zt!4v5ZZ?1z3qxbsjTtTFnA5<}Shkt1Mq5*z-Cl3eS5;-hPiZn&8(Sd~4fSS|rNIvE zfWlL_9Hi74DNUAU^=fK&v0AM+w^5b`AT(N`o)&Sce@aoq9Ky7M*O8-E{c9qNwL|1K zwTns?xmC}Xq%2Y;)B6#sMTn_oV0pQhy(AYcsX299U4kEp+K^v{boVSc!di1=d-lsb zEwSY77rXD~97V}fyM|Y>?sg=!ZmU@wSmkG(SpMo0j>S6p1BVy8R(H9#9TPtgUamE0 ziE4!Ss~k_U9Yv&yD-$EKzmGVkSfV1l`0NjfcjL3SCvW$&*!TLcv1tam=Pas$F)iLT zAfT7Q<37ZOyY3yr>l8Z(6=q3d-#aBow_zgPPIfm;9hCyV_JhLqz1bGi3%I z6D;T&aK6^@RO`T1;L!cRAs>6iHNDCkW|3k?o0{RN$@Lk8mU-VW5`FW9lNei`W;O8 zJ>Wv%YJ!DFHi}8B_&MH7UT#0V`nMwFKVFiTu+EWi??(v)I(^6B%45Wuvq@QeL@O5D zqw#S|QawsOu7qfGZg-bk*n5VaxgRX4SaxJE-QWJJY3Ls37lu>E(UN8( zL<6f3k^TPFCmf zDVohwnx%E(zv-8%^udtun;A0w;A7+D&JlWsy?NI8HaoGJcSxSmGk%A9F?uE|@t3I2 zwlXh4b)YP;5`CC>@D+WCe00i{$WlYKKiE#~S;PtajN&~+t3{)_cH|Fm)E%zGb``mZ zqZX{-4NA&H?FHQwrxge;>)NR)AQ%C5Ke@>57p~x`Gl8JIo8t8W!7hC})i=l?_8drT z*MWqd#VdI2tII^3d%G$08m&fVAQ&IysE2^Smkb2At>8Jb%0%w^_8H3}4w9gp1%$VA zu#AJ2zd#!-h~jY;9us3%fu*yOQLoitlm8doG^3bkUgjtx(|RkWckzjkD4t2R@WH+Oq{@|d5oim) zlf?<>uJ!2#QEBn#oocz_6gI`V6D(b$(xR7j3u5)wNzu%=WtK`u2a1V{*a-Aqy$scTrNhn9!2O?eyIrZ z_~|YmD;CGu$3f{0FP7r^7;eHGZUQ>;kB8@D@Nf990>W0fZ9dM#K#yj#RnM=745HO@ z+6j`oh^tx}JX?eX53ta%lIMafAKC7NVj9yuVxaY?Y=cB60%Kq0yorlN=M0F!%-=8L zzJyR}M|PFA>n+<{>AjzJ6-NvG4Q{T#U>l+)&t~fkD)9BduR37Xp#%fSn7BOeOBZ)D zP;c(Uh2vnt)~O~CsE8Ksi-C8rv==bZc+?~Q2HHq5@k{MP=>3$Z(l`)-HAJ=^@pq$_ zb^U|1R(_;iPX<*7Eabr){%)Qpz-KQmmWu1>XsL2YBNjP;_0HTvZ-Dp+>+Qot*Q0vp zSgSDh*gUJ7h|NF=b81Q9Fa-Y!x40Q#q2T>Sa0+Ah!)^2Y>NO{&I0NA7O$q^_N)q5p2{=&7n2YUOs~nA>+@ymtiLp(*Kxe zotrl9NH$JlffmM}`H;%I*h}ggxCJl5EiR<3H11{W&oMTpEY}UCIh6cC5^`)q-$LP? zuz=T5>n}#K%9y}}G-^HK)=)ru>O_}%HEu41o7!`A>v=NJsm{*Y4HJi(c=a7|>Xn7B z%}v6yWDTg@?8hei8Iz-h+l37XZ3hEXrDB)`f57@PIpNKKeey#vON~_}`~j;%T;4U1 zJKIhIjaW5~X||u8pH-Pmr_y5IUDMdh*sP)o_k-ypZ@oW9yJ#gt+t0-5yR>;epdEX1 zUT%4Wb_UZ%qBkd3)dRHSakNjz(Q24TM`_11l0-o3-!AK)3yMAIArQH_6K*$jj3v>a z1XBWO4u~d^C4&I3aqZ-QloQKe#`Tzf`+SYO$od#qh4^m)o5ycaUPt?J#$8O8JC2Fs zSlh=i>xp^Rx$^2tYQ)%SEYQK2HCJBct1|x(&oN8Yz6f4j-=5Yt=T&dSt1h6s;f?od z-~goh`jao=BRVA zl!a!g&cG^op{~s9;v`$JIXrrT7)ZtP$8I;W*|4Y0QRT zOmu|6?fTPCUc}vd zxW&!(*BrkC#P@|%;FkJ*wSQ*VZx-GDOuT57uvnA~L4BjkIUm&DMD`-rvGxmCbTp`{ zVwldS-e~>H`tfp7Hb*N z#cLp$*@H3Zn4by`ab_Y{o7LC8w2QS8+|4M561y~PYRUQrPavAkFRB~OA?ZT-JVQL^JXF#-13@TZ2#`;Bbs$<WGaLMqCJsCVOmje#}-%IQnqc;H# zpFPE%F+8Bq{h(k6Ji+(9*zbRM&=Gf#Q&-nquc$NC80~Plp@8!bt6gECO?eS~!(IiP zg+z`{TJf>ScgcUrX7!(K(RzOKobtyItodaub&8Q14lug}d@f(V0tgObk8HqhBQ z>o#OpSLG{>bahURVS^#psI=F@flBiRWBpoCwbl%0o@`?=-W>rF?oiYgpB zIOzR99kwF%7U0!mYY~BSs{!ZpQ9@ON)oyHETc3-#DdY|wN@OK*1!w`Wkd?|>jBX>u zL>h5Bkxod6WyEq~1tA1hI3Ys>34kbC;{eWGd2Zl)-TL<&VR$Pz|KU^U+olgn2bMEaFhLP`z33+s~z ziGlV8i8j4z=bO9QzC8Y5>STHul^sJg5<+H0gv1LpL;yUu!;f*K9n?<>D@2OyfJXw#8)*WQungqQESVC@tI(ub#EZekC_{_?qF8Ew>jd+q)R6aQv?>btk zXzmn>9gmUp&18%2SRjEbO__{!i3D-_q3Ywa;{I$;aPo8lr%wCW@GyN@y5tlP%A^H{fi)NKd2+1We5 z^m^TSTBfJj*(GNBbc|0q?oiE+C`rF^YD{%OuRgC)hDM|TN`2m|8h5CJ6o+biGnn2{ zUPHON)5_$19g%}BtYC&4G(x_clhxEGRtB zs@@w@g0`rEdzs#2XhV08JJ0CuYX+y$ePU)0Xd7Pg+qmk&i1a;;@;$TqyiYkjA_W8H zC>81RxWQTu90G1fr}1+UblYHRhQBfeoci*J8XSUV0IX3?Q|hy0sx!+~4KsaW_nule zI==^80dtMs&QrMiv`i;>20LQDoxu<}=~s{I)n`VOb0gAIl==gTUmjr3V=(Vtn)AA? z9yf^e!PI2H9J#?-7?ep0Qs>9i*St!Y{g6pIX;x1=q`!x8X=GP9>F8VZIC1*7^x_zuxJkD)MN z$RD7Kh774eL;g{^==fMZ7&GcGCbOVHE5AY%v#fk3h?dXK%if=s-2gMl34hTEG`MKU zUmP%``kci>{`{lbRrGa zUPRR=I{A!N_GcIfOpQ){MJu~8FS{NTT{OyPO|mPj96Rztr_+=UyfNtq7nOiRe1;No z2{=K7Lx0+d;)X(Y-3l{{Bf0{UXyhk|yr$2eG!%vWD)7T`I`uWGAV3$7j@=19fv^F9 z*IxoY4`EY@*HCiYnGZ&uq@knK*Bm9lHaS-8i@*V@0HneiLe2sot@0R@A)ev5J|8?k zs!ttoA`bwApv0f=qm@grAqsXz!TuM*!Okey&%@5*K{^$@F=^Q7qf1T#45M?P zDv!S?2$uVcJV105_zbBoSi{beX=)j|U@E_`c}LOer4dC7hR28$Wyn!*92TS=*G7m! zONI?4qjb@NOZBM>E)91I2Ivc?^+n+P;}mSAeBcL5z`fw-kfGoN=tLu7-4>ldo?@3D z)_=)SBm!Iv`~hAnKo`1rr=jN;0p4{;@hj1C93akGV8LL7gArnopFU6+o;#RFQdV-p zU+i+i9{jqc{wZuX*xmE8S$ zZa4@bt}5L2kd^@}KJ?;u-ph2d3YYY?98nM*KWZIeeV!?aRwyP* z$v3rs`{QJ-jz9*zP{i7!wtc5G`v?mJ)Xfrwsr+a0+x2mj5m0m>uj&KUp@AfU7f=QK zIHKb;w{0wrxm&0M^(>GG#Sz1gpXrIK7ITo*>X0NL&|}_A=p=|`1Q zUhx)_&|BBypyPged%jn(Wn}RC0Qc?Kz_|)HclA6iN_grakVbGZ)=9CjN z%3VoR$$%l>!V@@ z5E5p?ZduU4>Dw8IVt74tf?cV~6^^Y31b^)d!S% zSMoLp#)Z#Ad@`ml3%n$gB zMhzw4kelPhmkmV&fcgjDii455yTKkvI5HU9u%qr0gj=8N3WRxW+SpmW`tr;jkWO6y z1Ikm1Mx78UQsJl?G!z8<#V5uJ;Iusl=T7eQ@rKo&FB8$4+s8rAY!m` zApc~q#|>AA?qr7avV`n`O<+@(vxWglN~|rroK15K9z6KFGOr?|sW>aU^l6XgrF@ZT zv=G)|t%YgyrMGHX$w7tqpd$95`2J@U!mOmHj^-Z19hjN9&d_1AtgEp#)-`l=*jqcy zO%_{bqoJ^_vc+zxtJz+alU-L;wsoz(y2{YeX6`$DeiOPVv$b?tY@O>2RW%hg>nhqCTlY3u>KdWr`o^~0 z%;uWj&h0IYyX&|2S}hOks4(!rR!d83eb@Rr=n8*^O8o=(*Oj)k*JWnbwJfOUv^TZ1 z+cTTN+`RzgWY^pGws*EX(r#&D0k5dpR9(FZK07v*X)6qXgyF1;8slO^c7FCX{@((H<|5bOZ(n7B-S_VZD|F9-3E?qZE2|A+ivbM zx3roYS}o)i4@^81ZND`)P3+F;w-%>~ncRMBS(@0mzMt$&69=I(1D3a9eWgzsk`PYA z5R%V}k-;))qL%qAhOiXj3c@srC8km-0lg08&9HVjPU+ipEb&Qvx)`09Xt&X|Zz*rw zF%O3-^t}y&C?R${Vu`OzYD(!e=5eXm1lT-OA&Nh1bA-N17vymZ0=;1YU5u3_+TT(h zhd|_`D$kJ}KV`gRp6?kjIUMD8afoT@ZA`b9c^t&g0j|4??;$rv5B&xduJQ6AJ~9Xf zARfeF8yw~9b#DZyGbhF}I1D7(D*X^h<_KTbu2_Xj{oAC0kYN}e6tFd@?eyfdTjN*} zvV-uu0mp)HKga>$QeX@ScXP?)NSN?RfckA%eLtuH4*Ikn2s}raka*e=;h=erw9{h| zjxZtTG!y?EY8?7?taA3EV!!}Sm@gCf3SMkl&M zz@p=KK03{iwF`qW!Yq@Y@lx+5P4FtM3o1?VEI5x_Qdx7_5jvsI+>b}&UJJ(k z`+VFR-uO4?~D!ux{Mj}lipR%Ar}>^{f7t(>6v z7_G9JW>)a1SNQO}vwXl+I3Ub8;YbZqD)^f$H}%`hjc@Gk)Fc*lruVwbs8n&8mQyrY#9)PnZ8;p zWY!Q$M-2r*fB&rV6uffjF-4fwsKT-B_Kb^0*(}_IjCc`*>s0(9D_ou7A*Y<_hR2@T z;GnbMc|!@L{~j8@&!Z}V2qPlClg+43Y2blJIcXl-BfAt7K_&t532gWsY$x2(OrZFv zv*>xakHW3j3Af37j~^C4F|&lch`T^oifI$s06!rlMcjEY8M`w>XC1%g=zsj!{1Z2C zIiCFc+qdpIb``<6a|r4l1#s%O2;S^N1|Iwz!7V&^1Hm{RoClDKGpNQ{UCPkGXSc>a zy@zu{4rC)vJ1IZ&sc`GPf&Mj|z6ft+RI-Os3a{(_Bk9HA(xjT1eY{FXK)MSIG($Uu5V(qX)G-WX+)U>o&TP>_%o#Ebl+3lrzeLe8D+B>Wd?y54k zwAa|pjl2I*Bwg1C!K1T25_>b7>+3r$_WG(CV{2x$O2e#A>#`!2#z!3I!;{8M?NGXLY$6S_zjrGNu zS4}n;sS{2@F0B|zpLVPo+DM40Zskx}@#w@6*b9SOU57l(;bt8~CaGcTiHWVR#ScpL zC9TPG`qiJC{aSg>m^@YVoHzFIkT&G6ocHe^Tix1!SDyH9)AH0qj{@C$;0bSRwl~%j z(q0x;0fXin&Ha)*Nr67`)~F`=fIh|}7hp$2KIVst?`;$llat&STU|NGkpdh|Dc{Ct0&lcBAl5XPnu%IyChHk=2D;h&K}OnVEJCS^6C|mI3wUI zdEATmk^98X6lduQ(7ahPkiKfnI}dn&6g~&|kq9mv^WC{i61O!2y2xXXY{=+OabD~X zn>8mL0AqYF^2S{3b!woe>|;1S&ImodvJvoH>^a2sOp#cyG_#af^L(}r)Qo?}h&ITG zaLn{R{gaPy%qQV<7xYKM{XLhR42(yR5!~(B^Yv2yb27PCgAwP8;SEx?C3gr9NLJNH?n-ngzj80(!=-t z6(gPJQ0j}tc`~2!5-vRY3@3K-E9@l1Y2g|OgII^74bSe&awCX7G55c$#W@g;dA-1{ zRe<#aT<0mTf-Z#dpYTax6bk1?fG5eH2lX0JlW_&nIZ_fuzJ+x*!uFX0EFm|)h3CDM*C@?*h&_rtgssllzcsB}VB0}W*(HPXdv2!ZpB=a1A8 z`U5~mospWSgPwIfa*BrwiL>InVl z0zFdmbg&;w#2;AmbYYl=&PN*75qcsx8uN!0@B=oU&N~L+Z^9gH!8UrR0OzODPzLv$ z&fe|Swe=P4aLa7U?6ljKN6v8QYT0G6x3pP+!ECc#$YT)<0BJT3=@ADr@O%>}YSdG}_Dcl|X1U_aabbe5f9pFa{!8v$xY~X>Y>I zC!*B`1F)h^i@kK2TlZSBvNN@r+DBUKtR*kEDK9rSJ15)RVBV0e&CP1iX63Bkkk!;! zkZsX6Yv|fwXgNJJzbl6=v6o|{?Z2AVh>ST@GT z0~ouSZ;k``n6P|&lsssbP!#qi)d1fH5Rjp4eEdcL-e{~NdUkHRtEnf=6Ji;N{O@V}n+5uvE z;e&{82f6vrcc%MU0o zjFAvt=6Q-xJjOS@K(S$rZ+nsAqS}5)d869kLM7nk+Oe|{iVb6Zh`}Y2=G$IC>?LYG zjPYYQO2O`wASitpTE+ZcC1OT`1LsM}WC_kElKsHN)8~okI{-6>;e&;O#0H9(;@Rb> z%_Sg$pFpjk1gMVubI&;c@TrJ=?OPGShY=F}7(*g2Gz8yE2t1e&{>6kuzy1(>vLW^L z`s!-^&ia~)f8A8SeW!6#eLac1x@ZYL!LJCL>ym{FzJ!{)?iAc&+iAk&= zP9!4<)Cxh8;5Kpxl}x2jcT%a8l3GcnQ>!UF>|U1lr+C6P!hg`cek}nI-&x zUtn@6m<61ouYGDGPEW`IN!|@;pm#{vJjnVk-2)fW;8jLf#{e=j+(Ejsf_}`b|Z!N>mEW_Vj zhW}|9esLLobs7HgG92@ia0!nuX_@`%W%!W@`@(qenJ-#Cg3)}$j$pXJ;`vxEP=$|l z0i5v|*98#RZ^v3q$emcj;hBN8h>-PIgIT3m!wK{t*0F@tVGW5wC)RNK^AyGnKz=k2QFeU*QbqCgv%t2~Q z;9-j7U=1%ya7iNqFt!fs)NpPmP!jTe#4(|RGy>je>&*=fHcJ=Dgw1IunT;K7ZE!Is znXvUT@in%kVXxiN8DYjyooTbcZJL*Df%jdK*$#L4%=;gBXf32Fjk_bPtu5^ql8M7xZB`?1p7@s|i_J`*0L2sKT=YVVEAii;o3IK*BvRGm+3dC&K4h;EOI1)#w87 vvo+F&d^;it)Dga&Q7|@t1bj_3Kt(i)fNU;6HEFSvi3?E_ zj3PSGWR{p<6g7!TBeZA)0RaI4fe1l@2nbDsbW>lEdAX07U`Ul5R2xXl1y6UaY`aswEdLC zY|-IU601d*Pnq#bPFeBVPlfYzvgBI4xmgl|=aD6u#M_=F5%3OYNz8bcvm{o$k}LtQ zJ z&vVFyfA8{|xl4Oy`tX;Ht8~QQ&g1Rc@LSwdW54_DgweoMPixKU_r1mM8@eUbea>sW zp>y%c%gc-YarhDcZ+{5?zV+Q#tG<@3vPk>q6Cc#x2u7x)|d*%|Y z4;BsH7k~Y?PjXT$wvPRC;kSjn%dDqM=Zohbdtzi!x@M!tlv4&CWg-*B;QPmHwT_9^ zm7(9ff8~a4#;NL4>rPA<@Ad&Q{?ZCBuTOUE`uml63oq&GFjJ8?eaS(w?BGs*T)xa{ zp)6^qG&Ym@mq^~vPhKdqUnq+;lqJ+#@jEIf^;{hPx9=i9-hzM7iodUN(zluV`zt4P zh4T|G@{`2;=x~EL3;zDU{`)~g{yqsm+ED)>@Bc1-^VgqTAHR4|Vrc2U{o(4bjKuFB ze$?eCNc{27J0Ip1{;B+W=Gc4p+e)ll)LZYBMhq=p7*lw$t^eTId!L;9F(Ur!^Y=de z;oQ`rnfBj3>iW_#9zC>HG1oP+0ZevdwB1ymc5^DDqB(!`f|>+RvqH+ zJZ;1Ag)MI7In7=0w@D^ilbKb><4NRNNvp~2f)BL~#pC~*mrlISY_<4!l8}ADC5uJG zQJ#+B_yyy4xE!3BZ&{QPujiyK5dZayaWmBSA008* znq)Eli@)srzHLwO2_AvWnA^Pn7x#4%EimJtbBphMIS-jJ#qENXS*4DdWc(g~JtcRt z?WE$bSvR>nEB&|d*y-V5YQp@=8G<;rC2u@7NH48tKj`19_gK|Wv4XGj+~kqo5hu2_ zJvK!}k_BvIw$EQ~_Lgl-d6@@adVyuk-0PQKhu5=>x$IzUE=XY;6C!&mz2w6(=H&3| z6f5-<3ozy%qDKake6@5e#=rGnLpOhJ`hANJB&?B<&G$1~rE4e|zvQoxxmxA%9`Ud7 zdBXhsHBa2WUKg>@L<}Qiyw>;w!MEDXYaIU>e@DN4u_2?)pT~Lyu72NJU3`ya%%}e< zGdbeVHfG1^{-V|eEMvNUwAp0l&o-v*%>1duf7r&1Jlz?wlWk1N)~`Pj{J=J*Y)^4U zTL9abe@6deC1)G+d%-c`kxgu4RDmP+troM4`J&?kH?xn~#$<%*PA&d7+n8Uk%OfuS zk1+?&I0_2b#*|e2O;!}hGG^LX=pR;1Y-0`gX#Qo&E``@FMi4T)II zepc3(TBUqx9?PD~2L+D*&j2d6S3bHgXTR}BA4!j!9tmWB_y5dDxM_8pZPLdT^8aTH zPku4!!V*C~+oXrKefuLmXPY$l-|3!$#cUrEYnOlYk|)bWocwi?(B=-?1ANfXy5Wc! z+s`=93MsQ-S%?eOtokv)1pwvZWsgj@YuVc{|&Gn^ivhJ}bH|ejsi0 zW<6_~5C72&!M<^O#vRpPt7gUOC*e8c%UsO-X52ltKhyd~f8`HsS8!L~EYU1Mm*u<8 zCdu=Q<%?M+t+`1YnR6tJZPL9tA2y5UGuKFXJl?n~UUXL)HS7Mk+ZkWk@~M~W2JtNaf24j zMdM!m=>TtqH2p7EsrBEj;pD&h`p$w@_ScVf$!w39EM$HC%Wu-B3l_1j>2t|^6=C>4 z*Ssy-W>9>4e2D&UBfEUwV1A0(!|@@S_-fZu`(73ME@7Fyt5^EPoJl0x>>osqYO9>7 zEVF;th~LWELdM_PgxRBf{ndh3Y?FrFGCj7gW}DRh+5MH%q`$CDGB=)o%Iq22q@qiG z7fa-9le!Et*33B)$~Nhzh2jsaZ?H|8=B2gui=t4rNzU&bT`TBio22#&58o{J=HkVwqXN}Sn+l)WwA1Pp)RIq51gLS?hYm_$o z6#eqWrD&E(8$N&UnYUms!J6B9{crExx_=hy<+gu&KXE$I#2%#$CO@1r`<-o4|G#~^ zN~~6}Oj;C{@yUWoPuV8TU+llaI!m8r((~Y=r(YB$uuW=u@639^cWjf^2jwSh70+Rr zRP*coE7Mp0$ueo~JCh~3X8wHkDCNg?mE^NcT5~kx-wTd3j+?~%{Ivv-raKY^0xJlzb<14qTAXZt@>couF_;3DN-8VD-v*1Vz+oV??`MX(5 z3|J=p8FT5~7nUh3lTIF;TI(bDoo&*Sko>f*W+Jvpou4VE6C-Ssf`#Abnf=B#>B_IM znv!r=mPu3EGrAWX8DN{_ur$QY`Xbw;eTUqqercJ?dU4bKoVt0F;7|68D6re~l7--_(>CWxu%Dvv1~`J!ikT@-}&fqIKLP3G-)v!BG?bk`Irl*mJ4dF*d?l zK5mi)bJ6&VTk*(!)|ZxPER!~D(to~5@Rn`T(7yTyTXWbZZ9i9RZ%SxbCS4w$ee;^x zpKOz^y^*)#BQ^0Wt*g3cgf~UONwpMUH#L+f_H3_{FCy_ zw$`&v(zz*(G$m-3NppXl^Wk-~cmHG3o7l68R<=pIQe=-mJVLWgdgm0f!&+j<8l}It zwJ|0gW|=f?rh&<3!QXUPPT<}Ce^zcavt+%vxsRn8ro z;g=NQAG1d3i*IF9KRPm9o3-ieb_v;OeUWX_w4Sz)zqHI?nY3ZAfpD|n12Adz9>axY zVII6pU2UG0jSkPoJZIqyBZh34GT-Zg&Pwft7qtZo#p&8}7m5#SA6_WV)YkbR^RvbB zy!#7tv<(*arE9w`Y?W!N7iMWMu1x>TVWC|5S^L7)IWrf!A0GcpxkOvX_`iSl-y4kI z>Y}!Kk^F>q+QL?;wrF9W*&_ZPP2GJnYYwZp>7bnV4i+B%EHIoc%)#YePl7s}JM z^)F@_Nwhx@fA*I>e_NQY?XfVEvFLMcokfQkqnIb{TS$B`M{A+hduCI02t$E*@?pYI ztBO}5cIHjV6o}uuOk`>`@Y=<$JY8RbcuE%Gt5wUZ5xem8Dh1-HH;78DX5OIKjYn7s z@hY4Y!ue+oT_^8%S)DDtY$d%OoGw z>xc7`h`J}X|<6ozOCOnX)keGI?6L|wU#W{uivU0M(mfW zc&@F>Buj_&Tls0ku$1Px7x6ND9mPh*dcFb$ktKe_yW+b-JR?ibSHFYs7b|$DeV2<( z{PleGYKatOt`N_#E=M`%!x1MbftG$3Yf4_?t6>BeF ze%>HfOGt#=@8AW++R5!g3}OifqUnAQFFDph?$Tt?$1@&q3~{Hkdq3u=?}E#5Bt)Ny%zw zizz1stN(tPIBD4+XAZ8I_p7vc9Wfzye(|;vPBi?;OOCpLS8Jir#jZF169aRu#!XHVlY+4aM z;~hj`xI%Jz)ADeWK)p@JYKdpz<&uI;PT_N&>1{fpB<#bBCCBO=!>8Np)gLVD8am5Xbq1`R{EKul=^I z*5(HLKU+Xt_`ORKwcoyV`2~ah=|Upx_YO(We!Et?FoXS42ja!=J(A@84y`UP42C5J zgzN7wB|gKpt;<{uhCf?M-21&-5;JVy>U7UwI9)`f{oW}dhwWM&(hP>BZp7H{y%K8J zq1A27K*vbnvHCD!WK<F^hW>VsRm6-_{o?uCZ88^~)!)8q2XXe)h}d+y zb>_Ta{q3HCMDQu4*na!6%#RxMw|gZK4W~3>{dTL&_m}Ipd#opxpBfM^X}8H-{Ih=h zs=dU|r$)u*?bewK4(PXgh7ku&sl=}B%QBaa=(l^N5hJH)v0srm!{5=@$VAV7oq{;+ z`$&Ane}(Ui(|Z2vJBR>Zh4{4pa$l1GJ%8_7qSm)uT;T8IJEvC9-$zN<`4)?h)j0Z2 zx6`XxS56f8J{DiCS>ZdYK(A(f50U6wD$cE0?kh~xtMP6ol)e?>yERU}BBfr9kB0E( z6LX%niMF4-XU5t*hiE>zu8ooD!`2?J{x7x4TJ|Z{WW1T;5i~b%(AhG4TQf|LzS^h_j`g=-~h{o$0x&CXb{P&&oUze;WoURYZ zm%O&gU;L~7>j!&@U$2kK&0kyRFF2(CS`kJZx~`JDzFwBUbX5O!X&NzlotDQH$umM6 zV~vD*A!Q08JNA+MO2~@X8QFRvPdbR8ScUv_$nsc|Aia?CTB0ttTwW036g#I*FQh_A zIK&pqk2N{QPIu62Dk~>$#Xgo_ZCVjK>y}>AlO7^Dwp5kzwMP%YKJ~I+TgQmVyj`=V3=OojfJ`}b4dDvK3H!MOF!P? zmZLclsF5q(h6jlnI`FB12ko@dt#veJaP;CEH?o(wMGyXJ@MP?Im+SC|&+*aLKMJ4q zNVDmu1qSQK7FW6Usg`~?dhtb}>uYHuy)Vz8MDw3ySGjWOgOQ81g*X3@`q3NC8uY0b zldd}lmTn)hC@VDYkj|vvo;28?{*R~Yt6rZm74M!~c8j!stR}_a(^01$7jaL_d6oFb zLb_R6Ft$I*;NZLBYM0oqAMI7Gd2R=qq{(9;u?A@)TMoJG?=YxUww@?_`;2fH`*^d# z!Qp`jm+-cj7^RqU3#larHLo`s>`|Rt<6_oqps(cZEqqoXy`ZUaG4LN++3%cR_v7~i z;*dhWCq$4&?qKkCaG=iFqWXl_K&xlroieFfz1P~{!N7kg=bhy-fAqI5DRe9)^3`Fp z3^u&o9p(IaX-r1HSm;)uApF$6CI(OYoP3-=FZywAKaX~?DUr6QJZSw-TBfR;!Vejg z^oswun|DuoNTnXuKiJ&e>|~gDg6x%--JNq+YN8r?tN)_0TH%xvy_D{e|8V#GEh2a5 zZIk}HhT>?a;YfqL9&wh-?3;wmP~S8CPwI;UoE*ueZauujyXS96Zz$hZ>ia*d)~xUi z@@eT72i%?WBVnf;{zZR7O?Sr%u}=ln-D-O`?<#S9P+XwD`svh)6^33hbGv!QE;ipw z%?H=z=?@mJOkQzYvqaG)dGl+*w?t0w=Ck_scMp*(9F^;LbcL5Wt++zaZ}y(j-}mcd zuN67n$N63IE58=z5eaWfQuROnd2#>p`ZoQmoszU)11=EHdR`>!|910K!}3>kPu6!{ z40LKfFSYMc$LX)WF}-+sefg8Y4)GGF#B6ES>yQxrv}@;fFaKw;es)K=uG83QqObFQ zp#Gm1mIW;LJ#gHmqqXkmoGj_`&ey*B>(9T`IMzq6Z-3c(b-C>c;;TPGob*3E`%R~# zLb$NcKJ;G2bn7)9W!aYv^I-Dhw~XkPRqw8`e~_aeH?cVc+YPOFTCY)SX%Sq0j;;^ z;O-Fzv(EA-ExhBmTGFIr%^Tk7`6r)x>5$)WEV)G-;&3WeYTw*CsP{I$vE0F;{Oa76 z)_D$&DbkRpq!zu0vC9rP#8MwUY3B9Xy-1Q~*7-iy^AA0@%^@eQC%IX2tKf8^^rvTU zYV@85zwhJl&ux11n=euYt_j4`+L}VWO@Y6T*yjWst7)|GDj42N^wp&OqF1(ITZetP zmp9cY*0;M6Egh}cTcG#Ob#axw;mFY?jq;jhaeJgD64c%!@T*2?Ra<_8jU3O^0j z+dH~B!Y-$4YCf2{(9?GGkxsL8Wu08mHRB+h0T6+t||o zyr{O&TWaqk?Z5TVN$=o`-@9z{6TduD&3kawbiK6Z<|ht%9?##_+179CUSA!a_R;V< z;$}gOjo!oBnWeU^e*fWDOYE;UdPz^;*lw=pQMEPE_96XeNfqzS;;L2B_#fZR&^vhN zw{5l;d;XnPCBCxwwkP5H!*dh8KW}}q(RNegZ_ZV`kj2+Lq%*I|jr9Dl|LJbqSNe&n zB3ys*c{l06cY8E~qznJ(UlyDA>CFmp$-i=3rN4X|J|cK}_F&tx)`&k7E98m)%65@v zUI|eO{>a)_wQQl!pLP|k^Z%9YEcL$}-Y*!U{$8{!e00}Pxx8+{*A7x)-kxT`*und8 z%Oss^Zk1ac{qBOjv_4nfAow_G=C);@S3gQAw{ZUMh8>Y}{&}rnEcWjkm+hzi6#M;e+28Eg|-w2)rfO@BDRx&FY?=L60w9c;DtDsnzl4*9Ds$etK*p zuX`nWELr!y|A*4LqucWZgVw*N+AJ)7_4*NS=+gcL(p1^-dBJb9SH#&!qIceWB+j$U zn@`vrsW~eM5&pEz=62A|!;dW1S=P@ZY7ZYgBlyE;Ly*lY_gCv5T^!7tHjhZAcAOII zRZm%KGu+KLf5gkpbDK+;rG;b)`i8nR*1Wp!dP-Y8^3p`oTPd4U1$+AY`>kJ5rFTm& zzRoQ)CjyhV9u%}U8g*Jf+$B3+%FCKF-JBRm+?Ooa^enj1I&)(wS;}+B-86@w5`Ie% zJgj_FVePB=)~>X*`CR^NVrIDSFgv5>LKvJ-|V9Fuu%!)r= zDCF~J1qlh4J->wrQu5kjtPKzBxT%nroh>jWYIm&KEND905NZ8+#F68Qi+g7<)KW;~ zZ$W~gQzKif`_@c~Qdk(zIAB7&B{v5M)~7AsXuW#C(?cOC$bMl=B!|7-AlSP9(i-cW zMqP8o#Xw=8v2--#sjnb*pS8PnWpSjsSfVniG?1nRrML>xLfTYTylBt5VvF;qoec=1 zz*uL&gTSAAtt| zamV50VqWU>LOmkO`@X%P(so9Jm1B8HNO9}z=>dAu>Fb``3d+pCsIpp^c-gi1;vJ)A z0daDb+D7oeY{p|N!_c|&iY-Enk_CjJ=T2)u+O&pZtG<r=7tTwia~B%*%lJ2S!a zyT043eEYR!MZBw1r%#e@Sn^6JD7j@uS`F8IoKzI<^<||lap%L|O$DD`o4my;llt3^ zB6-IbnYvQD1??sR-*aX`Ru)nJ2rRNVJY}P<^uoM8W5L_3O&hK9z5n4=BsQO7rb`It zt}+%pOS|o3<*1BsDGI+MYtfNX<~ybflJ<+et!_77T3QqyDEnDQs+wInO%S-RZ;h2> z@um4i;e#2cb)=-wV;ZwIA9`8c-eqZC6n;D-L5HX_xo;$>33%vfW##8?S|t83BS1&$ zYP{M=u+Ob;rPV)GJcABmC>LPJqYNj4el7N8sk4p*2F)F%6~$qD?{n?12euKpv-~_ zf}&3MdX0+KC4SgB5FL?3^LhWhiOvXoO2Vh;CK>1^=vgE@3t6Iz`BaFx$&3k0;8{Yj z1bqs2!cE}oSaZL1_BA<=_t&WCxQ~ha9do@!cltMu@o(R0rqz*gEp$XkZCGx=Jy8#Z zEEV}~>`c7i;v=MG*E|p9JZQ*$#u~Hm)H=7$lu6xc(F4<-h?!Bzn`wSc&4JvgifRR2 zOHMOu%*?jjknK9(yh{UPhMQ+%@7%fr zL(ZYBF_Xmw97+rvNxQ)sGvBrZ`_#S%J|nC#gGqosCDE9{O<>H>vshz>nQ+K*7&AWl zf-q)i99Uz9MoAa*sStCM855%f&w}X-uhD&Cl)&La#nYY6A=C-C5+C8JXVX$bH zTL**ExW|9 z&W3@6ehR%<(H>P+#93vqH7)a9T6>8(u+$e=#QmkE^oGEephFAk2Q0_ktQTZHWYYJ2WiIsM{>U) zi5Ul&o8V$FqpHgtd=m4HU{#@4Nn+l(CwF3ynE8`o_&|FKT8dg$)&8%yIX%#5L~t!~ z@-Wvbn@>m9P1AH~SaS`nSeVeCH#(H`*FGrLh~Rsmy#VIgWaWlq-G+ax)xccCxxf78 zjYlG%MJd!G7-O_$z+9_;c~AGi!O)kZtht8PKP=sa{qfN;voG&@CqnO^wX>jU5?-8S znwophPsN&R;7rk{B+NCmJ;7W<&tlCrWD?9ZWI4<=*b`x{p>bf%HH>RUqe{YDLxT@< z4ZS0nYd8;%-Z*Qnp@{)=4b30cTtl-<7xSqQbCarx*#*x6cL~$~i;39*od8kXj@iP;6CDnzpj2ESoqcELM>jR9WeqKVlBZ(N9(7!eb*3+4}N6GETD+=H8d zJ4DZdnG7bugh!Ucx_~bT%Lk1EtTGrb*wWCb!kUG_hoz0)5qJQ2mEb7Q8wY;^69e3i zHP<^a8>BXDkUJ}1M5uRA{u(~j+~MT9X0(M)*J}(OOnkShnL@KqG-%vePD zzcFa;BP;xND;_XSoo0Nfc}#ZT#DE{!GSoyzoESB2?@Bz@OQBULdQLBmd?xEOqWNww zIyUWzI8cY(mH*5XhSDl>HnS@mR8WGEpKIx&B%c{)g7ZuUD75rNY5OYta`%kd(fr%w z?qz?deyPB2-mPg@%X3<~U1?cfQ@sCz#`%wKnl&D zQ|S5gQhIhC7BTF#J=RtjSdm8aT@(if^*w8j&_(MuUOUlarczPJor~0o*}cPadl+Cb z^G32+VS^}u4J|0Dl881v9GixPwn(-Vr!C1lLH+ z8=uFW7$j!?fM*5JgPDyA?xZC#a~t+61WZZHN{QqyC=#>oU=INZg;~W{xQm^{_ypMf z5CkVN9wvk9h)9gT$}oPQZG^T=t-iX@doNGjpfw`67J+~8ZMUmzkI1s!lP=5(7G3aCi(uWL_yv3$uYAkk8sFE6 zqu|>hwuYVfm8SZcG9j(uoe0)63Uk1>RrYVm8hu;QKf>~D2t&a3U%BzTSFf)}^sos0 z4T^@qw_S8UBh2(;M>r;1K$SA6MP$5#U%1=u-L)3A)f%g4O|EKHsoQzw*d+mTyDe zOc(R15P37W$;PSmPXL4it^gS>_Cg9Y8fK$EPL8%EWu2IWO zb2l36zZ_!%&PhFU9>ffJ)l$gYiC)*WH~7!peNMx7G4`szIWUNiOGD0Q*V_3+Yc#S3 zH!tCd;3_6A^@%gTyV9tjjY1Asw2m(Dvo=*YjLB|fE3W4?oWh5%4^scASdkGFJ0{C2 z-&mcL>dahL8sqoov0G^;g}lD#&CjAb$J%XKW3sr@5r@pH8ed?eTo1?Ol>uQ<`D6SU zifvELx5Y7+seHE7RgXk=Q)sIZrPzN(z0_jMe&R6@qzl@F-quKmlx+^*AwSa#sgY>%iM@7?=o zS=iCiv$b2Q@6Zu1UZ&L4-EvV;2oj10{a@@#uwR)-^G`Q#bNR4ERe&WC-kCjVXf{bs zrulitx`f|F82?CLx>G!4vc~49ib6P4wB7i`nnOnw2WY<0lV;!3bu+%lat#jxj`SSx zh&e>d4!tS0Tdy>`Lburm+w`h}6eOv!EF_ zJB{x4XaKuGU=L6@)6aQ+kF{y1Mugr!C=`I*UTt%XN)Bpj)&O<`HUaQ=<;DZwRW%4| znN*eu>;^&xV7Gsg({dy1uRda^LxkN>6b+!?xB9I^v{7Ly!&f5ghV%}=ZoCLzy$0KL zaT>sGIO@=FBx_zo-ui7C5n9tAivV_OrOuZ<%BtC@5g`u&WDSHjv8uQ9TIZ&f8WH## zq@DnFyFEgylCAa(r~$hHLj*BZUwOcZ+80OK)FR{yL1Y2!wz@?*i=eIl4LSFix@O#H(^8Ft!0G$Z1o0;mYTeopo z(FkBSTvC9Qrf!vDlX1(i2rvthlK{KL#)SpeITt8ZEbNB344@(M$x~4qzxqCUNCbEa zsZN01`Z}9xR9o~m4zREr5V+`560jS(31Bz$EEaY{CINOsmb0)M`htMn&^WNL8-@-> zqe>#|27?dS4ZR~4cEb=!=#2w*gNXsy4b30GZZORNyP?@g0(L`kBw#l*w^`T?Lz$tK z0@w`}6ks>B?pW9jlWTxhF$vfWEq1_e$R_}H1J?oA4S5(Ac0+0}U^nEiSlA8eD}ddQ z_ahN@1Bn^18}g-q-N5Anc0-;Sup2mVz;4LT19pS$0I(a{HAuj2XmbJVhV~%9ZaB6b z?Px6Qh7^3jZfJjGVKK0<4{m`{b6n^aApHFy@#c>pKR zPM|fgJR9=`*G!-_7zf}GVYt>zpfwoPY|P-#m_Tdrj)1rX82;4+T7x&9jhPr-6KD$&8jBQ8qCIQ%%uI-1X_c+4HPYeFMbnf4OWT}v!Jvl&>E~e;Dup%8cm=zSjE{W zi3JvW+XPwzp8#YuxQ@69v<4nVh|n51qMs+w8u%+HMPATR*U&^3Y9U`G>T zHa@=zvx(;m0MiXca_HK|;fS&nz0<8fO5TdLe01PuG&>G+h z&~Jb`vYtR|fKr4QFcUX{)&TQ>Xavyc+5}ny1SP}(t^NtL26&7mP@zu&%fU?m@}Xw| zG6a(VKqAWlXTlc*IEuyrP%8`<$X#eu0RzL}15`%u2oN>AN&w#IjRQW1i2*Pk%^yJf zFwHjSg0c=%3ETA0?_y^edfTlqEBd{5;jRNU`c3a>^U{eMP z1?}Cyw7?b*gbYFgz}o;|0D1@E3SfZ%egIj7PzrEL0A@foM3@H{Du6~nWFZ6vd=~&M zkTiF3*)v8EoeYBLYS%Yg=$c}GwXAu5Z-Qq7-9i`T#cynhv0GP8Av7o2d}~$w-5qhW zG_tVXQ93MCgV#;v(=#a7n8&Fa+0BjvAwNV8Gj~h#zToZo)6E770Zh?8tE<)1YI<&I zWX89Ywd6n_US9ol)|-L;BfWhZS?c=a#zN0J=5C4u!Jz{+&sr#iYDKRLer${%955b} z`TkfpduhurcojBbME+A{otZo4oVcWk4}sxGFcZh}61*Yu=Iv??ll zjDJw5IWt>z7cc#8H<{fWYrHFWOlEo|{+Fbd%onu#;dlrCj>Jjb6pBA#sg zpk!?8jPQ?o8IPBxKdn|Z-?-A1=M+Py5&$$v|uS3iY9UeSi;H>0=L zcbd>Lv#VbHr(+tPVe`jhL*1gDr6iis{QjY}x91hdJ)_%ht+{KrJ3qIdLUFdJChte; zY_n!2&HtK=8cC~C)nc{qKk9ZwgoG5$q4|D4l7THPhiYldrMrB;t)hGSDHPm`cK#aJ zb)!imqGhHZQf_AkG&R_y^*;X_1A(g&ZD?6xSeHh}FRg~QOdY81t!;81pb(=V+H=#s z)<2`emX_^b+PvbzA$0{-S>I&pU*3}H<3P(||L`8}8QEV!7dZsE1Rk1oWPn06hDcq> zr_RofUrzIH`R@)oRyDw`@I^|MsA+*NP8fQaSgbW!tTdTD>Gfs#Um zjcDxP71eZ)5kH#mY|?Y*v|lb>&flD`)@*vB+DP-C{}8a^qhz|2PN(B+7Hr($qofc^ zB=WeM7Mx1;2GFvRf?zXYP%K{c-h z(T0Ng6*C_AF~x?@2U8tB@_KO(n`)4BLFSixtRjwmNJe6Bm-E9V>MV=t*K8dR}F=pu37mTsYBozBx25 zSw$g^Q}k@OS>gDjhRJWJ_R%!lB?EuJ<~OKK?seEidouYAi4`xGtsALl@*7t5=iDgW zbY4XvVpX&`>q*!1)RhNmez2~W!)(vZ-(k}rUWE>B+1I3EiZoqs#lMqPHC$opOe5I`Bp6Gc>L7VyZ&{SHsNl+9zY1db0ut^nfj7*gE=ewE99I4{BE~=yxv}I3Z z|Ldrsb`^zqYf(~QR`6MM43m2inie^uu6grOZ0^O!cf;cEq;xZv9qQ>Gnyqoppd*GV zsubG-2UQdz%SA)Wo1PvvM@Ccbv^k|-}5)xy%C-)1I zm~ntO8`4KGqZ-K_e5P!V^Nv8NKxs52=8ezeP7D$=e}GYdWE9M7oX4HCBxY^{je!z| zNX$x!)8 zZ9Tc0GKtx{K`%myeIy16WN-lkiNO`XaY9NE2Bj#tV1~qC9#Ef93L}X@P#s*LMPl$6 zu(=4fkr;HR;DSIBgB5{7M#-8a26@io0#p)%V}ZAZbR`Tbp2r2xBnD#xS&q_DNep7| z-~xLRga3h{hvX+rG~vk&I!H`x0y-N?z$Gz}jwd%DA~A6jXc3S~g^9whaDz1x6Vrie zhf}$LjXk)rH_*sMk10+T#y)k1C%sK8pE(93NEQaVz?L3CsCq2iD7aST*8OM@Is&& zLJAs&Wm0g-C=$b2f!c{m0!R!4rr;7~B!*ARnEgPz2r@r-80>}vfEersN&~`fIMj&) zrx@%8f&{{DI7EyC&KT?lx&^{+IJAxf^%(31@&>|gxJUvQaA2?-s2~Wt;i4Q|FoeNw zAeJEPhK<0u*iD4NZlI|k?1qgFx!6sF!EPYEAnb;VTyX&|2D^bmgRmPes>TK180-c@ z4#IA@h#yA`V6YqLJqWwu*a#eHfx&Jd3nA==V@hy@3I@A@dW5hWj>W-|Js9i;A``-H zIED#FL}9QSXi*5e;n*)6Nru60AXy>ohGXV%1Re&vfwG0L8;&)^k&77Y1_BtuZa790 zM|@(i8|Y*RyW!YY94U*zZXl;2?1p24afC4jyMgM4up5r0#*x_=>;~c-!frSQ9Y?fd zup4N22)l_e*bOHFV6YoVeF(eZln0zZfx&K|2qNr;Q$=v%2?o1?aEP#*2!q{l!VU(z zfxd{a8%|BaicVZ@3S>xx-Ec}5P7uRjH&81PcEhP~II#|c-9Xet*bS!;;)FyDb_4Ab zVKY z$_QU)W0X=Tu|;n@8>5thjE%5=HbyCh-iRoY$i^t8pq-<*M2JyJp-LF76d^__1;HMz zJ0V6Xh3-{o6=!3VQpgfO@thE<Q61@-W#Lr4&*VP^_7aQA(kiEAoEX7^M{QA&@T> zVw6&-)kdCKh*3%*2?NFG*%+l1nuDQTBO9ZXLgokJ4}=({6w1xfjwZw?rI2odxQ%R# zQVK0Q(QYfmD5a3&f_N7pMk$4gd4vRn7^M^vYY-=tjZsRW_ZmVe*%+l1vUw0+m5otK zq39nWsBDZ<3MoX0OA}(0(g@Vo7oj^LMk$57CB*XyF-j@)EkMXqh*3%*SqgEGLX1)h zt?dvh7GjiA$k0OkXf{SEh1LrQu?sOuDWruV?pBCVN}-c1iYBr#N-5-`AznEfqm)AT z2o&jLW0X=zfJ2;jHbyCh&VeWj%f=|BkadUn_-u?)3Jow&gqV#{N+Hz`X$9FBr4)Kv zqv$moqm)8^A<{8~7^M_?c%aByh*3%*sS#-`LX1)hjTuqYpN&yUA(Im6KSGRB3T;Rb zBaw|!N+Ep{X;ax4r4$+*Beo;x1}qz+ltM}_;?sl}rBn;^DJZ4rCZLqUvp^|DCV^54mV;7? zz91;2Fb<%UqTwPjqY6qX8hlVn;T?feie4osrSQfKpp>F%Mq*|oD5Yr9 zf>H`|8$mBT2P>r!ny;c6fI8@vx-3}MT;GjQt%0&lp@ywN-204P)d;_ z0;LrE6)2_1t&te-2TCb&lAx4=F9oF(xm-|6!83zWiX1p7rQqj5DMi}>iP<$kDMgzL zD5bCmfl`XLDo{#cM+2o4ZG51V!u|+KDcVL!%x()xDcY1lDTTcolv1?CgHj4e0F+V$ z7(ginTmeccf*&LXrGQe3zzis*fO$YEMbHS8Qb16klp>%7N-5wmP)ZSO1Emzu9Vn#; z1cFiuSP_&`1Svr&1>^}zDFUdVlmdK;{N-0D-pp>G32$WKYn?NZ=;T4IA!aylS z!5S!~5YvHDib6k7N+Ci7r4$8{pp-(q2}&snOG!-h3Q8#oazQDDSQwO26f%QS3XwG^ zr6>Rgr4-_HP)boaPhz5eP)ZRw07@xv2%wZAssWTzU?e~(MZ^UtrND21Qi^C062q2& zQi@0wP)dP&0i_gCG@z6MlLJaAB78t81zrf0QbZq-7?uf?Qbb09QVN_ED5Z$n0;Lof zFi=VnQ3gsW@M*fJE&)D;x&*ihs!M=pL0tlvga|;e9O@F_3!=IN7ze0JfZ;;qB#bK5 zCBWdLx&(MfP?rF&5)r5H#-T0&CI+fYfcXP;2{6qNsSC3a>JnhmqPhf_+fbJPO8^nh zuu`Bd0TvXhOMrC;bqTOM5g87v80r#Wv7@>K@Ci_t0ImZO_26NkE&&`7s!ITW1$7DF z){uw*-Vf>$z)7OI1n{L$mjEso2^`>=p)LU&II2qkKM!>YupJ=r1$GUnOMuM<)g{0l z1a%3pRUu&rb~LC0Hr`(0)QD*mjIXt>Jk7NAt4eF6x1aE&_Z^kWRF?o)5$X~EQX&BtkSEk706;}`34mjvE&<>!5|;rLLtO%ZXH=H}7#r#m0E#2w z8xT9xB>=ETbqRp~p)LW!1SHBsGy!!95Okor1c*(bE&)OqlrR914%8(;K!oZNAZ~)X z1PHHCG6O_mP?rF~8mdcxm=5X^AoN3t77!sqT>=D>s4fBGO{hzNuoNYMK=cZA2@vF> zx&(-Yp)LVJW|W`;ku}sMKmd;F5+F{8x&#R4QSuB#{ZN+xoRy1xyaqB>>@r>Joq#g1Q8t zk5IA}uuM>w0Av)ZO90La>Jot3LWyL+fI(dX5M`(?fkb>7)FniIXei*J9w3(H?saM} zw{@vh=B{g{o^dqOlMQL7faWe0dR6x(F1z)Jn!M%t{KNx8><(|xo!7FY^IGYFWz7|o zoI0ou{komG3`wj2`Xc;0t-QT5scLFCv~MqSR5f!M9Ke7&kVL4vGrhYu8P`#*%FU%d zrWcsYkP-{n(0#Axt=cu2{tc8x>V?prxM=1wXe)rM6%9dP`R z7NshTZp&45QsFIcCwXTL_G&~(!3IpN^+(UIZWVKubWyF1*O$CBna^B?Y3|q-wreQN zYjao^Wig^^udZFsbQDA(FFn3}Rm@q>w4i>u+_|gZ1pCYq=yn+KZMAF5fv2h6RQTFh)5psXw=ta< zF?GmCsBBet@YQarQj^oGnzg5e)f5pLS{J!``@2R|H+56IDBsJENv~!NE@>$JM(u@? zP;)^KB@Vt;uuEv($Ua9F^@eKus5og*y)kE}9M74Z%WNq?} z9_sV%prq=1SJ|Byp^J8UO4Yr*H3_GCsNoTpgov6Q)f!xSl%;M2eWTmAq=(9ExD`SN z3#-_NxS&o!mL4s}$4As}dZ_%EXAjoI7nU>Mlv^Y1uIuiwU^IJ9FE!cEp{8pZ`Iz1Q z5n6ZG^)y^bHd@z9Safn7 zz3f4vMuf5wAWpiJSGxI4;z`$j>f)hkhf7z8;#rL@p-Fj!OLF|ZXzKvxveIdy#2E=O z%(!97yknZ*E~qMqVlMN(eXOD8&TiIuvd||jLROrz)Kiq!Prd3=`&7qjqBJ6u;{Z`t z8^6x6HzqZ!pK;Ogf=B&!k*w~T&>3Cpql%{&4pe^IPu<>F7}mXIM+CD@xkckeN0jv^ zyoT@eQ~BQcL(1yoq5pHt&%_aCZD&en+q)ZAFeT{KMtfsie+<@$P{straPDQwLZP>D z2lLS8T(6G8(g0RVPiV&;QB!of!*6(qxm)A1p5BlqKV}o>mY`d{Ni-)%3IzjHxcBvA z@iRNTSqD)$o=w*Uq#ZzuT6iEi+p@ z*?mM&5BP`$RX?YBp7&%fizb`nlWN?Vhhhra*Y9%Za5oAXpfVflw)dFc)3DDyLVd2Z z&K$V=eb=Tv1C&L}^Y7{mFOR52D4PS~%XISt(}N;Ur4CR#cddBl9h|0QH7|w6^I95j z=i{OI#|Nm&y5?i?CIS7-xkudcc&!I@)+VWWc>~lxqhi|!R?O{T9@?U;Se{q3f`(S8 zmo5~2RneV!gXwnPpSaGmrL&XiamuZtcPEn_o&@+S1}HH#{lqrm_b*u;Q=!}ar7K;V z93n?*2dIl)FG>O$wzsHp>Bi|PyYs?UX8yrEG%{@I?iHqutb?GS|El|5@>Ic=;UT6G zz{cm^2YgFv)gqK>0)vrnTfi#M$Vzp_77W?UT(GAhNQiMv%!rf4% zI60|YjZ3VK_^3N~k1~6Kl5$Kmf3oHIg;Ms3rs!Cgbh~oka!Z58mKLi; zC~pNKY4<%9Hwxq9yp)t#Vr=9A<9n&>-ms{ze_K+r`DxlbXuQ~7)9X3i^8nKumfHxx zeVy0syCZjYD5={W)-rEHMLg?dEI9SA$iC=#osUxzb2wmx%g{?B*BG@3Wx+sP-nL$I z@M_H24CVmf$JcK}ncvvOYDf!*31}6RThA@6%VOFJ6xlr)-6D!qP<1Q~D0A zVILKSy0lrktW>TmJ=MW9DTv4liVE@cWbTIPW#Ce@%!F$0RZ{ySo+=+V329dAT{t%3 zlIqa)BT=Sf%69vt>JTQtYfY91|Aeio-BT!K}elyd$zY=w^kH$q^OxrvXw@mUg zHdRq_#Q~21*E7wdB9!j~3`B%0xpCbSkH#WPM{%VdMfZYdEhyL6tEkCU4jJ2A3lFi*PJ`~j?mJrcX`(_sRMcwsdbgex z#&OKuxP2DdI#rb!e&@VZlm&UCH)>jFB&*3V91vmo1KHp`dNYtIk8er7-1am#cobLE zV(F&Zuh)=Is;K>sZFj_c{mgq*v@Y?(&VlF%rX2%1s7xzT@$B2s{d<^)Mi(rI%jU0S z#*N#xLF>HxS4*fliA;sR&-Bwi^|mTjXJa^(!dNc?8D?x=e(-m)xM}p5V z2Sj*2y&Bnnrj*rA8IHn8uX+4@FSX$U)7;_lG~H2`yhHDBg-Q`)qb^MmX5TV>77iFD zH=e#6!#ZObnll&8tF5~d^y^g>m6@z+->tf^?VSkaNda?{-rjP`C&;OQd1%nrck8}7 z6U6G_4Cim8$5i}sG$`keijo`{eNz!HTl)@Il9itNc$Tf-(?VvFw`gLv?C;dDPuD{| z1}_QyR6e8i512_FF_L~=wJ9i!=BE^8Ss z_oP~?hH+(fS_KWEK?CYeW`H}XS{}?bs-#YVp-NWRvfM^oSRj+g2ME6 z&kg>Ml82Z{UbJO?8%+V`m z^os2pSC}f;=P#nZk!{IEzef8AY38!p%ZRk4+OF+S0DOl2%yYArpJUHoCm%EB_OmigHCqU~| zIHX9y^)NVkyMybm$kw+>^gy;El?Jz#!S#MPPW=kkmqPbfaJg5wo|(ir@GD$D562Ti zkNOPmu7OhzGPrvXG_ZxODucVD;Uo(McYlP#*R3QiI7?t2$N(uj zxX2SLxN&hTi4L^|xU1lzVw}o1kBhOPnKMA~NG@W>NsAp^{7?Qqq!*V`(9bkbLt%n0 zH=4ldnOC^63G}0e5XO@m>EJ}vdEB@O&Mt)$7OrrkFr0Fm!Hwylr8b0q8QcgFCl_aM z<4y8`X-~w=sN~Hwgr!%w(JM})*5$^+&=nj)<_>OTjT6Qd+&CSMhJ|q6lNe114Ky5J5y@psaCrwAT(;NJRG$E)O`n+;m4(4 zMRN55(syHL;sqBbU;$Y{m#aSDa=s$DS_YgJ0g}fRt}=p4G@HlOS>RZ1kYhZ#stqo? zt%Iup!GRZWw5%>yQNpFvMRN5ia_Dx9`-QN&;W0R9MwhF0Ve|O7+8LbL0jJ}7a+Nq- z^58tKt_P={!?AAjxGEtoV=;rPIl{3cAWbT`3MMX1vV*IKGAO#IHn?N7Y79=M%iyZ3 zxZF$ySF44SQ$W&HaFt(NLTDscM z7RUs(X~#Wve99Q4H$-x?AaI$*3T{pX9Ki#JU3+pfG;rz63U0m!>F=29ExOacaSV=0 zy28yK!RAnNb5YokqdUy1`|OU*YEPz(GiG zR3(nlMD@3j5s2eQ;dnztqvF_B3@MA_Zp&;##0D*_=RBCI%u=+_XDCkb#JXVO(OnOe%y0?e7r zb7m?vpUsCjhUC`>5w^JHVaFGV_$nd7W{a@*xPj!Pi`I$$s~>Kx#>Q$1mJcB z%xQ76la!L%bWxWW8D(y7#(2lMEgWG85RB$_djI+AJNk}iE^M$d zd*e2Q#6Cb8o7*>%a22yRZhOfsIpx_Kw=(56tJHHw?ws4x!qT~`aYgX+9^WyW+xjxg zVQz=a%-*<-H1RBuu;=#Mq`k%LjoX$pOLcDd&dlDpO+Iz7(Iwz=0gOvNM4r8IVFWI- zFtEW|ad>lUqK(-bmw+Hf30f0eo`U4Vn7wi73@*eW&)zg<_QoYgs2`4g2$w^FdDZi* z_u5xwITvj%)xv~dxQxtSgJ;{5Z?-M5F?-_@H%u^x%kMw|O)(!X4a9_oxNH$Kd*hNy z)LlpChs!-RoE@--J?V?GF?-`uR7}{4%Vhns@$1Uno?CNl%-*~AvG?`#?0QhWE>ZulV@-6vtjnerS_O`AD02#xV|d7l9V2^H!fYvgmAg6E;D-@B+uTsfH0RMh9P;#Bd+Zc7lsS@lstRm!pmI7`G);c z$~CiXsIVh7wJv=pIZrsM0;Z(ItV3CnYt`q#RH3Wp8PZwz4e#wGNL&4;!ym-kU$%rf|dca+vxK*Ye@YK=SNOV`gt$1BodraeXGH z_QbWLm=YD&wPI#(Tyu*lc!kKbH@Gn|d*fPVO!-I6TH?Aqj6biZCAyZG}T8vEDk?TYabNrXgzCJxRCZn~()4T&r}3$&j0-z!u|tbxLlU!sUyz-vqg73i=Pu zrR{Rl)MA>Z?Q+u;$RV8P+vTPybi`dYL=U(R>rR6{PETGLOx5|qzQ7< z6i8)UA2Z~pDU8av+U}8?rXV`u+C4#TnyRD9S6m&xBBRO1oj|kPGzCTq_Z5GUo2HOL z<1QsxZkmE!g?pZAxoK+6*^-%)EBetk?w|(AO;g~WaX%I!H%;NS#@*c|xoHYY7w#25 zkejAz@UGm^C6!T)Htsz8YrEVu1x*jn!Xa|gRNWC7KdiTXs<0SS zs^yg2GzG#B&*_KdrYSV_cYLvEU?6y3sa|>d=Fh2zezq~4+axzlLA}JR zFGOyds-ETEr5$M_#j8$W3z7)Y{vSx%j#Ijg>a0ny2KZDfph4k-Fuk zsnt^%c|I<)`zIR{*9mgd6zFrzZ)eI)QZ)pFAm9A4~z^5mwedUkrt z`I7cs=WT41Lgc0?knz}0?U9?N7DdRh?Gi?p|FdZd;xKk+ZnOTt zT5g&GbBw*-V{+3}y>cdW??dHDCv0p9!{w$a*vi;BPLP|XDy=55!R(Toroh=_ADSmO zO)aKrIzeulf+CGw?P0lTs_xy|t{zApe$d9|_psbF1-cr0Z6Xd2Tc-h!- z?~|LR>d>DXqjuGe`pU+}{FK}@1!^Dr_sMe8)S@*#w)s2crYXqa$OfE}o2FL&?-kP) zc}MQIku=yOH%);fM{c1^Zkno0)6^|DO~F7%red<(G_^AJ_8nQ&Jfhe}LSv}hG=&BL zd5_6*(^MU?D78jOAJ}OlJ@Oa1X$smqvM4*`rm0Gi6(n1B$W2oq=8==xCpS%1iqjx< zlOZ=v!L>(*r(JHEs%l$Gc4bV0VIvU~A~#K;C_uhwg4{G!DTai!(qnSd6a;@{pAO4S zQHnf>iWfoCl>RL=P4Oe4X-Ypinx^;#(KMy^0Zmi9U1*xpyNaeM-h4Do>2HLl zDgKmbn$lk!O;da@&@`pb51OX&@{ytDutS+ z^u0sV6kks?P3c>VrYXMcXqwVF0Zmh!I?yzwGYp!hI1!;~O6MyyO>tU7)0EDBXqw_A ziKZ!?OVKpNDHlysIy0kbiW4}RrgWZ1(-fBjG)?KMfu<=gE@+z4H3&^pT&mDCr7Iem zrnvB-X-d~eG)-|CMbnh7wrHB-qKu{~UAxgV#U&n1Q@RsC(-b!hXqwV}1)8R~{Xo-{ z?o!Y+#mx+wrgYDPrYUZX&@`nxC^SuRqlKm^-H)MZirY3cP3i6qO;g+iqG?L^ifEeR zmJ&@yNjkN-4&y0ikoLNP3ayRO;g;8qiITa>}ZG^OVzG)?h%g{CPzg`sJR zhcz@!>6s2qQ#|^iX-ZFsXqw_d5=~Qj-bB+BkELju($g!Nrg+Fj)0Cct(KN*)Gn%IK zWR0dN9>CEwrRQ`sP4PI7rYSx3qiKra0Gg&WLqO9MqXslhX(EB9DFzptscA~{4Kz(L z20_!5rX^^aVyJ?qDa~HcG{uMpO;eiWplOPM51OVl7edn%<0CXpY08AADTYyKn$pY) zO;e1v&@`n97@DRSl%Z)#^E5O~F?K`Kl%{iNnqr8DrYX((&@{zJ5KU8>9HME80VA5G zG>1gf6yr)XO=+r$rYVM>XqwWD6iri%QqeS}i7T3>7|fz+O7mMZO)=(0)0C#cXqsYZ zjHW5gmeDlD2pUaOnpC4{ih(worZo3P(-h-zG)-xWj;1Mw?P!|POdd^BjPB7ir3pWp zrdR}^X-fM7G)=KqK+}}A4``ZVNr9#*?K03b#mWOsQ`(H6X^I63nx?d8LDLlL7&J|3 zYlEgKmOE&g(hdksQ>=>6G^LFanxT^8%#7!vFJq8l=h)$ znqqB=rYUV#(KN*p7EM#y)uL&Nl`fj5wE0ET6boWBO=*verYY9VXqwVi8ckCyuhBH6 z9XFb$ScRi$N*i-DO|e)<)0Fn_XqsY8kESVY^U*ZL(jQGz$_AimiU- zMaU#n&Z3}cipUC@rj%?!(-Z+1G)*ZdgQh9sG-#Sq>IO|ygmcg|r3??6ril8WX-bJ8 zG))m4LerG;MQEBLhJ>amrIpY$MW_i)Q_4P}X^Myxnx>SbLemt1D>O|hmxZP&;#+8% zQpyWWQ-s0LG^NZKnx=@Bp=nA9G&D^SR72C0@@zWNZur0CRltkDtAKxtybAb{5WvGv zPF@B4g77NfeITy_-Y$i_3V2t^tAIBjUIqM($g6-qB}&8ii<4IY9}IXE@cALH0zPKS z%Ms;sj<0C&*!VP(R{rP9U!WP8|r!;tWGx1)PZBRlxa*yb3t2p+JwbA9)pUl7v?Q=Th=2;FODU zKhDhLRlo@xUIm=z$*X|N0l)*eYLHg}7Z-RHa1A1_0xng6BH)TfUIkqE;8no&k-Q4H zi~`tzt1WpIa8ZU=0oQKwD&P{2Ks)XP$g6-G2E^lWUqN04+uL6bx@G4-2Kwbrm8c?jmM1s5u7+gT1hxrD16)*+?a0}BC z@+x4c0*0!H_ML}J2EUIi=yPJ=D*#}L?E`rgu%v)j0lN(HDq!V-fefPwu`(9Sc<``fZZ8+6|iChPy(Ac@+x3q z2RsG#dgN8W`VXL4YzfJ$fMp^)k=QwsR{^U@Kyb0aB(DM%oxplvA4*;YtWDunz;>0q z3RuFzI*DB^c@?nI1;7NGU-BwoK@1!U_Q>Q_z`7Z56>O!+tAOP-P%YSTlUD(&@CTsF z44aw3$YB3YUInb_0j0qEUK;@ALBCi61L%?JqUqoI7 z#E<~pLRyKu3J5j9tAOkic@+?m0*oI?D)K5Ia0R>=a#`e6Kzs|ZGNio7tAH>Vyb8#S zkyioHGDr-NKqIdLf@;v0hBD@ai~l2J5XtDZo+*`Xec-}kpE5K!e9T3^E!v_o6;7Lf z=JCs)q-Bm7FyqF0YJY7Phi$sqa?TqWys+H3o_2Rp+)lqxgf2c`TzC3;SK)wpJvVmF z^B2TnsHoD=PWx&1*T(Ke{U-*@eCxs|ABBm-%Jyc)c+#gWtTa|t&kSvz^t*Vq4Gpo0 zM<~?V3 zx?JMb?v60+?`FFu`<2n}t(f$oXTW2l@Jb^eS zT}>?#(atE9(-Imo4t^ z7Kh19Lv3-^wM*aTHXijWpBzm+|7?Gk_|q#jvt9^k+*ohCk&&SLr#&fN?dr~ws=edv z(|+@*)W#Xlwt2*1GDuPT{^Jquou*#xSBef)FO4)B#ryU|eSA;${rQc?q?*OISG;;r z_*^$cN3_0s!l?5rd(R{`emSpR97dg8nqq28Pi+g!Kj3Nfo1gs9T=YWrb@4Yn-aJQ3 zzc<)pTyM)d;ZMjCul84;(pDO4cl(uPX8G)!)h_Y&%E(Avw? zs(3@n^Wqb`Yp;2X-Tut1uH7TVtL0St(-Lkc4hbkb$E~?{v--UFM`cc>ws6jKah^qK zPX)~H5)o`U zOYJo|ZHLACCQn$km2V#JKlW0q7BHut>#RH2Um{-3(&H~?p9m7>+W6>|DR<8cb8Xa( zy`ATec1;N=!8kL%bSoglJx?1z!Frxh4=hTQF2swqKdHpiq2} ze)b$Hs5;x&Vw?w(}Co<;_VHj&HAZugZL}Rz*FswE3fzMQPbWG zD87Q^tgjn0#Xq{a#@$mkW^SwT_sjMEDm_TN+TDbd-1`f2V*_SVZN!bH_9XEu$dpwr z%i7!$G`DPNzdyL%fO0SC*5N1WXNsRq=E-UezRHv%xh+`%rEWz0RXcR5_}MNd?<%shR<;>e(yX(- z*b(B@vX%^Q*3?_M;%~YwxhqZ|FaA*(Vyi`s^OPS>>v}g}>RM{8nle)S3Ki+mzh9nP z(Po^CDEg`2A1jQ%f4$<0i^}m829%<p?AFP+gmqutg$_yTnX!5+T`tT zvdhx!+}UOQBifB2h2y;|y0!}AZ^0`k3Wr99d>l~TjJR<1cA2-yMqQf**xItVoC`-1 zFMJX(mk!nst?h3Tb?wsrjIA-j^V^M)aifm64LvKwz;{aC>C2xRx+`D~f2OS`E&2L` zt!|ogYxDPP^9Ow8MFHj1@3&6g&a7^-_f>Ti2a>aL+Ktb1tJ5!Kc!WRr{+#UoD_g>L z2h5SfJ4bh9o^G;H{-$xb*7NO>HA~tm_5_q6N8{&aCm#{7_CwXNvl-jJY&Whn?n^yc9a!UgLcCh->EK)Udc#TsO5%&LB`bXe;y0BM%-VmA`aSJ_NyXlPdE|h1 z+3fgi@oMj7&RE=<{m3n2d-UvQJ0=GSxA8CE?TXGF9(Fijx~rm>ew~{5VB?%-@Y=6q z&aCK(E&n#4=%rx;=U2pvS8MFP_jt^l>9>qKarcTY9~~hS$cuJZ^L#1ujt0z+OY3Sj zMg)sjlVQ`^Z*#&t!(T5u7ElVOwMO4=nJV5lUt~gEO<#&IC3hYw4E^|VVM@MT+Sq-y z%`ec^4L^4U9$7uM$wq&jCi>d^ksn2$Se}0}pe)mp_cXen5^rx$jFHo6?YdbyD6P##9v|Jn%N%v`h#tF+7D%CO4t>*5c4r6J1!LQvPM+n(-(zj>4jY}@O z0;UnPV(Lqcy7*0HRJwMv&J%KY<2*rin$ppHGNmrI(GE%REq(H`udu_o5|ekJ+Wojo zSez3U`wp$lzb-CqzVvh3j8`9Q>eKE*%PKzKpEbDK9Wd`KjLSU}JGs%G;ME(yDQozu z!}z7KNb{DS7f$G?J3n9EnZ3GRyl-E&-T&1cBo33I@Y+q+)LhH*Gz84TkMfGfM+`LB zgQlieKNoViuEW^vdqwkl)2jU1%G1~M5e2bL0cG9mZ3QdSx*9}#Pc}@ie=1<_3cBnG zn0wDH2)dYmt-)?S6FKzls>P3V8o!j!wY#gI6e8-YJ5yQ@ueI+4%;Mwo4!xfDU4sog z04+?ksM3{PcZ|%t0dw((Z6&QM4mH^O)Rv5u-fL4kjeqFFN|L94eaWx=D{FjXeMDP# zz#KJu!G@#$oeeg}{n{|h^p38L_X=wHlK$eO<$2;)XzdD0&!3@p8rSp2&(EGdM`*3r zee#7qV{m1!`1JPl@9pSM6mN(O`qv87BG)O~?+Yly-U}O@n*65tN4NC{-E7a^+G)IB zKjVik*F~YV{_)Dssjq&O-WM?MRaESGK6|=2jF<$prP01W`K%GEG~W*>%g@DM4bB}c ze$(lNo`}mkPIMY?xIUeoIx?(GoO{pPbvwpJ4+PAZl(57vQv-E2&;_*2(Jtn!y`1MU z`~maISLGwuhTRn`w}X}U(o^4U6_V_lyED>;o-Y(vna>u5o`~xV1k9JivQHMfTy-|b za{GSFnb7sGM-H*gpbzUuOpiGw4*Nzg9^blG=`yxQtS@Oh`eMEic=t9IPCM8-#8&hd zS_7d*F%OWteRW(|zc*iS=Dg49xwURXQ0x5mn=1=9jPEk;v?P919#oX;*Oq?#LfG!% zF~e*#rob21+OodR1}}j&NZR!o16|dRw2Q;0c>7AGNhx6F*zmvO;5) zSD3l~d&;@+q}sx#Y~{YMQa@h)3XjTrC-#YiDcLc@ZS(&8%x||OjuF4YPbsf1PN)y- zGX9ht^@Mxs!VJH*d|Io&`*z!KTlwywf2Y62f6WGcf%Z|_z0v0r@@5o{uuWe>+$=5O z&NX|2tK;0ZTUkk6#{1Rp4%hpN()`+gzWQaGwLCgV2#0$6-9F>0;3ddwD{Y{3M$3rB zt>Q2%JM-{1*HLlUwCtodcXw8oF*4z(xw^PPrc|FX^Rur zh2ax3mtC`ge4r(m_SVi5aYwQO%3}bi2K0TIv{E$y-?6n3R0SC9YYrs{B8;zurEj+&9KHt2@fniP_aId*9%^ zYOi6JcZsKuI|CKl_a=z@kXK5=`=7Zq)>cjpPK)l%{nllJGeMg-?O(Y;?y0fy<80;A zj@Z$jHJ=E8#KyFsi{&F4#Bb`opW^kOjT3kH0kx|rwtbv!-m$&YZbxQ`UqRN%XjiwV z^xvD9H{MoqiZX)7w=Q#3s;!ur zoifQb7dIrI9ueAGYXfdU>ptzZ@n5zcH@d}P%RXve8kumd)-KD5990y*cSN^%-`?DM zYsjw)g!BH>V=1!|%O=~(PGRM2O+Nl01B14L+JNXakwL#RN9i#Ts zjp79LD`Tpy_`l3cNN*V~e$$m}Vj^OfF6uVk_g($Ed9>U$%{FHq5JQ;s8gbZjzOk-L$8}*e?>{zu#m0mE!UV5n8{UVK zr;G3DOLpPS!fyppLpCsJQHOn(_B?TGx@{(=UO1Ct?5MIgrG&T!8hu&fuaMgGo~Lx1 zZ$R5{xV0_MlR3jy)^97T{xV~oI84?)X-P}t3iXYCaai;;{m#+Yxm9-AjJV(>`D3;S zje4K$%boO2w-BF?DfhqpYxyg-d46-=x?Q)%JxKeY{il{w(%X3`C~~H4zI!62G&XbK zXS?jl!aLTb$(HylEI5|q8X4Rt+hCyuRjs;MR?wZ9qY2O{)D@*I3v6 z+C-tBFTH5EOXE)cY;W==+Qr?YzUnsKDy*B^R`AFz@tcmeQ}UBqXW8b4*skW|Eph>$NX#qF+xjMEfDl;S?o8tv&9E#eun*F-sGR{=3i}(r|eLrc(uydZLX#* z&BBlFDk!U2;unXh*J3@EZdX1)BB9-^_G9oPVV_+r3$~S{clz?0d<~WMgyOuXHs;T1 z62EDD)HK5v+&CcK-Y2??{GoGfW%2F8^(!x&t`yv+HG7lhZU0q#kO)}vw(#BV@Ldvo zWlvb69vrf}(jN4C-Hi|X&i*dGS(k#tvcK)98_<3&elaobOvGGU+51!D*tCr72e~G+ z>D3mVe%Mz%w|cH^`h)A1+BI*ApRH-ZiI3XG+ug@B#z&p*2PrAEH`e|m=<7`N3AZ@x-u%QdFL;jsWH%p-dv|WgPE82o zW2%2n80ES&p#7`qz4pA+cp;**C(e5+wd|9hY`|1#y{tv`-mJGww>a$Z%CtR;;@16S zPpDlm?VEYKU-BA<8e_JkTuUt<(EelQmKDKi@iF3OGgqJL@XY?>XRDn4^Xi>5yasKd zwKp@&_9esJ;;^D;ycvrUNBv~)d-$?vTWi5wukm@uvi&vdeTMML_vhR?R1mjVyxQQt zV;d{I6*kZ;w5-&ny29RMXH0o6=FLd2@#BKgJ6HD@`2*VZ z_>0~RJ7Z#PbL!jfIUB=ED{K&4Xs50H%dV?CG`CtDR%C~sNr`<|{G+wEESJxh_csO@JTXj5cb-}?10XV-?5;{F1c8NGlmJ4b(XB`c7doC@pl}U?Iug(ddQX$^A z3*FkhjcdKeKjtN6`8`I)fHwbxqVKE?jT6_bXQEFp?DAiHfCobxa_#2i(A@mZW#X{! zX5`N*taM+rH~m@{e@^cy^cqpdxj;&eZ_R*K5EqnM(Aytpi`Dn7Pnr^s@hJC#;3wQ! z&*d()m9que_cyj|zi5Ztj467raMo6@aWC!7^vcoiq>3|=XV>K^W4pv*BU?LM?<6k2 zXoD_8`*!Weqff@{{AqQ(ctf7c$oS^+4Do7b{KM8>T30MSZ`uCh_-XRe{hXi(mCv+4G0ab<+Zo#=U@IVH9>eK^LBPESsT_=ZYRG}J^ss#oC99twTP0s zyx!S~1KRRymF07m)QH2*w^eRpSk3nQV24~>ou;d zc{xzOC#kPr`|FXQ6(`4C(uH!bq<(#qPe1oUkxZZN^;kvVS-1vo;t% zlzPyzsymJ2ow?$$hG*LAw$3|p)=qBA8|iZ28|yRP$U9SB-&9%GudPk!$h;cVCJrn8 zA^Geh*-OM>vcgtddFT1&>fV_3wmGRJ%$HNscgEhgF+6LF`{=Vmd|tkxIR5Ok%6{$5 z$)&kP+sf99d&>jyvj;rI4-$hYL!qs|F}Q44YlnEXsVVV!U)9e&V{cOJfvT{%Q+>uO zoiPu&U*1*LuWh{lV*JR?_)Obuc+WQWm)|{M11v;Q3+?-%CEa_Dm5amneB+IKSl@NR zPHt)`sI5B@>@)sUna~p+bvwIX$n1ZOe|KtEruaHft88p-o$(-lh!Ppv-A_wzeU_S# zWh=wabWcf1ym8##l$)@zHa{?5yl?)v6Kf{TSktfl>*d78t|jH-uyJjjb4o509Ou<2 zp7VWk0v+P8D?zT{uiWF0i`_?S$^6up7yFDTcks0fcMJ7?apE#tiW1|pZKcnf8}*gv z$}t->Bg%JZf%p%r&tw_dwrM?|UUco=zxTE$U#yoR(**l42dfno%uFaLNo{i}{_{XjLh7V(0 zYsKHRDsNlHx8wcywXEZD-IMm(;vYS6zG~gM!cPy{KqyhDM9bRsRab$%BG*>-JQKgC z!2Rk$d*8O^;>N^`T%U2ry?bNE==ojuwZG;xbUdYA$`vQBv8!hfZfp4F0n!p>PqYow z&(@r7y(M1FRgvx5)mr$CoxIhP_+j@A!)HwL*Y0iXPieicttxykB_}mfh<%1`SyB5! z-S}^80GTMFqOE_mqJPX685_ijE7f^yPDwKk=8TzT|YcF6p$ z-A5~y7W<4L%?(e)=9QG)*M2U&wx;WCmw2@eTk=2K*w%I60SeSfqCjPxTAcl9W4Cy< zV{5Bso%8QFVDAfUFaD-+#uq+gd+fTb3(;R>-`Dl%maApseouc_oCdeLdKQLV{n8G}jVnrA&~w0N{JASLEq&}4tM6;CU0La`-CQXS zJNuREQ&(Tcmo}JEl(NzO7IkLL(W-XwkDiNcoO85!=$H1UrqJ^fD+&*aze47#2aJ&= ziTAaerw_J#TNRpbo6*0nIBypo7ECEw39r4my}0JY=Hz@^DR?d+&=eWHU(BLYu9t@O z92eiLwvEeM7H^Bbul;RiLD}h!eDP}6b~WC4r|!-d4-l#-v!lH+?TH0PlsfTh+RthF z4>8-nutR$CYPXfoIOj9g)!XAD?`#Yezo}NaBCoDf9Om}7Wj|D*iB~JUJZ5V2)$=}s zqCMKuQeXUt#P9;!9FpZ7v9qyouiZR7eoCh=uH0u_=}Oqyf48cuPuo~n9Ifw6E)Y*2 z6}cJjB%CP~qt|F(WdHSx;_^YftEkyq%JsrLaahTS(0di-_e<=M6N$Y|aj`%7jQ2B^ z?r)u2;_lOy$GshHtJUH#Uty;EcH=80;vT7TQj8v5CTEG|kX35~{y_DQ~G@oM9&%jbNnuWz%P$45tRt31^tezxuz&wDb{ zefPB5-TUX&J?|BV*&nXBe$*JT%?7fILQLAyR|a4D_Q|k~VnVCwfu6v-( zXHXk#9>EXjQ!N`Of&7~`86X0YrMC`tHl(Dbw<6Oc2C>jt7^XMcZq*= z#5-Qk^Qr5%*x-awa!OlvYbyTq$~Qgz97BBuU58#plvSZPr?Up0RcW{X!#%rfeO^40h3A7>_Gg3B#9>K`V@@@7c(U!Xg)xV>b&T}+jJGc21QnK?i}s1H^ZXUT{%mpBp|?Y) zw>HOR*1z2YD!yoYQ_1r_Js1ZLT}yd0iW?>+0jJ{qE2{vwS`wp z{h!{h5U)0(B>UUji8In{pw1}#rG1~hd3AJ0m3Xz{`Ek=*+e%aIkSEKB9`Bg#7k>r) zlhl|UU#0bGNy8sW-BVik$8Y*!c3f3T&`KLbG|Gr+e+zo9diz5jaoGB|13HfdwGIIN(&*?uuHd!fCru0L=-F3$EDs~SgFdOs~s>(Lr|_0Ya0KJl{|n-`ou zn;a8r1H(qaH0|!p7ZT%c_KCyB=f>9Eu6c8|xB|8f-+bYuc(pri4Yj_6Ct|v_-xCK% z&KmC*hrPV=miA>$-~}7BHp;Jw9c66ap~r1;nCqpsV=peq*6idHmtIYb?iH{0bl=Hz zf6S9HU0PB`LCj|d#9`*pmoD6WyT0KO8&J3R3F`KG&ogNweSf^#*@cWWINy^4C*mzQtV9lQ}0$lusQxbB5vKrOf%NTt2Po z%-N62v@++?6#0~^GiPQlNXwk(Q{>Bm&RjLP^e%G^nkZkYbmof2MTVK{W2<}_)tRd; zms4i0-6`@VUT5wExNtOcUola>{m_}a6fTj?-1D@`w??9gDBVGEF>vO7>|yz~O=s@z zxa>J|uee{nrPP@_PcHDz+{aFoZ+CU(u9!>NGxyk`@~ybe+_7`fe&+taRens+nWqV^ zPry8zgvyUFI`gE%l^B@krWE<{N@t$JxaI`&Ot)En^wXIqM6RyEJa2}|kEJ^E^vZQa zm}lYr@*}g(JXr(fNDtsT^PC+VP**B@~A;)CK6l)hna8em&YJFGcDnI zKg{eUMIO=U%p`{^8!>aC{qp!oXQoVC!-<($iRSD7Ioi^h2^d$aV&-Y(^4LvhrgL1E zi<$MM$Rk0WnH+KjGG-1LDvvAm4f0fzYppRe(#`THRc9uyTvd*l-=@f8UY(f+bNxJK zw!B#$LF>$CBdpYYa0x$BFW4QfD@pTuqtTho;DDQ=Qqaa@}ZVR~ss? zbaiI)%N4SjJ#whLZq}KtG}i)WcHEogRk+S<%(?10vwxo`ujzGWo6q&#nQTC)93jw| zqybm5XL1Xh<#>b6q#(FvKa;80FGnwQCZWOM1emzNIrMFOI;$WX8(nXqnC=&^YV|lV{s3$KG@%9mlaon5gug;`@IVKR3CEhGYj&&xP%z=oQobyCE z4y`k(X^wuxWUy1@sJ6}|x;f+$lMml7$H;XiZO(C?nCyC}9C6o~Bs>R~VsiDZa{OLr zQu-Xxipl&xELQ_`ra{1Aw3t4^!*XpwXW9*5MT6bNbR{Orl?t6{UU0xLrpFN~*FAKm z6~a-ytXuvg8PnOlPxI zu6BwYrPKiBu#`-nG)1nR>P$P8Wns!)*H*a_t25164#3IuaLeVouFkZ2K{~(UIIeZ3 zBU~<5iFKxN%%Mh^{_=jg=BzVqXpV2nbgM(<3bxKPwK=FN)B6sU>)|@nBIii1OeZ~5 zuCD7$!=1y&GJW~|a;;ux+V>o@mg(~Emn;7|Lk8eLxeRBZRmKtM47Grx^D+#Cav7DN zGeiXr3C!>rLS>AD&d?rM4zbLRm?$GAbcRI1!H*fPMT(5S&>2bwM_gu@8xv(Tht3c@ zILtG{0|}L}Av!}B;n>m)t7M{#G|?II2?w-hI4b2bZbfIPEF48UN@l!-$|x9}A;xg% zZiYV-Dr0JNhGxU@!WlMBxs1@!8PX01DQCDnzEDo{H@U=F} z7%rWmb#YvOhW)i)MuZ7&qC^toWC9GAtX#&I=?vwJGZ-+;w9PVFO=k#foHl{sxov(% zAm9GS|11?ZUU8yCl8vTt0tkIBAqQ9&mA#sv?V>>C$jWU_BuD3Zy(aS=-<`^E(} znd}=E|75anT$q%}zH!l1Ci}((U773~7n^0WZ(InM$-Z%sUMBm-1%#RG8y7cbvTsl( zBfQLH-?%6=lYQfY)lBw{i)l02H!k$eWZ$?5Ig@?k0_jZljf=N4**7jM&t%`Y=slBt zj9>>JePC}%L)H?HczWZ$^r2$Ox| zYA8(hjVrY<**C5t!(`vM!VQys>F3fVzO^s!Hdbhadj~!`?g7jGIJd@uFA$_-?(BNlYQfAc1-q-EA27aH?9K6WZ$?# zA(MUM>WNJDEyTCtoG1NIq3Cclds_YPF1f{aBDv}$lYL{0m~vl}t93HjH?AbgWZ$^T zDU*HU3am`_jjhAVty!*I%VgiUsxFg#Yi{#h*&O{@gy?YSM>|u8C8n_bV6H~YWZyza zCXG@ulYQeV&P?`=D@-%lH?DroWZx#d-WYXYLBR{6KNoVP@=_qBg6({B)o>>J#ud$( z>>F2GXR>cx37*Nmag}-|`^FXYnd}=^_h+(i`+WIFwxk9H1UDk=z7{v;i*A-Nz@Z43 z>>CF*V6tx$Pgy`#sNr}>>GzOVX|)=9EHigai|q0`_{b3 z|L)i6dp3(t@8u&$Q^y}&&GKD13=ETfE1O<+qb~B*9Kq~K4(@FkIwzfF&v1wuCi}(# zZGhtFZMZybz=$-Z%DA13?8fr6Oq8;2cYvTq#3h{?WjNF*lv#sQX?>>Gz`VzO_W zrlq;lRu`yV1Mnv%`^KT9nCu$|red;h9OjD2zJ;vSKRK3guUGKWVs1p_Mg8Dn*;*We zi^;xmKrbfy#^J%3?AyNm?Mr8*^trkXz{Z&D8;34qvTqy+jmf@oST!d5wy(5oN7b$E zM+Lg9D|=z6r>%`;xpBxhCi}(#=O!kcf7Bbm44u8mG-#C~elYQgRj7;{813fa?Hx3)gWZ#-= z^Il0`oj<fP-U`j9FUdCzHxY0Ci^z%g{rHic~`#d66fCYNw*SK zCbLXg4n50c-#CyhlYQf`xJ>qqgX}WdHxAj$WZyUdFq3`baKcRXje`?2**6Y#%w*s8 z?X6h$d-lh31dBI+*n1VTG@h@_VVIfh8wYh}vTqzBn#sO}n9)fk86!q?8RR16xYQiJ zn#sO#Fl;9K#-X*D>>CH_X0mVlrD2I zL%B2AHx3NXWZyW_v`?jf|`rO{|tX&-jVE;_^ zjZ+6O**8u^z+~SztpSsL<0J`8_Kj06FxfXw;J|nuI2{C&eJh(2v3O2$O~Wk%atS8; z#;Gcp>>DS(V6tzVMuW+|aZ(N@`^G6gnCu%T3}LcwoPLDKzHJH$KT%YkF#eVSnF*79 z%2amGbX%*bTlIL#xIedDB&O!kdaP%_y!PH4$w-#9%c zlYN`;L)+nsyq6oR49GT_>>H=9I#n6d>5kQhD?&4D z+Yi&J#h6arvgy=fOs9_}+;}!SuUEVpy$=>+I$bTBPA$fCT4uaEkof6M@oMxpQW?`} zyKFjD8PjQB;+?hiHRa;f=<}m8rqeFjbZRlC(`N6oipZc+@oMzhwiwgtYT0yZF{aZA zg{6rd8EN9xD06BtrqgQKbgD9@(?PM8m0tIfcr`jFsEp|}JVP>_T8!!RaQVgoJ+4D& zLg{>^GN#kXvguT1Os5m_b_|cbTOwYK&ZQP(I(5sYQGYIrI<*+n zX-Ha5oolu&q@#50wiwf?TQ;4ljOo;!xVz3yFvP3TeTB-HPQzu>smhp6GwLkw>f&CX zAEr~4F`b^0O{W%PIz5%2>-PuV7OzJ4V=7}h-6We%Eyi>@q5b7^8M`hDg(=-DT8!y* zwQM?78PjQd+Fd&|S`)8E_pugZIt`airz&GQZD0NJmP;?Ecl%*FRT2#lLI<*+nX-2p=yWO=`2vO;IQ)Nu2)w1bS zWlX1g?2S+6j@;7jhw0Q}OsA`(CDW(SiBwbwscIVDq}jGESpYM#&mkADmZE0^xJiQK@t9U&(&f~r}47s)M8Ah zAKZ$|sX6zxP?*vjQe{l1)w1bSWlX1ieZg7DwJU4Hxz{nBT8!zmxluBmT8!y*hx^2G zJ6^38KbvDZwHVXs9@%uNGN#kR>DMlXXPy@dQ<^PXjOnylHl13G>GZMa8MW=h^vmMh z>zGb0#&r5m*>q|#rqexDb;E0B_X>q6&Eze{bn2E(rz&GQof+L&6f%5sxwzIlrc;$M zoqiyjPA$fCx+x*)no*!!5O;%)=~QJ*r{S{c)M8Ah?f#~(n)hrrgdEs0om!0PG+H*D zT8!y5I`YoKa^06Nem2K+YB8qM9kS_EWlX2xRZ+n;U2o?JMYCf%RT2zPkFfDsjR=OXiQ;RX3 zejuApRmOC>FXH4@ckP)q;-1_wovMuKbd+p5RT&$?aj4f@^HHJ@ z@H(bbi!q%(CYw%G#&kNgX6V}VIS1o~`qeR=s*LG$sBAj57}M#g#*(ns^A)i|`0SWY zRmODMESpX(#&kNA!V;9JP#M$dYT0yZF{abxh$CAwN4G`@?Y3h&wHVXsW3uT~WlX2> zm*0IZ!QUD#KE00V)M8AheX{A)VoayW5eYd7qdlSGXLC%a)_`m}&5%u}7GpY1PSYDR zb817xbj2~9s*LIM1KD({GN#iV4m3-ne38YNPB+P>QC|FOr`2_hTQf(*cKxB^{I}`UVoawQvguT1 zOsD@$U3as4)-xRg8s*tk#&nu2n@%mpbh?Q`bdX=R~#&p^xn@%mpbh4#YN+nxcKPF2Qqnk<`6RmOC>Nj9BYjOnybHl3=B>GTwZ zHYwX_F{abQvguT1OsDSftZ($8snz0rb4;fyV>%6&O{XejI-L+Q#8>|DBb7or>X=Sd z#&lXHn@&~6bUHJ8{LcwDN-M-S%Q2m*jOp~SY&umL)9DBKB^7ajmo5yzbgD9@(|xk( zRAo%3qq5hf~NDh#}>f12CPcjOp~Bvgy=fOs9t_lup@oi!q%>%cfJ6F`b4WFz=X7RmODMC!0=H z#&p_OHtpyB1T95;PaV^##h6aZWYek2m`>fzlROKmO??2SQx*$0H#xwF`cfKO{XejI;~FL8JD^|G*nb79Mh@Am`+d0rc;$Mo#r_( zY?w|}#&lXOn@%mpbQ(fsCF(R;jOp~BvguT1Os9LIM{m5fLu>Dc=~QJ*r*7GFsxqci zu>*cRc1}@aKTM}8V>%6&O{XejI(28~=d>q2RMQXBsl}L1+hxsmhp6 zCs5&$dXXw)I*pc1rz&GQ?egS)(R{TeUMNhR&ZfnfPEX0EQ;RX3=3Sn;GX2wQ5&bZo zs*LG$lx#Y+7}M#5v}4a@Sjs%{zB#5-i!q(fluf59V>&$?`P8n+>r;I~i0YV5RmODM zC7Vty#&mj^%D>bRRvFXjWZ86TF{aZ!?MJI}OS3%pVLDYA(`mbGI#n6d>4Xb)aUmII z&3%|oEyi>jEt^g)#&o(b^y=3sT}2i5VLDYA)9FyzbZRlC(^InPRAo%3J7m+T#h6Yf z=MBlN-}OcMeV9&F#&r4@*>tKhrqdm{zME@&r7MJV)G?h}jOnypHl13G>GV|Gr)TSS z6vf?#=~QJ*r>A7osl}L1H|6#JUX^JsxDV5*%9u_wWYek2m`=+){`7!(PA$fC zS}mJSRmODcmQANBV>2$JeI#n6d=_uKBYB8qMq20^(cDiO~_rY{(F{aZG zWYek2m`*3>j!f^K(V5T()2YRnPNQYhsmhp6|57q>k)`5sKC7GpX+ESpYM#&p`Aaj2*2- zGvTFM>w^1wVLBD}NRsLFl59Fv8Pn!$S7p7B-F`e#{O{W%PIvwSjxv*yFO-~O@rxs&6 zT`ikVRmODsSXbWdjHZRYZkSG0#&p^xn@&~6blToo-IL$=Q`%jzqx`q&RAo%36J*n= z#h6Y%kWHs5V>%r~rc?Z1m`>?MbfUfC--79sek4H=mVR=WPU#oaiS~x~0j5)WyI?xS zy9(1Oz46HGIFrDHr4$~=pFm$55;qwF2DSgafI>o0Erc?UR>O_0PXB(zd z`U=2wif;-`r}Tx=iS~x?9ZaY6^@QmZ-(r|f>C3JY?G5Jym`>@`0n;hYFfg6ciAbms z|7~W*`3j~}I<3KUinAX~r*x9kiS~wbDNLty%7y6^XJ(jA=>)D5?G5L7m`>?(0MjY1 z8Ze#G#YHFD8?HexozkTWrc+$eU^=A>pH8$lTpwXNrOPNxr?}d}bV?UxooH{kcEfZ^ zmw1>?aVG%NDcvyWM0>-11x%-O`vKD_?owbnrJEU@Xm7aZf$5ZPjbJ*(9TZHbbfcvc z?G5*1FrCtE8%(FTyMyVJZUS|pz2ROFrc=75gy|G_o-m!#4XRGGH{8dOp!*ohF_BzqtaQ_d}DLp2@bc&}5m`>@TLnqoBo=spn zrAHW;PVuAz(>69MlVLHWA zKTM}I9MFmOh8Y4(r!;DS=@b(Qm`-VMp%d*5^9`6zX$%6>DW)YbozhT6C)yikFEE|b zhz6!pOmbj4rGbx5rc=y?U^=Do5lp9;GQo68!zi6hrl5Irc)Yz>SQ{_j1;C*8l}Q?iis;sr!<(=iS~y1Elj60=7s4L(_olRX=tny?G3YK zm`-T~4bv$m)i9mXKwBr%DdyfVozi$5rc+GOVLGK@yH2z>%;aG@rO`c1rOt z2BuS5?!a`49S}^Xv?_w>6dNU&PHFL^6YUNADVR=ajRn&wwp}ot(o#$(+8cIfFrCth z4W?6U;$S+Zg`G~cH|+IbI;Hg=OsCiq!gNZ@M4f1F*g3*68|oI+;$f z4~6NJ)}}C>V!H~{DJ@}jqP<~P3)3mBbYVKh<`f zBn@CXr9gsCv^V4yU^=CE15Bq#LBMoMVF{gRZ^%@@bV|_+m`;(ivrUrMOI)sMY08^QwqT7M0-O{2BuSr)96g<2BuRA=jcRx zLxu;YQ;Pb)bc#d}Os5na(#dp+d=X5i6hngP6lo=xPASwRRGd$A7x_cy*vLM?bV?B^ zm`;(Tg6Wh3S31$&kjsMUl;T@3og(E0(GX~QsMay71MFI_`Qwpl-8%EnH z`AMy_Y~68L~I?>*c1BB_6;({=p zB2@^}DTNPpqP-!b2-7J=8DTm_;t{4(3P$QgdqaK_rc;Vp!gPu>CQPRk+Jxy8*-n^F zDFO=9DUzZvol>AwC)yiwr!bvTJQb!>q*!4(rLe0`v^Qj8VLGMgEKH|J*ur#5L0p|^ zZ^-MybV{*am`;)Yh3S++!aC93kR^uclp@D4og$eG(M0-O98>Ul=YQuDjL^n*Q6#UkS_J({oOs5nhhv^h)bC^ykl&%x)4cT>=PATFJ z(64^I;AkbPP8{<{$V<$Y5+{9Xb`}3N<{;mXm98v zz;sHr1(;6JZh+~ON)S5H-q4kR>69uJFrA`#0n;fJG<2f9p~nH!Db+n-Iz=l4rc)}9 z=wv!Y#{{NRs-nPjipB~|r&Mea->etHb`OskW~2WC(<#+tU^+#c2BuRg-RNXGMK=eg zQ>yU5bc&`AOs7-`(uwwl-VjWuR4;<*6fGo}PN}S<6YUM1CYVmC`UKM{8d5NwQjtn0 z+8g>-Fr88@3#L=Fw_rM@l9x`jH*~>ZI;F}OOs8m;!E{OmG@WQ~=&8YUN_94vPSJXU z>6FTG`qiB&t%ujzcLM0p!E{PhJD5(<$b;#WihDZI-q7!Z>6B`KFrA_;2-7K*3U#8r zp?e6^DOD6O^}(FA}Cxs!zgnik2lzr&Pw&iS~xhCQPSP?G!sosR0Vp zDHTO^qP?L{3ezdoPGLGlI~Ar=DzWNBdqdY1rc&09rDC#9v^VsZVLGLnGfbywL&J1RrD>gLZ|GLT zbV?O$m`>5uhUt_F-8#|U(EEnzlO)x&g(_B~9eRMOXp_J%G$Os7=&hv^h#0GLh*AfS`!6r2H=P6B)$Xm4Ogz;sH8 z37AenqJZg?fE7B?-oUkh>6GvnFr9*u0n;hLG<2f9fw=+GDWN%FI<<)40n;ggK6IkJ zfd>N9DPcokIt5(>rc;8D=tO%1s|2P~LYlyI3i1g|rvymRiS`DL3QVVjTY>2mR2G;{ z3ErX;?G208~8IYof4)7rc=;tU^*q}jZU;TuyJ5IC4>%4 zry%XXbV@)TooH|1_P}&XcpsQfK>>p4lwd(R(cZumg6WjdLol6!Pz2K{fsAyby@7WG z(6B1cFr9*k1=A^kvvi`pfv*MADPg!^It8r@rc;7?=|p=2`wOO1 zLWIF|3X&L1rvx0+iS`CA8BC{yFN5h6lrxx435KQ(cW-co8-{maQ0J(_J)(>(+=$o=Tehs zZ#d;%cW7@oGb==U!wLLRhxUf^yh5}$Tn=_Sv^QKe6r#Q1;xfshz2O>U673C_sz)8# z8?I<3(cW<3yYA55aD7yW_J+&oNr(1^tF1}2H(Zoob7*h4cAG?d!zKQ@Lwm!WfI_r4 z+%Q~sXm7Z$P>A-1+mAOK+8gdt6r#Q1W@ep3d&51CLbNyB8l7}#Z@7apiS~vYt&&7r;F9$O*W8*as~IJ7t1u`5J-!;SrK4($#1|0dDi@R)GY zp}pa0LLu549y;D~Xm5BnQHb`2N0=cF?F~;l3en#1K=g}4d&6^+NwhaSUX65UZ+Hqb ziS~wvH9~XanNA_v8y@{WcW7^TLNtl?h6l-Y4($!kn+nn1@K}1qp}pbhRUz6N9&&d( zv^P8pn?!rVBl9YU_J${Gg=lYh0Dsz{z2Q0CB-$Gu=eIkwH$3&5M0>+HkoLwmzS!X(-o1{Z&JXm6NrC`5b18060m?G4islW1=ks=VdU-Y|Pni1vmN%~FT< zhDnY>v^NZVt~j(e%!N#%yB~>A=(>8Th|@h8zx{T(cUm9 zd(EM}VVaA`Zy4hJ=+NFU>obY=hLPY>hxUfap-Hqi3>e>cXm6N9 zDnxt3xbliad&5*yA=(>;pQO6LjMOCB8%C+WIJ7rRTuq|AVKBShp}k>#YZC1ZW8U2k z?G4jlg=lXW8Xt0KZ*s`WJ`xhDo(av^Na2A9ZMNn0uQd&Bm@B-$I66n}SU zZ`frhM0>-^;|+)QhRujcv^OkJesgGV*t3{Kd&4>=%AvhsYhx1a4a=Py&?VXtQr?G5X{KRL8FYzY;jyR*3e7t{Xm5x&{Nm8wkb*FY z_J*(osqv7hP>A-1=*23B_J)LpNwhZvIo@(;Z^(O?M0-Olg_J$0PLbNwT zecpFyZ%70wM0-PUXuCsuL%zr)+8bg>e|KnaNGmBsdqb${X@~ZP?2}2fH$D zhPdDzhxUe4p-HqigbztIi;SW|v^PW<-*RYg;_dx+;!z>m8-kI4cW7_OPntw~L(KA? zLwiFSQz69RbqP-zpJ;b5CA%kra?F~`w z-45*yiEfi(`NB;ggJ zy&*79dUWLK6{5W%et*xQy&&EV_J*Rtb%*wb zK7vBDH`Eqhb7*g9Hz-7VLkWVE_vlKPM0-P};thxPhUSGrv^NwqmO8XI^f(lvy`kR6`~OB4ds#F9NHT?CMMC|P(|6`(B9BkQHb`2V#}ir?G61Gg=lZ6$*gi{Z)npf zM0-Q&=88jmLpR4H+8Zi7Cmq@wnm!8A-cShI;LzUC8&Zh&hI-KzhxUdRl1a2Tl$Bm{ zXm98=nM8X-_30lD?F|hng=lXmQtftVZ|GYoM0-Om>$*dGLwidh+8at<-#fH7biov& zy`eJphC_QpvrHk{8wzOG9oiduY9`U%P-pwip}nE?rV#B7<+vz^_J$6fNwhaq?Ot(c zZ)oJ1M0-PV?@@>LhJK$(v^UfM|K!l#&=ypP_J&g78xHLa-9v?FZ>T7~;n3dDWK@Xu zhQj014(&}R+M7bOH`FJi9NHUNmI~3{P{zFD(B9D5G>P_xYUfFZ_J#(iNwhZ3f-SOv^Vs=6{5YN9zMjOy`e>J673CT z^OFwk4V`p_Xm6;lZ+B>KXt`7Kq0O>v^TIL6r#NWG4Z}bdjp9= zA=(>&6_Xs=8@Lt<(cXZ+80pa7K*=zP_6C^75Qp{#=7veMH=sG*cW7@Qcql}B1L$Lt zLwf@c#3b4qupv-Y z_6FR_B!~6}DvLt2H^5uAJG3`2UKFCe0R?l#p}m0^V-oERV42Sy+8g*YCehx2sk!3N z-axZai1r5P%{qtn1~!gKv^OAh4mq?pkaiTJy#dJcn?rj8x5p&f8}L5s9NHTwKnl^` z01JA}p}m1AWD@NS=%If&v^Nln6r#NWWc0K{djs!CA=(?TNN+i`H_($5qP+pK^r%C7 z1B*%ABmJB924vHZ4($zOCxvKl06-mbXm8*|nM8X7PU?_DdjoY!A=(?@RNEce8yHp! z(cXZ%`jbO@0})Fh+8e-GBOTfs_*y2>-hkoy+@ZaJ)@2gy4N$L<4($!>FOz6*K!mMw zXm22iDMWh%aO_cs_69DQLbNyF%kDU|H&D(LqP+oz_M1a{12at_+8fYnPdl_X5ZFwj zy#dtrzC(M{iRY#e?Jec`A*G7cVFNQ~c>PN* z)DDZ{t-#N301DzscAhqRmPQ=#i_2* zzly{DS};Dpct(wXKp8kt;n^Q9UTx6ZD_*f9PifW0KdSG()Mq#S@sEypvAuUo#0WnE zePvnU_0#fvo2!lQ;}gGbE~yiTEjuwk^X$ruF@7_tv)@ii^o!s0e8k5MVbg9`8z<*Y z9v9tHB@UycCvtzu!PWUs`Z5;P7)#w(Dnh#}#9{NZ2iIgh)HlO#dLHgP9-KHJUhQU@ zzqh~SXpQk>WbH#2K0PlEBN;5>ffIVdvkykQgIva{^6TR(?jIM2t-8{bJh0MO>{pD& z)>r3*_j~N-XY`qg=}#59jPJ9z=f*tsjW~=ltw<3L@|3&BR?hagjFY*aq_3|1LL7GS z{9yOak3uv2O47mXfw!Cc#QTtn|0gX zF?+%ED)DOG`|m{-hKa){r;LPS^XoM=p;f^T)f-P=8sU$unIR5)XIOU1x#5+E{Yq4J zUSE#?uE#EW(e-Li`r>KzMpTNvt)==oaTsZD5riD%t=zqM&9asC#%o=6Q+S^G$3Hsh z>zZM;9Vh(e@DpB_-hIboCu{ygx%s+PZ;Uja`Y6=>h&YTA*~m*yD9w$@Y0Uho-uTV< zG_s1MswKiS`dICngo7b1+gIjTj+Bgs>}(F~va&BZL@3c$&3D z`iEo5;K0zXCE7o<9E!n#p>i}*yc8lrh!{dD2VB&aZE)KRj*K(EZN4RZ_}1DG$%-k-}^9^V~IWff%`=+C@I@AFTj0_-63(Q$G~ zs?$@srO>UtkU0c39iyw?7v!8b&~YgPUEOW50P`9A`cpoImHGUbg2SB#`a8|x`kpol zVA*xGn&{L5kEe1ZF*)>N<^YVhquS7K(zsRw{rdT9RCFQ`U_L&9KQmNCDYTyo!h($S z1J;%K$!|V^bMNV}yUwk*Ov}81S1P!)n%xP}x(%l`J+Iwpr2nxmyi`4^0hrG&*q=Z; z?;Gw6pS_%Iq~io$wXLxoU>{rV>x!oALEg%P_qAc04NoCjSV1;zR|t&subGcOa>5z` z=3`Fy^GuBq18?r`b4ZQ!TTiDWi(3J(Y(uv|8k)4yTiMZ=^<^lx4My*R$sAL!;DV7p zrGD%XP-1}j%!mEysH)W7te7IrZ6p1=Hf%n>bw9wSg3JTEg1BqEm1{V;Gxs$=LoeKu z&)!wWcNyuwJE~%nr#F55Z%@G>D+C!#1`UVBZ&yW{&Cw z5#GR|V=_UXy%FZA7oFNDUpZxjfi%`Sa>@FyR>uD1R#mnzV!ueaaGZY7Gn=8(^#iQf zRV~Vvhoc>!% zsEA*85n%6Q%ZdgJvM6t*zC!Nla@4};ec_zC%W30{)8DiBoPEm+0p>GC_NU6OeQ(>A zY+U)nIIKweq2#Rx0JfiL;cg94$9gN9Yt=tDj$DCRe_OT_^~leT!ywPv%XMu8n2-14 z�Yuf8Q7H^N|LKCOqL3pAP)`-;NwZmxBj(dIM$3%*K~_H2k+%)5@rUxVCZn5A6Cq z1I?y?wORHj-m)r~mid;N{&D)Rc@HZ%SGxfA-*bz4ouj%0Z>3@nJ0Zq=8rm({_N0tA zWge%06^BI{qv`?XV>tQqbA`c*gyx=u^G)=@g1U2kr%M3#AKSarPK(V4y_L^oT7xyU z1V%`U?&O{1x-b*{S>L%)D~Cz!H*NN(@v0h4#Xs~-N15n%b)FA-l5!CZ@wxr(Z3cg%YI>nyFkJpwX`=s{a;j?ZWHZ2g7SR5r;PU&H!N!!>8i+P| zO3nXV39!FxoiAH;i+#jf8G{NQ2WIl2Ppg>W=#kQ&O!VI;PVx4IB7pf=YyR9})_K$A z54i&`P4pjVr@qh`4X_vSTC*ZLRp6~0ThE{%2ls2A%6@(^5o9pYzZXcDl*+hgFnK#%Wm z2v_bg({TeiQ$53cfQ>r)L_f>Mi@pAYW#L>JT~e5l4bfbKJfmSF!2S}Jk>^Skmv{s9 zB_j&N+X}sKj8!blzfx?bUu%o1RgXMiPwitO`tzF0Z|M#N^19BM=`B5FrPhd704sSr z|9NO%f!te}vqzt3$z20AEnqH1Pq=QG=@X)ZT1$GvznWe9)1QsaNe>o@w|AK7KM4$H zR8c>CEp#r#)HzpF>aElr?P)ilVCYkAe|%$amInS?YAN5+kpM6s=hB}gZDjHpkLE{< znZ9b-8t+)T2wUS9_Ft{D{mInj zo4U7c>YZLZL0?K5dMR$c4Y1K^x_d=Cy6g=cFmn?_3^QX4YyQZ)*xaSz6ZGqpR-P_M z3NRnL)Sq*$s?Bx(mbYrd1pT>3(d>#y1lV_Doo@T6@kei9XTs#R9O0KS=B8+0<8i~* z=n49FrDrVJz7SwOBW{0cw$YpEY*6h;oS+XTdkiU(VT*_Q^n}vJX>zN)m6B?qB4R)X z|LrAb%~bzE&IJ88^>%gAwMKyXc(ncu?zJ-g;g2bN{sjG3+tymw>EmCcRUflTZ<(rL zp39NuX_FY;KFlh%IVb8 zl@s*ei)3Z)kn;e0uTOBj>o8yS2G*vd+8j$O{I>>0(Po~qdV;=MczHBSkq$7QNw`04 zTs36;!lvoQ3HlGL#|sCqg#zq9=CXBb0`soJTo7cIY#L~UXgOI|J2{u{P0+tsSMJX} zIR+--K5n!>yL@d86S|)DV;lUp-qvBR;}*d7N4(INL{8lBR=TXt6P3cNP}8&0@Bsdg z>IwSK@>9;-ZDN3py*uBnr+VtV{v>qc8Q1CeeV6+u=zk>doYSw`1hAvQVfqt6dG+4P zkfx%iC5B5ddfUXa`^A^^&{^zfo7B%ff#tZ51@6yPH+HB?yHS}LI;+3ItP5=h*r!K( zRAv3<25;b@&H2wfDe^H!6~1rwy-eYlpyP5C=kg+?0P`7``%~J>KblXjx5^k8y^^O= zi6I(bd*WnCyd3cZZ{R9*?wg2Q$rv+_!y5~GUbJYE{@vEL#C2pE49tCecYo&ly!ku@ zrzj_MlK$J|!@i`;tpNM}a&dlUyXm1f(3{ws_FkL`HJzqUu<65*lk};5kHbeKB>?l; zq5Bi$%ex0g&zrcLC+U@TU8#F68el^S7yGxEa)0*1B}(Cw{aIZ2Z~G^sn?*YlCh09| z=9uk}1MJX!%zl3!{msSbX#%q~d6NFt={QEGH3RI|&ko+fQDZZ7R>SivIqo=UH>=Cg zW?jjfq<6D-KLHT!2D#A&aa# z!T`2E(IIx0Wj^s%MwiL9zRG9xj7p3?>$R8aCh33L(t~6%8Ut(zC@qg)<9y=v=lHK3 zE^OJPmE4}B|2{aho;7wJV8>@<@~#HWQ)@Sc7Ei>ZRw!5a&B}y`snjAsA=CoS@3xLZVSD|^W!~h!wc=d zSls(b560VuYv-Ly_gm;V&6bN|o)lm|H2&s{+hiT`R#v|f@7_F8tY?Jr2H67c5YIyY z$uM$H))omcpQ?(V8^M}NUbUp420??EuLOxT8Vwvgo#Anexj2YtLkbT-S7W#x#({Gl(9|XJLtaRstV~V$4Khxr7JG1&zUiAeF{W|G- zYHHC%fc+Y3abMfRAMsW;8j?cy7Q{eJN7?-Fow5=<7Pz=p*BTfhM+K!Fo+tM$^mp8q+SH$G z0OnK5@$)u>mZa;PwBincDIPA;T-x(B+S2LNXN%dR-oR_hUPq&J8MNC*?Mz{AcsGoY z0zFf>`cpr&n``!Rdl+AEE2biJQ{4TKZs{H^nht?YEA3 z1Kr08>Y|*wQAX8aeX}rE>wxiw9xqI-s|MJ~6<($~q}Kq=+qo~~rD7NgZAWC>qnr4Ms=M#$PsyL7dS(|7c4~XzyRbDvoKeWJdn;!n2UZ;$ zybd*u7FNmJ^|4m^Pm+wOxb+{b#kS%jsPVFrT88pYJk{ zcAi|qsxGwBpP!GF=%vkSfJq{_)fYuhZ{-S2!Kyvn!%)*{>CEQ7Fr}6LPA%1RU*iF+ zG_*$Zgz-4Nep*ak_fXT13t0_0+8LPj%A_z<5DztF#O;lF zaaC6OZ%LOuJu7Pg=F{%-vu9Xyy=B4j_IfLQYErYrRul`cV^wDjr{5>f!fDqe@^s6# zK(sb`nN*T^$4bA=j7RcPtqOL7kJr<@KqJ6>BpYGn_+V<h)!Bw`Re_9Gp+BeXAQXXSA^PDn=Sbi5e^Yz1bb!6T}19%aR{@R#HQ0S^XA;6QS7*nR{DWpMWJ!W1%UbV z*!;{M<1llX>V4#ZxnKt{&0QPBCJ;C+LmokAP>$LK(cUFvw z;;m?tdKTK?W?LpQ9rnnfd8hTt==&ys`FKL}##~*Vtj9}i^o;CAYo@FQV8tskRJlX9~EMxq1qIrvqTf<#z!fo_n zY4LjYShoz0|IB95aHSbwiDRb2$Axk&!`fq1oU;s3Hu|wjx~VtjD8PIgdw$l@yg*y$ zUaoSzjlMKkyilAlCxz)8Tb7hirCiQbF5lQQm6)3i|Ba2L;p0)80M@hS1zKJOu(z>u zwt)o`0P~TPR8_f*#;DtDqyJ4jz^AJ>04yhCG=F<>+6pFc)qRcgPFf;F;}}=$PW>^) z2FqZkexJ6p2zFfCI#Y6nlmPQ_n1mN6C~6`$!A4)TrwLNEJb=y0@0xNpOaScZ2G*&& z_N`jRcp%BS*E*UAoz?g3Q-AeG32gIti?X&!(}Ea3)#+w>{&re;d9RIrtIW8sT}}h+ zMRsdaON%my30%5BbBXgj2-=OERb9oF_f?JC` z$6;79N8(+pD*!gv5IY{6ZUUH(hGh(cn*@1Xp^g57x#K58Suns3rkSM;38|q>dTS(Vff1Mmvx$Ume}YM`-Z+5dDxT(UuW*itS8~{THDgl^}BE~x2D>GQK>R>LoVmG+2R06E*#!%MJ3v>Xx zoSM0OdLjzi?d-moO#@R6(Dl7fnw5`&0p`;;^)ty>n|t*iw53fjkSy1_jF%dPu=al0 zXt@?xu$rmd<(O>g6otTeV}x;rq@cS{Q$=H+HDVLMP6+O&o|b7=Gk(HZc~p_}{owVV zVByk5@NK272+pUC_vveT55TS?v8!yrsbP#YVtZ%zmKGcRPu<%Omg|WC^C`Ldd1Nf#&*5QkuQ)l|uFoC-H`6$uyRs(l6ijdgMot@BG1qpjtp*(>7 z=cPRp+tkiAjGw+%ew(wc(9!Y2M*osBv^h_z&w|C_QmBA&qA;fN;F5lNq3ekT?o@Atv2Le0a!s_EF;ql+u+9R#)e7B z93PJV+GE1(Y!$$`_fdwypwKYFZq@Qy1y2vr`e`foM;c&0bzVPbjy1=3?KKP;V4jXR z_NNpr0N9IcMlrC58^KiS^zwACA{%P@g3cZjrhR}a6s@#sgU<6{H+V_po{5x4Fn(&? zyjp$pM)tr*8~rD7ZEcEd3BbPmxInbpV*r@9yQgk{N`i)&SJ^KCp>eB?J|!qwAiiFm z1`QGwA=&manPU75JXM5b^*ZrWhmAhi9p;slg#v7Ecy?w)MIprmK1>+&zEX!npYGQS zj+>(AptIz~$vxZaxv<&Z`CdC4tp%8msK<(N*qT%iykBSi^%mo`2!MV6EMua1)B}Zv zY@`!w?4BWJUX-!;mSS~)o&GLsR|sdIG4<;=Yp8pNRJ4Wh^Z8WgG|x5n)<40@YT=&kkI>d!Q|&_h7i4{;Ov2%=1<20d}Ci(q7YQhG;E!WsfwdVTf6K z#%lOzX`$@&AM*yhqLHQ)SbJ+1a_Rz7w=sSap)uB=x8|>25C2Wv)owTv39!%U&GwAF zQh;^kOnByV%izDY_K(K0%Qo6!p68fcdzFYs2+awe#~g&>%eb zsOJ#{uy2>XP(HlH+79j3ENW}A3839Ja+VJzrA6E6Zm?Tnw2 zSROVq{UWb9)=n?&-(j*W4+q$r*hEiGUmrx1ZDzV&JWm*6SOo=#<0pc4+u>1TYRDp} zO@b?(ZGG}puj~-b$6RE!TAwUX74Narf9lVUU@3wDR#YZI1Edq*@g&G>ncMw3bZiKFA$ z>Bni3u7MiHGe>=@DoKk7k^t<9k~92+Q3uiL#Rq@V#bn#zBkqM%fl`2#Zj%)?t2NP# zpDtOh)vdWlU(SIlh^ID6cRAd1RN2XXZvAmLM0rRxy zKq@L8%jFyJ@J*W zIHYBo3r|G?%%|(`XMEnIle0Pt=<{~^`^?h|EMIDEbJT0Yi}AMslW|O-Qm>EWbAut8 zW%9{g+v|&V$O?OtY}v9HU?ug_)(0V}I~YGP)JX9Tw{|b9vD2UX-YrpXJ7b-r=3WQV z*TRZ+FoA99`TX+(_E*f>500tkLT(+*QKCJz|^fofFWf z|G!s*pN*3vJC#4xhT3nsi6f{ za=xuvu=Lrg z?etY9BE}jM2C!cf79`(zsREdfP+NXXCr?#H>tO;4p0=?%&+6x>|GE}yY%`AotR{eG zml+28n0b486w&Yi4bwT3d5Km#bYDmBqW|kO;^*D6YS*9);COU9_hBES64>JcEwyGCA#Qq4{x5w`m$xYfOEfUE zo(4Dv5(fb0Bm2%ng01nYRbH5<`Mfjc7Q-M+nU3PgQ=e>!OyG@soM@hKM;~+ZgZ)&P zZTox&ypDZta|!kX%;z5C=LDC>_M)!5gar=zvEb4sM%O$5gIvdV)`d$CGH~l|o(-0S zK(zQG`Fsm+p@Y6;QT)W(5)Lq*WsRRYJa29wtxYCa?4S>3tqDopS9!kc0kXa%+NQwWV*4^7-WW8OCcD^~{Z$vAxM6 z|BacKk-B2B`07Rn{kPPqdzx+O06RGSURPT=0?~Z5=6T_Rhgu4*e&e9Guygj0JZM$V zQUBhNKECse3t+kg(cxpt4ES$S`K(A$8|9$?DM1Sd9ANg-1=x`XbDqPmoB(_G6L04x8Pm-O59AG>6`$S% zBZQ+Y&D(O9hR=t)Wb#2NKb`S&sppvo!Xx{O_d4jMX|m8P`67V5nBMQ+6)H<-D!GCD znq;G{n_-0)%@|^g6Lp?y(m7TyV0MY!Bl2N6uq6#jfa|+6z#sy z+ah+*hqaAO&Y|KWSZa1Qvqua)8H}F}ZmhC3t5a(Vpr$6>kR^Ud0^=+}tZ`wRV`+dOUFx7;+Z)uJnhS+1od8Gl6S{a}y(s_Lq$6_+;pd@vSnbf^p2-FS8WDf7{BIDJoQa#!oK4S(tmHE#F%T zE0SrX-MV!@z`if5H@Vpch~_X)qGPhImrQw~B3o@ADT6BXjV8F7Z|B2SiXS2_opuAv z$3egONg30nXg&kIK(Bn|Tp9qdPeb>$vo)EAnZV}weTomP8!s7Sv)Fq!sq8FNq4#8) zD;niNw1h%?x>!3!k>;C23W^;z(&YGiqUV?tMJ>(@L?%h8P%GJzTjK6Xy0O<#0EG#|x( z?WQzOt1JBld`|~5M5aUz!2Y~4Jt<~US~jdmwU&bg1r$VcW_IN4n^QaJKWX0mh7RB2 zLZ7bb>seh=05G2tfGW!RG?D+P8)nwv>yCk4&Q$ns4fBl;Uv+0Qm1Pn6PqZnsFBsvg zRB3{M-v?7BceXoumh%;6h^0qD#sTIN3|Jc=mTnffU%^t7Hgl%`$}J9beS3zX@qhQyy4&yQR54SbzpF7o#V3>h9#^0J~zEFWsW*v(<7j<2;iG_w0GHhb=3>T zxIo*oQFloX}xhltWE51J{D&lmYfgNvHNFd-np& z=lSVZDPYa#J2t0wyoY^A&p~Z(T^)Oldb2&fzc@ZKhY1YdE0@0Hr9+{Hf_KOCnI9bV zUuE7xCgf8RtRXE`?of{;2W~KwGSrv9;{{XB7^{*%QbQK-(f>9kU%BeHb76<*^pa60>t61>a-SPwf?S2y!>eD>9*=lLw^H*6R8gI1T zH20EmQ$s7_(`;G~Ltb$JX3gAx{%{Jq-a)_bestX9re1|XI+e{gwtMOj+=&WJ+nKOvuv>&qBYNPvR)JdtRrT;tA3)oixD2xKWbrhIbj)O zw*>dEyzg{VJ|9=Vg2K&ut886bx(C)bd(O0YB-P=jPItxTpE_oQXvMb}NegQyL<@D= ze#(67b{XL6)zQ#LnspgLT7t-*yDztFfR9ThPO&w}k-@oc5 z_XJbThswQJwgzIuAWPtM%U-au2I^kRY2(cpy&2H+yDN6ikXygRkx;NWL z7EfkDp^Mqm8*;N3Lo{ZURlIsJBp&_u^dd=Viw&YBe9F3XM;qPAu<9nXo{5nqPWtc2 zP%Rv|YjnfqRYYE0MT!J^p+Y46L^B;sd9ap8i8F$o^aEwMci;A812jl_n`kLp2(awB zgT10hcO7emKr(m|8XO%)GV=~k-@U_KFudBJ98%)Kkj3CTRwrreeE zh?^>DsN~k4@0T!@Roz+b7JX?4!}=+;cn_~7+)00%zg-I{&006*b0PL?MHuS_hG=0p z1r5SyN_urIgKp}BJz5=g(F4&0ZQ|N7_LdH2?P%dlnXqb|6V^B0`4sJe0XKE*^G4&q zblOSAFDY^JMdkp-n~ikRCparj`Z9B$oBE&HJ>sjJe1PSg>%OMR8f<6gt()An-<`9; zN&i#0GTror?uD-3+90dBs5%MvmUlAa=L~i2%-UoN8XJ7G5&j$J?N9O*VLdP`hZaen zzR&_Jc)q^wBPwZUZc=*m0!4r0gk`X1)cN_o+D)B&w^+5@WPw6`0u@5`#Hmqz&1Psf z-tIPqBKf(SI%Vr;N~e;Fm`eL@_N9chRZwVv%)T(y8RevZw_M&V92}$Fl+Rh(uVbMq zHExTSWW+#Ct zrhZvgZ@<=}2AEIoLKU66BC>Z`f|LH^_@%oAVU05Ag@jkhN~Nxd34GnoZk(^qX=79Y zI@=wUU^mp%;SDLwik7-5pNF#DPIS^+dZWF%!`%`$b#!_YykW76naZFu zp244zmbEeE7IjQ|(sH(wKJ319Y%oYr=%&70Zj!wP3~Nc=?u5RC1JGI4uCt0GO}S90q06<~ zYXO)~ibJL0B{pg=r$U2hph@3E3*FRI`dD6ZOp=rdtk&lyY&1Aq7*>@$;{Cu-I@EOE zbingjwZIKKuK(wY?pN$s+cuGAR)l0gpCVUN-xppM%=+1CeRE+xL}Qf6tH04-Yhi>9 zsfX%#d_D|I5BFu#4HMr@c{9%qv=`G-_)u;vRJLhOwlFtK#cgBynrx_Pzq6hdB;~oO zjGc|H_M?LU(`~RP9O&KE!Wh$^$2aga0;s}-?AUn1{4|Ird%65qKn!~i`)Qf+n+mqJ5S#Xxl(HThJoEjo%AWT4f2q~Id1B^t%4Np zOHK*nmkBBVrr)3*l#8IInFEYlayP|I?U!ye+Y5vxOr?aUkY>2bAle<_gHrX+$Dr#c zdAs*Lj7bKVqeUW{F9n!SO+;8Wk`V>!;ZFL`0^R7oM=z4x)WMA71@9`lq0l!Oisdr- z7KoNAp)|G?1y1_=@sP~|=>Zm0;mC2pn&}aU<`W&6w33YV5u3Osu`KpdS>C) z{d{*E&jK)eopa@e$$|Tj3#z*;)1X2peTm;%Y&axx&r-#$eEXJ01`73QlL$j}bDYU5 z#V{-tW9xebpPaMQFROwkzG%5JCeR(lb)Df9L9~wp+4n82QkbW?+z9zDnFFF#-?f!8 zxd8J?nA|+4%s8iiTjHd@EBvfhk21DdYIfzT5q+=>V2$S|LhlHJ?lVGz>bhF)lELT| zxGtn}&sk@we=ixetO)Iq!Hz2|FMhFk^e09oEehJ7v`Xou|K|KMC6NbNpwPq*ZqEW8 zL|fw(jNKQv{KS+WNV_p#9$N-5M^-_vv~FUSIu+9~_|!BBFrOgG&F(br=tw#ZRTv2^ zbw;l<&r-uPdUf1=55NXfJtG|`<0ocbVOCVT`NSFM`jNSY!KZh|XQ}U%XGA69)KiRK zH)X9xr95v`RKVy3daq~2X5%dNc6pups{W!g5U(*EF=jWN0-+TAX^? zG7hk9rOMzSS=>EltzLV>!x^{$8;s|*KlIbT4a`#iaXKf}9qoWZePS)DAm)a8pXMSA z^1;)K3a%aKp9Okvr)gUOTh0VZ`^UHMcfGyK2!AWITkP5@_%2AIWV!O8-dU5bPz#3Ax)nKbN$YEGMNta&N+o4dO zkj%UgOKXtzX`_>#v0U3dxaz2EmO4`PYT}(T=`<6V8IT+s#y)a~xfwC>Stf421$`>) zjF1<-FM`fms&%w3Ogjw=SIM}-ueG-hAV>{o1i-PaNhSptl`+1!J%~JmqOl6%MbpmX6ThVOgz(^Ck zq`2NS6*&O*hn|zK+-0L#v()>i_s1XgvlVc$_93^6Q9f*9)~Z><9a%%ouv4{f@jTzf z&xAhpCb)`Q(g3Dt8c~Gj$(xvYFT1lpOg?OJLLPo>h~kZgH%lG5R5#dGAyhDa$(*$z z{Xt7aWvx(C$>0Ld_Vlz_$csK}k?$$|8tqee^a01pCb-RW&>Pg5Z7|5`;STYMSE*3b z9i^(L76rh3$~xsvhl1+c-VU>V=+!o>H(vJm7TlOw2Rxj0oLX* z*ydaLw;0x9?wZDd>z%Mf_deX;`=}!c`jqO+m20g48}XQ>^Sh~Aj1frI#ZJ@(gS_{8 zZ1>7o7OZb=?W`4%9)Qj1nbr!~SR*5B?fyKJ6!iiIIrEODTaLP2)ZqqaX0RZo6t;QA zj^HZ2vXNmW+5|1mX@LFi*xqmclHqVsKU|5lm(jdZI8k=7pKNBr8kw8L$`uO+AFE+5 zSe71tKC<8HqRNzYTvR0lm{0XbRm~E#rO98yf72x%<(%F<;i672lQPX03!u>O7}aNX zQC0(^TIaTPDB`+d)=#t!u{4{>rs+_4;?~^e(Dc zC$cJeYKXS7l6S;Ac@$5Qzf^v}P+=w*f)^*4gGE-IUUG=&KrfM`AqA>$s!z=kZ; z2Ls7)>VR_Pf&ut%@6HLo{H6ogm1Dhewd2}4#weEG_@G<$3bregQNeQVbdQVrU2(5F z=C~PPKB*y9#<=#Uq(Oi^*4x)}Vm~~0QHNquIXVY?c`GH2uAdJWgCSZKQzhjp`knM& zi?Y>@5slJC{U2LLlA*u@FrOk(d7Ij^fxT@A=0cx-qhzOA2LJ8G9L*g{R>JK{zr&T& zmsShen|fK7UJI~;x$;}C!_5*G_0OC>?Xt);C8R@0`%!}OOAU}-$9bCsr5b2nQLGykoVeGYPh!%W6JQ!FwSH;|%R!(`k z9{}vLct?HK&j}nCHEORIXesFjm`^Q9C38Q@HYeyInx%DgV%dgd7o=1P=&r;ODD=&5 zwyLp_9aRjgMY1hsas*(9)j3NFwgs^OHrqC_#c2eXPgH4bqonH0VDT6n?zp8tp^zb?}71_Vbh&U_QYoV~~@3N7)6i ze;9VC3$o&SAX-LM=C!#(fC< zeY#M>j|$zfq)vc6U_vAIMJ!N4p*Qr>=zbcatqzxk$YiyZ@V+3KeyP~?5f*&ivZleO zAEh(YUpjB4>gu~8nomBed^l|^Y@`ifr}7(i=TrTKGmxNl-q@AV5783T@~Oq_p|eam zDq5O7vdseHZSYG?Uv^9040X!cZ~WrX0L-T{Wegr4mMQB1c2)J1?>bqVJ3~#E{m_$k zQ4cV!Q^MD)m!5@NQ1@^lJNOeU4#~|?lkmZvp^mgf4A>T%;J^9AsMd;&k76aI0Q*z& z8m(b2hd%>vZ8=5lrIQd%VVRr`^Tby$#$s1!H~j@*f87`4< z>gG4n&%s4}D~w)SY)AT;mWQQ01K8*8u@=Re zKsGdQ$z9`>WCmb9X)D%}B)U%(J_Y}6#8J_kKIC>%$Cxld{4qAo1csVL(!R7Tnknby zO4l380QT1Su9~x^#_FU#>PD>*h5G>JQ@}E=V>1zkF@QaGqDc7-{Q{GM{GrLRrGQf|EnZHvqO&ePfZV zL*L_s%LIJ^6CwcEyR+`ncH^#61_n}CnZyaO6GFOQXVlmbZ%zeX#so0{S7Il8k~nfc;VF4Nmrh@e{wu)mr0RRU+2eVRH@CpBEHqXFg<@eF(G^QGVA8eZQt^=FOAq;vEF?8Y9!wax6JKUt5UMZfYa)K6!uQg}^=2H$UXUsdileGXl=*n!9>||$6Q{Og<`HE~Ez@7x_Gd8de zi5OO4{!p9y4ZyabOX;Z^FK3$iOUQA z_E*7|w&!tz0S9%eW7u}3!VC>!yPp)(l*`Qq-4#w}6ZZUyt_x5(Z zXA}VY!w6&wrF}}CqCPi9(6#Gb5N&B=cZ9jGIFVtca_f2dR{{2GQFJtCO^4o2ec7=| zz<&=gzm!^eKwkQ&>}P--^sKro$*j+}Q%8yqaO2LozZUw6qwLabiDp>C-OfUB2f$X^ zwp;ZXO4k?alVEY`&Ik{{e2QzrSfwV^r2*LQtOSM?D(L<~{Z~zEil6~tmEH(Wm$q+o zJ!AZ7BCbDQ53oyVIa*FbJnswj7X#1rU?Bt1e8Ox-efQOBl^tNe7HoAGnaye&RdS5!3NyVG+`&&e~=2hdj!6bcp6Mnah z2v^W1{HB^Dif_X2y%FJhoQ>b2la%ZJKuY^R$4E)Uz5uPSR_zNbF_EhGTX9wB-7i11t#L`YeQ#4}q+#z6sio(v+S(=_2(Hzc>B3Ot7g5mJ5B zct#M(B1wej7a>9#QWKu7MD%6CbDt0)MM{KcQjyG{n((|VL`dHXz_YxF4pex~7$T&W ziSP_GlI@iU&u2q~w6_X8JC5YFCBk#<5FsT`jc4wW48BZw9v~v53pSNvS%pMbF+4{R z5mFf=@r*}ANQ`9T`ICr{W~s)rG0`bJX_JlTb|OLwXa$}rN-}M$!1GQKAw9JM&tfGy z#o;-zh>$v4foIslWx|Kd(@{3a*Y(-csquVWL`dsZTo;6K!|7*f?un{3uI}*?6CRq*!;Q8T*kVf8wXPc9J4kPj0bwo&UH{qG^ zBy&YJo>z|u>GuJ6mOjbRQH|&PBLX#`0%s7AY$Vw@9{~|)3z0ax0TD%rcU(Z6wZ9Lj*coB+hz61ah1R=g=Vn)lQ8w@(_WzC&KxCh(H63#My!*-(V5W zJwybGq6ud*lFW)Dab6@M&?iMW%aY`fT!C{o5rNui!Wp0>Tjl_qPl^b%Q#H;`MFbM7 z2ugs6{vr7ZK>XO*pF;c3c?w*MxI~p?NVXF&k$bldQHQasDzQ(3~T2 zHZ&rTrcF4v8WAYiO*m5<5eVHCIPV(~=;2K`i=5mk z?S@IgMB<7uh#)M(#`R|qL8c}ESF=F`(VHe*8wU|2bSiMA9Yhf1X~K1T5JBE209OG* z1hF6!t|^2F(nFEBLJ=Ye8CBqVM~EPcq{h{g5J6-~jcYL>f@BjLS9U@K0jL06Ckhed zq$+ULDMS#bio`Xn5JBoH5?91R1mP?;uCIj%GF)t2tqT!Ey_#_CFGP?CQ{zfvh#)wo z#&yXMLB6aBS2;rjF*FmdnT80`YHD184H1Oe*tnh>BFMf~;OcOQAR=eNwdN2(lCA<* zu0sTYyGUFI4-w?@Ot`8Zj9yH9kBw{eA%c`&6R!A&2*QADTt5&IWCq!|8X+Qx76#zj zhKL}6D8iKz5kXL~0@qzc1bM~)T*VO)#2y21O-MwLj;z2HCJ{l1vI*C#LNWlls8@KobEpNJp_8i1>YB7(T63D+QnTX#&Vl#MHzB7*QK8`nog zZ*Upa3S4a!5ky%2pA_&H+aa~#T8JC|m;VQL=AZ8nhYu+M)G;SoW zpo<7XyJ}pI7ZGH8n{ahsL=XWM;ab6nAStZIm4^{Qpf~{6F-8QrV>Ygej0ob%Y+Pd* z5u}*axMDLTXko(60l5A%BFIDs;A+x{AUa)vYg3~axP&zuSGq<7L2MDOn~eza+G<>d z8xh31)wrfNB1nIWaD{M05E8Gz^~MoFmb?O2FGmECb2hGpjtG+JYFt?zQmQ^qOht>= zz}v_E0ez0}vxYI@I_-!c=U#!Uz9WJ-ya?BjM+B*PHm*pI2*UMjT;CoMWboOzT0SC( z>PO<*`-mXX--IjqBZA<+8utZ&2EptD0&tfBxah#l2m)}=0z|MjsK6Z%5Wy&cjr%De zg6)C{cV|EZ69?GP{%@}bM6iTV){0K?GZw0Ni~B z5lm`CxR(tgSl$HS&Nzr*prgipb|3*2v*W42U3(D0+((Uj03m`^kO_AbLImTX0NkGl z5p0auxZ4pTm?A~u-bsjHu~dOOF(HCsQxop%gb4OeBHSek5zM66xaSliSW~fa2P;G{ zx?Q3jE#GoA%YcJ zB<`4o2*zq^+%Y}`o`5ezp)xGyIn z*ms(6mrvkPI+d@jTyXhh!X-hLez~53d&~dr8Hxzjq9)vd6cLO{)wrK2d#xgZC2JGz+=>VWuaUS9EF##&vT;{gL@=LK;~uq$ zU}dYu9d8lA7`FoV&qV~A-6q_P7ZFT*BXMtEM6dvk#GQf>!BAL@`wk<5J+T^hF-Ch= zUf{N6D;|6OX2&Aj6B!Y#lhwGxG9nl;H{pKGh+yk%!rh+{!6aIZdr2dL<#ZG7OpOQz z)&aQBH6qwySKzMMh+wX5!acYV!Kym|cl1UCE#4B*OaYQgBSL5F0h+uJU z!ky3&!7$x~`>G>?{kjQvX-5PzcMpT>J6+-N3}VY)-gtO zE=@U|db$$a?Tch(?vV2Uo82vSzdoCM)mynT$hz86^b}y${%ebiN|Y1yK|A-wWVZS% zZ1mLxwO3s04Llv{sR?s-j4=W0dUsgelEMiP-|H-y$o2qi@3o5_uTx#)4Se!x^6|%_ z0r+pA?YYF7Edtwm$t9^ZB--LZpQ?{prMFDg-oPK})ZGHh&=?ab(;xnr!sk!Wf3h*w@>WW! zg^Gv)-56u?W;z>GI})J^$sR+BWEfya_$AMO7CgV=tvtLKJ@~;rHpVoG_B9?iY>l3v ze^+|OlI;tv9`xN`3U{E>ID;PyB{zfNi8>4KyH8=a=RSG1$c-oODfH!;L8GsaYG>fW}g zcY5&zeJN?^rMUSvz>Yc_wadcjOWwfO$@arz4mVU`Q~p?3?&$mp`Z36@=sjA1eU>r0 zkTE&!4V>Oo_oGU5?r{MpOue%RwBJ2Bvzf`F_Q#Ip^3bZf4S*mp0 zsnYRf#8;3zs|**bh>@3w5PEZCgQ4ZtnLif>`8Xo2MbLxdqR*28Uj`)5U77nR*TSn9C zp{(r1tPZZHP&ZSPyWO5JnzsaY`h#sxXh!>qVPSPwWyM(Z(+@k%409sxwRR>n?6k_Q z;G-S*>H%4)w%}YT{B4$!^M*SCDEsHg%!9tv{4xldFSC~O8EYI^J)I_$6=miOL#|U_ zhSRZP98RydNBxU-sB|XO5}x%8C$4kgWx~)Vk80ueVen^iC%T?1pls)*l|wnF_>!5L z`t^C=$BnOtvYUPBuM(}xVQX1~*L-Eiq3p;nme=cQZN)R8?{i<@{=~Kkj}jaq`)ZJpukvoMpH?HdP4`z@KA2%p-@g zldiMB=b0Y6AoR^^FY?Ah+4JY|f@h*DdnWY0VqCMmD;-X6Eq)$rt@z0WMA(sx9UKp3 z|GZ|FcF_-s`(|p^^M!XqA-xD!-x}>}NZxS4CH&*{5u@U54V0CN)k6^phP{y2tkeh8 zLs{@CoGR>#(!B-m{l6t2sqH)51Z7X69^0mS{F(4Q-8!^HaWDtA_CUY+%JBDU*jnMl z^78rtD0`Q!)=urzX23_^pYn2WBp1$GM#}e}X6;UK0f?P7-F0r3H^8TFypn?2+-VTR z+-Aqq>S6~rHn>W^?U`KX68@(i>G7HsQ1+MKw)-w}g?nZ~y%nm`oAwgeTC?r&b%4QL z!dv^p6&778l>L{lI@6Bk5AU9-`BiIijHQ;r6%t+^-_*5xmP^=OSa+i5Xc?51<$UQM zysk=svYEooPxMFNNR!<6Dl;aAhlGFRM=o=&y8>nZHvLJrcfb}uQ&YY@F@F8-@)I_3LzD4Y4}l&WtgBMuUl4~+a4yF>rsGj%OoXWH00B>acOE89&? zE+~7o?*M-`+_ZTnRIATwczjTRE9BOWcQYN<`XS*zst$SckB0i-jubgCv^#3YrkT)) zQ`_#m={O6g_nLMy-=#Y~B)rYY{#x!^0cAUJvwTg2al=ealW6>YvTNZB#J2+CenG7Yv~C_AvH z#oxTjg=T8jJsh*#@?U|n8UDx$-NFf{u+Tr)Vm&hkWq&Ol$QrOKqh~_<4``J=;|*{K z-zL?5NQ-s~|5I7_z&$wEAK;(fPd_-Lw?xj=e7|a>uWa}>Tp>4gQ#bT+?M~r;cxpE! zO|FEpiLhbQmN5B}nVKajdGBwTe}SE*c4x0IF<)~E|7wYxrD)j+W$8bggc>Q?&LUSFbYLwocOqTkCWj zS=k}3fD^JKs=_#6hO(oPH@s_paLtr|`4v+^nQ$e?VE8 zDNvaGM)}!HXl+!%`&XuR*xG&z_hp)V%pv^N@f%-qC^P^^S|^%gQwsxu8f!ulcRI@i zC#0r8vA%HcLx=ER&3mtOwIV2+-kYMwt;(rDsDHip*G}6rI3a_=`B#OxCmq7ZWK(-* z>jo&>jo)|xX9x=!%=ogjsq_8JFf~3r9#<%_J$7EXW;Qb=)M|b z+$)nAKKiht^#h)=UMTzf zcj4CB8r~ELy>Q*MH&w91PTLe(Wr{Xn6aG?eZN_(ALD|0yJn!C|llm|an*F8|Ys`ai z2=&^uGJDAroAAGdONkb@2g;t+Ug>R!!uJC;3-aw7J|A|#hlK(^Ys-1jCM*;doJzFL z!2$m8>YIJ@`$t;?HDRqDGe7QCoRIB(eTlvCvutoj z3J;|AZTPU$#Y$^!LwS85^pH}uFWo;5*V`L>Hg3oIUMujkQ^!*ENl^C2^2(aNwBT|e zG(IfpMa%dE90E7g-aZ^vZx#NNEb_F&kqKpc*F0Coi&@_XYPf1c*0o^|Tp_M}`{R+Y zLf9JCox)RUD0`Jzntf$f^7%mMvtg?_+A;};P$AnBpW)wN6@IfV_KZF(g|dH~Rd@Ze z-+MMt^Sv>7-BN=WPVdM5^0}jL=U9c$EDPfbwg^!6_XX9$)}n5Gpr*y8%lS$D9*%Tp z0alD$zHSlzlj5AC`YOeeeaW?94c+ zor0Y%A%v{C;TI|e^$q(b}0K=GqD?+HJmSL5p(47xZbM&SI&}Jar{ik!3>;~% zxqn^ZL|L!!TUvU)dGYU1c4Qz!`Ag~!_jFCgt{s+e9h4<{@_&A;*8J2X{8!oHaIgK% zhn;TP;0a`Q^iS7BTuBR?I|OCwN4;&@Y>%s3IOA~#Y*}Ma_T>AU!uPxUtZN}CW9ZU$*{{jr%*&r)%t= zkCi4`p=@@=wj77-zR)2Y8S-7piiQwP{_D1nyU!JtESauRL^}F^AAz!~6Q(`GMVWR1 zUdPInrZ5a;|2D6*N0{j7_l91KD9;J=LRs~#Zr@46hE|>M*Aa*=`sG8}1cXuY(;eyF z(0TF6OCAS4Y^^8n`2%yp&O!*fiuy9y|Do)^|MQB^w*UXyZ!AEL<;*ecHAdM?9$V_Ccm`;8_0G5}zf z6U?yRSn4nfC#LN;CUs2PZ!9>O^GKj&A_l( zW;VlqV+q_0sGH?^Gwe5(4$eTtS=Knieq+hy4B(vQpfl_@ma5Lc*I7n8!+v9l?+h58 z<;OGZH^GL;&%*v``;8SHz)TpRg9w;;1+@LfN&5vGRWy_8Tirh!Hx($|hpiZ>*#vMj#R^H;G}tu~L{A zVNI+|Cx-pTN{C_vNwM;#81@@0y^0ZX#md5B*l(<4Ek*zrE2oQLzp+xk7~x>73^9iN z#!4h(1edY$%^3C@D=m!?s>aG*W7u!3BsWIj8!H!%VZX6b<``jgtjs!w{l-ebV+7@~ z^7I(?8!MfUVZX7m{uuTfD>;x6Fv!XwWY}-4R6|DiAuA)1VZX5w7a75fto%lX{l-dz zWQ0btvLzYz8!M@j6=+G@Z>)GsR*EJgY?GDA$*|v837?E0P*z?j!+v9>kFr8aY5R>8 zdCE#gWdx|Qa#k7k8!NSy5$?*$fMwWktVCHx@GL8zmSMlK(ry{{8!J1PVZX7Gcp3H^ zE7zA{zp+w+Sz*Go{l<(YrtLRo&@pYlF=LZy`wa#YDEp0-)y%NpSjo?ffM`~ZG{b&l zrBXA(t63S>4Ev3hn9T^*X60`)>^D}LHzV|$l?~3Y-&jfGj6iZ$ZaKq#W2K-o!qQop z>J0mhmC()za%bheGwe53dORa!o|Q$9-z&?A)et>)hAb53!TB-w?xo zQ_=PtI^;W$>>W4=TU-587jKr`eTZXd`wcPdw;0-fL%YsLX)f0Lc*y@5!VmD^zG6E(2>G9oU~IqBENl0AgfofF;k^rQdHslCzZq!z z4LyxCI}c|nc!&hNmS!3?|JsflY5NT^?6*eRenb0Kf2(-0)0GQZSaXWbMw%8p!Uo!Y zLk#<^iniZSMRTp63l!(#noD{u-&3&Z5q8q{8)DdRGTMGa{g*53@_ej>NaxZ{Q)M9c z5mwRm8)DdRPTGD$yWDmjX31b{7xs70``lRj2+L^u4KeID8EwCz|J6TSKEF%>Tbuku zsA!Vie}rRb`wcPdw;0-fLk#;(McZ$PVZRw@`wcPdHx+HaA%^`HL)&lY&BMvG$8)DdRD%yTSyKQ6n z4xF5gYu=q2@hwx-8gUhEzafVG=A`X6l=HmDxHYqH9}aCF?m03$E!v~fdOIMnvYyd-mM{$s47?Ki}*-x_KA4KeIDCvCsM z>80#98EwCzosRR}Ya`uzap*$VsQDXZ&SM-y+i!?rzs1n@8)DdRPTGD$4EwE-w%-uL zeygJGH^i{t8fp6ty;_r~Ew45xAh&!&`DT5O^)WWk_8Vf@Zz|e;Lk#;Zgtp%h!+vwp z_8WS&xhc`==*om&z+L&zwkEIbgq+oqt*5%1^F6{|OT$%fe`(1yV%TpXwEc$u+M+mE zaVsSg*Sx)wxIQH#vl9}ti|TK5x_OUqD0{pIE1Nabh+)5l(Dobp7i_WooM6hpH5YJV zn>yoYC$6IHH^i{t8fp6tG3>WS+I~X}`^`z)Z-`;P$!PlxG3>Vx+I~X}`%OjLZ-`;P zRnhhvV%Tpo+I~X}`%OmMZ-`;Psc8ERVr(hPW)4KeJu zM%sQu4ErsFw%-uLesj|H8)DdRF|_@L81`EgZNDLg{br!;H^i{t47B}*81|ctw%-uL zehZ=PH^i{ts%ZNSG3>V(+I~X}`z?mH-w?xolhO7YV%Tpo+I~X}`>m0--w?xoGtl-M zV%Tp6+I~X}`>m0--w?xobJF%3V%Tp6+I~X}`%OmMZ-`;PRnhhvV%Tp^+I~X}`>l$$ z-w?xoi=pi|#IWC-wEYHGFJ-^UX!{K@?6*eRenSlVO-9>qh+)6UX!{K@?6(lwenSlV zO-0*ph+)4~(e@i+*l!`U{e~F!TL^8xA%^`{McZ$PVZSxf_8Vf@Z&kGYh8Xsnfwtce z!+vwp_8Vf@ZwA_aLk#;Zgtp%h!+vwp_8Vf@Z!+3`Lk#;(M%!YyEhW%DW+i!?r zzsYF(4KeID18u({hW+NG?Ki}*->PW)4KeID6>YyEhW#d^?Ki}*-(^B*0zafVG z=A`X6#IWDsf%f0qZ-`;P8EE?rG3>V(+I~X}`>l$$-_U#7epAu*8)DdRF|_@L81|ct zw%_26MA>hRwEcz{_M4No-w?xoQ_=PtV%Tpg+I~X}`^`YxZ-`;Psc8ERG3>Vx+J1xI zEXsb9(e@i+*l!`U{e~F!n~JvI5W{{8q3t)su-^={{e~F!TNQ1;A%^{CpzSxru-}}t z{e~F!TL^8xA%^`XqwP1uu-{^6`wcPdw<_9xLsRY8ayC*vJyVmWPEuaWpRwRZ+I~X} z`%OjLZ-`;P$!PlxG3+-PZNDLg{U)RBH^i{ts%ZNSG3+-3ZNDLg{T4#oZ-`;PHPZGQ zV%TpnwEcz{_M42h-w?xoi=pi|#IWBCwEcz{_FE%uzafVGCZp{)#IWC-wEcz{_FE%u zzafVGRz=%yh+)4OX!{K@>^B2#zafVGRz=%yh+)4uY5NT^>^B2#zafVGrlRdP#IWB& zX!{K@>^B2#zafVGrlRdP#IWBQY5NT^>^CQEzafVGrlRdP#IWBCwEcz{_M42h-w?xo zQ_=PtV%Tp^+J1v|l$8AjYyEhW+NG?Ki}*-$H2n4KeJu z5ZZo24EwE$w%-uLelyVa8)DdRPTGD$4ExPV+i!?rzd3394KeID6>YyEhW!>p+i!?r zzsYF(4KeID18u({hW#d^?Ki}*-&C~yh8XsniniYn!+r~)?Ki}*-(YyEhW+NG?Ki}*-=H7q z-`j79VZT+;_8Vf@Z%*2NLk#=PN!xFTVZT+;_8Vf@Z;iD5h8XsniniYn!+wjQ?Ki}* z-(qO{4KeIDCvCqWhW!>o+i!?rzsYF(4KeID8EwBIhW%DW+i!?rzg5xp8)DdRjkNuS z81`EgZNDLg{pO_YH^i{t47B}*81|c!w%-uLepAu*8)DdRjkNuS81|ctw%-uLev{Gm z8)DdRA+-I581`EgZNDLg{T4&pZ-`;P$!PlxG3>V(+I~X}`>m0--w?xoGtl-MV%Tp6 z+I~X}`%OmMZ-`;PIcfV1G3+-3ZNDLg{idSrH^i{toV5Lh81`EuZNDLg{T4#oZ-`;P zHPZGQV%Tp6+I~X}`>m0--w?xoQ_=PtV%Tp^+I~X}`>l$$-w?xolhO7YV%Tp6+I~X} z`z?mH-w?xotD@~U#IWC-wEcz{_FD*TzafVGRz=%yh+)6Q(DoaQBT@F7iniYn!+r~) z?Ki}*-wd?p+i!?rzg5xp8)DdRD%yTS4EwE$w%-uL zelyVa8)DdRA+-I581`EXZNDLg{U)RBH^i{tRJ8qu81`EgZNDLg{U)RBH^i{tVrcsf zG3>Vx+I~X}`>m0--w?xotD@~U#IWBQY5NWRhtFooKKy%yrT<+Ml;Na}a0HN(_H{7m z%t?O|M>sm^FV_XtI_UsKg4;>wK^HXeq|+r5Do;AAx}c~hoqUnNd(vlgFX;10AEihb zKj{;<2DLxw!Vn1xC|y5igLa^FnTdoLl&(fqP$HBrT9JT-(zR_0x`xtMfFt~&^iBCO zs3b~XD2`x?()Z2~G#90>r$}f<>05j+C^$-Ac8)-g(mf$7=s`-i4w0~t(jBHNs7p#W zB90)G(*5dS(5jSfYaAghrMsUYC|^o9Ns$1V(!KOv(9x7`xg6m(r91OSL6uXwfr|w1 zl$Q_vrkeyTXaluEy7&Y)&0{qTtdJ(YeR zzX;l>($6SI2vzCV))|ymr5|OEfUMGQcTCW2m44zm!n;aO0`i~&D?Ko91dElPD`Y`a zR(kvp2|X)4r7Q{xwbH|kNFZD3nP(*E-Aa!}9AR;#C#dA0o+~|QaRk|wp2t){i&uJV z;|SR+J>B_&vaj?I$PoZodRB}HI>FK-B}X`6>B-X?REMPpRFUAs(sS&hpkXXM?uvvu zmY#|i1w~}(;h7_FvhGc_Z0Yq%B(%2l7SoDii9MW-d-Dna=G-9 zD-z&bdKdmN=%7ol%pBp;r8nzEK~-IP0T&6rF1@GU4jS#!>%2%Pcj>KvQ&4=D1_w9- z!%Jfbe+&BY(olm)n0aYL;$Tptmj*64g4Rpp8!Mu{YdKpL}> z2lEP~p)HZb8b~8x?qK?XG*HHokOXNw?Z;r2f;8;Lk;nyUbZ%ZSnL!%F6G?!BG}hM@ z%z2Q81UV81A&neX1yduW0Y;I8OGx98UBL_rX}D4(Q5DjtW@9kXLK^(!NbrR;Mhaai z|0f?q8cG#OjD|Gg>I|lBNCUGX3FVN+Z#MNg&doF-HPJq_JgR zFjqtxf)+{qh%{2YDVS0s4QO*D%tRXZ_60Lfq~URnM59Qf=%!$TiZs~Hk)Rc6Onyx; zk3|}~7fEc3G{RpTOm~qc0z?u5Bh43l5zLB_rWHgIDI?83n1abO(j)~(0&1kWjElh> z8)?deBXKv<%*ef9DvmUP!jbSCX`bb5Fk?rWj^RiYk2Gsz3MTeQlRF#<_L1g*+`;@G zX{v}LF+tLdk~5elBuzYtBy>ocpOOc&iKJ;Pkwh3tvt54+CLKwWVj>AdlIG5;g1Jf3 z6dOn4m86-t-$m|qK$aNm8OP4EX}SO#uo0(J3KfN zkS1Zdjh4hiHU7(kSemPC>~483_xu25WJ*``jd>5%sJ(#)?+cf^~wHXq8!1hKgG zk>1HwFYRUaF#~COiv(i!pW75o}V)(v85#(Yy=`H6$k8Ym+Z>*6ohJ^SLaG=o^0 ze|Hz(*AzQqp^Qw^bBm05&!Y2+2eCAp?{v3*>f1Nz3P_XwqP17P_h!Bl%1Cd(-(KJD z*><)C%18?VHxV* zNP7b(R2SXbnJtH%k}ilfMts1%#{)eTq*+4zuHMpDnG!#UrJjmpujhb}*7WDr3vq3I z$GKepMmTSzL!*myXplw@P9N)%zZqHwhd}y04CSKPTe=dqM%qHecXMrurCqCGr=)wt zkh1Zc(euO3fYf9n4m@?w%sChdJ0-m+i>#Xcy~S6djI^w9Ewl1(*KJz}*Bj|jxb={1()5Emu&5nT7 zoWs?pj5U4ho3dl6ho`Zx|8v>kNhl+&KAiouud>}R31y@ssMsDkyKnc`P(~Vu#4}M^ z|D(irb}aQ5S+zeOD9)X+2c$M6aqIDtGOf{W$5OY_7kLfgc^g`wj5IZI%4G#{4*UWR zf%HCoQNGSn@M|HIkrpZL(455k)0%!LBb`(ijq8QJsijax8m_o2qvp6L!|issIar_R zcbp%6EgbZfy;6ECwt&>WC0^M+v}RYvuW+QK%gfMlc0hjl6qJ!>FtIHn+j3mr0!K=E z#;j=rNt)^HP)1tIxZ3dS%Vo~nb}V(EUF2KBQx1o`x(dH= zSZ``umq8h6J`{zBymhPWJbVhINAcqDxv+e**&L8s8O6Jm>J6i|eQ>0t% z4P~S;l3P3KysNfkz)ng3>l#w<|F8;iB@s*z4a6(8oX0gWHJFI!t7m%7Z zxj}Ey!_&eR_)JM}r)k@z)YOvGP)1rn#RkVV?(4$!uv5}0>MVMBt0ZzOl#zx~@$F89 zwk=}~90KV(b!Kc_YCrqN6p-3exxqr8e9X55t`O42s**3Xr2cXN%1E=T*miAr>UV1d z?3DDxs>*MFVm`C|!`42VO#O9k;=l}dQQz%p(9DCKk`CL(LOU*6{6{aGH}g8JC^`ma zMExnl|3G2gI!8K=G80872=A92&8 zr2SsJGOS9^A1Z-EAYJ-0qp#gNGZ)H8Ge4)C@HdS5l>=Dn`FD2=mf1B;Mkp(emY+YZ z_Rfe4%!P}#%lE>Ok`)9hqsH8MF$2oTLIZC8v82WMsy*%B^#iOEUDn`y3~PA z?P@3^D=(~BalEd=Vt}W?yPpdgq0XrpF6Uv^vv}>|0W7WKNG=+zE7+rjGP2x5OuyMx za=bVU&Kp@3@{y~eqSqV&Wn}S)NEVMsYfP+0eOp09ix7~OqKJcIJ&WtJ-do__TW)Po zCz!{CgTwx)uCNR!BMV!^-)csS3j-t=iry9G-t zeSRz~A2nw@E{F5Bq%HCA_SDa?7;(ZDzCP`q1xxFO)>!Mf{P#SRk>y34b*V-7A-fMt ztB{;nt9I=T=-~8D+V!!wT%Tc%U+J1j6zbp*$eJdf_Hs*>_5zfVrBC9-$L6o1QZHDr zv_fj0AtTV8whGF~LMqPlIj`-v3a~Y@-YQE|`g!7Dr#2uh%iEQH|)m!%r znw8z__d^+3~277VKBsr;(;E0XZ>ZcBU#p*w#rZ3`CKB>;;BO-< z%g%N!dBo4Jg)*`LO zeTU^?2|RJFeVClvuU~+Ij*NXzu5=HLl3b$O8 zd7ooxCF3S-R{pR%4$8=aN6|Z=*o?B@KF89!$S%c$99!1YLb$)#|M1`4H5`t`g*TJj z=ZmbcQ?km^Iv$rUoZ+F2EXL&eC;e8h@a#F3)@-V5?&H~I69oZjX(#vcnC<(No?oGi ztN``leR00U)lfzjigKc+iANe*c_n)hQGP2B>JDZv{e{y6U zl#$iYUETMZ^moFcj4Ybw_|$Fd?Ojn&M%Gqu8ok)=Jky|pd+)myqZdO97h`dY=XLW# zbp%{*WTp0xJO=Z%XR0yAbTt^0P`q809n79QSD8*T{~?SaLZyTs@F zDotK9mR6CE*k1fTdMN?Q$YOI&x6@?LRlPN1X-)bX+th6Lkta&{u=bt~968pz1ar1o z1$@Hj3p18hxLXV3x68N;C?gBuMboH(Tc$C=-$vHU8W5&{2{vYjir-u%YX2BD_?ulx_c6TJ^ z)aDhd^Y25NGN3Ha&in& zn3Lb)GaZg{*eOv9LVATU`F8@85!^t0i#@S#QcK~45CcJF_3TV8nFD2nN)T;!UHVLU z4jd^F6@DyPIA#eunE_9OXVm`p%XHD0E4xq}AMHvvW65XuB3~4fwVy&6VH~)b&aS$h z$?;G|vWUm5wl}}BOvPFd=I}%N<`s@`C?l@L#k5P!&Wq7dM)(UcVug@! zVLZ@}B_%_Zy(J>W)R6k&H>C4laW-!iI>uSDEk5 zRXv2A5?w^r*_2+m(@G|i~3SCir1D}J0(H#>DL7JuHLIy#tjp&v_*N>+Y*n`7THC?nj8*q%EQ zm?f`-zm2FYBeu=Ad{=%*f-$Zon!=_E8*J@T;_HFLZDp`iV!W6#`~!hI2+9Zr!#!_O zw_bHC;k*$sCVAW11h1|>5zgDpiGnQ;^S{F4bf^%u%%0JYC4XjK?#P?%Kvk4I<`bU-GHW<=b+oN0bbR4#ZPnr{|^JAfm*f?MG=0wZN!=a22I^6R~ zb9{bM6r2zu?KpehS&H_ZmIWk`hYMWOWfu*F!G%QJo*#X2(Mi)ELmA&23HUZz`UM%TJx68N0kK8N# zP=+*uXO?O`{GP7D`d9ipur*>a6?{4akej>ZnizV6Vtom%^4y=SS z0ziq%{EjxYa2Cpl6BQzVmz(n3?46c4De=(nDep&pM_{K!oocj}4W=DRfii+qafeSE zOxKDJ^yCF`e__aZ;3gtxmC3hiw$w_){z?XCty=qs!|Vot7{(?m1sDHS_xAQ!JTjK3`7H_?dht zBea@$bWYONTic$&PKm&_#%a6Ywhz3XmY_DS{;^=KSKNcG5zkFtv|1dQNr5uLzH!gB zfs&{BKR?Bi4(Bx7NgN)3I0B#PVyrIDb#2Dtk+`Jhts3zumaIA5Sb+1?t%5Q_(s2v< z&h!a=CH!qfu2U)8=aq|p7>1n+H%I)p3btVGTz>b|aEIn8mK?ml>Eh!@v%{c_aCuz9 zgn|FOGY57`R6So(_=VBpV=maK{emvD$GruM%IkqGH;ideMvOkW|LB(maT7z+66MED zY;bQZGi-*P67g@uVY*OcZF8T=d{EOa*iC&-qFH^ zP)0ODlcV`dy>=^<5wuX`o@Y2j9`|6$HZ+Xop0}0WbWBSGB6svvO3wL|Nhl*y;zb<2 zer$atlo3#o%l*cu8}ttJV98x2aR;R7A_d$43e8d4_bnz!&!M(9Xx@9&;F*Ya-nU`d#C`i1QU5Ux8d zL6o8+$yLWreVJiMs(b;~DEM#xQZ%T;@AXktzeyaO5oUuVyF#|52E*IM3JW`=Lp{n=hq zf++!ufq2Va+_wi#2ys5$1sTVNn;m`dm3dJ5`p6&d1k7E&nG&0!+SG$3HB_d1+v-#9 zgffDQa=)w}em?s(f+_Z%M&cQvbRUS@}|H@3mn4HtKJ3MeR9mjg^#wKmtT2;C8gHa zqxJeP9q)q6a`4!QEuav;@pNLzSe}=r<+633KpCN!MR};=LXy+gi6yc5c2eD(u8ZI5 z;YfEYecUy3Iu@6lOsYHKHg#gje>ONva^Tm$d|G0n#kL=07r(}>;ARs|+MwRBa)*2! zlo51VT({r4wKBOL%7{%J<3^(PMXe6*mfB&tZ>%^2-m@$?cb&ZU&(ifgobk}K!J~yM zBnV=Q1jLryY-{n)k6gJKP)2xd(bt@{kW(D`v%+0W6mEibiv;VIOz+!)hoObB;hI0u z-(q%j$%|R?xIYWwSwsjYkT^#mamgExaoPGu!zPqOu^po}2r;^L+9`#aqMfa{5cZW^yQrd&8xGz|kCH}rv~JO$>KJkLvrc8>p9 zR=@2Woaxn$7Q$^X2(XI;V3(ZsdoF9+*qWVCMmTrQGyIxAplyGQCG|a~XCU6!bgcj$ zr4$kQ6_bA0X^!Rfxj|*~V;C0JuWv7JI_43q!osKC&t^jzq2{@!xEBlT9iMxi z-!PQ@S<9biduP}BBcP0+`W!*^C3`^j?hRqyC&SV94Jx zA=4gH!E;D3jDRCy1X5;!!Td~A8C?k;&T$`r5VY{XN&&d&MbeA5EX~e7#r}zI0m=H<8gp@<^ks{}t?5r`x zv=mn%rnmaH{?w=YbE-v*_tLCDL=u#d@C%NFUq~4l7mYJ>R1NhR@V;>SQIc|wJsXRj zfgWFrG5ybp8y}6nn@*cQnKms2b8sY>L(1=vn=;j|gcK+vF(2F-addZKA%d-uG>|XM zFQ4#xe~`mxx}>LTaamyw=CU(7F6^|2!KXm7MegLPE;!#NMNmknXW4-5oNP&;OEz{Ak zD*$C;xcBA9_WnPosH}0l5H#;6cT7uRD;x=1kuq7bObTV^#uO+c(JkWJlaAe=svG{C z@M4g)-IAv_Bu`60FdPYjk@8~Z$=^rkZSf?*kI@k^?{>9IiN#60MhA-EjJ0@GAOXU3GFX<{Z^(%^w1OfTgUi+xn=P9bY9m z;Dn^Nsy|CqL0L{+Qo1Y8`T(Z&%WWEqDZ(ol91jMz?RMGxhy;Xj14~CNC%66b082Sy zU*w&4$Wxb`4Ndi6P2y`;$Y(H2Uto>`)D-dWU^(K$&W~|nz;H(lDDkS*M_D1wc?b8E_>y0 zj~|hkHZk`^=S6dNUmKRv+(uLj(_Qa`Zusce)N3!CHXMd=%j;Qj(~i0}EM>#ZOL_5= zZb4+1ACU+-E_{}~?hRiCJ4JT>5g+@dSGd=AVZi(LmeG$$Af32Qou#{BOK5}X!jU5b z_6w`L!WS7^Tg%InI{b*l+lk{_6VJq_ErOlS){cLi>Rsm*oTFz~srm*5KO$jy;=nO1 zTs6LH#ZsnT@z}5HI$mh>eng`8#Lx6;8-E}FwH2OJannv?(+02L-ezmkU;en^!;`k!rj{-Pvt*)d8!$qZ7>=!h@&MEl?%1G+s z8bw)P$35YoACYiIE^2se>y?fQC~H61{?YsyHv6P6FBxAIfv!e(nJ=H4ax zD?c}7v|uR_@{6(d#8oqNL8;JS&gaqYzTx<-8B5uh#k}uo&%2Cl_|{LI zw>K3PKpEC2E&kGeu^CHAn)3qk$J;Ku6n;bkH$`zpk-pV@xEV{ioQrzBS7c$D3_l|A zouX~|c>J#JEzMX;`MfuxJunnMD-E`$eI##67}j9%g;$Rz%EOwmlo^^_(!?7#o=$}i ztK_uh%qM;*Yu%YQdF`OR33{cB9;EqhVJQdpqoIil)+tM13P#;ec5ciVg|hKF@51G;O}C&I)OJ>D=8L?-Sw%~! zV~^TDg-G};*V^8D=%N17Etu@KO{cs*SLzkkln;L1t^C6~B}LhC{&jNSv6K?n8cDo$ z=gFgWTeKe7+W8yq3Y@3K+{L9?7b~n=pzMS`^Mw6HnO9I1y)_yKuzL!Tm|d>n22}{|&fAhYZDAt9I!cG%@_Xp|8@qIP z3XynXt}iuUeZXh_gryYYV(WB$-~H=DQ;38ei}Q}>^{=!<|AeJXv8|I{KPVc!f=_v`CEIGm8?Z+kaC44l1=rCfF2=*6vli}q`$5Q$&svSuf3h)mpf9ZMwa8ad9GMwLEJcUR!Ja;a% zxK)`vbq#J@wtY$FbJx7W-(0vl`OK@rDMW(ixz#7l6FZZhU4!YHywxYWbl2hLV2QX^ zl(xSB4neE7Hg6YTr}GmmlaFPWplp_N?aut%8*ue%?pBx_j{GU~Prn@q^jG&Bg;9bV z=1XQ@@inY^n0UBoRDKh-Has}6BZF5@p`1N><7MwT?O^KZX9caw7Zi zfEUWPww6rQn5>OjX9MHYOfGx5IC<}@fPziKUyI;gvfLmqeL{QmlOvsdU+Zipy{t9t2X%7Tc0hr zX?Lc;cVY5I_mS!@*y;DJV>5BuyFWl~-I(e3@@Xh@yIyQ9+4ndZ4k7lF9lwNHpbR}N zi&Vz06nWCONon;Kltbt+8tWNX;b^^Cj>gC(vhqC+9hR%f>WN?3LZIdln7J#y8 zJ8r4g4mMy_OXu7EZR;L+1s{GX2+jNbKD4*qn-#81uE64FrJnFwyS@R-65PsW?zsvOa(6{H7jaLd86_|@^PrSDZM>asazJ9@+zPm=azm0zOO^0>om=9Iz>*Rc` z3d+(uwZ-3Lx7B0Ad6}{`P4^i7He15}l%rcmeCX&r4Q@JJ2s@2hZ+M$nk_}}`{q6_l z8$02laipbRW?nVqL%ZXS8+pABwss{v@qMRp>I#%!DO7p{{}cKOi1 zmf!4L|IILz4ZiVg{=`*QhYguSmY=ruLD@a~_~$7be(&(1J?m3bY##XK6^k2uzwB~` z!`5nXtwvtn>lLa>a*Q6US?@#t%l%-Rqc8wvEf0)}d~1^koy^9-^2D|M@LlM!uPEMZ z)WY9(d0LS7C+T6lx7Xv#?MV}1Qp2uow_Bi0o~Qf4x3{U-hyHhNk7eGnG(8rZHNAYi z{@n%W`5DSssjM)=>2y@p2%Jb0Jrgkq+oj(9q zNXCNSw?(9^J^w|@4?Vxp2EtWMGuU-Gz z3T0Ww+)oSFhRL98s&!_}-&G=7oD+ z)V+qXjY7w}cyHNh9CFPVv0gO;WpbymQueEB{5|Ub{^~Z%3J;Xw6L~M1gm5Se)67ro zyWoJ&G-cDAlyy%>-=hJKTEF;u01n~a1*>9zV$&&HbRlX@6NBkYr}_HhTo&T z8|QZ2`825#dT2wv8`C@YoPt){!bOR$U!bgUMYn8=Ye}}DUFE;V(GJ4;m-G`L!UncUQtaftP zzpAk91a^jb<=6G?Q1+u^ld&*6t_!x7S(|lnx(LdYmFh(n`@R#{@cHZP*+mJ%@SCOh zJ~S;0b-*FKf5^B0p@k#8SUXxdy2Dq4Rd32|2ev(gvfG%8-Vr@V4?DeV*TnV;u(ice z+6b*ytbqj5;>pM5Ti(D8-!|`R-~Bh5_voJ={*Y?B(g|Dp<;#pqf+MyDS3OS~jI_2w znXaIIYXRyldJhd+C!Ot?y|A@-qi$kuV*hbm#g}}RV_iE6*IVDa3q3i_2j8P3-d^Xs zfEmidzwtO$t%+XYxQ+dcF}NO;X5qmy+@Ok-*%;)wnLf4Tdc4fKRXVip#JAY ziQ#|1%^|62-D~*4eUJ7vN1NPpUGTS!@AQSQ7^<(vG5O9r8;UES?2Du&7RPk1;yui@ z<(9NnyoRlnkG`Ia48&DqXQ_|t6y8Bu)sC>4jI-P2a9NfW7WVbKq3m35m$@~~b__Ru zsd*b-q8Nj#*S#UP`|YwF@6pbPuLI$n4|WZ$Hd@ArGr0UW7}JCG<~jvH2MdeX85 zT$Pch`P@+nWmf0Q^C?R%jCs);+rU_K(GDm}$V_wKLa`D%mnPz9#~_s5^YY7dmlZ=^ z^e=6r{FVc9DC$_Wqcn{pTdW(&n&m%2f^w+3}@iR#Z0gJx{4EOTQ zxB{zgC=d51U52uG#><~*AK1IRFqmL3#1Tp;dwJYn(dOI&WpBzOD~r8QR;&{0@S%FW z7wwu~likvyEO59MLv|xZ&UC2 zee{1p={M;#jM95bIO!xK=ZvrJ@m zn+6qL^kiMQ|HY|dC|mzczR5DOM1!kbLy9??I4?XSrE<_iSii#yzs_Ih#RoJ{_VfP4 z^)9EP6vvFJEi>KUL0Qb0S*hq#;z{()ZGWMtm?r*=d2YzYW`K zmEOqlNwlj@4J3dc>p)gDvqlZ zvZ2gsd_Oj(tI3~4xnXniFVGF_h-FZVb$-4Wi@$k zZ;Vbu*+(5S_1m_z$tKau3&X|tE;ym=?RIBFukA388*@@BdkwKOaHM@NamLi|BOdfp z3|EG8E-34d-d5+3y;S0uC%XH~d^u27rF_@gu~BLApd$~SyU$e*!wGTU+P3~`o;(LT z2U8wim7jw$gSL2m(pSA&4?5tFQp669K-rQ|{BncgZYB<~$OcnV?ta*5mtvR2ww?E& zX^lAce(5Oeba9h*VY?M&;KuO0^2paVDEmmi$6k=$lITJIINvrjm@x)h`|gx7Cv)sV zDvn8zFZj4%2FhY|+3kVK<)ag*l54X~mb#%#ex+kwlx!vitJK>N*Ml(po~BL=cIfN+ zCeU9$J7rj1ISyqNMkoFQ1<+$Dd$$! zz}Gk=U(9Lhqh&?%Jm zN74j(+Yl{?pZj2IpEYzw5BHQNU|FjCN=Cu=P}Wrv8=JD^fqfjk+q6=tcm-u#v^K-? zrf*Liu9|%?eL(vQl*t2&ZWL!-DHuolN_WXOp74Lz>C+T_yLojimObzE7Ue&HvPJH% z#_XAT-#he&a&GG8=m3;`b>KC+);F^ft2XG(oX-qpPFXybamvnj=wB|hBrEHoOq_{M zX}9zYe2$$zjcn{KutV9|v|LL{eO$&nSVx)R`}t!GTQjd3ZvJwI24SbY^ZWCLaVYC5 zT;p-#RYo@|yWG|nuKrN=-D&^x1kdVl>^wQFJ)nCJWg(8wEZQ@FINqY%;)=A(*P%?D zx2ogae%rttcpV$nB&JP6*%$hze&6K`uLJGh_oi4r@S*I;Eaf-~to8&NuPU~F**gPe z&ew-4^WOFp4x;T7OA8lzKkW3u&XP$EuQmq^ZQ8p_M=_Kg9RFTZ3agtxOFU}?=U>$ zMs$_FU-69F+HCsn$1`q{F8W@|Gj6%d=sPpdxPf1#@AEw4=OB^()!-RFE{XJS5YPCj zs-}O@c*YOkRr>dlXZ(y-)4#Sn<43ug{_W-&KkkRi!ZRLb zuF}suJmb-58T|ysGaj_6>E|(?@z~~~pYC|ZLtr)itjIGSDb@6oC(n35RnyP0JmYa! zO+OX$jE83<{fx~s9>rbs6Fbj%u&<_{|9Qr1LL&V(!82YujP$z+&v=EoO26swj29vo z{ocegUaywXZ(%&+Wlc@L)A5W~KNtOm$TMCftLgVmp7B~*O~1YJjF;T2^t&+6cx8qQ z>EFLu^Nbg8BmJJvGhXLi^jkmA7#vtek0J1kp@ysUhy>3VxTvPbH{gwp8U``aqa{3J zP^Fq4d*K;FG_&cE9G)@YGn*b4;u*t7%ji)io-r7eNRL_ZjG-+fJp#rv2Fk9|<7qr& z*e#JBo#PpUc+2RqKAtfoIGY|hq!|0r8BfqH20ZiDyhaCDQX#JYyPb89m#@GbY7m({pD$V~TAVJrl<>ChT1FydKY( z{!65133S$g7e5cW4d{E#Ar*{*!>2WunW#g^Nh*sW%1?pMf`8|Fu)j`l3C_RsjA{C6dN!YDO!_bTsdN3Oi7zj} zh(xeAfM>K2xK=vL_IFy(!)S1@(|~6*B&eShjgO97YGFho*tftl+8c~?7X;5}mRLsj zRPc<}i)Gs6MK}Dvo`m7?V21|JXylkp_j~Y+wvgF$_Xy8uGEvjLC_JNOWtrKaKj|#5 zhQX*{XA940fSFyCy{>Ke&@uSvgMBhQqn+j|-F3q=nscgmrCpw-+fWH(%fTKVp3&-K z)Rctn+_p#$WxozmBWc6s z5imqdIv#mOV`O5jF8YTkEp1017+W$fM<6aNbE*cNQ?I)$E-d4N zk>MaBLWnVh$b&IMAwmoxge?93ywBvrRP>^3-zoDpvC0Qr*PmoOCEC z#bVcT_nKI8aCRNwU-(e$ilwvV?iIEWOn94ie6tbib+Hgv;a;yxJe2h++^c_yha%wy z_gZ4&q2yRmI=V5UAax7eQ&(j&@le1V>|WCp;S9Kc9M(? zyjTm5rK_Twcqsh#S~anKw=HuGBw=0k;lx83dBfwh{-BikV3?_^+MIYON)H~+pDW9% zTm`QhSM56SP~xty=^v!C0)pV&T$S*|L%}@%Mncifl%@dqIlJoWiHGugFCPOtV%zQy z54o#KpLi(dSGd>w6A!Hcw>7qecI39g1CAm$m?pkIY{^D2+Orph;odjdZUnn(OjJu z?wI!~5;8-b3P{MNvO2s;%uZwVG+{WF#IM9)pSbXkO0N7Bh`~k>qcUfeJyp zC>?l5uJ%b$pJ}fnx~vHf>guv29-1-B^SW04r0%~7cfr-ONj$W6>e*c5n_TZ3Aaiwq zl8m8V#g5d011#4l>Q!TTP-aWHW~Q;9lsxgB%vzBn0U5TPD%K@FJlIuz1)euocPjDF z#42*{btT8Qu>+ekSm?vWme__N5_v}HT@R0?t8<0HvZQ`M!yF6c-p)je-;CXZPeG?Du z;SKrOms@h3rSMX9b&(Sf&E|?9CwPy?Hb~4g_M{UJt?MH94tL_A5#GzaU!HhqtJhZ= z8x;xFc`yZ6_dW5@q~B0nxZ_MuQx3eiT)q6{anT9Q<-XihmTUR@-Aut@c=g{7pXP{_b$(XC&a}-ARbT& z4emq*;sLzS;Lc|tb3tngHwK%5_J9}%y;!}x=5XpB_{q3v55xl$f*(*grvu;#To4yK zf_Okocp3Twg8PcTg(KGQtCQfH z<$`JO-I*K21Db>HPVgWeKp!G^9th;xlE=24umYsGC;ej))GqF{nQ@3s#cN_=4*;v&Y74^Wv^StI}%f@Sy- zhRT**Mc(iObn$112TYBZJI#i8fZjB?vvG(Agig6TX@__K@-)0P9rHJCS^#S#7q^Fa z!25W)Q-FvESdiYGDMUP=hl1S+MdWSG*Fr&Sd=$%pjLO}4N5lgbN#RaUA_qI{mUEWw z9YD!&L6#IkQ#ds#H<;*A7mJB_KsNEK`A63}BzhB#WGCVQ0Ohr_TN;`s(3xoDM3H~L z7&h8s7>k4-pbIBeen?Z2@F-MkqLDg9Jiw`f-5FNoz@;6G`DsKwY^AY{UbojowUy-|;4b*Bd8RQxvBpFr2anjFQ}jjuLXaUYPal-BzqxB^wTVWm9z4CXQ@4B* zwz6`B@A2IkedM|B@z_hRiEx%f`8Bu`|A+@LAm5!INIYN$`Lyc6jMjR>L?exmcz_mq zxw8$)pXb5~QnskWSPp?Ga!OyeYL1keAa3~=DUo;pDwex*7s-C#zfRnMg}3Ls1(Ix>#>8&N2gC-hzm89%w zUt;Z#wosObSj%#iX61GITqZo0F8U?$0LfIivoy*3%HpIz?*bSNk(+wGUi&^?lVYNg z%t>Y~8QG46T_8h%r{ZSH(mGXH0=#NmoKNBb2kPZc4JA)s)ui*T(VJNgE~k~5#A~oS36^*O#wy&ovSd2B(UkhF7`U>CpVf!yv{Sh&R-0&~)DjOc z+hBL*E%AWH4c^h;lG?L3z(gZKmv{i}D%^Rz!~?dsp-Z-5r>f8!&do*lB_1HaqI}ie zpji9oCK_46!~;@TaZ34c{lIz31dUXGk%x%~K(W{6(V}0aE4)lJa*T-w+%eysicCDf zllkt9W#R$FtZ*kbLxGkX*xAdS|4ck!qP^tW#LFy4;;jO&({k(*B)^ zfh>ox=DU-wi3cFI$eo)_Jm9qz?i6m~0oJW>XL=J4=x>pbt{zDm=rz(v2qzvu;^pqV zapD0>UhYmWCmtZ@{648~SlYIBBaJL{;sKeiI4QM7>6%)MG?LY!r0U)HOlZzZ$bGl~ z?E2~)Q+roPlaWSFJMn;XFW+2fc{6b7hLJ|%@?tFXV z0fWzXr{$CHD_aD2#RbcuThIm74|Zqo6Ay^~hS{koQCj#}BaI|~;sN-taQ6j(3lfzx zR4VpkIr{)Fcb5SObO`eIx9#!+g9(=zf!9>}N`pj9!-M4VEFd1N4a(ge5Qqn(1irhU z0`XwGp!d&S5>Qf;Z=}(kf!OQ9#(a|XzAR_r01H~5=0eAhM(C~n%j}(ErFy`R9`**+_sX3;pN#@ORHXjY{ZXm>i zX^7t4TZDM9AQ8Dcl@JexCL(v=6XL-hMd9wELOhtQh}=C{hzIKyFL#F*_-3t(z2Kd+ z3_=qwBN)EBUkrK0t``k4hNaLK>9Upaa(AC09!zRPt73%f3y=C5Y4oxo9xQLX+?{cV z2Ll~NP|6oAV#jCj;&S=yKmn>^JkePKK09c~QywodSFwo;;ce-1?I9k_eH4NMNwsMu zJ4K@h5bCX9U80BwGbw%xy;NKfbrlZk@|+?btf~0!4pzj2(G}m_4~xv+%a5+hRLwa#+pO|3 zncyVE%URjxLU_%Acaq`HOfk2y1Zn5hEV##)92l$;h!~ zjCsXin%T*jm+{>_&WHyqwBSZT=xX71{uGUlX~cuEn!??Gjd-wWYjAgSBOXlO_?xpH zzKuV!YKlg0IO4%VPVeqCM_yEl^tXRvr=6T3T|=^U`2A$S=TkKL))5c(cIECac*KKQ zp2FQzkNnF_{OEOfkze_#m^;k{r6ZFx`u!0Pwt)H} zTY77rau8%L_dw#oWKiMmMMykY7K+@R4T%Q>L@#%rM6!=nPOf;``^w4LDJnA5=Jk4K z!z7Kai=?Qg!&bD&q;_)Vj3RdrN8-WivBBLDl6;e%Y`Jwv`VtQ6GLF={`%4lJHk2ZF zw@Tu{)KcN@eM#(=fW!BSa)#hn@3P3`yE|zT4~Cnf2%ThM;$RBgdY3OJ@nGMnzoNT% z+OlN#B#kbg&_`*JJ)cS(baG~(5VtJz8H?C4Nuy^d@n9{g_fbSG9*}IF1ghR&4y43` zQK`b+&lKvSCzWY>TfdXDJ@vXKu&!0S@}H#99hEpMB6J7x$VZthZ#W>jR?B*c^9t8@ zFIzlGqt`0&V9DCx?%YZ|7`z6%`@oWCg(KND*J3-IoLwy6-Bp%&FrQVpd(;vSR<;Uv z$6MmT7`MFb5sz2Mc1=Jr&tLwzq;$&_Qgbq~)ydiHHWcZ%@8EA}pPfbF2=-z z*|Eso6Pb9hPFA=(ER%P2TGI=IquI$BF*msTH4_iE&U$zEXX3#mTH)>`P5ziRr|cab zZFF*$(+!KrgGhEm>;#R@)MU>&d5lFA12QzQ_Hy^RCLZjt%iUeGi3f9Sy}JiD@nF^M zkLi3f{wy}J`S8GH~qF*h4q=j062_3pmv#Do31{)F}To8EJM<21Uo6AxzY zqLbnPFTu}>ambGS<@ruLSi=Wbv%J;OKevq2=pavi-<&$IDIo5$lZQt0{LJ(V?eXWX zk3;(AFF$(nul5YhO6u?>Cudu)7##h;r|J4}D6qfxf86cK%$?NSR72NACvQn(T9i2J z?g-1TlNPQ|kyVY;7xT{zr%RJ39OR8Q()y~+aKXuwClh(*Wb+6sI+Y}wO*qcLxfM#v zY`&*KHorq`dww$Zf>ZA2J+)%8@BzrC`YtXiES8PaiKfKI6B&w+vf*drLEq-coxI~b zN43ADZG`n&)w6ZVR**jqjS20=;~5T+eS7h&!)Z}eJ9+otPuzP~*bnChY|q708KQAI zuAo9Zeia7sgmJn*t~o{HEe6@}EZy}*D>>)n^&1kSe06gpZ27vbjq7@57md^BVg{e& zG+qJOK})@AsXtxe_#6)dpEAU$9KamaFU+|a2D(3? zR4~~V39=%)ufE-0SPF~ngWat<;-h2rjWM@A&)L*w034|9#G}nWfoyMpPH{WWc-$!` zK{#qj7K(tpinOq05;+G3LdA)fhPI=}|ZPaA;N;v4RntfDC$0-B7 zp}VYfD)8CIgQj|q2Jt2&PM-JKETez~>ez-u(M6Kn^F?rOs=}&AcN`%5n}6zIYeG&j z{1%GUQj)Sk$BOJpba8%ah5@o;11Y1rwI;Z{mR`|a$ykw-r}9aA!SXlj*kF2Wt2xVW zrvdmgnKRu(0+8*#v~Dcp3@LQV>$YTHh%jvd+4X_sH+=OP7%gq&inaJ8$d27rO>C~z z6gYWvnG?4^*KCEE7WZA=ti7<}nNIo9(y8Z?^e7$650l(|JnpU2)1!F>Y}~oe%ntH6 zLS>MKCJ14Fx|${4=0uR4)}MQu-Ty=nNezoO-x3P4p`l3HJky=-?xYCYXOaZDkYWnuRilXe5j3Lg2Ndw zTAOTJt>J|Zx^B^}Q(>~+c?N>sY3gkpF?e58?$-Fp}4>BPL6 zQ@zKFK~|jcwQb~TRussbdE1`Kj=@Z0jrYq^W(;F=caDGX=;m6G{fGVY9?P&M(kYkj zAf18shk)_o?IZVS7a&y-QXQ#SujQ+1#M=UwVDJ#5@{D;T( z2JG7E~0ITw7k^=rGG+v|-VUvi{`AG5UYR@kD}}vmo31s(z}Y(YO(Qrx^nKTE|7W zZe<4VmOSICF?y>)Hh5Tf6J$l!Dp7_ccLS_@eMG6N*~@TGGqM7Ai)5dT(YL$jQy*#i zKz3+fv#n{pKFBHG+&-ncX{!a9lN?)H;x{u&FSm^}t1G5J_CwKd+OSp_=;RF^k_dZE z^)Q8>Vk=rm^VE>D2yUgNI zTJ2~zrze(wJhx4H!L3tLU#C3vdTdc^%ze0S{&^X+Nh%zryVLHyi}$Yw*}JBjn!*{A zw^P3NrpkZ4?g2a@UnkB9_{;dC^j4u$VXSWj+3p={1iC$WU%=BVOxJ7{x58*$x?}4) z{_KPTu+QL|VabhnWURRqylsy+`TW(dQS$zIYF^;(kY7 z`a9tgC$BOfckWGp2aI-5#rrxbaY{$`cRnYDM<@r(v_`HBO~`XN5(F~){&*SNBAj>dY+Ec3yEHH0f{f5rZ}Kuv>*%d<{T*E`VIbSf zzB3nlO_-E?)_#g@KT4&KkaxWr(c z8qRITDv0QoR%+@0n%_~Ivhpg(jwQzHkGIe&2d}X=s2mmiM{g%WdA-q)Uz!kq20ktf7iu|l_M~PI!RKow(zNj9;Ywy zRYo(&UR2ih)d#Q-9P%%6v|+0aI=ERuj$0Bbk!$GX^cQFN>V>R>91XcXuxxO$#Ub}@ zF)N8_8qUq!dm%aZz#$DCZDdE{N_ZekE*k2-BhfZGc;_2)I#%0^aCxE0dL}Hik(e^#eU1=;=$L&8XT+69N4SN0+AsFi`LS(rj;^uEXxTbP>e*Q_v4wikiyk8`5#y9X`j9P$f_xXr5`S>W=1W|J3O|kvp=tr4O zq4w{M&?)KrlJoh82Y&_GPyY5?-GZ?L@B=LCN;xf=hl8#nTZQ>w$dvRWafKx5iyI*O z;rU3G{Sck(;L%#x&d1qc3Nvxyn~7>)C4E@&GO%mD8D#&ut+*hqEq#ZBcQknFo+#u>@jecqA`24Rl_2vvm>te0+z;xf|5yKq z^;THo!posFP+Ai<;IQ-TSG#l#aZZ?NpK@?>-b`^H-Jg`4qg?S8WXFb6gm)8m8SV1Y zJzy7G0y4fi=hhRE_(m_C6}`g8qJ8)Apj*Stj?~UUyWF=n$$QZl$V89(S|#abeGh%k zY;9$SlDE|qtlKNHKsOewRS5*7vY(CT<%=9ig4HZR@m$P6pIY?t3^ z$lE8+0U3W!i7>3>LvlBLKX=`b=HwX3I!_-c@OmBwV~q94$mbjg^tHZ2gU_sF-cpY@#2D_m)p z3;cD1Z^uE_Fw?)!ke{lhVLK)j_ItA+`;VnXz4T1ypoMqQSDN8%0a^LY9_#5xVJ#)} z;c@6{x%*Kz17?)O-kEISEscy@`NZ*YwBGEYHf7ZAJZN(W_&Uz^QTECI!M-hd^MCzo z2>vS$Lg66Xv3|f~;VDsgO5B+r!!ySTGB`o*Ivm66fR~HG%f(&QV|Z2Z;xl;hxqEaE z?-AZg25%*I$6w+d#|MMK2ZMWlD)9Ni$BeY-^Z`; z`-q=W3O}RV*Y-7jZSkW_;YXSKc7KWAZv4bk_=)FM0()^KfC~l+7Yy9G;u~C7;PQjP zY9JgUelRRqThWVq82^ zxOnE)*weVi#-%ueOL1<+9*!$^T-Z~%u;PbKFhfrh~#w2e&u5 zg?kg+!ce${;dVN|;Z6rPL=0|-xP4PN?wfFX#o+de+lBpsyD;3WF}PXd_H-|CPlsDS z2Dg6P4)GZ75OE_(;YO0%Z@$L;CT>d^+?H~?*I#h=ikn;pH@Vzi_&3}OX z_QRbuZonCC1CIOjTewfh?L33qd2ZJqj=O#g4p0~z;9>~>z!(CC8W;>Ua1n_gFd~70 z3km}lTzun8jBj8VguyTf7cH5_XbA>YC=9A_v6n9~_JScA3PUtpBwd#R(mmKg`q75Lt9(~Y#JkA7$~DKP{zg6e#3Yg zhTSL(yK&LEB^aHwgJE7S8hi_* z!5B2AFlfxhmgg|Gj3H=-3qfO~IvgX_7|^CLpv}d-=P>S#;c*JX<6IQ|IY!Yj*iK=v zor}qbU`!rE_Y8*axd{IejPPS3fZ-AWm@oJW^97hzU@)z~Wgnhn_5qU=6ecOST*d{= zWnjvK;!+-%8M%j<5lo;^m_Xt3EESk%!E_9T=@>3+^Bl7_nB1W-xx?jvrZESEsUiwf zMO;SdHD;7B@x)-_iOWyz#rzbeu^3EaaoMhaV73dBVhkq5xZK&_F?WV3HVRX0Tqf>2 z%*0{Bj>3c;m)ARnc|A=3QJDVYvV?oNETJoz$Z*L-%sKvoIY&%QQka_LGME=IgNcbw z3KN}NKJ*jJhho~4(hAdx*Y(#!CJwW!zhib4ldu#fVYyuGFFnmeiRNF&A>tbNSX&%C ze%Z-brz}U9tP7A2#mw(FnEAy7F|+ZpxNTi$C1m0-kNjKgHoJEDIv5Sp&5UnD;e%pb z1;{Wf{dfMub&7}Y4Gu1OO$onFsXb&V1sUeJzw4_rXn2hv!&Er6Z+-4VM_dV{CNX0k zo-I9fI8Y2SOsq3^ubK};q=_Nti23*Ff(POPT`0&fO;0UXWWNZ^E`XFSX7gVgTNYan z%<3In(w|ZHCGNkTBLo@N2K-~ zoyB~JPHF@hmR>L$>pIV8+QQ+wO<8pOfG|MXmY^7!B)We%JVZKDE^! zWLQqagqBG*Jj-RZELU~&Csy5HaSl}%Kh^k?bzaMIH9Wrz46cqIIjw^*@0_HhqfYt2 zNomho%Q_y-f($Eyj%fY82KIgnGAtZoDgq?7$NUdkma8v%DY?o zuvntdY9X2Es#f|6tCg^*i4q3qM(Eg=Fa@lA`bxT4mHRLcM#B;*>c~R=1G{(-WLQac zL9vA%m|q1lEV!a-CzTOT4INr|IjCRAc2!MI&_7s<-w4er4PtdW)v%uJTc=B`_M+ z0fs9>&gP_uL5AgmOx3fT9qZLaT9&IS{0gfIvG|aoeX}>O*Alo3SfhBsaNavdsZ>H> z)>X>LU@0S4@%TsH{Ghe29AsD+No|}Qy(dzoz(KKoa*3#pD%l(gGAy&CBC8}TYJ2y> z6tEieh*;TY5Wg94a7CLG7Hx91ozG(~B_tG{1sRrrGWEI`-nV&M;GkG3`a1vB%|ibj zAj5)EW_q_k(wedXZWh*^zD@~Wr9Jnq-@%oqQX_fR#3}1actWs>_4`CWRl?nBkYTYa z(|W})|5oh_2gRD$@1?gtS61x&INFlggolgx!%pg=tjAn0UJ3`r3fo`uv~2#0_kD2P zl$#}Txo+6W$j+2h2gcinS*~992-fRjSuay^)|5zev%@S`{d=VM<<9P-J7F{|5~hyq zG?X67GY+#{E%8@|sC7vjCVL@t?C(nfR+M1l;q69|6y84QV zF9H%%@<4{=_e`xJD>-MZ5T<}t`rjz59Tw*zkYO=DC7iLQFMg6|b#W!J?NkYS?%wd_Rfikz%{a8I!xVM)%sm_9z+ z>EPNHn0vAqf07pgGsW(Pf5e<~boBwz0? zJXzok*A2TuKGm0&_9=ZqHs8Uj&iN@mSs6lP?qcST*= zoC@B(Qgyv3N;yUUV6X*rd#BLw!Y}@*r$k3PoXqoU3csIXKd4x)|HUt@<;T3|Q!>cf zxY}f>&~@~HuTi67xo(e+KaJ$KAg^q-@?&WR&y zL53|nlzBm4vR&MvV!2MA-}0oB%9JNk$cL_M_Wn3C5o)mJNa}COaXhE<|LP!(yTRVD8s&GNJW`f6Um^OhS9L!YQMN>HGiZ_0(Dugwk#^j)t1F|Z{2$&Ue~kb zAjq)Ei@_!@t{1Fgpy;!lNV^z*&S7OSk(IJ#EVFEF_e@lF2AmsqmQ{4Gd`y;Af(#qb zn2ly@-3e_9Twd%``!uItKv%5+8Md=g%Wop84WUQ1EiKLR93`ydc$Lh-GblsBzNTP z@BtY%6;cmxIw~Dwjv;uz^_UXkUM3jn3lf^I3BQ^T^=z&dMG9LKxlYD#Nm`D<7y+`m z6&>j%VKN__Oc)w}i+wSc#fXwfDtg$Lz4F|<8%a^8z zWV(WY44W}2Y{ukzHow;xPo@{oTtDe2 zhPE5JG9MTX+fJF=eCdTJ>e?ar$_SRW>$epf=_9)azgFLFI1FFs#3$+Z14?)-rEc#3 z@LpXFGsRxl3rVfi>#QpVX`_kueMhFGqv_cuw%BGRlA!9!mESaJVrogWJ;u1@dZ!UIDr*CTu{O{Oqa z{RA>>C8h?qbXEA1B)ALMaa@ttdNm=`kqs5LuEt~v8 zxlZlhr1ia1f$Knq4c(NkO0m4&;Z(9*-}h@xpukw#OkjUnf0Mqbb*x~iOC-ly)^Aa= zTo?JDnwM`UFGYb2o6RX~Hs^ZMLp1YV#$!)~@B`d`)^Y4a-%6I!EXXBMlP{G}HSOwf zf0-A#Gn$tIGHirr`X?Xpg2nxCZrCsXd)(mq!(weZyc{ZcLe@h3S!VCzKKe83eUM@I zeYhwh&v;%0GHlYP?k~zqoR!>BvRp6!Z`zu(V@D^`V1-}uH0z6p*7-R?#pe{|OtkC722N`k(rn@h%nJBOeVEeB+l$DY3D1fCB zZ<17?qk~<)qJQbh^5$e?Y6Z#ACMu};zH7;rqPRmAVd5G%s!) z!iIP_x48%O`>hWM;lnGGSWJ$&uSiIIabNvl>;X_m#+pHB2Ad4Yw%2xI*=jxbfwATI_3o)*h z;=IOE4UBe)KQYXYDTae0 zU-e}KMoWES;Je^L=un8z;Yd5Ldq1f151fsLq9zxRhjLsgNzWhih6f3`J-=Ba0%Pr; zfei6J%(3;_ZFN$6AInjIen$!r!h$G-1#wKFdr1Wy{nf0)&Y_1WL=SOaQCCd$m27RLUH}J0 zBGw;D;Wf23%xvetSyW;unQlyp>SH;+Rz>>K%Dny?Cb+zX%Iv0=tZ)M-?X2?Gl3^IgzF&*V4N2(?Q`dE(r^+Ve6g_9rTMmvWHqhwlbxFFF7MnjTV$kdD2 z$&f`LL*N*Lz%hP$#=1DsTL!%Ie#xc|8tQozg ziX4z3T8%lrFm}hym>16=oNgh5h3&tZ=#WuOwNX1fVdp??RNWI=T_?Ez3<~^ud~5q` zS4{NY{1ExLGah7!eWQjXj>2a-x1O;a9qu*K;SeIn9E*r;+98%dV>#B`F=Wjll8*8t zUCA@DGB`IR*L^QARtZ=9YJh{%*T-!)bGNh9`JA44L#Ox|%W?4jQ4$$BneGiT#N|;@ zGmpsXt_(ORQuXFyeX1u5PU!6%e2)=cb!@+;NCFu$`u5t6f1MjKGiK*deiWkoIO5-* zy8h~X4FMT~0U7q&0`^qN2Dl)|4_snAAc~FZ8?|$oL5jl+B8~95uIX!;WCzF)TFCH* zQgm{{_Cl$)i*5KjvJDY|NO1^6Bqd&81Gh|V@z>copdyv|qqStjGTh5@+{Isn4_czz zPipNPo{>U4BS&%kB#nJSR+u#(pWe?+)eim+mP&pnJ9?<|K`+ZOA%BmbU8LMjK!)f@ zYX4jFoh#Y5ds&V!`5Fn62%=;-5GC>||Aa_F{>T=!ox@r(^}~h9Ycypr1*BiTHqU-L zL4H-)IY=f||5WRrS0IM#hAhn^hUJwL&7Xq|k(>hksY(3GsL zy(~u!{RXL_2p6U9ZZQmbO$5OdkU@G+Sh0@PH1@+ot`S&j0>pb+h`Jl*eF}VGG$fi< zOiFJ=hXjBO!Kc*u-G!MaP4=e{=;%*skq8%=>AmSIeG|5o_rY(W&CV`cY=O~cdJ{SX zg{G%0M_c_8X{!ijr4Y)>v0MF+-HM1;3K6dy3HG~KORPS!wa3l@V<`m2a$MP4$dyI> zEEVB1*;8 zNivMKHcXbl1wn%DKN4oHD>o*0**VZIb^B1>#XW)}AVVH+2tRYT`c|{T&S85gO{8f5 zAz3P%8`6FMEFhotoBctC5MZi;IedRo7Xgys$z2+{KV=OO0#xkOqnM6uZ9XqP&VmV^-EhIK0*qK>*I=1GNvA>Ju_|Ly1 z{~0mS3}T`=n)L6YuywldE&yj;wxbbi~;wnaqRN;0C@#TK-7DG7rO~+ho1!CoIQl zzlWT5#JN*u!vr}b>3G6&)b}ru`i^jTDnm7Keni*=qaj27CGGdjvcxMF*1dx_RrA$p z`&p_nVwd!7^yMeeVCy2%Kkqr(VhtsSVPO{exw>eL1Y~Wc;`y?%iYF|`x33ueIeypX zwjB6S?hwVjygIO-Wpt~}L)RV^gA8f;OOTe2P<_fzKdJmtnDGSm3oiElcgWsHL_dRw zevah-o5Pln=KCxgK5Z`GKQq0ipx{PN7|axX0gl9^P3qIufDG*e;DYpB3?%_@v(RPW zcXSy*GXhHUSh+HFY8i}%o&~?7XTfoowE=_H2Al)JFR}JPb>J3a=Zq4ll1gLhPq8Bk zmh)3sf_@5UyFj7s0_V;U-sQJ5Hqel6=S&=^4nyxTuax_WkG<7@c|C-n*8^HYP_5#g z`kkse18W#1l9-^vJlNa4yWMuS>CWRvL_XxYydONQQ%=!TQGY2Syu+jlm+JASH;agW;v&lm)Y}OvGegCWx6n0?K=jt2dDCX=81KW zSw;k)z$BDfK2XVdG(og5M=105~6=ei|1x{**UWn3e8qHPnP}t z0%2EpJjl?xg_%CB+q+m){}@_$Tn;b4N4MTgOs}8J0vu~H{g)B46?z(6mErFS$`^+~n$9Bg$zXchZ)KCwLy86o;nQ&0_vhnNQ ztLmw#;oAvX-cV?H!#U&pUE<7Vec#8xJEW=7#&Z;8LIgu5A-%a;S>7<(5@IE68nLS1*FyiU zYa5Q)tJl~#gD`4%)wt?(%-uGY^AY~tlhUR5d-Q%pb zh2qpUmh(7Uf*xmRg~kY5a^xfYkTz&tcR8kgijHY$tj2`Y##)L8tgS5Pzjg%u*U+Yo z$vo9{QJFr_3P=-|o7lvZ+06V(do!trCn+UoTd`m2;3EmCQ0r;W3>V@x~v6_H8H;h^Y( z_j>Z&`mBKw+QymXF~cWVxK01KS|?}eENMZT(=f| zY?~p!h-C(8@xz6Mcbi$xbumOx?AUdmK5XO68L0rn)Q;NDQjnpCqo1TdCH9VY4qV={ z#X`R(brDN_;M>Yp_op5;U4)>d#W&c@lEGH83sxubqEk+Ngg?Jcn&GdC4T7Kn>kCTdk*OR7cy2Su;dZ-koR zU3VVG*f>j8>P4z_nWQ8HWa!-b!ncD-^@5ah|i; zrRq({mwDiSIAW1u_y)^)`~CyHebEA#TIm#y+{|gZ z!E#Q)|3If;G!&+kU1DXGUUma=MoEdo>SWqNPpf&Qme5s(dCs1g8c1-c+eqpSmUA&a zqPEu!Jh(bG&zT)FOHXDGu2TixU^!1@KWOiDe6fCXp0iG7F7C0F8saS1Srl;e zCehS`zWy+;#3R>%Vde6Yo<=Wew4A0kmdbp*CE*}LXX@WNWnsEamn! zz)870xbL9{H(GU52Uckh_~w^fV>w6fPtegDjlZe6pG1QJio|PBv#s0lCRZ)D(BC9g zg>_A5bk1`&;?&Y-+Pzh(<=0rwZTw4g8%I-e=GaN;{-9AKo zue4vM%b6$NJU`?ZEbl!IQG#pAOG<0O6;{;FKbk+8cpXMFj12EeA)yE-lPFp zi6o)Dcxo%0+cT|oGJW$63+*?ST<+Mb}@(Kx#F3d`?}U3#<7 z=ca{zX)Cn^1NS@OVyS&Ktw zTred7*`mai_5GLHE%f(2w|Dp4X^Vk-8q}LzqM8Dk+F=kc=nDFk)dOy&2sSnFq3pR7 z*5wx#4ez&>*61&S9U!x7+2(S|NIffR?wTHq+5Ff-&#|v)y6K?JO7_=T(tLz*r7W|g z$m~<8mDRKSD5J2+G7GZT=1qNu52=SY%r>6Q zgM$Wac{I&0Ob6L2o3TwA-esY`9B&?sR<0kjlD!cp6+~77qt*KG=eiX0wJe|Xr7Y~3 z1=(+DOSIZotF%^9q!CTYQWP+nACq#xIdHKSvSSH?a{BI5czW5vdhKN&m6c=&4#u&( zei+RnD^$PJhJ$RUw0})H>4gVLcWjT$Z(%>2+pAMqAD(JJcK%RLxO_}i!|HQNF2B_* ze`cY-o7n7Ak~rIKC9kE|ySDsj0NKbp^S00R#Wk!Vbxd_5W&mXOw5HYZVQ)LFWZ#yA zc#Rppyi7s8_3oZg9~iBYtrRCp`z-X|3o{gEwNhp!|J&FWqsw!Ethr4g$Wb@Sp^{nQ zSi|2q2)~71?b?EE3JILsWjmcX7%PK#Z=czk*_$MXq=r_~p;duwZ+6MA*8PnIR`O?N zuWD&FWF>ow%x3xN95|>}G)6);K-?BADv4N$; zO1_&vm7md20J1{HxP>;gSHTjrzy4jxQ58JB`Sp7YTMwnd6cWP@ORq}dp!QUC?T!Iq z6%@9KjB65>4Z{uj<+Vs1T z@m4ZyD(`P9?gQDf&531i`IjqMg~WCz!w{*3(I%UR$Cs{&wL(diknV_5!$Ds>HCB$O zw^p+Jrh<)ICe$F?ugF}Sx6wNqWb-ZKA(l~)1;~5aEr(y8WrOPrDo+d)jacYE6H9Lp zABT00ykF{LepxdCvT(ZdL!_nnEbDbe;kzZv39`MT^t$MWdea;kywDJ%T5ARwdn)^7 zBkcn+Z}BqzK(!9;Y5dlO@tdDb&XHlWNVejt1Ez4lS}i!pZ#=`6pLnFL9}jo~d*_Lt zTiGMchB>l7d{OtE#j#~jLrYo0le_kvfl}MN<$V2Jko_`V`%G}OZgh^k$n@YDuwchq7)^4qk!()sn&-~yGD7Sst-m}@5;?3=Vo(D-P|W zVYC$i5?_f#&Om;lVD^c0`#X5yYnC<)Ja{LbBY$iEH9=F`1*6^lI^`0r3uV~yp`;Oi zbqmOj<<{-U4eHCEg95G7qaCSzFj}OdWM&b6@FZJK3YTQ4H%`LyHt?akH>2tB964s` z8~xx=g3RYfvuXM=M_?}QbUg6ylrT(g_$%Iyo`x?}#X8^+i@*7ySWbf8XWpOgXnR5gyCpyu5)yBgoG8bt_wvG$+`G zuf@|og@P$~dW~V3J=4Lv=E!c}Zyi27D;zYCnvi{Vxa0(@SQx*|KkgyOrn^R(q$?>t zT;AeqqvvB;kO_-ujjxn<0=BU+bnl~p88|n!xx6QN&}JcrSbt%}AwJDgJcCHwo0Lwo zE^ZMOx23EDi?R|v9KCvByzquW9DPU>G)U;){YEV_n0QYW*J zJgEo&9+dpmiz z7zk{R;?%qvkbNn0`Xqb^6j?~=hhp*NMR_30OisE#GN}`@`YB~aF*^gYW2%|dp8gSm zg*@HtV|#g~0AyQ!%;{H+uN1T8`Z2*mafAidNC`ZsA*|bFfv@wo*^v%0$Zj3vZ_$qm zirC;uk;>WgGswQ2QVInFLUxw?csqzyrAT3>AuZ-Ri&W?H*#`Eoudqu6vVRz~l|qZZ zX_oA%5$i70&>-6^GMI9@I(`B^YJ9k_Xr&!)R&3Ne?TAW0OZE*93FzKVkooVPXj~w+ z<*{B0R=P$n1lc#TO{Zk9vX_dv zsi_hsXO?7oFU+}Ervh2(DY2kW1&gAkbT zUC}7<>re-!unj)hQvV4J$Uc?r)8-~O^UdUss@AcQlqneP=QF~L)T!zOHaIHri_dbM zAp5c;y~9zqW^#s<@me*rMMjV%)^=_Vh<3)aB2i2buQ!N=?`gu!NT;l3V21p#3G9RLH3E-?-`r)K6Zvo*9X$_ z)m9j7NqtwKp|>cCpG#}4alfKNs8*-z`h8!-0N`i zu;ebt_BxhdD@d!&HIV~FdlJJ>**+fhS-h-684}9!hq^5JIc*^GGj5vFrpl}z$a~?U zgl&NikZn3N5p-q1xsDZu$&@^+5@fHVBiU%4uaI^@gUecZq{oy|(K@)gu$g{>a;49%a`njHLK- z>wu5wqwMFiwxK9ub_<>Nuyb{Ed_*bt>d(JHyOYLW%n52g#^eDmTY4f*qLYePPXUh+8Vch#d|=f9Ok zsq=Tlh@EdYB^z!&v7C3520Wcy{87-cLYFYh`}oIy3d*gYDXk`PNDKWxKgzyYvcO0_ z`S`EoH>2Yqo%L~$e`;Ab$Vl zvyU`7`xn}|;HZwZleYg4hKwRqp>04YXF9@L2IfEhkB*P0@c$NWzaFI(m&|=Umw%q4 zspmy|RR3x6;XnU#TI+Y&oIAtHy8n3!|7Q~aF}!CYK>{FJ?%>fM|M>Cm|9SfVJQnZc z|LXhr-@X2~-+%G`IK#!$uCeiGcziq~JV!ihoX3CuI7mX#nB4yVQ}yQ2QI*;HzqxJc z{jIAO%5YE-I;&B8tyT-0No6S0%#Bb$7!7bpBhaW&KnQ~njw~(gwOTC)Mwu?_I^4Cc z8kJEQ$~2QSLlHs}LP%nDh%p_CLm(qn_2ze;=jFWTeE(}{NUF|xhrOTudG_|$UrT=N zrKL47{cqM+;dj#T^nK^zdxXdSX7VW~zuRN#9q?565bwed;m4HEmv173$D{EFZpLHf zo8ZsU)c2FW)Pskl@n>2u`0fn6o_78r9-;h=e52>>r_K*1Uq5~ezNzcAsfVMT_z%xr z_+i%?-&>jgShe5_;G_IkhcQLLP^ytDjSJvnd6z2hyy`-MkIXNf}oS)AvAOpA^0jpYi2quba`aRhwJ*>i&B2 zK*T>FZ+0cWG5SWMEl&_#vI6i=U0_<<5S?4k^Im3h{GVODtm?j!F54c%gEma*L{G9>SO9 zsmb4hcgl~)Gfh*k&pDU)vGM2pY&_^RwG#LuaLUN%fZxLR#nVqyiz@W(i~qqBynoB= z%d5sW%WZ%q82Rw+vKzBsn-bx_;K5n4v-;Li;}QAJ@ml;K?l zee==0ioM(?Sn-j;0|Tu^1vkIu2jr1>zCG63u_5P+2p&0BfqznIuk-j|c z?b-B$Tu0n18TXz(C~n^vD9?HMCWj`k)Oj0?=LFXvkC^mGX`YxkBT}Pdh05yy|LMb@ zbNzBlrQu)UpSzY1es}=Cg=?QDQ5rr2K8)*H!$s?J9_6iK)_TJ<@5yWt z3zy9`&AH2)#Ias4nP%YBEpcP_Q>IyRvO%1A@IKS~KyH;tzVJ&t|K31_STsHo4^|al z7JuotDenDh^d&Jhu^=7{1&hTm-}>X;bp;p1)d8L2=9z+sNd8b&Z!TSYNX&Zn>-xa< z!1vU{5+ABm+OKTsFEw`z?T-r;O*_$lKJ@%rXdu;R! zG4!FYYx3XDnJOmsd8Nj;Aet#|8l7tb_f!oQQ@ft1_P!l^UW~nwTkZ3f^$|bc?pYna zdbEd_l@*QUKRxFWajjEcEZj5EN&M@PCt`u?quW!LJ8Z8qj|Cc2H^04BVLp>lmTK(L zzrwe(>Ts&$!?(+Qp#|TiCRd&*^Np$Bm|8usQ1ec@QIcF(^4V$cwwCS5>sPv+ z@;;fJn`}+Ie>}Q>)xhNS33ne23_aa3d9AP}&llA9whS*nzC8T+w9J-?9X?xZefJB^ zV>?*6dT>sn>DuIlnckWaTg?BR{ZxlEL}))-eUL@vmGFE<3OMk&?6CeAGT@%_w$E=B zQ;qkdj2&>$aRp;3^Af>9?QO{|6)#T6FK0A?`-PhUyMp&K?!>^c=yvg9`#aT)FL17M zUtxps&cf9YC~nFUH&<2EGUC8>#x07?#&7`lZ@xF$Q7rxDP(5Q19LU`5*l8+i4)-&r zr^Zd}B%>1Co;*0P%@}>)t`GLi?w7oDxmd<2II(#KVUNk68%MT=|70M;vk9k|!Lvz* zwFpUZYHRq(jDUDH;Xt&8R#^L7-C{hrHGD{ZY~FD=TMeE~3>guF`f+w^_`ZAsJezP# z8$6rT?HR%Gt?|*RyA>zC!Ly06Ca-&(;2Pcn--|q(tjojT*`#i5KMrvXFO;vCXA|xr zgJ%kif4_WVuM_0g*p0O{Fyjj*g z;SMD5%Jl!n(_==$E1M5ZzstIHWXvO@3oHQrS3JOU6|D2Q>33Oom^aCv8#PtxUOxy zdTv|S?QWkut{?zwi96Sc6=L=wW?hX#8AreM+W5JLtvsDE27`@1jNg0q@Uk7L1j7%6 zja%pK6NCQvWE&$BKbCgK(HAs-pXCh+l{0QFc(hMsN4QqTGYD+9);&8s(s5ZiQy0cO ze+c%T7|DF>e8nR!+7BD*`!m$4IqsQ+8OF*h=OawcPW##aN1 z9yKBltx3p;3mdn*Z1B3swDmFOdW_04hg7^98NB^C<1_|re^2RQ|HGfnV~)gFZg)+| z2LFmZBW^Rg!#Po2-fNqG{Kc9!l_qx_He*NR@cl;_6EZk_Ys9Kg{aFiVFkEEZ`0@BR zK95{#Z(=0LW2wGq+L!*m7tgjjdH1k~zm9B=&u09|K=co>{rQpJhZ~rTF-AQ-r|;g# z8}p{MFlt5kIw0%Aeg5K>JO<-3vK@7BzrXLhF~+(KdIuc8a42%K-;gH6NX&r-WbZ#5 z+0uP>BTgnBy7g@`({eg#KY1ZVuC$5Rpifi81OC|bg?nV9u?efZIGk<7tKbdgI0QJl$ zA%5BNmBD??-=&?ua@-6&HA6cd^OkUg)fdL!25yeiH7H6;z79*K0HP|Pu?x^y2H~p z@z|XsGaD}jZ%43|AU1_g_CW14qRf@!yj!UEimy8Cf41K$sa(jws8TCT^d7Zmtl=#74{|>`4|Cyi#23wXRg(Jb&4K|D-`}O_V^T4$@`Qg2dKI%jX)-p7{Az|9z2h z3yYf>F~}5feUE90RdfEh)JWe{d{O*+`{PEH~k;9vUElx5Y>h*p`B5TZ{Jj2;K zn~Nvq`)@{vv}(=;mitTX?T#zAgpBMia}J1Q`@%8(_f_A070K-vY;|c?6Z@RK{aW4& z?;6eyYt63uB68(=sf_Iy)OwQ3gSUGxIRCzpF}!Yz_)B|fwf_6s#AlJ>>+P+Sau@=7 zI-CgH{=@7SHW)>$(8wWxv+bk{(I{)`0-&}S_wYt zAFR)8INQ3H^^N@aYMK*9;EN}$CtzgUpW9p9UfQMJ0uWz#H-ZP{hfGc{>Xvd zTYlPh)zlYRXT=~K8Wj6vSdSy+sCBj&&H?t{*2!}iN09cjibruk9kfm=Bkk*9TbeAp zx~acuonwb_plQEa3Fdzw!Bn>?gIH#o_5tRkoc39za!;>)EU6@vM=;J}m5rMAVWZMg zp5{2#o&3~3LR6y4ivc%;%2<8&!JtxC-Z8jrR1O=ox4TMad0pY&Qdw=l-oz@s<;{mH zQ02J+duytMmzOARO_ljZ?G2|=VBW{LGM!x5-bN}Z=GBh-Qf0@cy*X5x%qRhurOKBB z_L5hLGXoCXlq!SHw-+)`air3WU2sjRoH}SPPnBFV48k3$vh1L}=$K6--DX6E3)0EE z?WM#7oH3b(l_kYNh=z#c>M2BP7v<8}x^ZbpYZ~Gg9vvY_>WKK| z3CBXfH;|i=_-P25cnXE=pkE$cQn-)@n3Xr?F`eY!Vcf6(o=eWDfbihlY-Nvm6oU9m z8T#uTtOU|6aqz(2Y~_$f8Zuda3ytyRW-EmIx)es5)xQZ@46u`mJ9$8qhkTFW9Z zFQ{==K53*ek;ik^7ywzgiio)X+jW;+Q1pU9F_lEd)84gI!5--OqB7qh_b_5H3rk z!LFcyA|8ukPF7>roLrHJQ#e&Q5*H*2QLgX@tbr`ntBi}g9(lei=EC71%Z@64<5EP) z$`$B2b#5mcwCh-|=+deEs@#%`5XCK5NbA&ZoJN8?bX*umA#dzQ-&WiVIp zPGJ@_fRjaY?NPsVG6tu7?d0Tk!OWGW0LPFlgp=XhRWw&_#LI})Rizhj$)U97O30kT zzoS0b^)|!F0-XxHqh;7dI9KY~^}pVh0-7DkDJ5-o&Vly^ZTrF{sUaO@P^h%E-_$ji z4w~NGC9)wGWqhgBw%%nvXB%%yemHxIWUeP^LGTn59IAo!WdX*xVnAf|% zf7=r^jx>i9lmWET=sM2cJ;HCbkaom6guo*-%M{u!&sIHDhNPUbC2{rg?} zz_%mQW;8i6AQDcdKuQnHns;km052ZGIQRVul*lxn&wECNGX}{D-H9%s=Mxq6dx;h#7yLq z)DD!YIi&5wy^$$T3~}U5B$JdOl+HPOaoN7ewA^`);E7C&Uylonc__uygw$3yEIl_-iFk~)i0NB@x5aM*u7HOi4mkw8+iQF>{|#XF8fIy_x? zQwgWY9;yB)1$A_)f5d-q%4kPQMe0Z)NolHYjouOQ_g_825m%8nQj=0DYu4!-5&s)g z;^j(iJ!;EaN_YMH;`WGtbN4wHD8T;K8j~t!y|I22??9OZEZQN=@Yz_uX5Nq>2lBF? zKH4sRov}Bl_*Ihbo+A}MKxN_sMJl?%BSD30-n9-}X4<~suv!<8c zRFxFhWuxajHzRD%%W*l32Tl{Iy(9^v0WlFK=ll7*#^X!$R>v(QF z-XdOXd9qMPyg=1jdnqx~47_``yG!-m)iSJ6y!6mlMLJ>)nR&djy{8#CadNVZL|917 zo|&i;l|7y;)e)yqC)ehFQV|bK3aoL7!)uSvDHn5#x0f--p}?-SCf|$u)@SWaF#-a) zVWicJC=oNa+*hF^KB6+OEp6x<51KXQE)6;T-Pz~GVkU{ezzk1cEiWwpPR?l=!hj{R&;KVsLj9U^fbXp zllOdf^yDhBx~ir|M;yv}hj!vpZmq9x%@UVzosR#+pEHJ)$rJun5dYi>E_UIERjgN}YtRr@oITpT=7hjE$HS4tL_~Lj1I^u5DbTm94A0CuT2FGK> z^JL5}Q!za403N#&My3?PhSD^*?UjXg7UJt^eoJ5ACKm($+4{y2U{kv&>1F+o zx6O8O{g5zy&9&`fs$ZCavsLZl;{KGG?TeS6H~i&I%zeKNmOi1E(xZ zsIu1d&dCwtPpf`0qor9wtWI4u1AB%DF>YCv8Mt1T5-%24nBMoJDe>n=u9*4ZoRnBH zsl@cQOiGE?Wf#rhv6iH`vgVwbZK=C7WV6c+{@GlL}!3Grj{ zuo>KVHX$zV-fxl>|17?vi>j4@H@fV;*Fi3nc<07;1khS@ygE#fbI z^Q;HHvPHDE=9=N$VJ%|h?uBOfOte{C%A98g-=q-rrBrJP4Vl_ z$Ib9(Z4F}jM~|67W4XnqWpy^q6Sry!AhJd>s!*3oeUSwtN;h`!$q_sjIfd0}tqBV(i3lJUr?2B{96yy14KDmQwMj zgRA3#zS*VX&(nf&@3~VYVtVBq>*q8SiyvQ}9uH5RRxFwikBx_i8yCetx(r3PPZx?=2Rp|DN9xau2|wH$kIo%^UM%@0RUcS4 zKO+9L#;iBHojf9jXV%o4PgEZk|7b6%4}O_CB;MG4rat=Fltbdi;3M?`ZSg_z@520g zpXbyM;`M=_)raR*eJ@t**jOJO-gH1rZhfcTw{z41apL(riyWJ=Uz}UAus(1sxKAwk zSg#MiSFl$!Z=G0gUM$=rW^Nl+pT9A-TP#}NuijT`ekT?!eX>5hG4-vOHLzQKpm@}` zVtDet`e1m{H{#dbsk-1`@2Lx}JN}7SvFg)0-`P`JMB~Brb{DtxL%YzGOW%UDEwGVP4%hs4vKyxCSU4VXMTP9Lvd+qr#jzPCqEEB zPr19!+vlhumL<(v)1S9ST-j1pYhKCC6PJ2KYs2jeR*OYDj@0`0%vmM=-TCWU^Lo}B z;?K*r*ZK~PUnwR>-mMLG8nHr5d~kKG+0wR5j2t+x)*FoHie`Ost(jZ3RQ$SnSgr5= z!W>cgQJ-3~Pr(xLuMd0F=EsAJMeE83YNP%2MPhDNswSw7(Z!9v*J^z4wapNfZ6!6n z4K>rn&3(sfOkZJ^ST&HwL=O12O6L_y_vKYB> zZjIT!Vv-n{oLCbcb9AD(lI5=ncAlRphVOm4##}aLf_SM_s|hS?7$=(h+*9N0RBTfkNF3XErrO-pHb69gvai~kU-p7{b<3yK;khfH7r%V?es%tl zDbHDNd3AMke^Wm(cKqDx@Z_StVr18e)!t3PK4Mncpz3Je=-%Sz^-os&?yY@RoO_{j zb+~^)FLC3thy}e_J;XnAuf@FKTaSrfZWqVQeW$yLf4&ikh2NR|sJPwuWz6SEJS@bt zjWOR-g!s7|EUh*m;RzEFez80MlQNo6?nHak^1MF&#R)3x3#8Le7w3U_{6m4)W6n@t@4JK z-%4Fr)Uzu8mEs$zsn0i8ct35ZNd2SrWQBL^nDW$>9os9y^X6SjH4g|@1e=WF)b#U1 zE5ePX7gARSbg9UnV@6WPtiguSF~bh0{?&S@JpZd{Kcr5)@P2u4-Gcq8+c|o9_=>S7 zHEu(n^1xSx-=-$+@RSEqu^p+2J4?#KXB)PrW^MkW%asxdn2o7%nPbYr z6SF=}jqBXKEWh8p4^kzO>!m@<%AC5kBvKmPzUqzCRS_-?_dLElb>`z&N~8VGE=?_Z zuy1LwqG)ky)(TIluXFj#)T@_@i_OZatW@Q*pBG2{HB(dnT)M0{e_)+;g?ux-IC!pp zLTYGfm*QwD?oZul{-wx!#vGVB*I|EAv~R=nsn+L6s zyu;02sjF9-3&Z~SW2r0OpC}B})IE}#_2I@sU!PhnwI*|JVc_ZNPN`!Z`V|I>D;`W8 zJK!mdPF|c!PTv1{H2V6SmgMy9KZK78bK_^Gl3$wh6`Py1?)f0w**zU7qJEoWPD(FYq(m0D-&4NMqdrK! zdMSD`T+y&HIcxF4li@z)ImrpP?mOx0c63Ja<(Xd`_ida%C3*S6u;ck(2F53E^tyU9 zIHT!>yw;%u-nn#XL;R|zqITt$RC>BH954$q=M*sLmo=L@=>J`zW;Pf z%auc2jKI7xiKw-mS*wV`b|Wgg4Y4 zY_9CmJm2?3`A5yI1Ln+&4n8}rdECnvXM4@OUd^+X__M?BRa7>exja29_(k68rWKd^ zP4Wh2JklhL$HxSQw&;yNZ`;@YOk+(y^HpPg+53Mw@gD{BrYP!xK@v13N=wj~6dF!x zi5e5sduU9QmY^{m2EPRghtd)?CV*Vjm>?}tW3ojsN=wj~B-&+3^puvMF*$^~O*$~3 zgvLZ^i5ioQvr}54#)R4gG$u+*(3lkaPHBl66M!&iOq7wI2tr2N=ww3Y!eTqC2C9n)Sxj@ zTB62e%d?c0pfNEMgT_Q@i5e43XN1^nih)TTG$u+*)R>gj!A2OEA3|fIv_y@`_C-)y zqQ(T!4H^@rC2CBz_)KXD8WXctXiSurpfM@O1*IiwOn~8_F;QBA#^l&DY;1wKG&Lqj zOVF4cFNe)6FfFIX1ZfExlQMo#T7t&J3?CX3r6p=iw!?+e5;Z13bJHGDC@n!_B5z0kiOnfc!hps^X^9$>T{xh$M2!h39yKONOVF52Hvn@E2HLrnKa-)oob;q_i{@KN+wfOM#S@Jhs3s31>=6Z(2f_Q-+q(lC5z| zT%6LJ$3R;vUa!N}fTlhcgputTCz29Sw*F^^b=|TII&qyrL+{XWpRnPQ(BseasoJGS>=bcF$0vALbggSE4GxDKCr4dQd&aElG2jL7RqG}m(o%PT-FLLkkXQ^mCLFwrKMJ@ zwj`w`)JZ8Vg>1Q8)_Ex{tw0E&2n0$?9$PV&m0wCry-@K&e8S?al$LDKT-JgqE#1Yy z#s(EAEqQF+TvmrEEzLrV1hk!HVoFOMTRJz=aI6_=pO4tm7*c;n{}GfH#VJ`|rqKW= zo3XZXQo=Ib*}s%XsX?_%IxET8;BYev?yo9r@u+X1&jLqA`Ak-~Y1PB|W)xg6o{;pY z$3n2wpdLrxwX|^Jh%?fMj^2|D0k4;3^dCXGQQ(ucby_EI${A@(jfYYp_2P(^8dMCW z$%6C_4mzWtXW8L`yjB`J?uT7*oE3?->c>pYG-BYSei zmQ)D%zC;MXnHm&HC09T*2u?gBw&B$IR0vo^YN~(db6P$ZS#??7pL`ZE<0;fi{lGml%97mtAtEsM+B?{a7T?cb&P-vD+1???3 z{fvS=83Tj|aT@s_Vy6a`YiWcbafAcV*!6DRMBzcSrV-u%d1_D!mqZ7BG&lo|f|eOG zg$FhRqDO>J4QlJM6#?-i9D_#oyEB%H5bOsM9RYu8P^_0c2@N|q360p5YD0Jw^+W{K zNK=A4Az2-Vp|NY5xk-c&#Yu1l6smPs+M2s`2H`w3V(;Yb6drX=5JWXtpKuxVaU>eM zR-fJ{Lh9bp!J-;0cu1Cp79^aC)(V+&ScKF?MjX{(1x1>bNJ-&fG%_^3NQBhQsDntA zB^m1P((8n?(O4U;x+FXbGb5C0u*M@@QADc7S;wPAZj@D6-^jkZb#SQ$i$;)iF>ZS;(V1Q_9r+I4!NCxHu(3ux*g*Bc__iN}e>7 zk?g{OnU}U{gr%*k2u%m6DocZs;G!Q5XJ%enZJp(!QXwB<)x2~_^XQ7vfjuT%1gqk0 zJOEu0Ps&4>KhWV}0r2F5X!R&;mUs_8p+nayEXQLkJ2Aq5CKE@Fox2Czg**1?U5Gm)}$sALE7QSdTRC@vd^aRw+A1yAds(Dc4>9XiA` zZgc6rP++xVgm(CA09qt(9ZcJC)d<_$S`JGv;4oOCF71t5j&Oj8DOJiZ*J9v~dK-;kZOx>{K{gucTm zDUjc>qdUAm06Y@HjxE4xQ{cD<5ZOwc$uSuyYo6mp0QeUGOB_;`1-dB-raI0B^$rjvYG%+d=Twb6gh=9Hq<|L>YOFIvx(>7vz&Ywix2-6ykD(*e1o4UVz5whb^Xyn;=xily>^Nx@ zkrh&g8zQ3&mJmTnUk=e_(9w>4hdn?TeYspd%7muOK#ubfF`o1c0c7=pqYgRNqNh5@ zraq(F#{V+lf{>0QlKQ)|z8^E_)-~%-?2_f_tgrWgmDv?4RE2OhWm0Uc$Lr*0X753-?t?KZO5-HtL!#iC*C4Tr~ z{{%ft6!UhE*wk|P*>~=Ud5AYV7T&x&a^Tn?uL})vb7UZ)ByA zrX~u0)#iXM{sY4Da@wZOqobxi7O{$*2}PG3%*pBL7sulcX7-!fyeIvSzE@Az6M3_P z(>6Wh|7dVsLV;(!PwwpNpHf+qPz2iFYxWI{KN^y}H z&mSsoPV^_AI-O7?+wbCwCP%V*oOF=3qRh%E{+zpy(pW_Sa(49P8UE)k=8O$HoEACIsWMvgLGbzf6H3gdtM}O%4-P)!i~<}`g&yZ z**OVC#AS_mXrX`4GuaLrce-|Y&|k79i`Fa(nMKBxrIF4@COO8~gQLeSi>$mBNGNLV z^vUm*`@7yV+QH`rG<3}Kw>>b7-YtrquMJtcCer)fb}4ouzWz9I!SF|{Ch&@^`}=E~ z-;NX=Z*UO1-<=v9@=txYp5`uIDZ4Wpt-ED#jbp-nZS09+5zw0lWcS?$;h|NzA>Gxh_|Cs`+rzG?S6qLT_-~8Ar z2i5yc_KNo-t7qoW9!6nxc6Qfr#0bAeVU?->#|8Vtk?;4+zU@$a?UUB~`<}>haK6#y zx7J5)pUk9xjN)r%;RhQceU6N#_)4YW53z0={S}`MxvfaQj-w82j6AkF;UIsbPfpkr zd2r}0hyD9Q)|E~E?~-+nxwt+fcbosw&tq+h2CPmbxA~V1EpxEI*(26(_aBKy=|CeN zxI6oi9g*%6Pqryg@OP(o?udMMJg-d=gO6tP*%?{-RIY;#mKW^b>HqNU*Jx2ALs&HC zg-;_7oy(@tfwIl$>Vu#9JKsO8O;LsaXAJx-vS(1h!3#5IANnkkv^wS~&T#AK0iXLH zUY(#AOqD3na^Q1+mvs%Tiab1B+xH89hjq0Mg7{Y1w_ij$uCAcTje_y2)7`#|41A@C zjtYuWz4JDH=|3>|RI8#BA6?M?rT-(Z;b4ker!D`=f2kygE;x$Hy@ynO71_6bK1F3} zV41au~j~rMxiZ(eijkoI8fS}M?_96vV4?TK{s7dp7(TiM_2iL8EV6@7H%9=Dzpdm;z#p3|ZL$gEh_UVrN3 z7^>7HA!o<;?~SZp(<1v6!Jsgt{-J%5+@3KS?I>XXy=?lvNT+?L95ZcZ1B`!U4GshM%apOc&>1$AUszpMNBNMvhn9hG&`o4X4S9PuxzT<&0; z)%ukqkpcZj+*Ca0-;2Z%|F*Y_8x+|2R(+3%UmTfD^__(0)>?nWUv<5K-KeO?|If*p zk;uVL1+)t?NcsEd)e--LPX}nPq163o&gO`J)zXz!iUJ*-w>uI!lvm6SYxLUJ&p#ZA z6fSF^pOE5xeOqzFzwwnZ6$%g?u%II1?>uz=6-A03Ew~l&FMVp1gN&|P*&2y-JZ6+B zc64-R()wK+;-v~A?Hv^su)3lAqM}KE7jKV5*7ulmgjngDdo1W`!kYDMGpYSUGt^I;3Zae7 zYB2+z@sC*a>79yY-mcmy7KZgv-&~hl`;C`PGq`8QY8RxM-gH)oo6VC}=@8pk_s~vs zvoNggGb*L(hT3Lc`ZEnFF?7$s0?KbPc>ML-Qqz29ST7gLn!TevDOMjmc~ob?0g|Nl z^X-#nIATtf${d0sBYkWlAr?I}`J~JH-KtqU*15AEx{%j`;nlark1MNA>8wjY2h~R2 z{?uv?HRA`V-XSzH3N|cn6K5LcS&a@M_yMf_uc8Py@|v6`eU z?3HUq_a5ym6+To}jo93I&0_4HLvAPe!evv;{N>SST>x#yeMcL`!cKWbI>bNLuQaiK zpasT72T2tWHCQ9ffBL5Q^ZtRwx|0wr%syL-2!yPdX+Mu^ ziH8ThmMfJ)X#~ulT`7LtxYzAVf8)l*c=Y6u4_!EJn$}b!Yrb9$zjZSNx@l`xn zb9S3l6A>~Q>2q_+#Dq&vl*Yxh=_h?{K{M*>iSgN*W+}h3+~N0GNe$9O%AQnS%HCyubu0btk1u{ zuuZCxs1+Lp`H92g>XF7O%9GLzKUOjEtyg8-PhF3g$ifyN$nOR2Pb1XL`~LOwU>52Uhn-n z@JFd`qW)~ep3eD3-27lnHDyj|t)I2*TAg{f-rq$Or|&G?CSL5iquT1famP5Ii9ui2 zg+ti`r20)-JR@Qx_OE zWU^FAQPVau`qzCR{`}lKHM*0yFS`-14fKq??B7snHDbqB!n$;X3opy+fw zMm$f7wd4XcJnbMJJ5^iM-i@_*DR?`&BgWv}`S21Qb~=VvjCZZGz=aZ@hLvDqNl@9P zjWrDm1}ldWuk1B|brr+{qt?q#BWYNEScf|6UDU_BBRb1vC}wKdK~ZcaD#QrDj9u7h*m17T1=x=PY)l8(PRF*z{?#EK zlkd>5yMx%~RFM&e85uYPa2ilfWUut*m1(Ux+>uO58xtjVDeqKCU9R+%qFL! z;WjaGtx(lQcxDvf(!uScJEAG>CNC~2hdEEj6^6Tvf;Y(^4L4mBS00sbT9aeA5OFiQ zdNknPjN$@yq3O6@anI@y#mORRxP^VVj;W3#R5RA%vc|1VnOwGVz?~k%O11J z_YtsJ*nBVaPxb%yy7gZ#8SCq2{?-cXtTjI8h<3BllDbN3`ZYRIS4L+`(4V|vUqJ6= z$Aj95k1f)Edz0>p@^&4&)h-&RJw8JpX$6x0!&V@vRbIBDy!*2rX%20*Y>rUB@-mV& z)=%5iZ;rmgjzG25mn{N++49vg7<`LXq(em(*gtOiYx<8?=xU{^qsp~^eUvo4-oi>( z>z*`EUb~ohW$rA7L3{Z~86*8Uo~Zu-2&NAA$_u`(g9H=8TK{mnAFDPV)i>KIh;}J;&J60O{7FYM&8Uec z#ngT?kLz#Rxr^4=c)|?doyu~>vl%_cB*kAdA3v%8%}#o>mp2@-JQ1(0NRqRG@@HgR zKbjE9KG#m_Kik=oR#Lgw^!duxyTabs*p}O3W|=i?_8U9R(v~!QY5LY=e4BJ+-?ZU# ztiLum_q6_7J3rG_Z{KMKPR=>(ijK1{o^2Dum-IiYFR~Lm?Zu88t#^97Ea?H<12xeo zXl`f~S0|*->X+<{PwzmTbsVEpK10F_+62E_MrNkV(f*U#rltSg0B7i?GvW?#i&Us4=^UE zoE3evZ?@2)UHN2v-0W7fJLLhe z1$EX)kLF$yOS&(xvI<+F(Z+UJ6ZiRy?_J49`n=JWb7bd zC^0C$Ms}5XL7e!oxI%x^R-v?|y&jJT+Y?ppROYjsLNUDQ=_>sLTfWj}4!A#_|3E=g z$|*#p54sf*<2tUZ(x0<+FKt=Jrh4;8`)zl|^W}mgqWN+~mGw^V2xi*LTdvec-=5LI zN@eb_vsOQX>O_JbJ=%WZG(?_S4Ig>wTY|dd!_>MRWIvWgX3!-qn^NwXstM z*5@yqVnHNMIdDVKccP?NtJZtj`lU9sWB2+%ZS4Qa#>U3K5o;C?t=3oA!l!nAO?#br zeSTj-2oJ2V5xZx|PO+xs^=kc1TQSup{BX4{u+DTRZM!0w+r`XI8>;o6Y^hbdvG;gg z@bkql2v^%QBYUe@^2lK;1++C&y`i?%Xc@rPsEIW35-K_06`pYjHg5>dbiy z{2~PM4+^@mtLNfPV(yOnYV>ioDy;qWv3YgD_8NBznC{KlAm%>Wr$+x@TTa%lcN0K6oEGFwyYV?t|4y{e7e4;M6I_l08(+9rxq4>wjH8uKqTfo*QDsQYcvJLU#%b!`9qOE*e(Q!>}@SWGPTnS~GnVBbMb~sa`ceN#RZAs)< ztxqeSAwo`F`Mn{l#PwCzYxLjRTDx}XlbyBEWScwh+%?@?A&wpDWEoyf40j48vd1uf9{mV zGw{RlTl8P;dV+?}kdF`JWU&SKsQAqK@9jc_hHvDRgScm#gc6mp`D$U1obpVAJ z7Bg10{()T=(y-=zSo}_g9mCeZ9?@sn1tkqTD1fcx0YZu@heZ@w8x1?o(mC!_qBQKs zd~8f75zoN3#s1ZwvrAYScDIRb?l2ZH90E8E^q=h7mxi;##G&Ej>lrvwaJE=s-kqYE zhLgvKBZ%B8DksJ+98fr^^xxXmHtQreV?i8fPFkOi;|}MZ{-#}~({L(AaXdPhNDPN3 zPEq}@c70F78EfLOb+Z2q9K|@3^>6ILpoSAWA4fM~SyW?;wK&*u!t1}aD~cMZXf*vyN0RZZi?cPa0w;%jxtezs~<6de%>zdYKRcL2o;D0!`on_BalEuVIBB)D#IG$4IcszN5Du& zSc3ROpK6zsHAF8ygfUJd#~6YfL_7LOyB4h>778OMa&(RigiMH?^r?0cTSH_OMu-&x zi;PN?u@(UsA~AiWUH#S&r-c!)IdaG@gmZ}N^c!~BTtn37L-^+)Q!xaGh!XX??0UO~ z7}ASi(os#a5o#jl)Mwd+dJPe25TPjnXjHc>WYY><5y9%`?Fzq!_|}I&*AZ9J5e6e3 z)_-fG0UDxZ6JfK{vNDFC8qu}>dz&fH5PJs^ggY8c3?VvVb^S*hM$iz+`w-HTx<@}03?y;L=JZ}C@30{na=jpuK1UzQKuU<*P(NTpB^okEFH%VIV2i)I>t+$Vll(SCO~s2W*T*LslC=dh6hWYmxjS3)X+M`47cWZVIy-h|rW)iJV>m?J~iZ`j0*hWtGriM%69WgyK*zHc244@y)=gBlQp zEZ`831rQCO9_Srx#z%v;;DyNGXjf^FAfQF)-`H@F2BpFa$-)DY9u-I<20;VLhW?XH z6=~2td=Nk!i7OrQ2y_xF?!AK}X;4vokW(CpGXr7^R2O}g%`s`vWWo?<93^Zmq#I~B z`Zyba(xC8oA@va7M^)2EgAfEo$cjVmkW(7;q9BAL&vSQ116fy_B`ZN+(x0=DD-G&X z05TLgR5o0VL8O9OrJuK1Ee%>$K13}?S4)TF1?@}!#)iB!C}ROgWb_Q6Qfj0@K!cKI zRhI71VH$L{XCSzF9(nk`EJ?{9lV%tO1XVXxt;sONhBHi5LmyQ%1z5`tqL^>PfhRwIRBJ|IPf!(8 z1=L~m4X2~1jz6kgs+wVADh@}fOlUuAMqyr1wNl0JVPFpDuBetks!$3vmt9XWONT>R zhHm@9^ugMos-y}R#F!mUbWuHnREZShFxZ$B6L>iO<~E9drbu#7&`jKUV7Dx(U9#7G}bp;29jR9O`CFFT-O+7Cz8{Ia(_Zo)L7 zYND!t9R>k$zKv>2qza53^v91V1F%g*`$%o?ipskm7TIN}^3)f!0^KAnkj*6bq=9hy+s zr#>H64psG3m@dX5aY7O7T{O6Y9q^=8!1Nm{JX%R8bSw7x!ykDm)%ztT=H?^^j5}Ot9Q+@QVpo9MAQW-x~|U*rMu}ipIZ;;a8aD1s5lsfU0;2 z*qfcSZ(4J)IQXl1=8=j3Y%i*Eso;N%%;Ju|Iu3sXPo*jH+2G zuK@;aasHTUQ>6-)=-ABe-1R6eXLZeQcZ5dI8w(d-GH-XK8c z7~6p`5+I|2g7!}!^%5Y%8kPVVXh;pwk<+amRJ}ofO!?mlRuUkS19e~#1z0ZuvSZfH zqF0oRriK{Fnb8Ke-XK7xZ1TiC36M#v2%C6Vsjvjdu-_#>269wmq@-F#gRnOUkU4I8 zK&S-BJ^Ou>{CyilH49sJ#Tp za5hSS43w>gsLAO|58B=!K&HI;1a=9KaVP*Ss{rmLK!y`rj!6Ogt08uBhSq_(Hwch9 z7JgvF1jwZQ$Hwj@K!$sS02zs74H1;n3?JmZL4Zs-{RuY{AmdO27C->sOMncw8$Gb( zq&37*a!@dOm4LrD2#`4q0f1Z+Ad^NX8^M~*a z?iwR2Oktz(7DT?)tiWQnoGt`_<_VB-s06LJfbu0khNy|2T=MrC;wxuTFt~h!0GZRQ z04P5JGHG6eT^DG+1jrD}Nq`Kl01Z)=Giv~JzCnP@>1hCi0Rb`&#h_RYK;Ixhh6s}Y z8NCV`Vl9SPRKdaM8wAKy>jT;z2#|5;2IXyF`Vt^R+)IFr4hjtsmowl1q`pCb%;}H- zGX?=NIfDw;V8Hs;bN~w=Lo_Y{GWa?)#9eaYH2Q&q*Ea}|sYVL4h!7x?hD6whf$ZM_ z$gCVe0%UNTXo$X?sS2R>4FY7U-vW&*1jsm)grYCNeF=~ub0I)R4~&Kw%$f5DcHbaC z=CoyiorVCJv|hr7418Y#WXPu^Kn7;Wb}JdSOvr{0WxHH z5+H+LNJBj4jGP9?ZxA4JnmoXIBmpv-OkrmR$}a&jMofT=-YN~T8ACFvl3@A`0%T6h2-vhFK!%|+lpBHdOMnb{ zIsq~|zBEQ?YTN_JeuDs+>MTL?7y&X4wV^-=xZk?7EPxE^fdt6lL(>?isiB$R`;8a? zGSz^BmNo)pG!9eJ1L7|MGH4nSAcH$jL$u~h$^hkW5Fk^1DrmGLK*nKa6yX5+8wALp zv=AVpXHP@SCPxOLKMz=c>+H1vGN+vd?0*s|qG! z4E`YcAA+esQ@=DXhUv;7cn>&@jkDZj@a7@srM^X84C9wQa5A{(hJc*&!0^E4)a2^& zc40nq2)+o8ZqqToL56T4*e5jgob$3Vz}W+rg*3u*>vdU3{DOn45#v%xuU za@;BdIS;HInpz3gv1VABE`vGW)4McDS5Y@$_mwq`_!7}u$hD>9t(z;>dk zwQ8)z5a|#@J7?$#Edd^wSTwcxjciPr4l%lOCcrYN3&9ejsoiA30@fI651ccunJF{| zgkZ2?Lv7itAciz51Rsv^a&#{PKM$;H8q_o1j8=yYYb3Y_4ma1d8kz?}Fv79DI9Y8Y z8#BW_@XK-d9w*q!@XrHVou=+?qX0w2Jq!gQrm^X^i~vJ0>9H3%X>ubCQ^!M$207xL z(}WoWdSLm}RCHm)FqYf{XP|pl4v2OS41{c4E}N5NKqd+?CREdxWGEPd9nlI=?!-Sv zIz%TA!$N1&4($XXm>V?}P#M{neC~kK=xO&Y&M!3p_A|YAPr- z(lLEK#3<33xy;}&1dFMrqFihAqBWk~!$6U(W;iE^@nHytRW@6v@4`rjEE$5Ym7@rC zjB$}6q6hX@O~v6x21L#fT(Yv!4aW@8W)OmzR#Sn!)m0|;ytU>n&n@Q`$}!Lk6g{x! zYARDO(lH`F#GnxZot#p{SkVKcFWb1&yJD=x4EYe_Mm6e7hKnKChBcMT7`rfJ-UD|r zdvNJ!rY|E#4@}6KN{)=Rm_qMi^vL!LoJ`H2F$7Dqrm`&ybhXCRd*FO#!!GvmmvLhV z25B~kr~k)@K?e80N6qnxoLnYDM-S}Qn#u>QXu%qEA7U8kj98-mAO!QarV>mmZ>l<+ z@-UKQV+Rh)W&r7d6x7)U~hf84UK~R zs|F@Rj5cMP3yh#*km*6o22H6?M#j+79g`t+c5u(ef>9xa1`uq-Pd}uQ9@O`?gwQ8~ zV=6iIPllQyw3E=3K4z?ad|GBph+(HQT8nmt5SmkHN^mpMP=fTJhlR#|XdJxGfHQ;$LUuwRDt6J&ZqJw0a7SgK@#&AEJ2bvNtHb z(N(R*Z$bUkWtLFVrQ@mK$3_X&WxY@;sH-lG=YT4z%gCVwRaY?_4;F<~mn}qTvrYw` zZwj?km&ruQyiVDluO8)8mt{pM#tv)1wSZwHYBC_JEROx4AfX%rY2QB zaPS-+K`67jEK;fx;V?lw(@<%38Lm`O!vT?aNTS&4vTv#Sh(kZ|)J473Wd>8F78i1b zV;m({m$gh)Z5+;v_X4V}E~A<%06B0OFB%kHUA8w>OLB-d-dw1?x=eJcEahNyyb4i% zm5K_d1gi>{!|w5JMFrMn@KePy2N>jKJ=`k7y6l3g?&eU6y!}y!b(s%U3C}?z8BU-S z>#{PcYM{eoGCn~y)@6)Tg+&JfWx#`itjlJpYLpIn$_NTIS(j;3l{+2Wl_43*vMvj# zs+>BEEn_@XW?hC-RfJ_vKeS?&K_mX$HEvqfb16d7#mXoYzr|&CRi$Cq%pQcb_{=Wr ztg14z4F@>*+GVa* z$bmD5iRl&gh|8+2=mqwfq@$bp8g`t^_^m()_NYYLaG3yN|GI{yE2e_Q0_iqKMv2qF zWlC3ghHK&!QdFERE{nS&Jy^(~6P`IQPAZpSUO^)sltuo}nm8$wXq7T>FO6~&VgOew3PoG7;K+^@)UOax zxEfn1aEm==(Z*j2Vu(-NZ8B^I%;}~b;tLcWq$(+e>l;OLs<)xn2k%M_HpX(9!cs|KN)8{(fNuP@D)Y#{cf&jx%62|`0P zYclsIXHEQE_EZ5jNc$*QVKrGj*mHSNa(Kly$q(Wfpm!A5F+0RVVGTS}T$OyiM_4vp zLGhf%Q4q{(RD1YZJdym%qan!{;?$vQl=e};hR|QVJ2E<@8qY72U0Hx1(lQFJTFrj< z8lxUbE$j8VJlk-{(Ju-_o2}?kJPF?)=#^@Ieuiw@LPXJ~z11-JK)><*QpZwbOcO~n z&Qv-@X&D8y2<_I42L`5=tQsu)x&ULOO%x2enoe)_95W$RviljyGvWxRM-(_ad)`CY zHBSzknp(55yKMY|0zM6*Ami1z`*1WdBQ>Z;q#cyL(5AedDe{$Po4!f? zWOWcDUy19NUQpO1*u@`ZJzs6%zSPa>@5tsefCy*=h2w%W0R(1W*dVO8R6O%$Acd$YTVq<@ZVp#v0xCQrDY$V7lG4fb#7E}Ey0kl`odP&zzm z^#o)JjXXFb?^!Y7`KM)%9bl)lchcvH?y!)|O>IOUasA>0l0QY*OK&G^T?A24PB-VB z?I#xYYLrcQ08`M|35OV|3JB`vu<_4}+XIRvqlze-u1=WE2&+P0_kB?~Ks0LmWS1V` ztF&~&w?^y&9Bbg+z+mx@Jsax+ka!W))6WSD97j5kZ2jfbIPvF)mdiFiz%gj%gqx0t zE5!KVzKl$9v)7b5A4Fy35Oi|Fm`CUp+I+Ancar$agZ*WnA7HSwal+$Aa061kZ(HpY z@sHhIBoB*}g&t1W1vxtc%Dwl~NiU05tywk#0?pvo`UJtRJ>xz%rZl= zO^k$Rq3fGRYG;ZI&+U<&f`HS~x(Pod(GSS{fit5Qi+?t~FS%MIWb|#qTFD_506hXd z7UT$#yFj)Q0un;gCS00iL%`mehfd{+nLmt>>@D&o(+<>KOn$7Qb} zV7atw!na9y1SNv-S8XfBnVs#D&qX3guO>{JoQ8plfv;usYO!Q|g=|IysDwsMI5tU_ zpn#CSG;57mc3_`mc#$bu@QpOb66QrcA*{{&K>VpGEV~r}@1;c(UQOaBC@=VG7JMX5 zw7hP846EeAWZJgua-u6B9rN7c^pLdP zj!DFgZT~hA7?d>vePZ8=rQM&A+%eKw`Y>t8w1@ecOONjsuP*mgv!xP|WZP9vMg~QX z@RxJ;ia&jFMY74rcj>@{6_b!MY9QX?jQ!&J#(ddx3D`32m+)Z{q5;3`yH<8UyfSm0 zd_-JNK;RiMN%Itd1@r(^jOkti5V=Y%=jvEO2mZ;O|mf*P-_}2;j|>_gF=n@N~~1e zytzp-*T~xGu7u5!@HOf-(XU38iF4xz%g#~2v1zS@$C4-z$~eCL3oeU``c=tgBdw>e zk_JnAP<7BaTP|7$t&^>#fC$l4xx#W+k`+Rc$Gp4lig>l+Ny%;_@28^@)=EO$sQCm2 zw_7bX2A`F^r+{_SP6=NnJJzEFZ#5Q~KoTG=&_4+yCCAqQN)2|N(GlIP!>)g=C% z>{Da{f`Ilw=OiqYgvwEC3hW%+EMAOUl>M)OnbS6T!}3pZJ^)Hj!P1syu{3L`9r6Z!k}yniAP<0IpBB9>{-t#~ z%@$igrD&3bTarjSidw<=jD)!P(HP0LL;s*d5>`nK@~Dr6KdVkzlV2CeE?&UrX^Dh4l2{fv`atC*Azs`+!XQTviG_Yh z8YAtI_rcGOTD_kd_sce4MC|QuP~^72+J~F#t=6MAo?J=R9vTdtkg!A&x<_rzR~)dq zW$oA``+xz%rwtN*NY1`Msm(Vi*e-tk_f$&`*EsegCx9)Q^|9IV zKoSij*FVsjsC{8t`y_Jxka_5PgaML6lSujpETVtOjDLA=Jpvkd zP{Y{vL{7%yuOy6yhiUcXx*72g9J;Dpg9=1B$kVAYmB?J2I41Elif1FEg9}mQDH+ zmcEim94wF2N~jGBPoG-%O(ZfP6_<1yr6JG2eQk-N`Rz>-bE8c7!SW3e|M_^KkdOM9&vJ8u35W7?k7t zq!}WwPebB=#%$>3?xs8G_7Q(`eoT^d6pK9Hd(FhHqHlV*RM@GjN{>Viy^?v86LP2* zg$7jACsxfl_=JS)aG>cw9p8N<(&{-bX*)_ro~|3}606!SJ}t33O20R9*BtRbwyEi+ zrp%_H@%?KPkDjV<_vXE8%+w?P!`eJakN_}!|+VClfX;jbU4Z?RhVHV$bdwMVb2XV9LC#9Q+^43qdCMea*YhY$NJ zy3CLqpEPPbc3*kosQ!_=)9?A(kF1~O%avpwMWp|qsdJBN>Pq|n_?yPgTD?T;CAQvP z*R*!lsy3|`v?`vVic}FJaud0nQdDXcBXScVoYz%PowZsUTS2s{)CTZjtAjSE6 zGVWFjmKBnm2b9M?To;;r%hw)#wm0~H8^5U*%I3z%2|)^!__DK&K3~SfSUD<4&k$M~ zL1fQfxowb0R7FQ*78R^n%}obRnBU!oi*qz4!O z8{S?ew3hb#p=5k1bsxk}X6O5cGIIYIBB3qBM~qyRP^B4?lZF&7@t2I*zWlVO>@%k& zOGB1b30pjC-KxMyu42LN3}64Y#6MHH+$3%b|-m|UUN$N%t@RtV+MlALO!xJfZh8|RD4<+9{> zBR!0|(YUdK87qmBbB>faDJsh%eU5EI&o(4~7(P@k9J#$iPCioT#Os7`-}JE9+-Fa~ z>Waz*N#s1L!051|_;#podPh}(9E!w+J!Bacl?%(BGs)>lik|r4TVtcEBBm9}F-dyt z))moi5PZZT8!zd&jN)>oceH0`?z4T%A8*@hU?$~+Km-!FlPt#U(hrTEH0?boM=9x9 z-#;_QE(&Fqa5+;+85CcT=o}4S`|2N4HMj|4|CE37A``SaPEJ`;48>PYwvR3xs70X} z#4YIo<6rWFE;1)dQvT3vrun8p$wjqgG}D#&YqUbuR`Lr!$c3ef2< z>PCI>mk-O)PI`X(!NAw&nOAEqBh(8=*%VJUWRK=YM*lJKgPUviXVcX4!innxa_W=f zDTTzRk2dWX{!)&A(nI5=H&2`sW;YDUIZ#Td_;uX7)C-W9Ods6++?9#J>R1)T;c00S*oZwXjhPL@97eYs0uzR2Fss63u)o+E3QmULV zrNBx-c**@|C{+(nK1KWx|}(s%t}$Mae4DY-uYu1384*pLwco5NQ};v zQ>YYM@rzz|dasHEv%>Xne@R@?cP&D$>UZzyDHB%;V+lN$K?0 z{~P`lIo3)e4-?>P5b%qkGDAwS6!@j4!q@yvhxQft9|`zhqls4vvUvQDH^Fy6j=s{I z#Xk7{F!+s91;)^M{5HM?-%oi`idJp^3BKD5e%om(mf|cPe<=O%(~;w`G~DqQ_}K~g zL!?SZO0;~VON3ixuR=~_DP&f|+Y3SQN6%$CMjCctZ6z!yKw+sflv_|{ks z?~!Nvy>Gxf2zox#fs$HM{#L(W*@vC1$<-DOfF?y3Vhp!!~0jB;zG#G zj=;NHz_&R~>Czc`9&ZAx;BFwtb!lK{1nw0A-ZZEVS047lTgoK3x5&d@v@Luy+<64N z1<@q0Y|X_R)N;5}$&p@~66%8cn1DAlYT%^2i^tpDU*WzdhkOypvmd}+k-^&|P5V;h z#pBI$72HMT*e{JH?SXr&fHzy3|E1JRfw$rp;GX6`g6D-iVMZ&;kHgbMz{d(r4O9H3z=zIGc>2ikVH(2f zf@c$h4=I`>mIu-B5!M6GvS*si{|-+&0UvoZSuFd3@qw5RPewUnOp{;J;JL}*1C(Zs zDF@^6@!AH@Svhn}3(u#)Q&_;qF-;#+6vpGjIu)MUatxWq%^turoxz7Y6|Pbmrocyk z6RZKA=|$fND+C6Y3N)dtq*7vBNPG?}3OTBbCt2)YV7(#W!b26PAK-CWvK-bY^7I=X zim^9f^&;RhhNhS)7UOY|lL@OGInGRjbsJ$V#NeWcYGdUgIb1R|!P@DWmi0ZbvSM(F zMU&3dgsi{?SP!hkq;6j&Xs3|MsaT(kO>tT5^k5;>nz-n2*Wiw4xQ(VU5qWV)+zZ}7)3C$z0FJN$kK(pBL zoF8r<9I%g&L)myR&3*{G3<0+rG@VV+nF2Q>ZLlkmW7;$p`ZMfV1l+u+0woO!+}iZO z9_N`p`TvC-5QAGGn&AFJGz}Z2tFU8|quex$`aiIr5^!ThGu@P-DRA4h5cXekxEl}g z*(0z!V{n^BQ{EJ#DR2|_XV}fjac>%cod$b70XKa#_f1Kf0=I-ius4*43u!z3KG-=j zxP_!iaM^B+8_XuyY08msn!4Qw`%nQlq}13dcfu}Mj*Zi(?>}LW%;093=EvnxMchia!Jhh=uKN#R$Ial@n1+Jn*tHUQD71B;3Gy>*cKoa!H6tqGM#$7c?22>KsMxvI!#hv0q#+Q0EA}M zDQDvmkNgWbi5yzTAPw}ra^@PuDKx!KQJVr`OE^#$ImS-o+c$v81S6bL1xhazh;G7x z;XKpBzYz#eFrprsaF^xb2!cKbA|yxMX=Z#6@S++7M>O*;Pg^2Z8Unr~Pg`PB1^Xe; zr(ncTGzCww8;_7GA83^vho?dHE?`+T2(_q+oRT*lk=Jx!U(dAqZw4|JjEIaT;EsrItCo>;TWW){BZFBTNZ@oL!MrH9xm2+ z;Ufibao;s28W^}39UK!i1S-x7%<#v{;bLzWgsl?cqU#PswEQsnxw{%J^l*_=0T(mj z;_)f?oYQ~|yNcm2RKdk?0sK9mdB(`kneeYaa=~9151$hX7Y|p$ZykfOwO<#)A2)-| z;I0ZjG6OCWeMA%^M1Y8WnBD?TxwnOg+G7s*Qhy+#`a9?!S$`BRs_bLrmrNomtD)QN zlPLH|dH)!>wg4{5VB&PcMEFy@WsIz|fNmfem}pKlq`=#s zjFI0r!bi44mE4`_@HsEH!WZuX{&%r?jNA|)4i?%pMs6MVi)v%z7Z3bk=*1%lr?I4dR9?lpey`yeXb1Qv} zY^imNMrj9pg5190W{+lNKNqHt*6`)U|6abfV?V1`9?UkLo%;S1ECl?aKwB8tkbUP3xE z;UiOEdiKUFQPk9mggoqeEQ(3Muz&sQD^OI{QjiUON%TGR;X%vh=eGIDeW^jzRwek! z(|rx1aa)w1>>au$YMu}GlaG?FLAou(Pp)?gqCxHTkwgYADn%dJT2%`#8@rG6&8ii} zvt}Q;Ra_%#UheRbhrVjjnBL?g1sT=wZK?B-pF6AIxAJ_%y$miiS|9oCbfu_0w9Q9G zCsvBa$^;*|kp&J2b0l1JmWxJr7)T7!(K9z^_x zQ$|T|`&m(YHg1#@%swkBBO*pgOWwDl(H=5NV%y3@qt4?c8)uY>%IBS4Qjm38)Eu&U z$(HI~q9MJ>OPf zi|VmRFZs2#NK`Hk^O9dC7m4a*-w1ges~5F};t1Rvz7)mc{t?pK4TU@3nnuV+uffH+ zrV+Ab%NL@dj2j`>PaJ~xUgikd+Vz>J%}pDD*WqWPIyPa1_@f|BI8QS|hL0SCmqZBs z@fr@ogDwww-&-hZ(+4~xc2S|Io?-To-eeuTbB!L-b-X~-$JKktnmf6o@=>;jJQ&Rp zjoKX^LKeWq;{*>BIA@E>Z5j`V0J22=?GO)fS7{+->2i}^^KMan$nGY?uk02zo!xHo z^OAJYFr(Q`eo5FRYGXM!aTlh+w=Tm?`o7;TY7MDw;&0mqKc*Nrx#ij_>TO|ea%U=B zh+Y?2w}cf9!wwf2iA@&OPP2<_&PfuDy+#*#T$Cspg*y1HAL1Y#ndu@qEwQ3ryUj&D zHphtC6>%=o;*A!y{xBE$WJYrAM#^(MZ z@{tfMYG!r}k>R!#qIzosj0T#Ph?>*cLu6l1kZ3e+8zP_dEf)3um?6^gWRa+!5jF&O z616C*Jx@u&(A%P6rTr;+e|SD5f=y2e8G^iIaN|?5d+1Hk@OJJ~vgzquQ9m{9DcSYp z4N-K(JSBef>!SWvDEz6O*`ofKYmgL};4U0z8zj5hW{UdB9fPE$b_TrT>fs|lszfm@ z6F%qiR8c=Z70%30O%e5_k%NR3PZq^DLI%n1LM6OY#3v*==VeeGTAsjJ+)JY7%jPF! zb@Yp((v|;&tY7v#ykU1fA-~QZFB-p%e*zg9g=ln!Js}T9#+=HxJr;7KmpF~vY!=ch zNKRu>n}vM*gWsvHs74pRMDxv zZ|@_&yPQt3y`ztO+zzqLs`@^%{Rg|#P?XU}db#^f!#1{$Tu-#YKN`^oPtSW!qtgp5 za+57iVME}(5G`Q-_WMh%Zsn%=FvQNKhu3$*!Odr{=<1Gr2{K zPUSpLFWK68+o>t*?+X_=3(q?>>K%8<$6X8;B&5WPW{$F6S=>q5PpSt>^N%XSqPUYPAPO|0h zR`{W;>LguxtkXEf*+FhkOLoEywu6w$M5ochb&v2S{dQgHW=HUm>{QNQG6TO)w#$imW04>C90hU_rM*p z=IMN=GNSDcS(iJ{sV>RCLvHxyI*q-lcgWA&8&37qh&$xzE3Z4%VXjuvS3C>83^Q0! zrpC3jCwb{c9WNGDBKI`uWS7Ls%FC8xT)xrG$G z_M%gJI=hAVkB@h14kopbk*NxJk%YF8Jw;;U;qf6>f(z zJ5?ZESuTh2jd1vgMRXW%4c3yD_{R?QuI^g0we68ZGse}DtQB^L`pdLhLdtCp!(>e@ zSu^vVL;TWJLv9rHImGA8HRQUl*J0RQUqeWS$)RrFQA37J9S+U>$QrUWxZR;C^i-2g zKiqbR*Ui;r|I}uO=J|$dlDxmsp=Wkhlb_8E4y`t_8nj&39Lf^URg(2XtwUdFzDm|j zu5lQe>#xH5qRL_D-EoyX=%{dLEt;$3>Ed#SXmPjE)Mj=}4l(FeM)@?!-C_YPN#3 zOp0;nLs!A)L~G#xWiKZm6h}D3=%#Y=en*%?yFpt{_D^2p5SgfQvNviq{46||$%C&} zIt(xOT!wq^3Ww3IyG%AnK@PDx`1L~_~`5MGYBL_YM64H%ihGT14Q z0Zma`8QC4>A29T0myym~_ken4JeX)s4i6Y&e5c9%+Q$%8HlHRfgO3J`7rE19WRiVA zV@x?sep_rC5bdi@lXY>H0j=M5iVWwP2h@t2V5m868Zat$o+9h6bPVW8_$iWOG7e}& zXDRu}4JEw2#!}KU`PP6~ol!~(7hE4O7DttmN6fVW<963c(wA5}AgWC#$%ee@0i`SV zBzeEMazJx2{v^q{R6d|*eczBzu3j7vUo?M1e($(2puMR3hTOHBgETbz4T<)CJ76%6 zogf=uDI3tHSx=B#ubmpuIP2hILCJt7E%gLEJ&Oml=2h@Hk@^8cnC&=ek2^e|yx4G@ z^d=n|(7%{=oNUkJ2h?oXak6J02ft-6f&GbYKpbu?AsfHS9Z)XXQ9^z%%7(viZ3!7E z(L&^T;23H7CVfCtd-E81SeiDV?AvjS+&H~$KAO~vHt zrMLm{<(a)Ti^#51VFTjz9Yy4$lc59JZ`T%) z-s8-GI%nW0xmz4Opk96RDB1EgM6k#2I7&V&Ts&aB7Iu_8$Wsq!bM0Rfch>v??c7F) z^s;Xb=$p5FO?u}CBRR0y(yI3_~oRRt!>6$rZKyxYt z6g*Ru1Inq^Bjkzy#R2sp?g+UzG=4xooOFaF-hFOB9V>BUa|I-x597NjL+xD zZ{N7>Vst!5c5%aYV~MAb6l6ZOi=7>XWK-NDyY}VmLb7YweY<95R3TYE^PXM1eNadC zjzP-%Goy|q4w~%7vUDA}eW$~&tO(bUYnP37eeFO2`Fl~bU4N~yfV`j8XgAhwD=So<&fJ+tljvWEt?c9NV1C& zb=jc5j zNj^LtY*!XFX2MfuiCuFxHIw}M+G4xXFt(Sh>w%u=ik`hB`s6(P3ipx+Tjtu0=cD$L z)vvv77fZwppa(PU`h!gwWOIqiZrGNVK{m!rv1^%C8KmVErQJBivIp*LFWQaw^7fED z1>^0S3o(1ht)31Uht(&Sz+8v?zJ7V8L#iyOde$MHf_hM%_L_EXEXGg0|KkeqBY#A!)Ew4JCgWkPPM6LX(CBMh04tWr(au`%8*^7!~L4uzLjJX_qZSIS}V!*Nss!KN$D%etrAB85-4_Zy}7Ao4hIYrm#!a4~t@nba=^-&{<7*%;Sv=wKI<`#(naYqxtAk=_Lx z`nAK&i^$fKVg35tZHvgylS2EA9^XQ;D}QCbI=gKldGvHizrns^AtCXL`?YZ)3(4;- zZ^J-?X#v@?WM02!u66<0dg_gSJs-M&ygzAnKkRqjC42YH=+~<=-z8b*DeyyC`z~3x zN!hQxZh41fRleA-e?IpeaKnx7SFVY8hrC}nW;N*gXOr!Neyjds-fWT_?Y0_vHM7aX zO3|u(&OVE*d(~+*ysn!?$o~6Q?aHWGD zj8=V)V+Ps7Hd~E3bu&n^aNVlOikm@xn{~~qOmj{rBf1)^I<9^?xz}51HLQ-GPPQ&D zw`$)MUn2!4zO!ndZ+MMt_kd{nUi@otr~THduM%P8>-$qy!=Z*($wP9?s*Fi^mF!9= zvKm!`;Ge2GY!yun)5xAlhpgJ-glXhy@cy{2I@*)p=ms=pRL8P+YUt=bjhB=F$|TaDk+hD7#jBqS)+R0sz2?R2zJhyR>Lqik=*`%s#Uu>W+KUQ!=%OG zfeB=I*-KV!yKVyLeaBl3lcOe((c&?SSlT=$t)J<(=%4oxspaw`izb~V(zW+27WMT; zNqSVxvwe68D_8sHfz6 zrKf{M7UjJD5ovVqA&WXFd_>9_(pmINGCk7v%q)v?QIA`?@pQXIoW05|eVCDC5y$Uz zNuO9W7LBcSSbCVa#v)dFhorvl6&53xG9-NvtF|at-+U_FZ+*jJ@Q8!b=!zK@{kgb7 z=~~sx7UO#EiS*063XAr>%_5C_<1uSVkV_J=bHFdWSmAMt6u= zdbp+8tlhSwSK8AmnDvdu9%0z73Y`A7@ zm$ocgW7apg+N9M*E6mE;gf{7up|{QIi*rAFHWu4qj`Nbrtj>;Tm4>C4%$oI? zEzAKKQAl$LMxeONEnf^(afD zs-->2wLQwkc~_<1s>*xB2J=tSuM^JqXg93-NqV~ZRF8N&?MJEWlDTZ>XI}X zD|H)k(#oV?OT=!2uIaRN*JSH96o{v!PgK3#Ms3t7sUY@tw=p@lRN7E{ty>K3IVpYE zR@tq7W9%Dgc*1wxdVk6{(z=yp-C|?I3F-I!#ob!{z;Vf6d8k{di8wBGJ=JxK<1CWJ! z^pL%8GMxA5q|u^Yljx4uNq2s{ZGxkX0_m~&nn_=1$(Qa-tTGuZL-M83Mc?#nY7X2`=sA$bS80odam@SPirz}8*`+e$F`X?y1{Je z?(9U9S{Icq6)+o2hV1Mt=|S>plYYA?Q|i?PnUvAqz0&5=c_w90!d@xyr&%V$WL<{z z&@|a3+Rb~U_g&*nhN>}Ex;IhkP##QSr46%0X!xy9mR2vbbr?v0l5{P`)B%tuNxHqK zxkF^r5~Yvwg%17mHxr~^iYq$A^8;I?U1jGx#MR+jq~EWc>M(TfjF;}U>O0iinm0>( z@A4hmN6t9u*3;Y$?JiB6w0AVULv7Q>O7|yj?J&l+#z^<4#dfG02BW3Dv)6ZM=S4+J zw-&GL(0-8_C1ovN)S_QO~0i3J?X*GV{OLO-lfvkqA%btj9)74Eh=o&uE|>>Z7j-a z6IXTzNk1Rm-lkpTT`c|bbz+-(cKl)~_NxtT;`qEp(td7ro6&AsDDBk+wTTU$1rpgm zuT6a_Zh^FA->f!$dhWZ@y6nkq>LsRkq}v(e+mysLTe_d*Z&lXD%$9)Lv}$v+XGt4k z?zM{ZJ7!8BZR~6{n1^Oa>z3bYHGUQ~L%P1WwpBAfbGp zrIA-kTeW`8tI`Ml!>#Hsw9}*~!(6L+icux)GH16MN}N-rpF7f84XTK#(kC@Ztzyy6 zDbmAoO{?MgrpeOZOG8`5+<{5bldqSw0;r!P<>bw8HLOp2MQUefw`#s?m?-@kGX*A@ zY!jr-%b#ymva2RYqZ{2u&DMJ2A9=0MXpqMI{*Q+njOs6SKL5I!?~LLUpVyyUTx>KJ z<&OC8C+dvic$eG%`@(HTbykMUzj1WE(b(=B@(&A(jD~qTpZYfx&oGL)_9y<{_~(rJ z+Ek1Gx0#QcwSJ4)pEzi27Uw7R`q!6NH5+2P@A`9c%9@qAG2Q;yrH7i0U$&b3d%U}w z)u$sm{qO%2+pIi$v)#X8|H@{4S!kR8&U{yQTtHS0M^@UO3OHHlmEYW;uD zHaDqPx~lzq7c?{}l^Iw4$(9REMw8=5|BaJHO`6iQEB@Va1x@#g1>kF#Rl!n&@KM!(~dRh-MYR55L*$BjLyUESKe2muy}Bd*UB7?N^YzAvw%PtYBaiD0LijBIKCZLQ z_;$k#f9%xSI!%f6n*T=W**c|^{i^@=g2Q#{L{a76btS9LP@Xo`-xr)%ryp;f?0;~F zsS{)3C;5Mkd$Z1Xx^<%e{oa@Bl(w}K{2#YG;*6o8lE-hV;tbU;uV=O8GtMyA?)DVA zVmJ|EM4nx~*E#LI#wVVZ3Bx+=qCB(blPQAEn7iYyXaB2T>hzWICeP}bNjmY-+IG*H zcjoEDslFD^wFRDh{R&5or(n_bd@-iyC(o0`#rev#rYg^GL0j{oyQSQ-afv!#%-Z?A zhXj#4V|v1A&#s{6JVSE$NzazWrFn)hUy0|}g=u-ld5$7a;k!Y3%JDs4d4^{x@(iY% zg`OwV+jI42^YT4=r3L_D|lOYnU6K?O8V=I9D`>?e%Q+J)dhh>`C^lad&2` zgX{^Oy-&_&YfK%RJ)hjo%2sDL#CRUJG1=nt*_%9nuX#BeRv?V$w+eHnI6G>EXXEJ$ zncA}*ve&#uWcGPL)^G51G9&051}&0cqMO`2Bu`pzMD%Q1!4SRQ6} z=dfkz;tE@*yM0M;x}mzR#l3s1bEk3<`;+^Z&a9p4GT&u)^r@G3YQ}e;a&OJNutU2o zyTm=Rbj=P!b>vs>4aAbBc~RW&et1WhrjBmPa<4B@r5TFSlHH?QDz+J$S8aChou%1k z7_&sWa|R!!YTwo^cdsk|EL9yFzu3Jy=k-)&u4|Ti`_kGJb#d!7_pmoE#c(1075FVX ztI3TTacv)&#cGS1dR*;!u}R9as{~iU=-~wIclkfKe%s#=Z}@Jg*mZr>AE!RIGtc!T zZ&94Gq(9MhZzL_oa4=z`Yin*9nYwlK|`ZSlkF%Gy_HYU(b}L9X2u zNw0}dkG52D~eOH4`o@nZ+sj3|F z<<}qkEV%SMOx$H&IZQK8IC@uu6`;$(!5CbYGv@oZd1hG+ihJ@inR7Hgg>!eo*d2;0 zpPDPLkNN6Xl~>hNyg26jS8hiwO=98DT@99wMxhyUxLWf4t7*oqnjA3uycJo|Oha8b zaaV(FrRe&piDo2UTYhq9aN;b<_vZn(iRQy_+^z;|PN##fGWf2+=WD7jyH}I*h0llG zSu|>fvv#zTA7wx_#V^D3U7O``@RfUBUuJ#oFioxDpj{0Xt6m0+W^i7d*Y{`hEGc-w zn_eGQbp`)t%8n`k&=$lT`_kjfOsiBdopG>X_vVeKSvnlCW3bBgD!M%_2Jk90x4+0# z=D2***B*a~#`18!PQVUW)Cn;;!jP{g?x|8}=Z1Wj^D8FPgdYyqF<2hkkH*p9nnB-R zL&l~uXcxjFG8z`d$vP@HK;MuMdsg(Nj=eTZ=u8xS`A+jJnmfd?ItJ@%uYw0vsK|Bt zn!f(LUgNq4gCx4T%GF3>M^GMLR2N zxBJ>M;?=@wz1@d3YcyDi({xndfW{)G<)96IUKv45>0F!7m$p#OXyPcHfR((TgEN*n z`eMJYEqh-u<3DfprAqI~aZjA1qqYcC8VOemExtcTFsp?-D!4G0t)|IQ9HJAZLkVyu znrxFSJ-)W|)==R;C2}-`iW77Kwg;p0h|#^>?fbK|DU4~WHTfo`UJj#qRve!buy)vi ze%)ESI(+{gYFjT%aku%fvyMh%adwWnFi?sl#1ysqhBVO|gg#ZPZ{gbV4K!togL48F z9oK{Pmr_W7FIGy-)bX&1_YU7VVu>W#NhAiVFwO`mVarWhvv&6~cXgWt!{>@SYY z30STCS8x$Cy3z*U3n?wJ%;YHzK5XQpF=CvTqoxnkDhbPT>wF36!HGhilk??srE($} zhvgV7b52D=@|D#(-}L4mlNhutVF4fwH{+xngDueLU1GfcTp0gb#0n?2=lY^Lj>&Oh5LAqZ~>wZCK^|_o_WQ z;hir-dCkMBfFxp}oTdQ}t(1$0GWH6YxyxUZ_-GxhO{i8?oUj0mnFS3sIbgz_$F>vyY3VqN& z#Y8`E9=)1S`#31gvxa6g>0H563!2U)Zttkno?LPdXxkGj9U+ zz@wl_Xq#dj{kM4v44Euy9^I*1CQqB-z#D@F@}D}6e!;XJY#eRNyYhvAzACI0rGqOt z?IvLJeAB9+!%Vq_8@-yp<*+c@ts8A}7Roa)IO@h=W&QFx(_x|L?Yz;WR^MS}B9l9c zEv9sw2It(U9SIdzOhJ0a=*bzdKGQkTh7OgPvkpa>wU^+>T$RJC?= zy5({)gU&3hgrx&fIMv3WK>#gUOmb<+=nEapF(Few=KXiwUU{|(N7@8b4@_En<(P0M z-RteK-aRJxlRaMG7su$B7S6K?=qy0Zme8vn^frZNmk7bLMemTVM4tG^K$Dl?JDu+h?{7KHSaS2cJwt=1 z>C7IEt_i4+m>#mIOi(?S>8RT*XE^p zmwQIa=p-QytqCZtXp30(t+3}b>wUqvK=pG;8ZK_W^E0h}7dZ&Z;fsRVzteSv+4U~lm zC*EA;eQRy+S)t?c*vMOU-&s0!iGykkiaAb(FF(h;yV5)ILfe*eLf4pU0=iJ^R@hup3Irw zF{mf|Jaf4`f{Qb0)R%|CGNJvmjFF+SqVH(u@LQH2JJXgzwni8$2t-&Nu ziR0jzfTEm}jig*i4q`p2zE>**bf`6LTPzQpXU5h0t<-(B0I1r-C`s?r_)Z%<>pK&YKD7^g->KP#V_bZpxflDQw)` z;qD(=B2U!gu$h1|pwGJ#E1AWndiP()aw?e)kIub3;VXGKA1BSII1;^VOjT^UJ2g+J z6ymef+^HGe@|-`8nF**Lst=VandIv+?!Wd=trA|dXxu~D@5q$_IAg}3w+O{;Lhjr# z*9*SHDkdh_>pHn3M{Xa$0W$`LM?;R|@X5J$SN+)cRSbIEhSpw{iwtnOj6qWpn%#sS zs*SFmL06UFX}sw=X(Cm$69Grd7*s4R411@VSt;haCbcfDX72hkT&p4@<$492D`U{j zgz7h8wkpNti{D?(ygECq;>GR4t$hZh6BUx%2@i z%Bb`c-Ecw`GdSGj^j0%RS38Csx`{QkKLW?e1k^}Ps(+`3>0aGEJjt`9Mp(MKZ8!nE z9<+)AXUV8z6y zm#0+81sOO+MwO;$mt*#gj~s4W)dPQAT08ufZcy&pz!5S5Rau`#k{afRDskxZ^vShM zXIcMHJ@=Yi+kx|A0y?%(KgawS%?(}Ft*8~apv9^5F8$3P|lTUOR5#V>Wvth zo}F1MyjmSHn%dJ;x zg}BO{Pp`Js)H1Dy*{4HIcjSr_oEa0)BZdMyhIz?1c+~f#RxtHB2X{8R-=YGKiRsOJk{PyFV2+lhJxSGN z%gr)4B*shx$=c`P1%j|i40%$Y$_YZ=c8BG!nP1B#H8>$gWxMFeV@|nQOMU7|fmu=z zX8APywA^=t<6#17-NJR3;UAUq`@+L21qPLQI~%Xa)j2pDM%}(B(PPZRCUbbRATURE zbLM(mtK7nagJBGc2VVYKWqQ>rw*t3oUS zvp>$+lhE`;?gqksr6qnd2GJ6#-x5+YCP-TX+mTr-68VANcU8a}4;Y()1Y z&MPoMhkCl|^G4;yA{+)2P?i@S<%jQl>{`=XgMNXzGId+$^ae?wnJ$*vV zS!Y{R=$JsOwm;7plY5kK3`{^h-(Q_@(KdVAPoMkXbN+=CVR#R_Cv9MgD;YP*(#i2qaEBlf*|BS zx^bw7#;PfH0{nmf>u#ns+zMnth=6p~&~BLYg4QZ_8hq>MH&Yd8;^q+tAqCQ<%&&)O zEhxZZ|BgQeY6c9Haq~!o5CrMYpc@gpwAg>b50w6hRe?Tk9-$DjAl*1rU}r%s7yALc zHvVgZrj^_Z<92Nqc1#Fpp~0PG=xM*Hx4_(uq=%I8DFu~Fc>K2 zRv;as{p|;C!Q2w|j=`sK^4mcb=;l@+AVMz09YD=DR+zDS;C)2jS=1{{>$w%kh!72N z2fj;!VIycmV;{g~ zK}Q?gf;S54E)4W^^9YNO5picwWsY@k>=C@@1T59Gs+&h%MC zVW6#>M`VPghzq%vH%hRCj{RS}69#0~w6I%&)QEQSpRxDD0?pk##YaGmxHH2I z9)g8^Yzsa$s5voE-pwOHlI;WNFvadawhJFX_(-CX0@~lLK!}9wh^s>-J=O@aUGOaX zuR+^@72Z5@B&s|};UySnnY68qoq&%;d{C+aE#5q$BqT`O8Bgdi!E!^;roa=H8W;mb z-U_5ivS(p(_z=OKM0PVitnu-!3UqlZ5GWx>;zBytLcwCfvuTq?ree+11s3l}hNk==uu2S|xTrgADWT5X`fzSzA6BnXl z+hEMA!_0Q!+MC)Z1C`$j_%9|(}le%(E80IdP3sF&A|>_#ACl$BBGJS`AcAjLv>#ieNL_mD2=H)r2L$VMHbfevvVK^F2WuFjJL@oM3koxOp) zF5sr8HR3$7EJRpbNQWzdVCy>Dh3JoZNCQpc3dC7RvA8qio8k!;xU+u&ib%O4RoK%q zaUO{lf-J7?SVA1ZE_ikt07;4{Re?Tn9-$VpEbhz~1JML)<=GZsoBuW4(@Jq3xfbFq zZjL>36TyahwhJ&R1*fV&t2j^577#5irNRe!WGK6bCm;}4YB&uPiz|?BA=KjLxVd10 zz4`12z*~xQRe^4C9sw6}EqK<4EGJmO4^E|0nGA!J_K$jT9vK&+EpFT}x0GO;KYIj` zGWDVc8pe6VTu8ULIaR*J1jPXC6{OSDlo}`*=aFbpm1D))C*x3mxASY=wo1yz@Y#=CQt=B#}x>^kauw*_qI(XKlhz; zupi?20=2RRYR7qGUx>W8GfLwmf|dw&1db}`;e{&DJkBHjLh8jG@DELZEOxJheFsiG z=sAcgP(IEh0YmV`Sy5Sm?h5t_9-!cniYm}Qu0RNe?2AiTJm{8CgTekEoW;=78C9Ty zoJS6Z_=^jvNgtHZsKIvOu@3dU23p8@L}5t4xD?UWBcYsw{R^BB(K91epopAD8io*z zJJS~rIaBa_uut%?i8^5eUE~S`V#vWbYw^t%>G$9?C;I`OTTx4FppKkJCWa`C8~3{F zCkgE%>GL#<-9eO`(L+7j~a-5lhb^Re^$X1yVAEWAI*pa!>Tfu+#7mlR9bx9pyZN zGUQ{NRm#{ap^Apx4CkTrgj5x%DOVsXLqx_M2;veYwA!$LfumJ=*s2ONmGg+pkdkpB z*BfIc6y&fYcuGsnwt=#89*G%(GVV-u^F|1P2EnJ{fiHF4KKV~yIgii`Ss7P{wjR{> zuutIZn4Tu90+regl4eVZk#5emxyh_!*M(=R|UGu6$sFfn{ngNY=nv<_D^umPEX!d zf%hN;^-oXnZ`wz?{P#bTc%A7}@h8T?t z$?7lhqgjf*f$;|F=MA)(D-fw6N#m>)x%vJrAgN+M#H0i@_67>g6-d<(rg0(j*0TQL z;p-y%KNz~84&OkhxdOo&@-(jQamOY(2i;(xYMmv~$cie^ zZ>~VdhHQ-}bN`l%K)RG`X{e8iWHy=RDFjglycI)g3_|^r*4_#4rza3J1E*6$sprvvCLd zVtnr3zxIpl&yWkENg-9B?p%S)4N)6sZI+I^(e4J1Hi#INpm#XXc&JJBh0Zzl0|-9RD3mJDd(I<#L*~YfI~R6h_~|fw z+6~B11yfsbp!%Fg{`P0o7LP;h##zyRCmW3e?dRMair_GOCA*K2ym2Y$z>|f@fdX`H z&M6n`qQ*U15k=t}mx3ld*{6*E3l=-jIqORauelYa5X4eZ^D^=`?g0AmWF2#$2Aw;T zlUv}XvOiTH^u<#I$JL<~PqsM+n$Wp%D8}P4lqGwgk-~8y=*E*}(19{^?!eob?|7(Z zkk)Kb5Xa47z>p`qr16!&VjnseBId<=6d2Hwt<%WjxDfQ@$tr3pF~mxA=$+)ghQAYH zTC%hnaU5qwTb^vP4z!|k)(zZMj{?J5vhx~=92asPoO!YcJ5Y=c_p$t8549`G%4~#k z+!-|I$sX-MH#%2$s(Y$mfx#`=xQ$$n3qgOLtlbm_?w@IXmAcYu;QjB?4ka0GPlR05-(>=4I068kYW z#2t`!?(_2)=#p*Y$mqB_^yhyq;Xq~pPDpfRXV~txSN4#PxhzdT^$}7o8k5=Hgx+H z81#}&>&WZ45cKWI`t?96F_CRwwH*S$MLE?OhHrwA;YS*%%y z_YwD_MCH7+I1WZ=IX-ZRTA`fdMnx>q=Q4mx7)@MPTc}wqO-(%9zh0 zQ6(`gilw-ZOF`S80+VKRFGE|`xic@JBDNAUp=oRA_{v+z&u8`2Tp!p3&_a`%kRXwc0(e z5GrD(WxEvpadXfDs0i!_X#+dF4O^!W1=W|DtGZP2}r zQ8ZcyOaUNQheklf!h2@e?tvnU#U6Hepm<*+JOqaDqZ2Xa>Q1u6mLRmqg0TagYG~cb88fIuvpH{S;Gcb5bFD;wbT?0 z!WIJzf_#9Su-MNIUs5_WaASat_FPjo$fcl1P=OgXiegx-XouIQEs{{xI4#bmc#um$ zn;<{h7&WR2w6p^jPKqW9471TDZb}Hb6m$ymm}jG~h{d9IE~UU2OQ_|XR(n%O$jw2s zpaN5Els>W8)y~a{9@|WK47Sm(aLNf`5gW6G@By5~VqH6za%g7)p@MZ}}^l<|pkXJOKZ{VUyb8U86dQ6@v=1sU`9>Kd zob>gSa#q%qLwF3osnB9b$suRN{IXc)&dph|^DCmjXdJESryvok zQNd8Cz;qlX$?RqbKyq_XQpjUK4&Dfi%o3rE7d(Z008O)4=??49%woc0Opb~KC{E-K zpskP(ux{Gw&YgKZqlEAnmQ$fMlM+RsCEzSnU|x>Ga~6x;xjE~1o*+C%=2Yn5q)-u_ z?_e%e1ZX^q-R@in$_o`3oKvCElX69F9Qq3t0ld#*y*qbCh&n|S7@wmu28tHBIcPED z1Lp& zbf^fN3bEMv4o&e5Kf((Pf_AEQFvwEg$c;nap#rmZ^q7go+IMcw?6|9NPlmXi3VpN` zIdTWkddOe-Z0(3D(EJW?xWAexFmy*PBa}LFXV879z}y`@2xGDQovTCrA&=2J6}oaM zc!XyM7!diu$r_9O@7$c=A^0E$@Kk8trR)))1b7g6%;3?ZIuvF2y3k2QiGNLMt#OklX=uBJzR5L>7zSxdYC$cEV#MPlb+Q3L)Ve z0%k;o)EMDWp^BK@h_;w<*Lyk$kHI_@8jC51HW$)^*@Y;;zC#Li$Wyhk=M3pGwAC4X=zdX6l$MF7OJjaz9m zdmpg~9|5N|4ksm#S@=G>rrUM1aLhdOth+ zAVmAfs-NcaL8Vj-hDFbjqyhUe$LrWHxt)Cw0)7O{;yA06^x#=EjYJnjK*me{pjtFR z%#W?6O8($nG>wESL;%M#lS&Eu zAVmJis=9bKYhpu7Nngv%i=HEC4H4M!lD}7cA3H0u;2!}aJI*a78~7JZkp08>hY0w1 z$vbyVKlOz8A9~V(4ZX}qO(Q_n1 zBLYgEos;|62O$=S!ZRFWN_wy}nnp4?BGBZSd7^}U5W<0EePSV>WJ=lKX!INj`G^3N zXD^$eA?gVcL2{?@1|McJEdet%dXA)qL}1EG{%uzFK?n+xkB7_oJX1<W% zNd&CCl)XUbq9%w7lDc~gGyo$_wpe5YWmEoy;>Vb45`io)6;HeAVy`6@8YJt)CO*}a z(!t&63AsTGN{IlMmpsfa_Rk?YNPa(cpAR;T{>_XM?KzUXLcYAw!yL~(2mwO!=hsZN z46{w69z2dF$Pi+bOT3C|y=nFANQe=lcn`;$#&obbnksg6Hjxp^ywQ8!Fgx-~7A7P+ z_H@JFJ-Wu|UCr3ro{%TRu$c&;d1HDFgLa!BQb_LYaSu6--hKvlyis4kz~m-~7n10s?op?)7%Y#b@z*70G@Q-`n?Rg5 z`VTP_x+jDT(T0fAPNNN6k0!_&Vqi}M=)5uedKWtqqK2qf#DS+#55`B&kp!R!+K$uH`8P9qOTEWo=1O(DSL@pnmim%VLKU-XHsPCw?#=dGs*(Je&jn zBvQrJ;}8`DkoZ+N-5$oCD4QIG;NwVkSpqw3l$8%NPxMxfBK2{=yCc*6d*I}K7wz9bTN=e}L2Y9tK$-)g<819$(m_HU$`O zqQrDmx_~PHe5Z`M8DO^QGpm!mxkeNYe4zkuy;0Kx3^vWvQ`uo7LI_+>;Cp%08v$Dk zL+0=h)#xQ)*?}jAQ40l(G`%iL>?|=NtH9L>o^3{*7BJ8BS>A`M*Y3|-1$v;7g@223*1XlokLa^R8(Pq3r*4j5ya&*<1mbwu)kDH!-zY*06F8)?JquDuO#K;0eOVk^i zM#L?+M#Ss#sNV&*jblo>spp6W2A7g}p&zxyz_=2n%cc>j46Zow&SBI&1DjAUp^V*E zWT}c8T$u7(kr9&(?p13_JK05WM0f-1R}etr4G$JtaY~6+Y14>42bZ~cvovbift|0{ z3&}0)9IncEa5ao~U!%?**dSXiG0kkuqN;sx(adk>Mhrl(kG4FkYoewRQ4p@JK>&$2 zjoc>$+ifq>(?CbHL%0OT8_rQX5$wthE!FqfO}i>F!j(F|gJrk1+;s$-cb`p(?51~A zi3AIJyd@qrC&3=y>p~#AVIC1I;kti^n4qlo^D;a{V;PpGcXC{p zscA&3h9Mt(03WrlK^Dl`9e#;=j!4=tG6Vuhan$98$s!OyYLssfK*ABhs2L7&N?w~v zGuZ=0ky{{#ai*n1jU70Mz+x;;7k#p7PqDjnRY%9eQ82_9wbns~N@;05!A6>@+zz8w zI2D0W33uQ@BFiw#@eTW9sj55-ZsC|^)TjsfF5RKd4EA~(5${0^Y}ss)O-@Gqd@xb8 z(9Wamgs*D+FjR(vAeatu+aG4n4k=&LQ7Irs)Ib1<<0h=i;w(|Opo0ygMiqk)c*9ZE zsEH7AafWAG_OZF*h_Ddib2$4N^%{cr(eQLd8oNhQ^@kY7!(rN}B@r@v<~OCibX2B@ z(LS8cjXD?iuAbIzJ+OH<9aS@8P!Pv`qXtLF6Pl;3*+oqwqDPD!;{0&b2MOLsv(lBw zo_$npB!(D24xZJHQ%Y`^gsh|G+3Ex~{#7NE7>VqiKc*eWp4?UW`;&9+mTd_ldjMCJ zmKd;1YiZM(FENKXEij6X3NkUS2?9tQ%yN$=cpr7I*w(WL7FD;2;m<8Uo@&EMFSl|+ zM%40I;yU*9r^-7qO1kY@GD~%`(J^;?g7;Auuw*TJ^ix%!7)<@+n^jt4DCAdt;;q4K zZl;PwG3L5+@#}3k+{RKZ0q>*FaM&7Z8qt(uXm)M>a4SyBu~&=ZLESSgi|MHJ6eGBw z4A-{e_+3UfDkOEyCjBBdiecVIRH$O07f1J_rd7!OdVOYlmyQZqF&_N>@aHU(JmPHy z@1ydxxPU#jt9n-q8}G~)TXEySEwGSD_VKEp%WgqcSu94Et5z>)#T5p3%0j}~>!R)* zw)IfevlxV4pY;O!5m>=iMnf&+rOo$CX0Rc-Dze2`by97c4VNt3cMFq1uiT!g>|&&9 zaWSM_Q(wThHr$>IS#HZ)u`jcir7GFQ$oH;Xvklik+{FvYaOuQ(^L`IRaF#yC0%AaPN}J;5;j^SP)W$ELWdP8h@Oxa1nO4nqcB_b71Kms? zoKVRYi>e|T3k%WTj%mXErVMu!yw{M`Qs^2LPGkK61dzBe8x+wKf(#HdUY28n~ek;uB=g zaE*$(u{N?LO{mAEGxvFe_t9Fq#knrFOTt1*{Dk4C54Bf30C1m{?{`LwIF8W;E6gAU$D zb52RPYgCtx<(-Xb+o~}Mz^&@g@v$V81-ncm@^u?jf&OS1Q;pFA?r4X`kXM$~&o!!Y z$70dSaTm14cjzA}%PJPQM#b=0Q~GOcP^CEPkB14KCB^zSd%dZec`Q9$uY1VT6aqYz zU^hxxS~i9KLYViFOY5OR1p-KM)NKz_v~2v4r;5Fju9Z;M91v_RsZ4!^O$0?TfWva_jQ2V%^?0zsD22k3eSQMcoa1 zkFF4Zhr%yR(s-Y@ZTYu~3Fd6RFon~am-*#B;-EN; zNw(@6Li~mvu+I#{I4BJ_Y@Q(&UT$`yh@ku8X6_lko_O||0YQf>x`?`Sg_9!rpA?~VF>M1E3%?2AP&&+< zj*pv?>z6jmO{aria$w7%LcfYhyr5hN(a4zP3$7RLqWl$v!@}?CgJL2gPMyj$sgRL6@|g&77n+zt}8`(sX2 z7aq}Z${phFA}Zgh^?NdlSDcZ1*WmoQ(eKjp>=>XLLci6Aug#qUqs%DwPTezxcna6f zxv6{5u6|?9Ug?@g2!&gkM`KZurZ-E(@9FrnE^_=5uX zbEP|o@bM`(>JNgVf#q|i%1=W1g#zJ!Gs}CY%H1z?IuZT{N%s9Wu1%A}OWhPe!~v$H zO&4ZMyy}fyz#sI&3^{y0zb+vlFfd@;D?$$oU;-defZ)8Zt?CuIE0dD~WvGB}O+Nmr z5E8<>;K&Xv@n8R%Ks+6I8AOPnZ|T(61-~mCB8WNtk|iy#%hek>O%SnyfqQ;>Q@-QH z%i_ovENM%8OPF57uezvRo*6iQmfRfY1`PsnFgUJ%mN0H&2NDMnJ-8(GXCL{SLf$4v z24U-sw7K$EvHT*BFv2-E7ta%#$Fw^UMhGp0@g-yD3#Tn^Dj~GYQxosbXW#`lo)F@z z5fMih2%&xao&u%P-wlK=lKoC`U?G+smRO0O{Ouw)xe#jTb0X^fgz!d=FhrJNMAM1I za%u{{g+Yh)<CA|FhE$m&ka6=N{2J(+W?_vViPAILXrKs@__*1?)e66v?CL7f57@>!aD-L zK|?rV@SdNS3B6Suj))DC#P*k$%hNWwDT&Z@Z`GwPm#_75Tq1H4k0ds*j~u9FZ{hJ= z2)@6Wz}L^CEzPMsjf@m4)D8plUPgb2A0%bdVyM7#RQ zuAf7MamdxG*IvQ&$9ml#W;?xyc#EjZ`zFLO3tv{GijULa6Qfm3`-8P+?upa zsF@yzFiULREeXB5O?WrWO|=B-z|6iG@$&d;j<-b4C3EM+3v1tsaRTR3UR0}hp42zQ z%P*QZ@DfXYQ|t@33-cN|`4SPBTeoIxm+#Etd;(-)e$m>sU8w#n$_Xq?gkmNJuQA9U z*KjB%b_&O*W*UTruSXyq6ET@v>zxMSc#0d73DM?6WnGdWmWDZjmx;@SnXW5I!jF@} zI5-oM&WZlxlZExMp`4%zV1o#km@FGD9Hog&%?70)S$NsC#tBSKglo3ezqCu9y=)D_ zHL)?7n7V71{NrIaWfRB;`-3ZY$tP+!ZWFni&$Z4-5$LoaCvZ1q7=%2vIW0wQ*ysju zLP|QOtu95FbIwiT1T?}M;q%hiHO`IX1U6@2$yaH@+q0HCk`7SGlUUbtsft_%2MTSv6`pAv#gh+L3&=aF@@-2TS@;ke44G$)+SiDc3x^0+) zJRxEIhV$Ef!b@k}L{ES#jBoAPCx6+((VocooLRg^k`t#o2a)j!Q>Eb0(~?{fGB60R zPi(uMZ0VQelLy_@PoOV2b?^QD(o*-CP+;bq24sb9jia9lQP&-VzzRiZ=ocMxO!D4tH#8Ko z+vDTHP4a|S?3^Bo{Dzi&CSi(>gB*}2nz$y%BxD-f2Z1Ll!-#H)RL?$gj)O(9I-J^0 zP4bj#H(?Y256=b8Js?k{Zqz6Qy`6F42jsNZY=giYm0=}T zBKkD3JQ4q!}7gcj!;FG>dEEr9Tp~+CJ!P@m99!2{4PBDFeBI{4gyqFhOGJzv0on+COmM{ zRe@tsvNiXxT-oi$tU`3XVe7ra@`PbN5ohI@_(5kZJuK9WiRa)|NV1QQc*iWiG-)dm zSP{iKbH!S-&^(a)|g;@xjAA^)u z#I-&b&|{Y8_;Dl#a$6fJCm$8Q7Q{i|wj#jwNYtXEa$-Of2e?8CevVB%D%38E7zC0l zB3<*NjvSQ>mvd$YvR$WC=N^^kuM8VRwkzxzA_DFm73QoA9Yn}0WaJYKuA}m$<-tgM zMeJ)#MalMD^VV$#4t+k5-12R6{`Jae1%F&cU>h+&>xLb6n0hbZ|l~06)6Q z$tn5kC{F%BW^HTxyQk#G%i0EjS&Q&mc7t$AuJ&m~crB7SzF5BRl)PrDjZX1i7}Ufp<^IE$s~hz_pcO;TE{0`;;)w$^o|s0{O0M;%TAaikozc$lIm` zr`giUjl2c+ZG#kkn&C|<2Y`Kx5Zsc8)YHOyVg-lbLMLEm=c&{3+@)5e;c_glvFNm% z_X>xLARjk4;PGj>^69bx;N#+=Z_14qGv(d)IVcw~ClRi9GUZ&E6LS%r`)0r@4! z;!TX(mnF+f4Fka9#a*IPmz5<)k@x|G@V;6VG^XN0ST|&UQNURFnw_wxx{+;jPUjM9PW!moS2@7gO@ z==XBNycGk;28O+QVtmf`!g%V21VabHH>~P=q5g)O77T2anFC$l%YKLa2M`+!l?dO; z@n_}LA;bN^55|ROV(gr=@{>ufegp|aL!wgo_^dFei4%npEgYD*^Qc^<#*1?;sZ_{M*MJKXUkc+@GQpP9{b~@&1I!bMl@utsGGdWs4u; zQ_snR(YAhI6$_AwXw{!MCrq8z!lA{`y_l)Hc1~`oanp-|?-J2fdrl5MTHo&kj4@O( zzO(k86V?aS_9M?Y|6Fx{L(IhU!nkLuIoKGQ8B;pvoEIXCIN=yk$HB=TpBL8cvU1ch z6gBpD?l>>>y;a_i%wtG=d>1R77Z$eNMEEh1s7fkMpO>eeD&-VpAjFjQuVP$e zz#&78qh!s!^Fs5}CH=rf#Az91~Rozf5NWZdh<#O$~rU)z`54-jSKY?TG=yC65rO6*6PGB9Swcb>c; zUurh=BUTwIAiibSE(p^P$M+*&8R9d(QMDK3#eQ26w2WA2G1MS%oxTn%!XFLxfjD0Di)`kw+$GlYWz{V!b<5;8&&*o@G!AC}7(2@KKmX=#i#%{>7{SkoWSbIn%p!zV4{!oB zfN*?Ef3gVUruJ|YG!#>w8z{60Z$~SA$b^RN^b!3%i+m}o1L4p}fGaERwFt-Ww<0AP zanYCS$K?pkue5MnG}KlCJErHz-)^k$LvAz#yN)RD<;YjFDmg$JS}gk=YjcD}&E-gv zMx^xk)}1->+^IK_se^3kVC%jd`RmY@-wfe%RcUBP^U3--rBZh^;+m@6QqP;^H`n8X7Rq#Z0&&WS-V@ zB6T!_@qAY?~^f9uDn8Mm226zYAS^MIdw6^dYPo`Y}&!O}HZ5 z6$6o4jri)WpwD{}6pj$UM4Lk2Lo#C1jf>2NEDUPHHL%9_c! z@=Li*oPG_wpP1@*a%I|B%Q4tcweel!mn-ZXuINP`Hbf4Ol!WC9A+MGr7#mTDF^+^> z;mWd7PRIrzP<~Kau5c`_n4_|xh;t-1gE6x|PwfR}wg3so*2EtfPWxPPFTk^ry!gYO zpL2!zr3R#EBTl<3xhhwf{9rTUw2`a*!{+8(IrZt-Uf^mA`^@^@hT#5OAz`|RfNcal z?(caqPpDrIj-+iwZhu!kB~Pdd3FgRcDDk`zH7`&2I6kNs*xR`0pV_)NPY5wBM+i4^ zC69>f^5lD`{Ck1Mjacrjl?i!rkHv}bA>?!a;M$ufAN#GR2l(6=1c(ri<_Y1C+>qBR5aDHr$GIB4l`1mdSa-*ArTLfZ>fvfhjlZ@`SEe>JjFR z)Xb99&OD)EZY8IB1GT6!b}&zfTw2cY-cS$nT|T}*PS%z70OuQl-_Ny7DG(+{7jxh@ zlE35U7RVvnQaSk>utxcviwfkIcO@g=2wC9Y#fKKisgj`wSm2m7XlRKlkW&tBMkqKk zK@(T(DiDT`#vmOWG2tEv`udh<7RbW+H5?oc zO{19=xdrmOmOxGr2QX4hSy6#daU}o=NyrrMO06jn`tpXmfhmq@g|e9D0->OApc~-g zoHFj;TOiDqyO1)@ex04&iM6f*dCqkQ$BjcR$v0*qL$_XU?*{HT0>}f~rWOiQZrBh& zE<;P{hp4%Q!q^)PNFqlhd0@G3p`3BOnj^`fwB+0MaiJ{B)^22zLvDJjZhfI}qUa_A zkVkQzYpXt?P?%g;!fEBeUOKsTccJiZo)IBT$S*&+RVox{PD(fM%P|nqpwB22QZ6PS z$Q<#pF}l-*!m)E(k!UUeoM~p)({z`Ok&&ol{~rGR`3t9urkjD7PF9 z??%`;^q*Q=YYT-d2ZK5F9Oz9a_00^U{#lS4f6nSqUsQJ&3ZW^>F?)`Yiu~b0cFcDF zZUCYq9CxPv#Udf%Mh_>W1Kue=g%ruv7dkj19m-NiZcHl@E+1>^0#-U^E>7N@QzUe! zRUkB-)6+}dEfP+MH;|q#0RJgCStydP1nfZ&6!O&BcDqPk@Opd~^3)-eQ8}=_h>iF4 z2v$e*Z)^FEBH_rxU`|*^)Oz@?A|d+LawJ6|bN!3@)FL70obw4V*DTq*`q!i-gFLPhy(GPk`o*Sof2$T}ATCyEN9ei z<}i5ZdwtRMwk)qZ8^x*cK(Vqr=gD$z(i+5B2~ht^biOOgmlg+f0w*4$B~v>6SXN@p z00+iH8?3cqjV!a+HIm~IAzxw)mF2~mEy%k^aA`j8Z=@o>S_9q_w2}6oY@~1tU@mx%FS9X0gA;B4;yl>mlA^55C0s>xDKB zu!k1f*47+ZsPemsBzqZ9Th6#VhR-iFAae`Z_TRN$lZDDfVa>?4hx|(nJ7LQid9Ega z+#|o-*H|RW&GXtg?H*WMGaK&6a-pS+WADFYm9?45U0I&@R$>$K?;#-LJ5VJH3(tll z2p@6jTL)@nxpumL6B6+ejjz-(;QH})q;?@A-`Q|amb+fCHXt9yFKJsFdRDoQ7i20nfv5zb~=a3Qb z53Rez9`?`g9B(K9(mx{qV}{u=_h!WA0((sOw~LkSK9!EvbM`+l$w;JYSn=s@o39{^ z3?e|O`UC8+KTqWjM~_B|o;)5_bW8ihZqwvG7RW(GKoY9}zW?Q`L8u78Z=2NpJgj)> zqF(MnVi_1CjUF)ePK{Mvu>wVY*?bphvtJ4^KTRpo*7boXt6BC>-$aZ6Btq*H^`e06as}0$C?e z#k#mWoRiNW6lC--ZyBcjzOixQXkx1>&!zah*6=lFp`n)`+p~6%L zvV1kCtbqg5XuBWG{-)n-(gxyw&+k?YL1mGgyM{7^^leL+&!K1*mm#1rgRII@Se!Fx z4=1sKEz_7D+DYm9=H3)d3h(dTj}+@%>oLw|LuZ05tBKN;y+v+k0-VsuJr+3eO4eQC zv^Izg8MAdaDgC(jK&mGC_g8bfVxDU);`}zQR)|ex(Z8luZnXlOX2}Ps4-{QoPy;8r zL2$@eTpK}YW5FbC-Z0H|Uok&l*2fudXkhTqSwiW?ubU+_FrbHotlrh4SPv~3$Ej}+ zA7Y*94i{ZIBUBr5e80L$u`Jb3<{UT_HFzAhy6A(xTrO%r^9lLrU7x!-3UP z$~Gsu=(_x=+GOR<&>BT|v3@RR#i7r^qc7A&2Y2st`y7A=N&0tHDTb(?_c%Qc{GXEk zdfyOzJo|o$CeGQo@3vw&uUo@;a;SaCR%(any}JQ!?E_rC$zN%=SPXV^7$?kuDOB<> zr3}&a(dV=o(hn1UQ+%eDL=AbW`cQ^N4;`W(ca3q|A>gKh-1WWA{#Xr(oI(e&B1vD< z>7*MHwrhi{zYG;B=BCa)oJ)ri34KPnlWwWAa)|`q>X53-d5X`(6<=~v9fXS*t|rb& zS1p{UP0Oxcb49UKM`dt!9d}Ix_&e#2FEhAn0&l=bchyBjnVNWt)9k=g$_T#ogY@y8 zzMGne?gzqo#i#AYCC;})B}Mw@hC#YxAkwX*fZIZ{H|u-F{AT@CPP_x7sg%AnV355} zi^?>);7@up6)#6e5oh3`xkA6Oet_cF#GbSYL5gaVj3fjTrf9>j1qz z`!j7AnR!?grF=yL=j5T_!oS+pPirQ%alr-NLX+MdhZXaKuue|S152u;f6dxY?{o!N z#nA-x#)Qum>$ccF&eB5l9#9z>5M8yo)6>sLLs zvFlIf85Lc1(~F$92a;7ue_#(gZ0vluE(0DnNPmB_qI;{=P2dCbDuYl4^wE96o3vT) z4=-<5eCD>hnS5x~NWW$6r5nD=;8qQM2qJaCaf+_3!%gV}M=PT-h4<3NYrkm&<`3?P zidk^DxqT?#&|kFm(EE+O?6ZML3LeeK?sbuh*D}RT@&lWzlq<9WKQ4?rI`Dy!Y#~94*V0Zm?GGYJjD?ffO}9<>TpOjoy)Hnp&g*pZ z|4`*o9M#uFZ;#F6Di3^&CHubgQ@m~|ZXzHUVkK|$o-X>h_W?%(^8Ef#<~+sfa#N=V5Ew)-(%AFtUEdJR>4OkelDyLlkLiZpp4xiE?TV)rLsMk}=Mkc2#Q%6l z3*GVJJgyl*`x$v0J>;;?FHhoxLNM7f{A5fEy>(=xwv*BQL~)p3xsl>RW+B>0vPnZT zy-&?<8wm_;$i1j`hxK&oUQRIt=dGkSu4$$Zcgor#$QHTTVfa45$hn3nEy)flZK5^u zBQ7n$Q4+aNY8;mNabI%MA=q&lW5(G?S5KU-ZJ&G;R_-u|L>%GlL&z^N(x-tP797Og zCOF_C8;sW-y51nxWl=r4l3r(Ppx5`LX)7;VPUJb1>HgnxJ|Zemifh+2&<)?5;|dfU zyOAxK7aivEPB$?zf*E%i60-On4aushM|2`i@W{q*zjx?dHa9~NG`x~+erG)m$@Ju= z6!hkib*ZNux(gLQajGJ?c_sfB#Cp2=*j#P7XH|&VVQ!RiIA@UyRYJ?^=wPDg7OKFA zk}R8Wz+pHLpU26IVDV*m@4j04+k1z#jiL|o(;Suy;XiX0BYIc-=jm(dU;PDc?+S3x z$w%P^hczgmkkc8#_bYkazF9-BXSO$JYD|&Mu?|bDB6D6N>RF0wJF97o!C zm-Uejb9e1sPH+S>u#~%+!hxYGLv5? z2RO`eadn*X2o7P%`+RF9ZOlBaEo^OBzrf+ODyV^TA5r#_E-kO5zxJ29WiK%LCfkp^ zlZ-Z*9Iy*S*`@q-lv&U7q2vY^;9F*^uaj@jqBrLGSK(#qERvwmsR9 zKhfc3PU+&bNbnL%{zdjX^sjxZwAHkae}2|scrCn_^CM9mQ@kng4&8kujjLmDO+a@3 z=(1a19q8voNiY~QPT^*m{;}_@wh#BgKEOUEf%OyF zota_xa>Wu(xCGO(WLwsAl{Q~v6hA;+@{Z%${?F|`Z&pm^%uBT2cxSD+N@;iox8LAW zjQsYl(eC5ve2G&qAr{3@ZBco2u=~8WSb2NrcDwoWm?@l#iIN=u>xNwV$6lFBa?pTE zb{-M!N^aRyPRay(vy^_Q_zHb6Sgmby_MQx}dzrhYadsxUbZk`>IrNX@huo!u3q%rq zD!^{=ieTMPNJfF@nIQ>nm+9ks)TXJB?l?N%ZeCD4oAWifa;H|gL~p-0kt=u5@=A6j zy>9n;w*75R+yo=El%C=GiT-)?b!~5T!=kAyPZ>CeGdR)A<6phvC%U2D&u!)b+Xh)S zG{)|A+`w9}sykZJn?rx3A5IR@mSz9G?CLPDt(?b7?QWs8q%Rk<=&CiF+yWn10h8Z@ zA9W~sJ#KO*Sf-`)&o^h%9Z6~0hVGVz<_=3y*h0?oM9+`MZwaUAgRc&A&kru9$=V2O zhk4T;*7t?P6hx=k9sZtEbj!DATE)>?@Ty9=!^=|t9_M|c4#@k4bdvU-$mQTqT$ht| zb8+qRS&B8#y4Mn+7`hDwh+B^3dw_FIK z1?2X`u^Jo3L;ff_QQ&!v@t@^R=sOp4(Kokm4tBqC|4EfDvr<(heEij2(8Ox zoojA~GQBC36G_3aEqVA^zos8%Y|{42*Vet#p*z&$W|X4Q$ab;pD|+XpG`G?3lJ|wuFX^8dhqR^kKf|8x@bZZb=NwZMA9>6zk?3|;COb^5<7R2H zYe;Fg#-^_4WK*zqOE$r{kM4@f(KhKDzinw(d@3S1>lFP+w#H;5eUf{_?MDLt52>4B zZTC6W7Rl+S;P;l&hvU;|^FWQZzP}^0sNLt#KosYpqDIL(CpMKnp7+qLQ3B}@`R~Mw z?FJGgazZMYz!~W_VlUkk;cC+`13ubyy4|`!+`*ZtXj}3wShI)r?|FgSmN0Zg*2)Ll zEe=Bhr>KH6T+&ZizMKB_)eJ4DAmqigc4cnyPR>lU>9xvdahf_WMq0J^5LSm zcAw`f5;5%?Yy_-2sLii#&2e5>$1Bd8TE=mdTu1N>-6Yz1Svlnp;P z{00!+iU~9VzaJm?MIpl#O;E+~YlPn?!d%h2PT;q#z^@&tuHZJ8^zcW4zYgMEF&9VR zZ|4JlMC81pFv=VL#PGM~!YGK?5qKq-;U$6OSFoV7CnNTPfmaS9U@@&n;B}?I3k+GX z=#{d;%MV_M+$#maKLW2(1zxU5hlOaCR17a>cvT}N7BhqdUh`h?;zwRA>Zd&5tpV>5 zuAc%q7=d??54@F-APZ)7$phYK@Q%~4J>dPQz#9{pvS_LDhqo=fe?_!Zfsu^ByW0!i z=17?hWDa)81}6bH8z63$14ZCm;RPoRJg~$q2H=m@9!ZwiQm@NVJ9EmQ)NUb~wW$+LrTL;Qa3c7XoD5 zqCqPiE)#IQ;07(Q%n`Vn7~ryk)LV#eNjA9Xz|}_siGgdA4_u^>gNvdq54eQEwG4r{ z0z`rdTw%_LEC`r#e`!MYfqCUA#ffLjG5?1HIY z@_-u&xTDZ8c;J3R2R9zb+(o-rG2E8G{Ry{wfjN-C-HRD+W01lNPJ784ZgSvm2XVZZ z4<~RhFv1`O`R5ZTLVLvWv_ zzzrL+d(jo<0k?B-UxyH148Rk(>oddcAJTjw;w9PO<`C`@#ShgiJ%M{j9o$SJ-xrl) z>2RwF_ncfQ24Y46cccp3nj-Oc&XQ)vUxyo4xPwLXFDCp6+~4}ZjV>~P(LCl2x502f zj4)ss$^r!LmKC^dMk+AGy(AB~sfN32!~ReC;6$S!# z@;-1&kL2L}H?Cfkyy1o)?)(uUjBN-4&jmVoKtPr-ddxiF@d2JA5GpJ{v4X%;h7}$+ zkS+|-FDV@!M&PMLgRO#R76UxIAa59TXEu1WfoB{956e*ZAn*jFz@rcnh`a3jEX<(A z9+cpT2~otHl?BgJ3OraLlNhaN{_xlZ&tKe%1|<{%PiH!KOhZbsZ{lOd^@oQzc$!08 zu?&3{0?&F@c<4iJF_)*oBOyE+BEVRNY7BuVM;$yuBFXrOvO0%xJ=p^$JZWmEVDKEO zg9lP%8>3?_8y;8TxfLPD0u*)#Jk?s^@fK;v`}IGv&jt^_@Dz;LV;Qh zV^pzuz@s!gQzHmjfEp2jCvG2j^hP4`$x@mlrNe_cJfR~R85>Cip5M*zAdie>G`8vC zF(01yxv>q(O$4R^W*7?~H5uYzQaTI`V0wV~WDZ?}*@6{@49HPNv0FBbAYc}OKxM34 z5tvkX!N>y1$}x^S=8J%V223^(v5f640&@=?3_y^zjQ+Q57>~f51fk1V1S2p-(ZM(c z>B~#(dvP!`mcVcirhJHnmVdM|p9#zWEiep3J~S%q z^e`%fnW2aZI}jZcm?#=xw1~v$F8eom%s9jbk1&BmbhH2sN&@pq3k)ieA&sWHbQoj8 zJd>O5po~di+G&8XCsL*NFKJ?*4ThpH9Ywq}_Bsj7PIWLuMb0z|@$@iag;^^Cr)8*! z5}3sLz(^L!({q;3W)2w`*uvyiLu7=xt^xyIWKp9x&j#aPm;)n}T7cpzfhn;8#>Ggd z&hJSmU_Kl+Oopj4Vyb26z!I1_n_>8jylT|zWy5G1X4G7-2X$Hk6Kn-W*+{T1v0f^W z^f2g#i8rFGv6)L?9&U!gI5Mr#!dDDqbC{o_g%3-<1g7ggFlI-}HN?y$4;aG3G@kvj z+A`738ZC1^Kat>_C1ts{hhq z6#_dE1ZfLU(;$UMS}jYLV{fq0=Z?Vv=i7g zDX?-vGB=W3j>0r5rJa4Wggw-4D;JB6$>i^8d{#m&WR&_}DPHc-}axGZo z!A1{J-$)W5u_O41$<`55%#^ol5sEFHX;rj z`33}bk!Dy*A{U%X4q;^pyGsOwBPxNwX43#GP9%k2uDHr1U9bR!O{j*33wu&4EKHFd zjxNM(Sf|2X6(QmXa3HXCRbc&!H1Srkkr~5aISX4_4T2YTxCU6}B3~SpiRrNFg`F=~ zCIVK1z(&{rt6?OLe^E)nSlL16c7fh9O{%2B|W4J&fkm7{}M-Bf0!! zyTIgouyBXXJ0i@H=0RXD?*$8bWSOI<(I3|Lu*c_~MgW5l*z#Lo-H&v0h^|R`2m?SW zK!a6=%zzh!2atD;I>&5?7C^=Tb&dkyN(dwnbPz>A0{Rz$X-vQfK?O)GAPQYr)XNTo zJcAB`4ah`C>ti~^9w7gK)<-{ps1Qg;SRp2Xlyr!(N&XO`fHVc-(vinPAZy_Tp$p`u zqZ~3FA{mg);BrVnyAVijSRlfIB=tb!W#&SJfCnT!G}LOyfhZ6NLAE+~NJ3l$aw7;? zN8k*BREZhlB}iL`NSl-m;S)%qh<8+W4S|e`8Nw>aUq{uX9-=IeY2m8L5P`V_A@QO> z^aY9R_JL}q3WQ(`BxDfH?gvC20{Iy;1Zj}bjz&rw#B3mM!;O>x=V9;Dnglb%a*)~% z@iz8E$wE7j-qAq8A=_htkPmX)QC#T_5g^C{A<(@LkU<2JLS7IVLb7{FaToh+5GaCV z5hC7^K13jQWPtz@vfj~e=?(ED$SI-U5yQqHa<#gqRk_npJ^{t;n~xIxVflE^%Em{>epletT$?3Z14 zyee4yMGYI02gipM>(Pqv9ut7LfXHR^@97(+D^J9Ri3`<~BI!M3iHys&5B_rId_DUq@}RK4u!4e%(Vz$uBE3i1;X!F;$p=OYW9=dpU=MN zD=m?lJfL@^ZJ2&EeQun%S`CeoyIn5Dd^&bduV>aSP7X7APiz{dkB$zp!_>4XS(?cX z8?qncvBCWeCuY>2W$)St1J^f;pQhnlU20UCbAsm(ZU3AgBt!N%`f&TJ`Xyk_nF|_`5n{x8ok5fS$H#VMxxlS zriRI`Z+aD<;lO#CNMrHESQcrlGqFgc8aF0)r}iqArwzVZcwckWFumQ^o+xfmbIK$s zvq$lIWL=|8K0XK?roT>Hl`Jk)1J2~$GoMiD|YBHMq zcA{JHNePbD1SIvJ1+dSyXi|#!FEvt4`X_WN)``hU8vbDRL>Hy|Leo;jg=!X?JW5j( zb4K-EO^(uA>Y}tRvN=WEpoX}~mM1JnIn?uIpXWUbC$Sm5Z*)+)Z0Ax2Jyg@*WJ~BH z7RU`du8CXfTUsc+o_uzX*ssRM$&)J%#jq{qv<9K*QBzInhbd!H#ZT3|IeDLfWGzXx zmo=$OkMGMU{bSemRPk>$s7~&R4;6D{-?csyT>10Sp|Y}=(xpjNsp5asggg1~)po@) zBkYbQyy<-_nbK|Ie53fj8jUA^3_noJHH8+pK7L_JPLlGSOTgW zwHeP4k<#q~HHwebFh03=tW7azbv^0xgnOK)nBv-)9TiMz@0^ViJItNpCo8L26trf| zfF=rRTfCgoRg->`#Ib7ppDZ264tro2X5SmTu>!W7lsz=yqWyyti`kE0!KFL z5ZA6vj20huxac39%ZkM>)xrV!J*inSl=e&<@`Ss&L}uxh^sW~6*=kaX#eb+x1rle0iV+5Y>@f*a!BYE^~YU0lw>bi*MUBC3C5*$}-iZo47=NA0+f|N7oy zm)#%+P6fsuW@Eiir4G^6^G@Fo-&e~qaew&m2*?Y89 z{D;~cBK>)V?1falR};w1eo*104}ZGBKAT!OBCC!TC>BSHQNyLxXGkoN8_`=Tu2#EB zpI9O>pD{lIs6nfOn& z0Y+~1oMTzV&6l-d;n$J}>0dRg%EUj_>KNITd6r#e5^^wX`0Idj@lUl^ zN0MreE4mp?)tZE|x12CQSHHNcT)d+e^2q%i8H$0n)(v^WbfhvhVZOv>r33Vd@oc%+ zuQvI}pZ!M_bDmurWG*gRGC-61Ys$sdY6XyN*=JS^tGb#sjOJob2MaRqc-AV`sog>H z%ZrBoR0$S0@9D;V`q6vatm2ny zTai?aWwB_V<^7sibh;ALPdA)AWfiZcxNDB&ZkkCk`vf^Pm}%STz<#=P@Rn8lm)egc z(Y=!5H71y9($gNVcJZ5-6hrVtZbQc`c5zW)AKfX473@jTT^=PnpBNM+rFxRf1hc(LFzRI==ww-JF{MKM zm)bfdHGbO_OMKX5u2ILxw*Tw3z4ULRnH{FqRmtxe+Z5}{`j5Xn5rwlgfMO zuTP$>6#rDayd32UnmDe=(#|{7|hOlce3N*sZ&5o(8$@ z@n(HDtsB3sQv5%)uT0)QwNkML=oy*)?J*pL$#i|G-E`-K&)8>Ei_Rpva2dOmvMqF( zU^3mM3t1%<>!P~p-SMZ`_ogb%8QLyh;o-_k{^T&0y_zuL(re~&F1o9~g+<-fGB>&N@&ZK( zZCUK{BgnpDGRErDM!aDio zo!1pzbA5=*6SfV`%^!zKwija9VaLO&#s5{C?&Qw&R~2PAY^}>P{B@4@l+ug*pU{1~ z4eWbUEAk|1@(jf?rZ!B&?$oM3z)q2X~k>% zlAW4Rzuwi^LT^u=RV&_6Q3r&MqYm8}DUqE+7>*RUq*8H|wS|7r5Wv#D@q=xdz$F4bE|5_|5M2mT6j}JVMfVEQ;rCZDJwoVOC#ISr=qoTD8L>Rcm_* z*((E@=%d*L3I}dT21%M~br>>qU$YZF+kgI$oV8K%_iJgSpH$4M7yn14YmjX}-*WgI zzNxJ*6hFJCk=<-Bs~7)Q#d47M*Z$_PZd!6oqvEhx)-A3`)n$+gsh_%9A1s$DR%i_ z%vbD8cr=#Xqs>Ludht&cc0!`l&N-}owWrzHlI^sC2rK!Yi@8S|h4y;!OO=vBKIlKg z4%211OqVBeOsu32an{quB3dtARq-n1dP1hdT3h;~Mu?JKTfq+Vozx(1P`NDRLEUkO z_4u&1fl<6@b3MJ6`&NVar3!Q*fBPMC7#5^lc6q|~-go)HHp!MUTt};B1h8s_N`fJ` zemv|@avj>DhWD}RI{JG?B>SQ&LWZn*@t{MQ7@Mncy66vXt)od!LxVV3WzUc#(d00M zG-&%A*-`#=bZzwK4dRC?w1(`>-{;V6Uvtf6I%4AFS{9J3t)-8OG8@>Gz)ia$pG-`1 z7~)E`wU6wKt+jOTwA==9wTj6h4 z?V3D_siv#@{hGx8RpCRVrXj?kGwrEznIL=8CB2)>p0oO@=-maO&<=TzQ;SIB_*D+` zHix#7qE~KK(f*`u?6ax3Bl5?)%N;(m^tBo}klrt@XFuL~8c=In2wK-(#PRrEH$-IJHa4-d0>m_YPlZV((KonThnCp6}3Q z?a>xoY(c@5^ue4PP2!&_(uusE@8eLa?2YUVsQQhvpKrTMABHwIvA2wy1w|fLGY!)H zsAf$M#^ZeQU3xoNX%c6v5GnF|^Q#W8a%+pr6Y@k2!<5x!URi#Zests+)`L;$Q{2VayP>9X&}D**SiU0flk_hH$aLe+xh>*9Rf-r{ zo&U(Li(fLNsq*;iDvRi@OXV%%LKQzo)@HWbEsY5-jVR08pf94UzPaBbPFA^PbM)jhNx$4!NI#nYEUU|?q%@ND zUX9&6y@eeX&WOlZ46+TcD5TM+C)mWvDq@WcO|aUP1IeryH0t^~URyvz#!t72f2(XZ zvaN|J!I}ccY5GI9=VJ@#-zl%##2qTsjX7Pe+YQrN$5Btn{W%8;Ka>2$&U_l#FxMvj zqSD~Vy_7<`W%-`*nwn9u*_cna`z^MKcT|iVX?!==ZWVPCSSU=@mFcrqvE zRQ?>fJ#f)(iEaD;+DM11f2RL+h1kRoRd5~InRkvAs!}JiI1)=Z#w7&x|(^ zZ4-Y~iFf3~#4~owtTmG~r6t?bj%#%7wK$vjr;5sBGyKzbOHbP*jd9KUP|7vhJt3JL zrZV=(>Z)UQ-Pu&4={99|`(LA5#C`0Gs&GE?%bvq_!_qaAsR^>2NA|pQK=NNuewFUN z`ngS9r&9gMgQ=g}y>7L!!+-{j6)69xu&Z>#*ki1orQ!g|`$dx7$1n9IjWjMh+LlKf z*JiRmy~+_JtE1BF*5kUDHBBkKur-f9K6wt>NNxZjSvQzux3sjf!yvVqA5nBb(hqm# z(z=!$c9=>oBq7J*?bZobcQ9+D5IZ?fxrf~IP`cOKK1=h97y zrR+ygS&5`OCz`#TZBsS9D}9>v3jOGEl}+5BLKaEgyJ2?A>&eqtwIZV$N!3z%ZrByN zud|8uu2ecBNh;9U&7Lc!YwB4ZRV_L6`t)}8BdAzM@{!+4yWv&?J8VSV=U*O|LnGIB z+r-@}50c!=U&?B2h8da`m&aM2|Nv$DVwBf9kDAn#5tPkm~BG%PxSp`i&(cw#dDGmuX))GwVmv+ z5rLxjn3f-D+LeG-HUM{XJ;`1&#%`!CnX74-dAG#;NPn$b)hgDhKv429@oBqPLFhc{ znbRU6rCajP=*Xh0pMb=ie#s5=jspQYDrVdN()`gl{TXsP4X}WjyA@=K4 zF{>nGZcT@Iu4|!2W9i`+aGGuj&0s%*%4a3FcHZu=B$vO-PJ?RuEqlVvQ?zki7Hj6I zU{~^C)^8n3X4HEu=*JoZCpVuuEa`6ro}zcwpJ%l;l?Y3It1s%%3`q zNxCfJ3Tx)6C|UB+xV#R_1*5OV#A$0>bCR_!i(17EDub3(EwpranS&N-x^&s|s!!0Q zu+mmG&2z(R$tO|gIt-Cb>@es?IM*yoD)#GDskfw8%IdH_AMdBB-1#4@ z|CToUHL>qa#pRN_KOXN;&N}@xGEwgX5#Q3=^B=IDpUT-KNwT>^dA)40rkR($vFRH+ zG)-w0cc_3~vZ3+w4$IMSf#r7d^hiBtvuN=f`e6JJ`@N}TUlP(Mb@;Tk3z`C-x7>1^ zHS?Zs6aS?mfywHzX&t(0DXTR;RDVH#oIbqwe4DsXWeJmYB&oy4FJKJ|y)srh_WV4~ z*fQv{f=O-S4i!R7woKjDVP0Cv4uif6kklo8n?8d*{?BL=>r{F%`EAC=4ug;WV@(|} z{n^%I^shZ{wuzsr*kkf&c4UXuQ(=dVDqUZQIYu|Go!2JLR(Z+fli4917CALo(;D>d zwH>9=Z!czDIu*1`?oV6Up=?|c!rt|)*;DCHj!D@?`lIyL;IcMxol0mXNiQw!FgvRM zzjEO=+Y!3su8vjiRJ1c$J$_M#Au1+RW2N=)6_3#0zlmfeIh6@bh6a5)%sC1>44CfN zK}?Tr`I?4A#kPs>t1xNO|M>L|3= zo!Vul)!2$lD;TNbQpCs(0=`lOwTb}|5Lr%{8rzxL#=2WY%3PN_)7IFEODlLv5z!(B zWZ%ujm~t^5)&xk-%kO#KLx}&S_BBG5bKd3q{d_JTg&Jiv44bKT zHE`IX%1MoQrub;uU&Yg&+8i_amkZI&-I`{%h~CTAKkEj5D}blL2hYPN#KrdJvTRQ+ z+LH41&-5c_o-fa$j}{utWWWUl=k66gwis0rkq|wGURsl>@fe>s)-Q`bY`F#>OBYR? zdwQtVqSUp+Fy{stSI=j!EzP8#9=Zh|au>T6(_+t6(5-b)+Lh-UI17o(lq z==|BDT~5d|-OZWb#qXtbkrO^4juE&!_rLtJ7G<*qh9NkA9#4(-G*|E?SZ-OYv( zDm-^T^0Y-6n)qzRGcRsa8iWL1vXJLpIC;ooAF*h!4kkP!na;k@vWM2TOtg@27l)qv z=kiw;l~k1YOcT`Oe%KxwnmFA;Cc6Ok+{O^zqI%nJ7u6w*KgjPm6h><+Q|Um@+t9Xj zk?*;_z7&goeiaP+Af;uH6-Kjf`K8jONh&Cex{&$Y<3l?w%6B4@;DYb+Wak|zNui&2 zFSL+1T&#UKDUP!ksVV81UTNNn;bdxyUT%Tp)d-}Yi~Bv=Vkp?Qo9Y;fqtZm?$6l*LM(=;ZWxBte^x)u!~euTCwp940N5Z}V=>@gcdNeh@X7ICAwRl& z0v!Gf2K-^%E!86YQSoOct6U-i4u2y9{%Q(r$~x+<qDT(%7kuVZ?=pt)mC_!X*xK( zDjM+e=+@pB;l&fLqNLvC_u%jvtHO(|yWJaumtwpolLVI-gu^Sgh?j1~!BE-8z?<;G zj#qec-DMQv@cJ*}jlf+3o{6^!ykC$%T`Cd|?{qKfZhf^8*e&z_aR@n93~vz zn+$l9a(9J`@D_&mGV(8%{Di|hod$1tI_&PhU|bKCV!R>ZoslecSyMQ?Zz}Ny>aG;W z;O!Ofvt*r1x5D9F*oe1dUAK26b3s5M9&Xln*CubcJS`mF)Ae|BcQ=o-@z#%X0CL?W zc;Rq{puwqv4rLMF_%@$~s5?v~a7F=Fq!BX=hw}|3PCT9|DC4vQ=O<*NODV(Q?8Si7 z7{#>R0n9JKn{bkYvmKJ)a?x-&7gFJ*$lYVk!zmNaok+M#V#DFgN{dr09r75;ilff) zMK}S&nHbaougdlt4(DlloUpm;&M`Qh!+9OK9zCMX0c64qvlgd+I#gAlvjTu^Fge6o zBAM&*?Ql4URN`dP-HM)xQ%#(6l7lW$4~H{S4NgsUNS=6abu*NwapHNsE7>;XA0SYYBxlbmqb zs5o4Q8gN1SOidh@tGI3@|8Z%oI9%0gae1qF4b&g#0O37w@r$cq*mD^1Uvaob*5G2< z-6k)>r8KUoNta8U#o>xug-dT85@K!?Ra}5jKP=2~g-#Z^j9VP8-&MGfcbCp*;xZrC z`{YNLs*A(j01a*n=x%^c1id1>2W}eR?g3<1MjT%p?k%Wrlfm6hkHIYn+>0Q8y5wLS z?o_C7%R+}NoEt%lp(c(S8o0ATzHnK^INbLTaRbC%VK?FS2=0@R1ecDC!(9{uZl@?v zQG%`#IPrwd7Tk3qU${JG9PY`eaC64pbT{GF4esHSYx4dxg3t~$nk~a=_#x%G^rfa#C&P*w==KvdMxRXZSb=l!K z+-FnbhMT)ypN-pbxGzWkbZO-{+_lr=_MPtF+p<%I&%@0<+~p%DTs}Gu_W-rH8Td>K zAGZo|&yZYqiR?JsQB>mAq7J1iXkX!daN`koAj!Kf10IL_lS(0 zFRSx7-0jrjwx)5kJ!b=MKD!A4KDafFd(>npKO!XLa0gq3TiFVvb8YuayvXO_ zMmO$wLs)UdWXR!uxQH9$ZjeA8Zkyx&IT_bBqCkW;(gu@=+w2O|$e>RK%KESgkGt_? zoXefa;awM)9l;m*Gnj{tNioGB}82k7@9{23F!D zDoYMebF_G@qd?3XdT)3iJoLfSA9A8?Kz3kqcs3;BA(5Mf5Q9fZc$P%gh2+TcOb$<) zRCwg1Lov?0?WYWU9v(>H$rO3RW!>cP+)9H7SkE96@OTT)x!@ddMAymTDVP?I!*r<7 zL6;7!DB-XSPtC}?F3%^2XKE@uTyv8ZeDLTE&)^^$G$IJ)@Py8YM|FzoeI{nz0sbN! zeM&s|dj`OO$AWl1NKUwvq#T|eD)AUmhgu%=^Y9*c$cU$nO4Du*YVDm>!UAulkfBPySd!2?h{358?*5qm0! z=cFn;Fm>Y`vhg?-&sE90F6}CZr>;gke$}Ds2c18>2OiGiDJ|LP^0jh!hO5NGTsQS$ zCLZ_QMntb1o(LQ8Xjq42#3uWVeBOiy$9RHFo_85yIXqt$@u1lahw#B;XgrUG zn^BdlmgVrY+JMK_I@AZDM~KhHLv1|WCJ8PlEr(~{Mmz*}GbD=eh#b$#;dE?7X3OD8 zx)zVrb;y9+ho}5TJnmPZ zk_g>Iybp!}Fckph!V&K-hnWFAh6mh4iWrO*V8(#F;S%<8m_X2D6hVQs%P+fAuJ9fh zRKUanNpP8dIm|PN7;JEZD||5afcXcq)ujmLFdd=Cn1l{BN9a4^eK16UX$msVe3){m?}|WyhMlUq{R^f@Uvm~1XCzvGWe4PPw*#mm{BocSmha721Z#h z(*k?=BjRPKacP^h7=6(p!L%%C8W1Tk7=sBJ@{h~d4B^YjTT;+=}P=v`Mvd(3t<}i1p#Q>5U(NTo)B+MxRqGLp7&0&g3gK;JWDxT2w#1~=M z2~$sGoy&vGVJ1q6;V3uB!vmvJn4uyYT>@K z^I9qlZn=RUGcneM`7T)Pu9KDB9HzhY7z0xhdDPR2HxEGkeL{V!(1GB z!=)wXFg2&a_?&LNeYFjpRD2AE>o8>p@97@dXAZ{@T!R6_c+XHqFsg@{J@Oxy2pzyY z!*xcC_UTgJ9`1hu>{akRh2S4107>}pAF@H6!+f9~gMw}tNfE{fF;7UsUFvlX(}qfn z9qO)WK!XCFE4~OriI`3#m&!(*?HpzoRTyG)GfQGH;)q#ClHiiPbC`q_F%qdjrgHJ{ zuh;l&3`}Bjk{ond;yKJ!YA|5wMw`T7{1S7Rus$8p%X660)L>jwS9!XLxwCi=40B?t zlgxE_>0$XFU1!Abr<;J1htW{Xh>}Y#p*@EQQX@u5btuh8Y=GY1HoV&ati>02G8 zjy}&jILxDJF_`KGrFdX$74xek+`cqa1dC}{@`Y() zl+aZO!!RqW#L%ppr;>+}TFlmxRV7!$)Kx2r9ULZaRT#llASe5U%0&gh_J)8iCUwbw zV#30NDMlEEIbJ;mdfnKSnHcxQ+%LIqw}+}5Ljk*jsbD?EgLUJci)W56J_f_Xm?9>7 zN>79eQVR^jjIj~J#%?-G9!8NdlMHB+k3!YQHv^po6U$nRF6(A+G0XAs-EC5$Y$#?r0r(Cm@vYPS#ENo_m5!ptMO$H4wK$`jC|{meI9B210XIK2*+eN z85bQHtiJjLhGA~phyijp5+)Dh<(M-k3A{t27Pgc_^&e8`T8yLX+Poy@BI8XMR>#yj z`LpSiM(}zJ!!XmX!f?Br9Am=hJ7(aac(qs~%-C#%XM=>i3ZwEml$DiP2LXPALHY_v z)RRTan%AmRTVNRG?KK#@cLQefFqV(`e3Iawu~wKD3V<0*?;A13uNYo#?nA#BpNAoT zO#4G%X6jlY_&yB7E`SD00d5XW5mpAUJ3#&wP~a~#`T~arn*~a&7$_Q+H!u?#oYzAE z0h*^?fp}GKuVNXHC!h#!D6N7aI>@|=9hhvQ}PX)LdY&{sU{-8ru8amTp_ZZ3% z*peVWcAQ!x*v`T*>`;hUrf^ejW@6O>I~U}O%EfEc(b9OPh9(@Np@{V)vs9U3fpxZyWGSOdX62zjVUhha8+HfW3Ju{NTpP$e@D8}Eaq z5^R^ih3oZ|!n8PG)V69EhD{bFR$6op5VbWGT>@MU z7GALVLcZ`_ze4??8W=g)i_u^~#*Na+!}<*NXvp*BgTCqqKf^F=*%+{Hqd=t_y4@g= z3uPQ^<&XjYlfLTOi7oJ+LTAT_!=JCMV5%!NDyOO$G2={LTlw@s*0r#=Mdn5}c&j6tVHmc$R9Np)M1NJs z9CUmUmcOtC28Z38w{X%6Xh7Hz(_>l8O#?Dvl?*#&Q2lHn%JmtUBt@ zn(}49$(y_f79p__30sIsv(?jY!Z7Sh>ajTa4A2N`mDsN&tI~dbU#L)aIyh`!YOsc> z8&H%m{~kXROPbi$1fELUJ3`H77=~R=1C~0U;T~b-6T6>~ES>s}+WQI&!)B-fE26rv ztJ|5W4`3uvAjKvr`O|0p+iFf>g`W+2rdlkVy75RcSVzTPD%qNR{4I5GFbu=isuAm} zI#l_g(~r-_ax1o6$s$wrETQ)d48snr5zDY{ic&UKWwA3$R{6dKQ`B0-z{iKi7aoT{moLCf0bd&r3F@W=vPlPwVPP4Y%TQRGH&y5ffnnHd)?&fgjc&@r`ZM;R$@8TNoI3C{48xYR9_!Ky zR1Tth5QH#Lrp8t^*_xI!Nj;$zhGFMgh2?8E;mHT9+1Sx0U&l616c)|s1s)zWxHVYi zR-oM|XmB0?X0Ygujc>BmkDDmmBrpv7;7TlpyFpMMSR2RwIBahQO;BIUg<;q(*I>WznealjVJ(Q0>BJEcdT&eIXm1aff!&! zbbtaSib*SX!OwP1Un81e_S2qJJpsyq-=fV=|oZouT{)a#OA7}5;1 zh&524ZV|nUd<;SlkbXeMWdh51$YOG9a0O99+G3*t_y&U|AuzK}3MVGsr8%J0Rx)cz8XMH&Medq(B%D z2cbZPBf1>3+K`J7uY=sqPU>FiAG>EED zpoOe>(sAKbpONdr5-3Gw@Zk2wHK&y=EeY1$itayz0X`@18j@ z3~4QT#I`6LO z7?NZRh?LPW(Pe)feCrW7gX9^2d!^m?9{LW31p}AHh=3Y5Dr_d=Ymj3Dl!LB&g~Bf| z3@JA%#N8-RiHUAZekQ_jkctEM)9_MnTPX}fW{!yP95+$S2hlpn*daeQ2qlG?W*CM9 z9>81TFt7zK^J#>(RhF1%HkLSY#4d{hYbaf8QV5c`AtAF`^=tI)f0(y#*( zlGC+D!~`i&vx&YWLQtCYAxFDDE``k4_iXbRMpqQ8`nK}Z_X(x6P&NN>DGVHmR1UPh>z0$rwz z>*j&THDs>=&-nN9#bLuR49RR45z(eVe<_+y`4|MaA;}H8u>o<5Z8!`$Z#xnArug`^ z7sk9YZj6uf|LS3g-nR0j^}lpj6#G`5e2UCCWfphchzEVamB4!o+2-g&k{$D&-n%?38h4r(^mm>FJ6@7<|$9WPevE4F0+NO8=)`$c+nm!1#L1c=})h{4H&)qkriD z6_sz>$J<&PsVKe_`8q@OG2Lmx87k__O$%(F@i69`uea?Mfj>#!7R0E3%yqh9I{d9M z-NaVy1U{J%lw!+hlte>HY6b%cGSTUVBNF%pr4zRG3Gg63Cf9a*u_PK5C07`WkXcR> zx}2hNn%@Ik*Y8eIb2`4>_TNMBC(6oJhBai0)7lT6K(h#O*cu1zqJCP-IQzN^K!uf9 z$1_4A^P8@Xgg;RPyl$_b0S|Iwrr96#+C=@Fq`3^X$mFJtX~6ngUbDdd&te<=b@NB| ztx~_JQro>4w~?7m_h$8r`nMv2>}!_*%Imvrq4q$jPt=HM5exyzw5ADZeWLPg6S3b} z&?joe&RzDvUQyI6l#&@uk~vNHt_K!IesqRCd?Hv*&GEc_tMF7*DfvSTOv!|%jUND! zYi-*JJ8iIv#?9g>`-AVTqPTPT3}aj}n`vO%6L`PK75mT;co3_-ZV&zVi72k+Z!laY zQ<*+IWD$)owN={JEr18D)@plKJ?Kj>NOg?7$vmdFr#=>q)1zDM8HHxiSiZg6{wUfk zDtD*%F^DIVnBF=4NYtKb7_u*4{7BTi-66sAw26l5^l^*_$_%FeeYFknU}fW-kIuA; zn!bRE&hU?0MPp9GBXqGqCfDx;J#cj-UB)`{XA z-?`4AMRlV7O4$d_453!k%nzE!fTv7cTHjbBYG=hPa3+u%(V(|1bnfk~7M0HUj~F|Z zSxX-e8b#x^bT8+M3?qDOmMwACOZP=BxvORvRs-@_1Bc5+)h4- zv#84Q`IX^)nVNL@X}}IG_se(g833@*apM)|#^Cd!Xb!r@NWsiYx@zdGD8@zq;anAb z7QSDm8_tZJTwtZd7Bc8ClalUTaz@lXr>$_VI0g_PuD8;;qUAKagQ-=FU(Af8QEA6T z<5a%Zx%=iZQS;)mdgs#d@IWkSU?^m!BTe|>h$!ZkH#>t{0N4{B(CXYVLoaIP)U+|G zGINpIe*G3ivrRqDxSj)|(wp=-*L-+D)YO^!8K9YoNV{_Pi~4@cfb(gKPShrEA98*+ z1t>ePAZDy*W+B~pgcnr>z0~pNnbZvdSs6Agg zS-Rug0iTU+uSqF$;Q_z;b;iYJ=Fy!gaiX}qY>Jd}A{M?@+owu>zi$?meSR`xn`uYa zI5xnKT0UKR{5m|iA3sB~eH0BIVvS7eX3o)_DPbU2Hhv&A9u5VdruIW=@1+pYa9<;X zzeOZ~ht_LE)ytKhQef{|(ePH{eCd|tFKQM9$P9628@)AmjVP|DTqp&8v{E##NL(a^ z2CM)*XMl_&XR6V?WI5<&D?gT+lhr_9OI$4NN?#(1R*g(HS0dt^9`O>DxkhiP=fpx$ zsnsr#qE0V>7fK^T(wSs*?XRAqp`d)7RR5a_KG^XAQtjnAqBzT6=B+csXxHWU0Vr4& zB(1&tjwmX(2TKoseOnYStd`O3OfR}QXO^hBT^cHd|1d)|tl1JKWgVL?ilx3VuQ)VK zc8l)JoGR+`iz6gkDkqB5Hm#TX5+{kOeLgZ!o{2@*1y6u)HyDm=b_# zMB{#Fv1&pzSyJQfW~)}}%#!|EQfC!&?()*8Lv(LfH9YgO@1;A}jaFrd>3eDI!TVN2 zZRQ~+DqsT9jA{3*hTHbT($?PFR^|MfBQUtcsz081R2sF1CIkppm4D|k$u{ApRdc%Z zxMZs~N=fM@~D@z@iH1vMxS@>U>xzf(@xmJxi;*xYn$gyh5`!6v+1T%(y zw&1u`rHlVfden6key`P+rRE=wSjDO4%S<()N9V+V^INOxNaPhM{K^4bw zW{L5JwCn0_tEz8X0dr|EOK7+w-m1!NE0i9d-eJ`|NxLci*L#aqH9JJsaxg{cx~$Ds z@#x(OczuY~Sh%TD+E@^6)wD>J%<#edprHeyR?To?m9+I}h}Ed@ua( z%c?q?)W{SjObfcZd%jgGnwzA7R8Onw0N*Uxq&ZgY)Ck#z!knNRCj%5=Mp>(L@ATVN z{n1Tr((bu$S+&ECHYQeKLePW-)2*7q_-^Ue^{H0XODlV%;E#c75ZuKER%OAqD^cPi({ z$mSU40lh!f*=cyGa!}fQ!q#bcvSmn08QbiWwK?5xj)x(P~yKB^D2$nThggnTmCBjr&;I}E%sOGsQ7dD zrGid_(m0;(e&t%HA!l$r?Mb}Ssd_6`cKt}gqZTP`ebgW=27emK7cN)(+;7?q>&+5S=oyN759NihL?-X-j zZ|<)%-*##q$I5aeCi{#F*x#w$;+RZ(PVVazb7Nnlv^%TQSYwnuNzC+l?_g@DVRr26 zbZuR7r$J+cxaG9no#M{H*O_vOX+HnHwxd&Pn1kZ(@$m zxZ3DW<<K_%)pr60Cq*H0I&Y)|pa5(}zr&#iX7?yC-#O zUP_)vgL5WyDv!0yqfe_}?i9I|vVV&iJzGB<+o@7Ecv4%qqEo-mXFi~r6rNpeg&oFxpT#uLd96ch*tVGVaaTK37b<0g8uNEj?~5IJ zU5pov3;(%8v*WH8UAyaihq$uai^}0MAAHxLd9G~LGwEfAlnU8ro9}LXt(D*J3r4_yJcR)xjlm@oG-2u^QS@Opu zoewLgcNmXFhS8^gOzlwS6ot{hE>G%EowkHAUm!Dd?mql-hhbk*IDN49#SZ1phH$zk z@wpCF@NhU&4=T|?c;A~^49}HC(53U77O_^0paY!EqC6WSTMU_-Go{OF(abWfr?kpq z(boA!(oe6ME!wZP%R)pZ=Ilvtv1n@gBkBF)i}EevP0I#md}Kb( zJ3SXHh8>9n@Jr{Qa9KlW{SUboqjQijc_fo@E)O_v(Q1nm=wDNgTJ*V=1p0UXcNWb( zKiNZRKq2Lh6Z@e*SCdFrB<{1QrVl34&p*ntXl6&tN=v5Uyj`DcQO!5+qFK3Gi#U6A z5~a!U7R`)!*^bE^ob|87TJ&OX678zpVA0mUOe{*J)eqjX7>>24GLtCtZth(-&0^fYZ4YgvlPuc)vOV-x!2~E`ihG!p zlu0*j3tqHnCM2iPj9!Jsu(>Xc-pd^}>wg(cV}4U+-28iz-K>9~-%C>}`^*q~-%FpS zKQ*hqT_&qinQk+q&1}{hOVjCt6K&8T>qw`&0$R)}yC3v`U9QaszgC;IV=6OfV8ng1 zUh2-E8^+x;E5#sL;L1ds!O;TLmFhA98CYmG<_u=ilFvH$)7G1jjoLSGu$XZ#Z*!=v`X)t%T^Rz4Qm|2M$S*!CuL~q-7m{sO@ z*_+GEn!%o%;nffi(WjNsW_^w3FbySPX4RxbS;5P+ntz{IYgX>-K1}O9*O;}x1RSBe zD}2r3{Y2U3%bc1IY+hyq?LI<7zh7WBz8G|r#!c}wtCUHy7?=q)cP)7bUc=s_bZ6Zx zvsMf^1`gNhW_@j<>=DL|IqNRGOhThT9FN z#pCpo0I6MEqd7sdYHjVB{&?AZ%siT#yR6V3vYw##c3avtg5ODcYv574YRz_8qRb?k zpAFZwYgTleq^l0qwCk^}{DEdoFt&@cw#YtaX3z|ue7jw2Ylr`JQfSxDUv`S_dcCk+ z!^fOrYGF`(Wnp?&k`oDBtyWy%ehi)FoYFC}}lik(Knz^(ZNBCSYR}?d>F()s z+BKdg+4IdznGc6%wHx~)exth*rnf6srv652Yq)mR(JEOP&NP|pb6;*(P4vG^_l$oL z#%#MxKTlP(i@QrMGy6DmWUgA`G->mt%hYzM-z1hqX-`U?Hzhh~%h=UCZ^&g_^QBaJ3)T+0=@^Ts`sYT@7&8aU;)$v7M&>(!YW zv$mnYWXLGHO7|?fY7*bKT%}Ks8BEF=AKBE-yqJxF=S{{J)2`9LOSvZP>8fiqN;+*4 zXNcFB^qomDQ?BYw+DWn3>0hsYYtriY>vZ#m{U+6u2H79ajF?X*WSTTNn%`*!Nipdy z+kU5O{?M8XvrA+ZJ=0+>-w+3527jlwt`U>*cF-TReq6Lk`6OPp+A|mCs^2sw<)rpM z=x0M~Oor*wA2cv;@ebVGeb9nKWa|ZqTlU3rtF}{RYj-QknGkr5kkAg8ARq z-v;q#VgcQgFvDb6SXMwEUzuuB+1d-}r~>njT4~aTh80qJ_(hY-sV$^y>J=u#(Yu9o z)PMQtW?!4p;3%ZQA(l3+XUI)zYiwyV{v3akj><3Z_uXzY>}$J8Z|x~;Q$4cZq)#Ex zq+J*w05WsLeAzfIr%k+9B+#fs`Zm?rCIPy$``c9By#gK8UWSg>wy6$ms-SU)V%t>b z(ktly#znP>SE?%LsPl5Ib2-Q_msQfPJqz2ES0gLwivHPcnsZ5&bX0g*-8899xuw05 zej55xo5tHwNo~S#i)L)l13GHE?D_Rci*kR_1G@C}wiakuD8)9qdB^kJ_zh@Qne=4(owf%&tOZF{z_XdZ4Rz( zG92lzrJv;9Zc=UWtD~c0%cX&aCZ#>OjyC>qu1S?&R!4h!jyH*$O?7nCYFYdJz9!`_ ze)Tl2J_T9@G4*uU^!O%~F}a=&xs;Zl{2tPzH?{%KQd-$0F7L0W_XCzR8Gl*bKu3L+ zf%R`Usp66vX!YyU;0G&(2T{riaffds;4_~#XpTj|1M;XrbuGS; zj+!h(Tkkb!+iDtV{Zs*>?j67ZU4NxPZK`up`Rx`*PzLYX`!tropq`wNiB3#T^Zc}s7`ynp@oLN zURP(Zb;6h><#n1R!!2~wTlwd)D|JT0rdHbg`-M6~OL8mCXwIoq4wtslQE6rM-2HXN zcdV^+RbWP)Mm5|@S0wGMQ_cx!qoc;k`pcnp%9oSd=#Hv2bxN_MjsD%Uq)uB}*G5Nm zm8~=0snc$7w9#7cX?5aM|1MBFE9=Dj(Oq=ZRoQpMUSphE)I|e-wbmH(E4%1GL0gSx zd3zTf6;*ESEv-@d`gYT&Qeln$D#*t^nVesvnX#ptj#?^LEk0c%)|PftXV7;w#)qKyN9B}%H@#RRPVnoc&26;GC`Rl- zHFtaIpU(SL;@E~>+DdO#sjgXi0cbIzrCjssFI5J+Zy${spIap!2%<+0VbPt^Z3{*O>czmN@KnV#Pq6GkW)(i zbg58Xsmb-T!KY=!L%BA;pi+$6VuNqf#Y(+*vW<2fKU=9CTMXcNmxMBI?}18jUyF@? zl9W}c_w2OM-I2R1wRLtI9W_u!Esm-bp9`|n>JQge>h;7byX?wD9-)bH~hpm$nt8dX;V2I#1G zGMxX;s2tulK<|a77&Vhp2k7o;8;r(T#h@(c9kEV+_WNw3c1F_x-I_Jgs8w19=%YZX z+-U0_prgu3+HkL2d13V+Z9Sb|4(G~)v~R=da;0a?ARYBh-s|2{ZakVgNZ0(PDc3J7 z9t1DY!g6hO`5@inl1;{SJy&k9v=7pXGf&G@aUuv7qH4>Gb%TSn+GU#DS$nQbe^xU@ z?;QHJ%wUfgq8pYZmnm1o4#CVSO4dw%lE1u6b1Q9#25xx2Ox0Hmf(vd!nTj(G(NV|b zpJ{D(jVs!R==~2$?`kwwc%Fufcg3}KcpjHva{0onyGE6tgRXChy{nuU;-Ec;{O@Y5 z(IEYB*(IMgPra+>6CE`0`!RPl-sukN^n6mPd0Om%@9BtIazpyHQp5Z@2d$r$TdKU* z1`mY&rG}6W2OafFCcGM2s)864U3J;JR8_dlNw)^QRjQfc@1&zr$yjzGLla7jR*RF`jyj9=Euxc-x+F7hloo5x3_EFUh@n`oT`AE&+m00*7HT9qjXz;xG#YX#fiH=$%p9YR8)=y8DXlSFQ$Z)m@#v~exjMMH) zbW|a^ChJU*zQ0DIpHA3cqzrD6X!FVVBK^5`iH`asm*;yGspfRU|5`MwNEvH~!G&Xs zlzK^`qw>hdCx7Af_f~_M>QV-HA~lpQy|$9qw1!YRYL0wTY0lK%i=lLPZGNUIe+xWl z`EKsMF;Bwh6s)|XHFuBB_w6;nFujO?+6q^tVNDP3oum2UX9 z3dY#PG}W{QO4qoAkx%-*O*2euhd=3!O4EO5p|q##oix3zlhXArTjYwSl2pTmeoFV& z9Z41Y2I1$aBB`qR!<3GyBA5OlB`c4w1n*h?y<~lX-!Sbvb39p9qX9vm%M%%XXnwN6 z5;hFtoiWJ&;6Nm5R(^I;w~KC;Ez3JG&TUg9|lUO@7HR?HXr|SIxOQ zOh?_26~E4kSB^D;8!+wWc2!*EFpXLlwOv~Pd8<(|WNWj2o9NpxOh5Z=_BKss6Zqd! zOSc#=w1AV%WrbWjZa7vnLtyGj)#+G$e>*(k@ddFOCuE~Wm5}vQcW#1h1o$yp$8Hku zcfvn$>0FHX3nZRKeULq&SBc8Mdzd!XTcfovL43&;@m93q7Cac0K|Wl6Btkm@vPdb7 zrD5XF@ZdqjaER7xaF~voAfJTp3^IB_!lDUC4q7OrMClO_l=Ed6vM>1`)GN543XP`$G0W z08L$BFRJHI-pPVMiqqglTn4$e%$ex;#J8A?gVdYa;kl)O3s+M)3#2>#75r=vrpxp} zV<>)FNEbxygcCdb8aWh;vN({Aiyd~PLxL|e5B;O~!6TE!K0zINMr`CzQ_2!Sim6`1 zj`0v1%=AE;DSn2@*AOZc`pT=CIFz2UP>}A*i{Na8tYT&!x>51#K$b$1QT>Ay+05BM zp~`YWx~f{q5d;Cr%xpBR;x~(|g7~D+`?9f_Lm?}R2I*4Hz%WQHt!u;7uEgZ^XSwKh;HC=KvLH;x|8=bOv z>3~SPAPBAV%`F_NXIVx_cc#|i*bbrB%xpB&;#G+J2$5KIl~-6Rhay`R6Vjy|a5&~c z(l*nCzFWMYkyVhxRabl8ZRJpl%aTI6>V*!6AH;hz^U$7)*G3W!;a~LwFGnkfl3f-S zQe1yD=(r4-;!KZN*a5}MEcp`>$LgH<@ogL`ds$vc_rX_#jwA>&XBO2(%2#Z15Ms{i z1@E`DaVP+0ks-y0?+iK)LwY*X2R*@f@rP&QM|5_m6K1I)-St~`$9RZrL%#~F!+0Md z8zImwysC@o;xIwPf*79ry;;r{yna$i$ifTiw`NTrt~{HAra4epkEnplz`I?5(GG>>*7$u%o0Sp zG}`MZh8R8{gSKY8=aP*O(ieVP;@i!k)R~2dbk%&XV>@L3`8;$#SnPbh48-BQ4Gx$ zz6gEZH~|AabKy-P>0V+FhuUwJEK*GQwi6toZSU|gXa~o+9Jy0+`KEA$E9>Dvl$@wD1p(P#gJsp?>JS{Mn;|vp223a@N z`4=2L+-J6th>`A$-r{J7HWD9$9(0_nlF86jQXhU2)XSkRou!NvVXbDzc&I?}K4?|P zc`umY!*2=;--++#P`=KBMvBvKn;ntRyW)M&(T-DSatT^l>K{l+FNZ33mNn94?r3)` zgR&PNgT{B9jYDy2?oIXOqbTBp^YBb2mm^@7pg;2SN3rzt!JSl-2)DWL6?q?LAO0FH%J9E?bKHe z1oc6|aU^%7o3f_G;eY}k^j&=IY~@1gq24D{oF;wTs>?g&=#g%GN|WPDXbtk&_l)u) zh}1);P<`~m_CBsYXp5Xa(w)j_a3n!hk&pQ*!p7E9q{4EjP)+kw`?$xA;J?BElA`Kn zo#Qa{Bl(z%CV6Q_>Y+WUo?KYm$L$G^k~2uUQ!O=)e?uvg&-30Uuky%0P}zhFp|Ov< zUl1(EkaQDmRgUe@1m$PW7v%*b8E0Qus2*3+)W?0!`O8TprlPtG^;3T4(Ik28Ny4F= zs;;|bfoBW%mBUE7R<6>q7&@;Y^Rf4`Wh}V^jac&!+o6d%zQbLqzih#+%X>7y-<>N$ct+d4&7hjBZFT*w<>?OoJwN4CkIrD zc`)3{>vZx36pn?Svtj+*Ke=zo!6fE!dJlTceD=9PdC5-(BK8)jhmUOP=P;zivPrtg z8KsVNC{M$2NUFShKq^Wj3WRiC+s|!{oG8bWn0e_ZXl(PQ+r9Ee1f--F6$rsQ()+nJ zQ(lr2N{Xa0#f~qbCeF{y(8_xnp-V?-8G(xq8>M=^BMd7tAQ^7aV8 zYx}RMn>?%fxvmXPIj5vpRGsOVr#TeO$IRN)#&%lB7k<^()Q2Xt^>cka5dXrck|H-h z-EkON_{wwvx#6KqC+uPy5(73@@?Fl5VWNeaS8ITqD>;+nRgA05_ldh>77Dm`Oj4-j}k|L^F>lhC> z2R=q)lK09;J-{A>^f9qE?(-k6$>Al%q~r09FM%NexQl=$w(UkXrU_To3re*x?94?u zzr)7cvyDaa4j#D!SPOOkSLrrx^T~5^gh{sa04)OmJl*nUAbA5=8tN-6N^M+t z`e`}Eq&ra_3%}`u9lX!Wq=D@#k^}&FsCNxl+PJmBdO66XSi)~|bOILy>bYg|7A3g@ z)DYpdt1UKe#f1HGmPwI6C&n=aU?jZHOLlp;lPm&MiEuTp!^VAbBSVfeDVpn|9p3-} zh4+~sQ^z(=$sORMs25J`hnF`(D<_&1wONsl@qk_7J?`I?_h8A_0A&%56%O0DsMc6H z)C8&g2uBjIUf^}>mbY^Od!KSe{ebw{xj)xO$+;%o#G4_GBmm6t*`X0NY-gC<0sM?m zGaO>)Fyq9cO}ePiAV(8WZTRdJyu8UwHUjfTeI_Ty&iysnS57zS(w=A>Nr2MfXa3wS z?^lzJ0NPP6njdfHK3~634mc^IGyEMd0KbRN=2up+t#44=+{jlSyq#+2b{?KBXPgw1 zpYwBU0%#ClbZ^@Owu??G04XFK3Msb3ZFhzob5flCcBR7)NJUVWER#3n$vWT|sl8gu z?cBy26Xm3nV(?{O$2X3TGI^hyo$}s3)QLRvg_D~b>|EdZOfQeFnutBtQpJa)0^+~%lG8$ldh_yd+0F0z4)SYVFm1T zhWrTVFSYYq{{aqjP%QqWnD)Lnv>k|IybqUngB|q1TVHcgII$#XfcsyiK~6v^!Vh!~ zjR%YwKT~m6J~JZ!0?3)V+Zr~&?eID$hoBV6k1azL+Jo)<4r62<&!M(JmB1fOUpM6aG?WV$x$d~|8M{$j-Q#k`Z_xlBLl#Y z6DB;_GQi#bC{s>DDds(D8R`Ty9dFtleT|*3k);5xQ&0acet^5bYo{ED()G-48hQ_S zc)ZUo?NxSEN4kKPCp67T8sK&vCUPc9cQUzd=oCQs`0Q_sfA?k4| zSdK;M7G0|vdJo8d0Hdmu4-iRx(zIU$?;V)~97d#AGD=rzGY%C4ZjjGAW|Ge$K{?X> zv$}Om@c@@_WPu!xVor?3z&PY*)`{}5CKw>|e-@^mE`?#c-;wiCimEMlhrR@`BAabyJGUiE0Whgv?_W0n)NM%)O6g|UGKUrew~~*+17AD>Cf9*#DKMeL zouH?3R*DHFiUF1hQ_yW^*l9A@jSgK9hBlZ6ILuA4xRl}*b?VS5AawE`w-a;N!8G|t z48EZL!EA=NKGG;BrkDcaC%}Tj3_tBOJL3kX@}vvug1F8BZf%tyho+d@p$S-|{LB(w zJ}xH}r2f1>9*YB9_`G~MH>KnC+lR(SehATr{U!3rJ6Ux1&HeDPOg}3}rxdT9 z+cGo{sH^4r*!hLQl^ z%jZ3*l(Q2s51D#Sz2K#wL9X_7UpY*r7Nap+;XR$1up(Kol0zcZUr^Ma)yq zQ!)9#n*b{2J-m%_q5~@ar_QS9*G3L4yhz00)})@GRz8 zWQ9B=^||Wf)@_48auVfim2O&wW3UO})4WNY385EAzmRuZj^zr%a_u13e6mfBS21#b z84#~|Q*&}A3(|m~DR>0QUq8brC#-a@Ebkpm2h28~Jsh9GGCAaq^lGl)`8aiuOE_~= z4q55EXNZHv!1m^6=EtV9cn?_x6E>D1nz(I3TC36jRQd2EPGx zIv+D(Eytc@h zD@DrkhQWUWB%b%sTIEy~S)|>S16iUf_`H!&Id;Ve^i4pf=S|6NazKmh0dBpz;7Z*f z_fLkeoV;Ra`8NTF4}QN&IqL;W?55K~o26-xYdz#Chp!kjJ_s26Fm*55#bRS*9T573 zfT^ZI?!Vv9kn>jz1|JFFe;_D8-V76HWL$6HX`yR{c@Us+FUt`uMtOe^Yy*7JLXyD3 zY-BFD2!tEjjzKOiQj$|x4B!4!*mdBtbN+dOJ_Yp38*Ze1P5Q}lyi@~eF&-`{! zj@XeqU|mqtYu)fGKq!l4u^4cD6UZE3Wn`AqdSs8!+~Y!0UH>50bG1Z{V=SIFw^kqZb!(-pdxhw`X-vojV-s6aWI7>E?=PiRrg&T8L4sjK) zWysMiMk|j5D+r+b`sI)$nGDVlb)T2t5Vz`BoSe>L81hY^BH>NbTjabY3D1l^s`m2L zz%Xa99MEEX@ksEW@Y(*w!7RE-D!`5+{I)V^h-;2i%NZ>O4xb0o6+UL-wjh=Q1=fDW z2oZe6mDzGkixI(_!1ThKQv3s1pcKRiX-Cv`Dy4?=;9zJ_kgf|P{l7Rp(z3|$k?L*wHWB26L7Q<<8 z0%Z_yIzA}pc*&h@ecuTahU4L9`{Ak_++v*U#o#I8Jq!(UB$%84i;;T5<3xbho;)jO zw-^9B668pHc3j#=EL}|Y1O$Jlo?Mzd1pce<wqJ**`?*7LUk#o&m8$tjc3IQG64QV_3;heLmWoGSmKKzggZg2 z$Y+nW%N-4JqHfnd;a6Ml5XaJA82Vyt;1|H<2siP&<0#fW$XqZz3a1X(;aylr<=hv8 z{!Z~f3T)tzWXhT&K%h_Mg`}wt_*f=X%h4}J^L=5k5QZJ7bFdN$T=0b~^=s!Pc(Wh| z#?oI5(_03rOup!?!2#B10S4scEcL0`J`N6(U@QQ}_`81K=Y$Q(2pg-$kpFNdz|fVVcj1xd1Yv-nojcvDh8y&SQv~kZhr#jDxf0$ zn^=vAJP$@z;nH^+2ZzBhmIq_-+803d3d^xA^{kOZ{)z2PR=+L<0A2;MVJs5HsI*OB zm*r#n`5IPkB3oaI^mhN?Sg=ukHcsNs)D_{BAWV4TFCa5@IYE z#yGM`;L+vtVnu;fuE;9C8m)TKJCT4eIb)HtVGI!a9>{z7B7fgP*4_eO!0TFd&Aw=O zc?SyQco-wUz5vExkPk%s!HQtyP8r#$o{vaZjEb>@7(=>F0ZlQ?@Z+zsP8qqAmLIPU zoxKTYaF`aukQigNE(SL;0H2_YhV?X1nh)+!f0Pjm;G(0Ra!!mvST})?nfLjn@>kY$ zBkPj9cL+_lwg628Gh-MPV|3NSV1ed+B-0sInghD(_gmCU#%_mUxwUdyjA2uM0`)YX zUEY0)_3vOGsU}WMR>lK#x`ymeZ5-O@7T%oqcm zehHFo-pA&DjI{#E!`P@;bz?yy{0c$-a%_wdOy2{uH=i9Gd6*Rp$$!B6t^V+75)6X~ z8B2~aH0fc`iSv02wj5+#MY3m0&j@oAQ)DbW#+ai&fy11SIhUBpYL8?O7|w-$-!x!s z>=NYs7=wv!2XQ)Il#{-fH7H3}V(JEA!;W+SR8YMfA!C%#DzLS~{j>xqp-{#olfmUK ze19O*!C{$w=b^n6T9RU)g1qEv7M z*omPsmL-#co$;Op-~njbz}lwdVQQi3`ZE@y$$xsQMo^I$Ib%sPhKg*D zNrY!xA?4=$7C}IeWWzQHu@y(c5Zt_K; zi4tqalQ+^GBVV0789^ShC>kcvWaMG-M?k839M;V` z`{bZs{|L(v(`YP&##n}q$dH@7seE-4tN)XV^u!TJAqLV|4vj$y+shN+AD!i>W+VYJ z`EJVyeGoHgEQ-eHgWoiy0|?OCag#v_NJV0VO2!JZSQ@6$7*?<|^BDYW?>Ag$d;_v) zuzI%43&dm^3#2h#;0w~FoBT|3$!`pmK)xpH-j_ju7*1oEGzJ2!3c3Nm!qlYGjJiPf z#NHSo{IPr*#?lz!Z<%im!1ijQk1>D)x#PFsEg9X15jB=fW9YshV=Mfl6IUN(EC|w7 zb!mjjhbc7{PGd~I|Le8Guh7z+#;_7( z(GiLsX4P0kjZyU8EO`wOO;d}b8SH|*;WIpf$769dOsO$E-Z#A;z_4T6!WcJ$Y;4#w z!o9=98Vjm1?p>1OBj6h$a03w>q&}=?1Zc-XYnWAIK)d#)03ho`_kYZ29^_wqC6By2w7KNJ%XUa=o(9`F$CRv+Y;c}&Sg$#j1jV~#Cxoo zvE?wm#zJe1E%$#RsqnKckD1JHC7@5dIs%Qu02|A#F=*VfhD;z}eY@;cXBUu9$h-a1 z$EX<%4l`^ly2fa50Ii0X_oXsBgQ$>ywM>^}%p1noSbB|N-d?btgkNEce-q=ekcw@? zBm6d&WWxX({u`%M>zuSL@U%}IOlmTa8|1I~Cj8el$8%we=l-lCVoA839`lm5=4XNR6d%CL>t7bdFgWl%-B2sT&oJ1=@@x!#wtcWx;7wOnu46wXx9HE*) zwv9#F7}dOC1(hVeF*YGb&vrm$9cLE?rdG0qP#(i|fkGEBI! zU>oC*jgRk!k7Z_WA43KKurvRf3>ae}H_Wyv5HR*lL?1lcQOgZR9|A`~;x95e40CQQ z-lkg!kjEzC6UtxeTvF_7or6FGIXA@Wyg(x&nZBMHT~{EAQKuRz_+f^;?eamg!uW zd1FyGM(0}GDZ#sNAv1$PpUA2$Qkab0!q^*2yD{w6rcMg~s3!XLe&k1y@Q}tOGEa*o z-!SmTcv@xu&(xU*MRBM9f33BXt=c4bw;Qjul9;W^fr)3L*x{#0Ttp;XB1eZsB(CCU zxHK^IT1jtGwMj7XOgva?W3pA74aN(NVuvq5LJ&v9VZ?Em@H1%q8XShXK&IjMex4pC zzkjXA9;Ume`*XaW*Smfgx8<^c>?IYI{C)A+M{8M>MerLlZz_qh3VkS>>Fu8HBCD0W zP*42aFklh?_Sop?F~h>&2zwK5%*Z<}hHJ{|2$oYBfg|~q{OUmBZG(Ih7JkvAiQx}5 z&m{CtUVbpTbOqc$R{ueMUQRA4}k#98v{ z=-n3$E>0-^d+|+?+*$ndG2)I=l zmLs8-Jh?!08jPH}w?=n}A}!Q>RE~Eq-R2j}Qzj zFfvDSEksSG^%$g_y1TZkQw%?Z%`*ut7MPkN#g@FKzSL{Laza^6l2ath7C+U^GYM1{ z7@Q-~mV7LBU%=qygay?NPLW(&{F=Z&0(%yiog>|ryg&c+kb%qzpL`aeV>*rZjxvfM zYGJ`+D|$teZh`3N8*NZ?LThYFuSni4evv7o2+3BNpdY=oQ0*0i}*mc9m&5QgX@1%1%u%AUgGQQKDNUD;JM0 zl$iv^s|?hUm`ncAwJ5~Vse5f3+z80M2+=8qE6NVS>{Vv!NY5pYiMuKqD4lw3aXl(w z=;HB@GLxWvfw4N0bjjbYSQDb@gf$24ZgDLcZx`W4ZyKf*v2XhF?H(rRq=fyIlFksr;MWZ1eN(Z(ss#X9Q{ujSe-ES zv%Ef$%w0T&RHCWbL1n~_ z;Rjn4g6@PD-ih~$Wc}jVma>Bye*^~bNc<&l>DdzE?o{XQbgxM6FP^?(T!%Iyl^HzJ zf64prz7Rt1gf*Y#p>p;n+=`eFDm$ntNni|*Bw+Hw*1qKieJ7NDwH2R!b{+mCOfbRP zp~XpH5|311@|fHcAplQ!smAOT$p*$VR;=`*VM<^akAz_I&yK%O`jswfG!q!iBT<k`06(FCV5o=4I!Y`pd*8&sYueVOPJ$s5KC z9m)=BsZ*KIBXyX()BSad0p_VM9?J5GWDnz&6D-D}K~G>vj|5`!AA7ch*gUoL{SCn0 z;1J`b7-a{w`>D+7kw#4ZXUmKbo~J%uQtT7SB*tqy(BY$bP-Rq)WMcA_q|P*h&=V$S z*ZD;9iSc3)CYxwQRGHQzrI@@;IT(WUgv@0vK9Q_qy!wQZCmI_C2KGoSCckc38{+f? z&yyYa?jy@th+#yVq`=G`>BZ#L^^-%Wo^W>f7I;4x#&~^9IYLdB0%Ln58IymL(H^4p zgmX7tK9M|Qyx^uBp%zY+$vsky$*ZlqWCPe!ebs|Lk!)kUf~Q1NL#WE|9tp?fUiaz{ zvnM30u>jcM9OETH@JFzzE+K$j9VY z+r9~rd&1V~vR@?s7%y5XN2v8xWr~j!Wb*!$(h$5SOuoGVlpQQ&yb7uup+;JPK|T_Z z$!oUH3GsV^^^0P^NG>v7R#l>@EmvTck91^!E}Z!W!YAy>ufyL7BN?yLDm$pjS74lv zq-64|IR`=%pOE}ii(d>c{3>14GAuCB*AF$B{JZYd5Xh$@d)qIPos3tK5jBYhWR;;l z5|qhnV#kG8KK1JlZ{d8xQN~NtU<1(3tTNX}nlkw}D{4bHpSo~~3*QK)GG6OObTFEu z1xEYUKvpJyf2TA=^a)?z8SsnbE91p;rH)#yRi^t$S%%lyiy^2_2z(d=!VT6kUX52q zQRBA2fFFs=at5;Kf#R)^?5p(Z~cmGGGH1_Z7g;%k@e@)%GzUa-n(-D1MgnM`SDE)Ct(m;qlo7)G)VWie0wS5s zc+W){Ma}pEBYz|}lNY+jhe$v5OjTPzB)=JN;wUqzwO?iGj}&L}n)ve}*iYzu(isrR za>lzt*p#$uLjr?;Bs!DdSXva~{nX=CcLO51&Ul+inMv9Kf!ROOoyiN^rYHvTCyblw z3y5Sq<9#b7ha?69<9{SQLm$CaR1)>44ty{i5W^c`%1lxss7wHo`V5@v;t=?!ep3A@)yrXqgUTo%$JEHh4ZHsfNk)n@us#iiqs_nQ-Y*Wlix7E z9D)PY6RR5fMY5>zE;%Y8LQxqMB$1l@w|l!ne4wzfyQyC!ml|)ogSdlMMPOEtbZYYI zrH&9HD6A>5^owLvlW89xO*)XY2#gDoR89VV>3sYnQG&v_hphdGK^sP3JHP;C2Pt0! zCI(5ZhAw~#R1zqtChhL%7s;;1q=B-71Trc^gCtm!7uMPE--#6zrcQI>8^N*0=z?;D zbTle+gQQu*z-&ec7gV=@cB@|`(;Bl7N)E|w1V#r*wkH23XIqFERM*bB3(OV1HHIrN zIDslhV0w_0Yx3-ZD>`SqO1A#PCk`Y8{t5!`Ex ze<(Xh<0CLbNcuHUxN-;`6vkHta3{mS#yp7uwJrg+kFo@_=R4nGjp3 zW{Cwj^KiH^%7x9%0W-$)#2J#t4JlhXDhV%C&lhYR09>zoUn!LAgKqQ%) z{A%NC_(viP)zS;a10wm{7&cQzkqS&@+K`lPa<6$`2r?APA1xaY$?C@RnsS81Vk!fN zBzBYklyNh}8LAzr3V2Jn-57@hX#h=`;3Vdd^ltKX`|J>EC{!G-9}vTQ9rpbpJyRJw zB*~lnb98ZtHdMF$)HooL=Z%3qC7Kj!DwBt#dXry`KN|uL)tV<;21K&GF#)LTAR(K| z@F5A`cv)@*0So6FBZW#1>D^T34@vtb@3*WDVTY=|&pIHI`HdMxWe3UP z1V#`^{)QNh-68T&7-#7iz>38DI}AN4U8J5JRj=@o76e;`ED|>qeL{h`a*FEkKh={&m7w(Wm zM>mtGN*4(L1%?ty5GPNLo?B(Gh=RY-gR=xj9HXtuOwtLe%q5a0PX69iTxH;hLh0=Q zD8vy~R>>hbp~`3?$>PwhR#RmViR!fB!2ywcaSY!oU8Fh`t`MC_$~bvppuft15{3SW z(GNtj#xc#Sj3RNO%77w?(p^56m z`70iXWRPRtSlK~RM}aX#lE~rIoeR;4LaG%1KqQYG1I)PVpokQhR3w!g-Uz|Z3_wvm zwLI~GNH#epqLn%lPO1zml2A_mx3W0IC<=2{raTbIDaVMll0*7Rb^gk<2O??Z+Y6m#;Q z?hGC^U`2IKRL%pDEOShiD@RDwDKNN5qB(hg+@cV#DCp1Uf#8B`jxlwmj{wdsm`KBC0aJNYXjEKX4{QEeh?A09GXL9E0>q4k<}hCKyRQ zCx5F1Lg1p><~89P!9K@iJ{lZWygVc@#7F`<%w^_<*hNID7CsQkLC2`Sl0&*wfjLIf z(8*)mg&}-Vy>Ytqfk-Ag?j69`2J%&bQAU!{$$#tkIz%uEeY?x(EQOj8lrB=as!TJI zl1}c=afTp9;lU>5fk;+5?ry-E6~wSA1C1o6leaZb4RMU>Sg9Vzgqx1rC6rO5nH896 zBt4z{b6a`{WfUeyfM6s;9rs^=!h*C`FtA2Q>g3txy&;-WnCfVHAd;t!8#lE6)p;4jjBG&ir?m^H@mop1*=<-{R)gYlDtmdpI#aw8`YaXfqNu>9XG{b zuNmrKfhk8)*vWr#9{;ZaH>xKN+HpQ%vEwcq-)vc?X01@G` zN`mx%27D{MK{n~ zcxp9Sbh zzB_KtQjUMTmP;TayRy zw1NAM+sBk0q|sKHfh7GMMnN?p^ikdE1QUMdqec2I(5_V z1Ccy<+~9_lXDGe}CLu|MC;#r&eyuf%QN%Q12 zT^S)NQaCmVFeG{NxX}`C87SoiCL~FnC%-cAQ3#9__LZgs5QRODJ2#bR64VO}Ns>Sh z!GJX(Hd1}99pofA^tcsNnMt~PfjLRi=*i!j9U(kYz4AP$NHXbhZz(ziA31^m_8bjE_R7q>#RT11e$IU1>BLM5Yi0nI+y>_!w8BdNl>KB$@ZP z#~I%pVGRN!lqBCnqkU3{oD>GsVg!GE60~vCw30*759<7Br2s*p;KL-eBm_^YH>&ZE z;Vx{Yj)Ejq1}RCzhu{1D5I?Dw*g#j3i;vs7u|bJg3YA$(((%c?E6(BXB!p6^4Fa$v zBOmvPD|Hldp)yWMQa<@_OC2GKQaCRvh$V!Vj~maGQ52aWWKmK&srj&!G=#sCKuVQ! z(aFxoo$NUC2;fkADFvMbee&$s*&&uv;LLM!^l@vva)jbMR8BZ2O`rTqVqyrV6gbbE zOnuyIuXIs3h|1~ZBpZu-mc!;YMIAfgLeXJKyqA3PN;6!oK_sI(zu7=P`f%C)3;K#lK zOj{7DqHBou&BtI^mcn$(;wHZzOC*$NindWXd7Bh}^6Z|n5NxTQrJQZD{IQh+vt0z` zsGO=zqCXt-lMrtyaE3Oy{#bLNjH1{bffKVy_a`sRsR<#M0_S6s@sGV3N*9Ir2%LsZ z(m(W*UJFr|0%u=`MI1^rMFOdRprmV3|H*$cyF=im%DL8Ivj>=e1PrNo<$;rj01*C@ zA)I7lF9pu54y!_x9EvMaIiZ>~fEd$09>OmL&Z7>yN6_|&@FSJer%47VUvHcrA~03X znhwiMlpKmu5;$3!lz{TL8(s@Rm@4N;hwUi%MhIpSI5nEYfby2^tPqDOa7J`kx1#Kz zm?xDJp-B%Y|2(iQgkq}uYwsY!dc@zNL{n&#z-iAU36%eMcUOqUR5{x@EQCSB4kD-o zPI4wypgd;l;Si9ia&B|jFr$p3Kr59~nn@TaA8S1mVloBJWDYB9lu;CqC2#^WX#?fn z=BptrQ{cR1G6%8)N9m%lEtS)iNgjx)<;@V8iIG4L-mvfovQ!7p5JY#WoSaMwLHUgq zUkJ_=W>ZcwSp?bAgS!qvU@E5~lSoj0uWbmG#Am9UfgINUzy9u%fzxqGPALDpp%!O} zNKTcraKn-@tf?ZJP~hZSQWPQ}y)^`L3Y>H69kG_dvW1{Sl~Zj=RES@(RWo=_l{0L^ z8Z;%EViN^UtR-Ec{KlOQR1(suaz1U?tA^h@LKv~^qvK|Pv`}7H;J{fTs#E3c*|4Zh z*+G$xDksg7x=>!z+>1Mzz)qo+a%IEjH;k7M5GinqEC~$dZ|gibCb69=XU2wAa!MD) zO{$Y9A(k|TSc)IPI|a^z4ZG=-9TZ+EaQZ9B4CQUxM+i@WvtGlpJ7p9_VX91flG0HA zmI7TF@>Ds;HEhpQMp3Y)FosiGQ%}qCt4SlAr^*?vVI7}RM=_lOC$f^>P@dc{f_kba zD1Y_ph~EzrTZDcJoVH4mLwUAkg!U9pQ?_bY7^sY*2vL=jR7rIxzqfS+_(a$;D#Hds zh*=OwsxtgZ!o%!8_KYx}0%xLzm4?a=iZ>NF0reGV4_E&tddzpmKT`N9)1l|iP(Etd zm&g#Qk+w>oLiwi#Yr0rRSy;XJYs4%6*%X1w(p{g37>Wt@|gG zg@us6hS~Co?L`nO)1I~CEGP@RB^kao5_IWGQ5Gtrp0zcn=|e{}m5lPD?HX5^E;BloweTcC<}{5 ze+`}HA2Hn8>O-~HUNHv)7WC>+q{pqjq+WZKjm{jIDYp(_IBn+EW zf6esfXBs7?8oc_AYLtbgtiJ|{^FxxtQVsgOXdTMJepiO%AnQD;vi%$<=xH4j${WYhZ-wWlnA%HV#dm75Z0^481;rT^F!Kp^qz+yjM zLtz*0uZi^h=KASyr-5!tdq1SN)reM?TNQHYt;erIicd8<8cLr;S=i9ajSv5#*C@YR zA0R=M`y(W0HQu~o*)O+IerZN}_7r81%jn5+if_$xmRhiP1%yUr|b}Vx<%Rgwa#3LxmRAod{OFc^Cs}Wr@x5jLw(H9TNC{~sEOzCU{ z%EIPnZnU{Y!#N(~QL?Jhk8`RN!==S~a?&5*MH>?C$2~sX`jG^GLo3S*wg|?L#tZRaNCVET zSoJgu;Hg2fqtf6oC41v7JVQo|;znZ^O)Ge=L5ZsjVM@CmL0MQ%&TV4#G*98l3x%#4 z-DKC7cMXbR&p9`py-gDzo()m%s?nQvuEL3-!iX}RTiBlAnG{X0)+2hA*-I(vqyx(` zBldM}h&xA9GoG)lLHa6#ms*z7j+fDh$er8k7SUXfCx8^d%G9Mc?GcoPo$%bOcaA2H zJfoxxRz@x*^Ya~8w;9pPbL-z6nvL=lm10ITz8I1205S zFe}rQn(xczK{1>)z|Eocw1~kg8r8M?~di(%Kav6-K zT3QI=)gj7hHF|Fsm13DF9Pz*{x(94nS>oj;ifUz+Qky0#xO+#^BDmrAZCE#qf&}11C!d#)3nMu5@^Eh>Wg>{mKJ6y$dzeHsSm-EVmKRx zo8SLIi@LnhOIfZ)k9^InJUmoKVph17{uZqg^U^WJxiTlIEw*7{Ih@$SjrmJ?*_qa& zDbbZ7No|)ZW6(Gf{=#kk^|X%7i`x|H%7mnL@VP8p(~%q*mIma~!Z@#%Q?4uHk=iy( zI^Ht=P$Ug22$s@HJ1@VNPm>KcQkrZ^!^Ij&w_%|{1})w5dOoGQG8n0qKazqwWF#nu z^$5+p7eE^X6!2f*n?&K% zBo=5aqAeueSEAHc1|T)ZGI%z^0Zgppc!u_*c%zDfUzvWCPMpRzRyfN^I2)-4JhaKh zJ71LjYB)erX9XZd8Ht5rRmcI_HRG)`ihnhXBG)Ui(H2gaV)4i#+J@u3IZA*v{3I_2 zuyYp#XGV!RDXTo+8&b?wO%G1IxIhbgTw@i{S_@R;(n^ z&LeL}QWPwkShUj9n5>glniV5aE|ZA4s!3pPzajfDBj-x587YmO=k*+HS9W3Hf%|U^VC?vGle#+ zc^8}VVHs=G+9q1?w~a)zvC=1wcEEXCoFZZk^H1_Bn18}4Z!8F!LtE;+&rT_^hDWIN z9qht~1LIgXbdUDvdE=gfVwq;t7M;SjemHxMCYs9A6c=CGD;_%$^$VRW5+6_ zL81s4Eugqq!#CI=BJaoRJ_x)?4i&R)hf(3XnA{ShN2Nyd<1y$g->h1fMXG zLK(7*EK1fI@G9YWL{@J-Lo^E`E)*lnyrTB;cUjotA4ytdkyjGoG0eojdh~WfJ;iGpp z4%i9PVs?uXW(~jG)dKkC!eOASp=&1Yi(#W68Bjk@_ zXAJ|Pk_7`{I2l&BV6hD35-!LLAtlc;e5iS5!Dko_nPu(a0pbrCN~C{h@=)7%AIM@j zua+f>w+Lut%8@>Wu|vsx5)5QG`j(Z9aYRTmHc5XoGl$Ztq9zcbBPqHpc)Z8RC2^Q^ z1{gS$UWkMTG91Xux=1@QoD6o-`82GOo;$!k!`Z$ppL~X(P$os`>KKm7!NcH4!!g0E zvh)yT%IGOwYr}Y%nOq7ib|i6_#h7u7trE*hcaGuD6h{MUrTdD*i&?XoL>w%`v2-6A zHqL2Z72viU$w6jm=Xe5ZnYN|7+HiaBOoiJs9I4C-(El*0OZYDRMwl(sR_lO!!|BW{ z6n%!!U*>`77sp_sw&k#l6EYI?%zDxj#1b=LOut{I3N?3B#()^kjAq$s62Zw#EYs7# zaH3jm;F-hm)2vQ?hG=I-py}CS7*?khB?74(NwQ`UYaHRz%v95pieW;nTr5tpwrRc9s$^;zk3Guf<#2a2Hq2v-gYP z2zXW;H&f>Vw=>WffLTH9+!ttepb-M6$+IxInOh;KKY~US3<_%URCtwXyurcrtY1!| z-U@EGpz(=eX+HFBbH5nQxHn|Z)U3fB95mW7oX^ER^mou$$i=K+^4yjO8>#z)TS92; z#C)K3BdZQxWsIyMstFV%(exA4PQpDVG!ip>)Q<1afkNZ7YNiB9Tir(eDcrO|<2oh- zwVktIx292_i4Z}SV82ZbFWdz~qeR96wQGOhijESDA*+cGWTkcnbk-wd8Q04vkkcl8b z7Q*kM)-diDqZt!}e_CxxARy9ws*(vn(#tQRUNde$qxlz8f7&3TPehuXRTKWlvignG zyv7}EG@CP=_SfF&3Wzk6k6kpXtgrxaC(|ywLz9f`kHNZlcABQ8fQ4=M1R?_N$k)co=hz*Fe zwqRk#kD?aJxGR%dHEAuvNMtyftoub;sYqbJkAfSTsm+snKWSxyp+4=(7jC~u>mHFz z_fZVQZ>evTn@MS%#7K)cAMEyvw2ES4w2wk1GN=)iyHjb^#mJsG7;8t5E!JeJnd_s7 zir-UbE4RDS8V<93TH$UBqCK#}6G2cP2VTTct1S1=(uxp+e9}ByylG_OQDnval2on)u#l)`8bRtgPM7{! znbzf)+|$+uR!}3e1>Y11ABUKDs3DrWq-mAU$T}&VHH_pGtO1&s-=j#BB<`@Lwrg55 zG?G(l5pm!(Vc#shm5_X?2uQJ?;2;i%+Dr(+FbrI7+6By41OKoz_~J)6=Z& z^=JdfN^ArHdmK#DMs4rh3r{Pz4CzTrT%|sd)^)3i*5jC)e^DPjH`miTu#tu{=Ai88P5-04s4|FlMJB=uCyO7V%b zg6$$mj{|+4A$0)z1Zc(E$o<(hcZE-+_3#8D^f(^q8FCS@pn%rTnab0gi*>I^tLqVr z=Sfdl2J1;~z{Uewjb|iJtC8GZk=E*6#O85y(HycRutI^>_L;|%hL?4F(N-DjvJjXD z&qmweInpn%lYw>$jJ%|dWgRHPK0-B9c@(SkkEQTAu;hXE9gOs)m!%f3NV^i1jO0;B z(>)SIur-2qF^nvy`HSli`Gh@=1mf{H@@c$boPdUc_C$C&!7I}KO9YX497lD4ofss_p#2)A?;yDB;de@oU5R(a4~l98x&#k&-u59~B05P-+QTfZj_2)jXO zXUfRuI$q=Qh_r8IBKnSFymHAa!m<(C=Q7g1vfj0MMA`+5VDyec!T!Ob60(=ju9=Y? zb~ah@U_YzUWn%7*BE|;Ee8M^u+JoaHGO1R~_lUGZm%z|n8UoAaG*-pePU%`G~B@=fPe|CV}EG%rH{XruoZQ4FxpGdoh35?rOnA$m#y|4j>b{jc!P1~2? z=o4u#GJ;tronM#KC(^E~ix4{wp*u&y9Jc7tE-hnqlJw}HTckbQ zYUb-G(yojQJgnuRJzpdF&R^YyGVBOPFkD9gdG)09Vb2fkAR9S)H&lj1k#_kj38mxE!*eWdBAXLY0i2B}rQ9s+6^R)zF-J!cigVZmMS3V= z3^-{~DqWw^D-wZ_KoFe~$Vh4__DvD7z`2duYp&>Ck$8p#Cg>>M@c_B1SYSo`gOLJx zrKc4skf0+hgwLrI7I}i?S8T*0nj(}b>6e`%u@)9)=O}uzkZfA4*djKA6DqYudQYcF zDLqqL99+>U5>--7 z$Q*}kP9cF9Tg8Y%;Ve$c;k6;M6O4+9c{z&g{4E>FNK-~k3nzO@wRiFm*$Lt$f?+ud z0L>-E8GFx&kTG&XgEu`lMdD`?h?L_v(Pzk+#v(Q1Z8&vQ`sPyIO_6Av1j2HRa8i=6 zv6+qN9V5eZVokzLk=UL}X5=X9^j~CkW7Qk6K%9swEnZe;7l{-~U_g$7Qrl({UWbO5Qn^(GjO)q_y5~xI09mm?{a4<51T{(Gc0O-HxayBm1@e zXncoAOjHEHQ5+GQOJ+RQ0NwV64O&hL@L|8=-YQ=%G&7|66{~i%pMlP*6b%jkN zUduv69LLwbP3}Gx`Vrq{q}&#@D^`){uS&+@C=B-m$pG09NVFJd=4vTNd)h=|$s(AA zqiEf?*)B-RL1NE1fmh4iXTKs6nHE8a8VB{pk*<&(hD5R%dA@Bk^1cy?bE_l@PW}3o zF{7f#yd!~w>qmU(kkd3gjG0jRg5f~!@UWunSXDf%zcD=Ql>g>xS2SR8u#ddxbyojG zWksJ42H;jylp~L{4(XXel>N?mNRh4%q3o^BZfC?{D$ANU5IE3<}rM}|}K>YZC<2k_{NCsN^Nmk4(TXc?Q#cxpG6-k zM^QPlG61giJ74B=Hr7%!7Jn4a#=nT1hy~0rCIVo^)0Y z4WRLBLCd{By$NM?wH*)i+xt-VM%=Lq4J;nM>Gsc^3BCZz<}JP(fVvV>L$hx{_ZFjU z&0tA|hG^n|*go%|GvPZQm3`42sL!CXsKf#NVj{|3zZX@Z0oe{$Zo9$)| zWFO+r))qS>q#k_J*Sz+?InM(+Z@2vV^;0N&!+hMV9ryxe>n7zpBTaWvmMM1x{-F=x zyu}Xt^zu@aO*U;eO8@{7>~=NNSy|eR58Zaz8h{xTe_KR`PycB?%6^lx+N>cDIUuf9 zRyiXxyKuDqQEh=FPXHfkEB5MFJvxq-B$_2~g7~J3mN_FQI&ideUs?jtk>V?S*6Puh z9Y)z3F`eZaey<32>!0T|eQrZppV$)ESm#68=AJ(NK?=(H11HNh;DoTVoO;^nifBXG zw0D~VaG;_rYDJ%3G#GTs-`^`Mm++84n%tYyoYg5U_}jAl4T1VQeYgsb=DGDZ52Ng7 z=WFE}s6*UuGa{T8QzMRcEU!KQ@hVP8r_!tYm!Rxb>mSNBJehF!-W=_WTwjNy4O~+K z8}B%ALQdJ8`YVnA{Xzszr!`R3`a{{W)8siiW}+uK&O6b8>V?bOvvC|QmR)De>W|Rh?n8oQ` zW^(8y`~5gt0mQ$EbPk9^S1k_9S9vI_`miwYFV}TN>6+1eQ@=VDWxvaxS}Fl`#82j< z21j*g7QVuqAyXiCpdIH;xMSCg4*RgiAbUzQJoqv5mTDZX+bJlkEhq>q!q-)1{t|81 zZ%jkktLfjAXdoi-lhMC)R5r%rL$e$k0+8wAyj{z>pEn_k%7q4!Tf*`E^DlxP4a@u6kA9n}-{Aziq7J~yz)d;w)&S+DE& z%Uw?SmAD!B+uBi9^77vuk%|Xp`<~4S3{9Jk4qoxFP6Yp2E-o+N*$36 zcZbkKbucRcZ7?p@!FZIVP+3fEv4;05KJ;vX!{l_LEbWnuz+iq29u9jpwCjDRdhktS zb{A{FFmaP_mmLx9R+J@`rv>0D#_8SNVAWrlz-1Z58eZlBG4-VsN5s))I@&KufqO~E z@we^mx~5;1f1wY3u~-AGiPXn|uvh~p270cmDqtI2_uMr z_~&mHJ0dS3ZA0CEDL$~Y;~-AR7wK*K55u?ViFBn%0@R7MnYW&IBs{qhW$laO0CH!QxhaIjZu_z0C5FHrbvlAaG#9h&s zjYHYC+@MJUA{r3?@{w+@4i2D4_N^h^5C1ei^yz#}|DVN9+`SvyOxl_6Xdx}hWw(6T zgEG-H=-*ZL7RnOpFX-PFb>a>w{nSJxDULR&+iudGDASw)Kcv_AP_wgEPyW<_Cf&NN zCJCcLh`2i1?WSdIDElbc=YRWFAx>}g;CcOx+M76UmW3t>z-d7I;ov2^MQ%V@c7xjw z(>AW@?X>gyvQ8VmsbhGvg#2xM(=8Y5mb6lorOtQx@7>D833;mcoW4HTj-&OoZze7k zA3FD>J>uC7C`#sMb;C`#_ zIjevBf)!UGv3N7#t5^%H-Di(XjYHY-54!zuisPFOtvIW1TX+q(W!~b=8V22XB7OXU zJ>ukG5Kp9`F8>sF6h1U1|BT+h*n(eu+4#*wxx)CBrq~m1-wWz$Mv>DGkvTr}tNI%K z73nfwyRA10G>r5E;_Ye0_6VOHWjDI*{(FP-aNZ)iPU}~fwBTuZ_v-?JVFTi;-u3o` zo6Y#plC%y#?CJR1O7v6u|1P?O4~^MgAYpnD5O02yX^%WuhNDeyvHF*GO~ZNXPCunz zn%{^MBCjrxz|7)9KU!t4oVyW6^Db%gL)nh2kXCw9_fJPV^%=8RAR$5=@2vD?cGJ;x zl-W*N{Ljb}@fECXC-k2uG~l)C=-fnTZ9rV}!hE~yek?xJHmk)CPdv&}-N*HH{ThB* z`l(GCCQ?`&9eCRAnh+S&1^>Y&|AFFQg)+(+e_a1-#|7MPIp$47;NszMb(-B2(LJcE zFGe)_A*V-~Y2(*=e@!i3;tk20G|b)t;>80I_UhZMC^M_|{sVcQ3gyT{O~>?AyU*hX zcyG!k0(ApoX0c#5?N#uhfq>$N5x+v|I@(>O_h!}LeoOM?OUNxp?5Y&#uuLyNnX=jJ zU*zgQ*~+C=dgi>-C^LVPuYu^C4@xpJ+e+Tuosy61ACAMXDO zgdx}u>Nm>2!fn|&J71de0m`l)yx38F!GW@Yn63Vd_|rIAYs0wae4ZM}pUIZkitR~?nJicwZPcccGS*8v>u zTH&X9$>GnP@{e76*GqtiVauEISx04+jOBCt7x{jq9N;Qcw|=7kl6(}`G(CI0gk=D{ z0mts`i1e+%(F$ke`JYJq2*!d*AJ-oPhzGFlAqkAJGap zni{`f-}dQY3>p*kJPm6Pc(rNfj_O&?0UaG|vVTf?NrjSAzHy&^<)uTodt0vMX+V;( z_FQG^sC=jiWzN56_>t#;rTy}zy?RmmKD78_dqcv42Yw4mUPq+16(1TLO7pi3ti{pZ zxwA+AY0F;RA+3dZL@wjD+>+K&nVf;LmrGOp2$8_i_QdSbf9&6l^Om+KPr@ooK=dXe zVdlb8eCVgHM1NATgrj|xyIcQj1@;sE=@^$sfHTG+bEFQ7(9@4G(xwEzz5XRSy>+|v zZ^wR!OP_yZora|yj6){P>!^In+K;8LpW^%nn&#kQ4LLv7|MKzsc+_~lUPl~sK&%*; z)e$+}jIsk+EBuJdz~451_#^$=n0N6QtlPd$LM%LffR3phm9AWT=-&1i|G82TWhGf3 z=~v5D{G1aL*J;3|@dj*ttiyFE4rQkjmim#=fvcdE?bNgV3huY=$?K%a-A)JyPmk`1 zc*=tpld7n6VTwP@%tm zwH#$hXJid4XBbL-{EIE}fLm7LPTxAc{c^>{?v z_GW8Xn8Ztb?q@bjKt|cMFYoy5IeSqyy=}Yx`U`nDA@$kWM113SI%BV`^640S=toa? z`w;eGR=O^`x9Q6YWxT{q^RuP43pPvy9)I7KF!!zxL#fZZP__+a?`WXYmcX5au<6zO9MY15SNWzZ?kMl!iUa=(iO2bINE4;vHsR;$@par7iVc$ z_r=?JIL+pA_`JG$zRK#0YgvT9?U#gNy=dDi+;0O>S%jIxPdOBCi+Hxfs|!14wE2*w zgKO$E73r@WT)Bai~Pyy(S>bKHu?sm{V02S>sI~eaZ$MR@u`^_meujM zjh|qPEUH7Shk!JnojJ&UrxP6=8qGnS%k#usK^Zu5n5Ut~}WqTuweF$a3R~YTf)UQl`3KuJOL7IeJ3p{(1E?Fxt zM5C$hza@H9K-eO0Z{+Bl&!pmrbJ4FMcXRf?^o`V_s_7I4U`JJzO13$K|;>6&7-?AwU4y{;tRl&0&Y%8psx$$I&x zK8M_!J|~5G0`L{q##$4qWAUL{X`-*KSu0g?=Eo-MKdyB_%HkYMma0yc1;kb5QPzad zZgpZ0d~|~EUc>291PN|P(mN;jIB-p`B~$AFCU=J;t**@q%C5a1=R=Mej;1ufrWaki zjV)i#!DLDMa65)8txs7a#SD}kpSZ%8A@42)5!sWd-!}gQze{~_GIbc>iR2Tl5r=#Z zU9CMF<3o5_Dg6Kw^}e~C*xXK8lB{8O5vTX@$E=k>3(6)wyVSR_ZELAADywj{e!auy zkYDYYl&ql<0jGEMXsc!3MhD)2r-r?|?=?CTwCRgc_Cu+^t$Jk) z%CaTh`^2p!w4*+-L@%0ZamfG9JCi{D3V1J6{nC~Y<-Dm2a?5}hF>(0N+KeUorf)8x zEcT-W3HzuxZ`V89EDvu-+2OQ+_g^hj@J&}KQTi*X7aj7>p7aC>Z4G!hjJ3A8suOQw zE9th!i)=c4sH+RfV@?fM!S-T;lzGjB)4RB_%`)$v9mC@yx7VK3U!rt<7PDCYwxre} zk7*cOrJ?%)>qy&b+bZ|e+c6U#?(rfJuSD6Aw_%a~*TK^c`B?F_RT6fL@l8)ww?$eq zP!{~~p4U@+4M&^T^0MA%1{Cn>#zU(lG)Z9Y+;_CC`nKl=hI!NPdXd6cf-WV*ovj)A zFQh@aC95=Z8p^KDKG+sEF-Z+kS?434K@?ZyEl$V{}bMfUUo8(xaf4B88 zj+XC^ru2&ry3ESXkQ?FQGF7&)#ivo2zvAx-O)>WAh^9kSK|!dHSVeA388- z%#4>n0bn$EKBX;k4^}qRofECzTjS5IDU_9D))7WU`RkPucn3_e2WGWZ@7;(p z&$=dWQvCH|AT7}^>c3Z|9_GZKk2&c3mwBGNa3#LNXwM8idp;?kdi=2x$c}*6nHp?$W$RX5-F@DS`>niK8RbZuq5F%naZU5C z#8Pt!0GX5bTPqi|S~2@bF7qNSvskHXFi+QuzD~p6*7ZrOHt|9V294vpTPyG9;%LD; zrQUy~q~dSWZcWqM?a2=GV-?0y4+^enk*(FD1g>G(?Y^oDSElFTCvzIO&2IN(z1R9I z{x-+_q~;;e2#Zc;ipAt(N3SNf8Y5Oo*{K(mQ#NWMyeMoS}jk; zUe(nlX|flwtN04%6;c1QZ#pj4h8r;wxFk&O78bWgmfKpPU-D&m$KQGrWt;9y(n|(J zyk$~O#z<(R!8_|@erx1c`K>yngXvymy%s5T&m~OKe;AzLkbjJ=h>->!L)q&uWVc!_ z1g_}9+_`DqU9Ok$w~a2Hh_XjQOC z!w@tg!HZN|lhWlY7_a}(8MMo*hpo%BIS-@kwb^r9Bfl(0+5D4n-d#C2OiJA(+c@2C zg?{XhD~>Ir-W|Vq|M;v{(_=9x3nZ`bB1+eUfU@DS`s#D{?eZIGZ!Ocnn)$`s^QW~Y zB(z=7g<)TecTwZlINF~4v3e@PUFFFelb2D84^W_U5v`G?oC}y4?OW8dZ z#hOtz_QIeCp}{caH`WgY%U^DX0&VGDNdr~q7yrlFX>kp&sKo%|p@3(~MhQprr|7}p z1dCn1-ukknp<&1`ULR<*nD*JuV_=rx_8_Ske_Q!bZia&8Rv>uurPBbiT#hJeKf+gN zE3%lXR-B`Gz1@RM=FLji;!=O`^1xTXyIdbfNo(G}=NJDvA=?u9q4gVx(`;5xT=enH z%8sl!U+~SEFJO8bTo6TFN`CQ1TCByDmh%mM3(vNC5Cn~*eeU!GUoHF$CnUkKSOO^t zrtHvMi)%C%168-V*>kI-6lGJ3`+`@e9K-26u1dRu6Kv3$P>5We={-D!0pjy62cL&H2gU z7hk`Avc)8AtkH#CA8hn2%CZ$Gb<4Kj2(EchWS4g~?V3*=RQP4BKHOp&_MFBYawpG& zaPIXe2gt7d+`BCiRc4f(Tb|>MJJ$lC$e0BPIT+@8# zJPD0fezEAK;+BZ%v8Qz;FJ*X;C|-cYd8Iw*J(+En`}6k9(>^Ii+{FE}*)67W%PCCm z9!m4%=5E2?b~4Qxy#7)e%1n#qN$AJ&i_4-`wp5-@gRyUaexe64<^{@3-PIN>I-dY> zV1s+E2F@4s+|_w45!ak2b@ka4d?sPZTn%usUkv&mX^HsUbpju{H`?=`y#7r}onuf7 zu3H#omyb1gBdNO!kD5LH=F03+lqC-h_93tx$s6s~3&Hv(;Rs*?l=}YqI&xNm8alnm%G0SX8Wh(MvAX`UP7-JP{)^! zHdpJ2bXH&d@=hP3>2b93y0gJ+YebX{raZ4LIn>}6U-5s~?3$2{GFiOUmsI>3%2Kk< z1YbQ1wc+o4!RM%@%r7oKRo)!=i1QePg6}%|rnu*9Qf6iioDRMvK7va>aN;?w<`QB_ z9+a$aj&$Z8!@E9U>$B%h+@y3>T2BRkDI5(%bVbH<)OqF?I~OH4C+xjjg^kgIw!VK^ z`~W9?TTcepUI=!`Tk^&|r_J!2@lD4sX^wo(RE0HsXG>p($A++!zbBjs{xHecLEX~N zYG_cyhdQ2aj{MAXSXUEMoBEzeIE$k#@A*0y%P{Zu+_#7^Zphv((3i2mXQKCe!o!A*@?2SNi@_P==!=Z>S3X=y7x) z-sH;D(pae!KWC+O1nEICHOb2j!vEe}Q z)vAm5+X`&6By`2$ysfQnGF^&0h}&}h#=b@I!yrkk@;(h-?6}Y&|2toqB?U`O2y#h3 z)Kt07asW5^>Ab!;w-a$RU&eeA{PVaPoZf;3vm`Xn;hJW?+vK{LcmU^ZK~7(8%a!#? zS9kZm;I)O-9r7!R^C?ZK+z7OGYjIQcJnN^rdf|LV-+`R3*JID9ac}T1SzmM@e{A1V z8hY&TO}EQUmd$A>8(fmscdO^4^~#Q4^7jP&N)_ahF{_`F{%|xOuaDjFO$qOJe4+~v z8WQ{B3g29>M4w)y_5!scxrWH<-@o3V7u_Sd+v?(MS04OVD4MN`?E8FB0vIyLMxZ zE49o0Oxav~C@9(BPt#U*$ZzQGX&Sl-aY7z1X{^4GgR+x7c6Y|c3HS=nSxbUNe^}Om z*u4YOq%jv0@RliC*BG&>YZorPYID~odDmemRaP8aJ$^AxZ*0mmYC818hqS6O!7uNE zop(l?JJ;8?P8n5|Qxpt7hy*U}9Ga@ly%2}tN=j5?WgZsB)!_LS_fpFV9BtN$!eDt7BQe(s& z`$w1=1?t`B?!Ac*y=>bOe7#15{j_lER1GbResN3f=*9#+{UfYf?o-^krq_@PbzhN# zm-j{Bn=0+1?!B;1nHjSoJGipsVI0l2Q>0EuzxaQy zI~o#NQc$+Pv)KK%JQj?0OJY{A^R;1+%lgZrR#*x6I`hp-4X(epDY}rZZgu~*rB_yR z-XF{eem5-uwdz*iBnb_Xpo51`H@KckL|Nan1#Y`rla*+5_u62E|Go{8hE(d1dmXf6?E3u`!bCt!i(v_T;8uW{oaWv0c6Sc9+z>oh=QD%eb9i<#&q<2%? zx0ES3+A2Lcc+=KkL&NvHiPVLOjIw#L4V9+BGF_-ykm!anD;v=I-K5}+J+(IZk1_5E zTGR|ggk5|vuOV_-Dat1I#JPW8(1EgU>ubTjL^x%4<{X?r&6+;(^3^9BOn&!UI3ZQB z?*F8n$;J<`J~8-u@Jn2U=CldY@!(xVs2z@Ii2ShNEwC%8OWo%N_husrbL;Bh|DOEJ zCjWEk_zBe0=@b9Ae5k(q;k(&DUKp8D$b zxhR{^IMDlhQ!@Uxeep|zm&fb|+n(`Ogof5npLjL-W_{%sj%`?2d&k$Cp}&}|bUmss z4*q=NU7I{xpBEub!4`nn9@SVMaXAfT(JwML ze7fG$-dc(m<=%e+O-^HQq*o8a2gXRn1 z?oT(r6ukX0WV)}r(;w5&Na_^O_e|s%isjpr>T#WHsjI*~sqby6Q`>dnM^!S`bDukHo-+geXPLfxx4+Jjm35l?3n>O$h&rrxr+tLQMOxcfrz zmBL6|tg@|-XxDZ(1NNUkslIYv+nd;kAFl6R6n`vJiB5CO4)*uWvSFES`XkijikrMx zsE?c#_ol9vTa?~^#8zY~qc*k92&QhGZj=9Qwm+;*Pj2*yTh4gvBK5khSkn2dtasyJ zMy4|JRKxUOyJI45%eMUwQ!lJfyglTsGo2pTg1JmdY45E&FX1c9FPj#uSo5e&{yC8H zuvYshx*vX8W2uWs-HNgYD+_x)Eh4^x*EBWwL+fapyl~_Ahb6Sk;+memP#1CYj*Kbx zlcwGS1wJ%M+{v2~99kHJium4@hqU7jW<(T(PGx)!5fEN zR{4#xiib3G*80Tk@RD(!i_^|oVa$`K{$55b$`?pWp3>5CtdoTb}+;t$^U z>s;T(q0DwNr#CLQB2DRfGH!D4)#6U8yd~y=pk*eP`o!-<{Q4b|(WL zIvgzqJFQl$e0{h^(2AZ#)M0XOeqFUKkcl;iqV(RlyqD0xF==pOuybw;S}s2Bq29{C@OVLD z?_iu4eIDL>Dcu6UVCvX%4)jD1%FylZG`~! z*k}n&>gZ^hGpo+j(6Uxnt-^}l!j8kKScGwo4JPmW98Tyud9;MCU!T~2ZDO4(YAMQ; z`%8Pz`N~q2nf{J3!M^rG&^#wiAFVz9Y(8$Jw}iULL#64uDxDm5*2krz%(h`T@XFLZ zR{6cVXNPOi9E|5%+HIxMd2bCKTpt9SZ)eO$hGbNZ9w?XJM@LK3g5lb6m(i+nskK3| zJd%U5HJe<{-{y@^g_u{+PMTl**H?6kWLfki~f} zwlqcQdOq3{_tJW#(u3tzcvueyqR_aEEXw^(vuoXoWQ>uz zi=BlgJy|&tIdC(O{lXI5r&_8 zZ*w2=*P_1}cW-%>*&?`J(}fggo^y)zG}1x41J?upcl)zedH?Vu}pCylj=@6#<#> zl4TG%3}R$LKn&2sFCsqC@u>mHylgk*S)%{;lP`thF`=Z636{J(it94F{DA)1k)~X4m4k zv{81jrP51ar#JP<>^lY%(9Y>eOoGcX=$pj9}if*abMTOd?axhRd?yb)_h*;hNPFnVQ8xW&OfV&mXyC zTKr$EUGorGvY<)x9Qm({}euieY*wUEt4H}K~-}PKLb{+k0inB9d zWEr*3{kE)D+Nv)(hzc%W3oMWAK`87f=Z@#-HX++de5o-5_G0{(rL)7G!t0n{VeIQB^8B9ySWy3DNIK>E>W%L+bw6%nR@;2 zA##}$*qAO>I-DSlK?~Z|=@wSj$O;%94$+*8?}ti|_=qO1^k)(Mnz=#vQb&L|}OIAu3q zEc6^fQ#3B~{99eT7PVIBvViC@by4DzWE!_xTym z8Vu&aUg;6U^_drYz6%LMS7AQa43PsKndhE!BsUqwD6Dyv*36pAL-kfyUxPm#-edx|0~E*=x6d!;R0<=|jZ`Ez8`a|}NToBC@5wzu zXz*KQ_w*3?>Cv+gVigPD8pDxLd`4{Esegt>d!3l)c^y8BJa(e}dVn}d=(Y^+6W?T{ zhNGq;r-f#T^w8vu$ePu%cj9 zS*B;g`a*+m5H5{DWa1~VldA&|l8h_Q`fABPn!H(Ku z0;_Bc7Y{dZP}qfc$>y})D&$SP?my-+Wi=ya|J1%QfV}<$wuCXndw^^Us%M>9HhGJ3 z4lAbW3)!9@Hq@arol_3Org;InL+;Ot0^UwVuV6$QTGgPyFeA`QZO z2fqV9HRPa2MpPwg-JKDPw*UQR6VqCS!XEl#Jej%q8vIlC^C5r$1E~A=_k|)3PZoqU z7z(|KS(KBk_<6V_+VemDObz}|^I!UY;zr-C8wH8hXBBw@z#&q>V6dLWaG0KzQ zoT9=1d&=+@A`ZddZK-=i!#D?pH7*U9N{W^aAy!k`e$N?@fX;ML{1zs}bI=@;5iDv= zkus64rcZ5BTPIN1W%*uDuJM2dKQG$!79v~$nnTL`Wx_DqCiKp_E;rS1+YX^Txjo$T z-;y1u5d^2DAHKYtN?;@R-k0q$r=hU=T#3onD@P669|^)dnapqv{@1kleu$_B1a{Qa zUuM57-iRE@yUI+D=duqe5?2~RJo!z58vIU);SF#NClM&$+gf%r+`0kjR9BXmyqx_g zY$p9n&v!Aa(QP?j@dhCH0h)X7)R%D{rl7FrW`U{E`iYG)jeVOvXJwvY)V4kF4M4a! zH#WhnDidyWg=wap-n$8kAMuh+9+RqN82=YZ)oU1YXx5FH>O#vnp@oaS z>LxtdBuY(4K&gq@4W7S_UPB!u`odlVL|kxV7XHdIdkpFEF|vO38*S+>pqOG0EKz5* zVq|i)OI1+!+UUk+%EyGl-F=j=`c;F|*qB-m6hF4~d8rXi{xEVwboD?4W^iK_+=s$| zWiIJsNNcB!SG{pS(HqqFiTV>vL9H-S79nJ^HE!$-w_JGhH=EnXxLs#3s%_?YMQ?K- zNge7uFpQs-#X*Sp4Q_0_{E$$%N96J`Jk3MKH1$s?EMdS! zc=KkW)5m!EqSv@HCpsP#ABU{ecQvcf@8(u^LWFs6W0fJ(r2#|3Rv$zEPHYrRPsAc@ zlFmfE6WftbchTPgE5DYxv2UNXm+pC=XYo~MlEuaq#g(y&-bYpg^^s>9LcPI;UVn=3bS}8ZH6r|iZ6EM^-$kFRs4Olv#k)ZCD2t6y(`(XyFl+_ z;Cq~tMtgU(;*0BLO6pVjY19%#+x`SzD9UkTxuY#5H&4&&eAUs{rYHG+JX$fu7n5w-VsfDjbuDts?4;Z#e=PKm_j)sa2mXF!T>cwz zxI|c)tVIn#vWF)l{p|;kqssrBs_58`dWLxJ{00!I!j1jqWoSvjQH{pO*fOM^6xoj- zKx8}qQ|isR0Az!fJAQ@P+mqbbk>-VB&JusbSKW{zoh&hU_b9&bNt>vuP~RZ_U;PJv zg$QWj!p^k6F6LaH?eQ_H-jz-=WzBm~1lnm0^A7w1ZjH^kFjqUfSXk8m%E$25rB9ZW)bB=Xq~Y7tLQnG`vgTDigb0s;4w@NU z%sG?MvPd}v)yWj$Ij?n z*hz1Fk-cO7g|B){Xfs55B6cahNKQRZjYT96BI%>K2_o2r3%juIOp!2F(&np{CKwD! zywR_b=0`53COdYapI7ot6AbJaaA9wy$wk8r%g@k3gN6;X?F6{e+wYXR*2Rj@DTF^P3^3vMeGGnop+O+>ZAUxtspHh&Slt8oW?5i8K!gt$wy?LdP_ zLe5rsy^o<-w>(iJui2(ZtR@qv3SS-yo4L+^!Xav~``dYj_M zH;Q=bJ$@J+RO7n=zqE4DZJF00*mGk5`RPrCa}$xe@vUeHn*I&-e>A%XP@)6B0TEWj zg_+`S3WW8X+rDZ`^VCEV@BCIor834+3wtrtHpi*G4k7}QUD$smoEEeybhpr@f3Kf- zJhWx2Vydt-n)*xCazFl`!h_c#qK`OH6+2lFaFnk=mwxx~1b_Ke1cC`AMp2cMv;Fu% zNz*mJ1B*_KdKoSl_FLt?>fGwS34U>I1kzjT_fmg4FxHQsPhnnz2vXw2M)vs%hHn(2 zUOMgXWfN_K{&19O6oyll%5LQKFOXgVPYdUr*hIIkK**T8;H$oOL^L6qZAM|Wsi9P+ z_F+H1IoWX;AeIR-@n2{yxS1!E`l>@<@kEW69ggzk(_5&&Vb@XLp0e!AAo?022l#TX z7YG~N5+CE6n1YGORA-o?;6@)ty*nZ9$NyTSs)h)8;>7ZEiwXidC8&E3*_k~N#kn1( zNIYB=L`^j2_2U~p#8w09H=PsvbSt@_wcA^cI@KKJOths(g(1mk8k73gnB0&5tNZCC zh{z~TBvy*8k-t=;=qeg4Z=ZJm5^yIbU@x zxv1xR%0m@Dz9{pPm6^f)_?>|*3WxwIPHaKhj_(QYNBx34$shC=r-DKi1$fFLIa0OM zhfm}z%K?~{JJHfzh_}8-#eLQHf7R>L`Z_R0Z~hcP9_gGx{e_mvN`NXzPR!!{8o#+~ z2sIk23-8xIF383dU$rV6q{IBK4|jOX5-{m2b7H5Nvw3^2b8>x*(MMvv(&Gt6g#&?! zT-wx$!jknB5aC#ySmxPZ@`S^h6TWKRp+dc^|4uOS2&7Gr7h+lvBcWI$2BYhXoY?VA zC-Yi;+#Fx^UOQLs=EMan3hF#s^5pR=h`2D-T@Deo#feoehUc}e)o1${nXO6somxW> z>W%Y3MOK{`B7Q?@mk?};;5)HD#8~+DYVN=zbVKAl;mS8Z?!v$C-KjK*f)%%`M~^LjXDj zX=f31#Uweg+$D2Pt2yr&LQq^2jf)iC0L4_+bR#+D^dK69v+EQ@s2B%&eKhCTdDaA9 zwYXa_t~NFzXvC7UfvossRil>dun<%xcpQjNgXh@WaeyYSCGPkNH!DCm7 zrsGAUCm|xrpwY;!Ip_go`4|zrgqs;s0pkix2K;Rh%_Q%}cvRjj<4*D39*jZg$Mkory^ykTQ zftS?yzgj(cFa#A8u*0{`WDh47#G)nW8@+BtM)x}80~>qk;R%=V1HS6UFqzKl^{!PE z6loEg%pa@9pW0%xA;Q==uo2k9vv*tfqbF;+MEBrBBMO^IK0$u^6oHykeaOs$7SQj& zDmGu{?ZI)>kSe1mPj^wnTC4c#wLFXblWVmaf0-=e0YtlTVBdA6^EeCU2p>cD8%I|o zGOkuk`4+z?CnxQ__+O>BGr_(X89Hc75RY>zFWko%|1D8xTosfNr&ET#SV;$5psu*7`C9>GR!b`G5N$Q#a9jdX!5`1 zHxc1uF(e({4HqD+2rr)7TAIDtR}G5>$JPujG89u!?EAm@^2FfE1gp=pkcrSvFN>YG+eYOL;P(GYt7jh9VBqP3Tj5~Ocfi_S@ zA!L4d3Oduimcsy%cpO-!xGCc%Ps8+88+rs|%%q8xh*p@onf!0>ZUiZjO(cU6f2srf zw!0`JU>gT@>!Px<$GBn%qK{bYf#k7?;9mUy4s1eUL=w7@a$+)WS_U`x7(Ks$H3!f2Cdk4PZjC*o<&4PxkKb=A1v~G@-OO_$B2=$s}=YLo#O!L%2ZfU3UO|LD_fgIl^2t=PBc@=`gzL6xW4*_1JwBzt9-e-*KKoA`_Ty$t`C zzH?gvLK9iA!>GZDLpN7LRh(Jdc zY@)n9c~1)d)KlH@u1KR!vd+p2ay7?^ADZT+_<5Z%2%NP@ESSY`GTENXYw|F(FSr_3 zf9S0ItKcCnQRVNJ;&(C{10mueS+Gn~U~&K_?Vg9h>RBF9C!Uy*fAOm(f%qH$niMrV z%?kjU>$nB;$@-Fn+Y%c*)f?<{BPHU7X?bG6R2*^iWwsP~1oo|m2%BWV^1CXMZkos% z47@A3t6!#2#Qnlb<)l9xm%vvpF=dE%N<7ugAK88fw~CvvV0NIcb@yEr2k z`?}2XskgFCgd^^x1b>>xUkUDC(wVVO6eE%IL|*P;yu7}siX5U$@~Qe%pFLl;M}m;* zl}jwdYC@wm%9oFJl$Cj^t?Tuww4o@Iyq6<%+g-1g#Q5>4EFbIHRyiW^)DiwC4-4}> z)t@XP)tdgZdihsBl_`Ly@!RYT=|#o@nB}vcJbqqcA;lfrZFVW-Pz+ zq<8(>!Bd^3$mmh4Zw<@8T3vkGo=fROsBuT= zdzN*?2?PBEk=*#aUR0BW1$=cueToeR%L7 zx>!LvIA>cNk|)+?i|lVexd`F(PMDNGT{4)knNJ?_U6DN6!Pd1s$P#0_8I~Jl+p@Z^&aqm9D4fQZwvs2xTR^uD_ z7dmT1g-Cq7AH+CO~`D#JJ6`LC6Tab?0X5$Nc~jBuH%{)X*V)}&rg$$JCUiwj4C z-w5%)+M1wJdTP#yog-rGH^ws-scQ4XUZp_og7P0v7Md5RsFOncmh(;r3!$)#*wL(t z`5P^67sYTs%utHlC%WZ79uaC5&ajtC@gJs}T3NDksS&H#*FEi6NMYG3l%HpZuE)Asp{BD@vAlot6l!pyyV`(X*{MBNzuq( zSh1BUM(k8H=fjhT>=BA_o0Iw~a;U9U{^PF_#loTTu@clDGPXsDz*>{ocli%zZ{&Kq zC9{8;%`l2AH%Yy&zzPtQPp*q(z>Dy-beDUeknM%@LK$+82|Td=}i_( zE||o=ZSSxhJ(S%*Rr`l*FQbM;zsRR%A9aag3lq7PBK)6nIxZ_U6^Kezx&O-4je6Y$iqRII^Kvea+bsX0Xr8%H z#j7mB|3f0W#6mPL1NJ}r7Od}r#N|}AvGYTx(fjJYyf=B7oc~jNa1ny;iz`{T&L|8R zbaMptZMCtth2K|U4W9-IHT??oa0&vP;5ECl^BVCNd&8rQ3w*;KWgnCiGF zxK}6tFPig9bUphGslu~z=Yi@w_Vcb-`R z{!|`)Qi<4L6WC$pJN=WDLKelS#irZ2Wzrk+AFmaP=QAg&QA^3yY18Np7{`t!oGIC;1(UfBL6X0 zb8!AsEiAxCQnS-pL5C23YjiwZd$gl}GwPGMR`%k7K~*hJ%*qRyKUtPtfd8<(l*~e; zu?ehd<5A5!Vc{l<(Xl<{g&-yNlDzk{-S=_){0xp-qqhUrh3t7fcAmF(_{{F)Pb6d1 zSKO9njhD;6^7W2={9|Z1j^CPV+rvUYGDPz#zcIKwOJO7#(ukqw%%YGAd19`p>EjsW z<>3dNi`$gtUnA((>$2?w3)zc3C=9xv38oj%$iKMREBW}h-0OV&KT8@yS%_OUj*YO! z`i{nno|DxR@9<|b{zQ@dtLFLdKh7+^&cXjJuQGsj&?6Yf&bV)?Z}{fwNaP39JiR*5 zaZ>)(6-mU$g<4-Wezx!BDkZ|3=`iYiVegHmLMh2`WT!l}*=zIUi7oQav)_du$VPpi z&ilHUuN6A%+paqK(XG~8l9An#)Y8TgWyyag`(MufxxI*ofAWTZ?9%;`uEXBMUz0w$ zo_&n0_Q{i)lZrDq@`6B)boK(Pg^Nf~<)*lpE54b5x z4&lw-AOEd%J!+>!RF7wQ?+FB*vGO15)7xgw-o28JA58cD5{H;-T5ROtaM6uNP9;$- zyF73=szkI){^J=>_Kf8om5R74l{e#F1{aQEu9{@Q?%$_w6O4^@C3lR)5fSo&W&OsP zBlikZ@PCs_E4#|a6&j3aU!V7`R+B?i2m5>LZ3V_adBMY}ThkK_V@ddkxjZ*cS%;Wv ze~gdj9mRY15{z$d=xWv6hE?+3cZr*)-`B7c@dcnq* zm+J`GRH)$G`{VvxZ~nhz%LC%>gctT;mg7$nZ-^C@cE+8ieg#wG*UP@TuXt+xt0RWY z|M&TFQ!E0xbz`qtS?4ouw)y9X=m! zU03SC7pgH%zj%$j>$>d6Tq|W7ZSJtdw+>NTbQa$({{qxgTKxznfBvDo$`v}?yL0K zt(lGZ7<;fg9bPm@eX$$O3MdnFt%@7>J7FaKL$UhrgvMpD^*u_FcU#voo{E41?R(dLE(T^tC;#=bGy|It7==<|Qq4u}9+?fJxNojj)Tug;bTO)YZ5r=l(+DQ6V zUm+B|?&_{h8hgOm@Fwn#i8D4g`>a2%Y-`?_k$!4K%go_8Z>xChv3zVSuG`Z)cGZ1% zyz2sccx(mz0EYB&EnSv(vtxtS*!OX@!Q@zod+$tFOnxFtEd1kHF7fYMh&wl*qZ5&F zM4b0Pkq$wrskomiMY^5zw4g0&S?apIdPuiIAzsN^I%?1vZFLM*Mg6o+M9(mTQ|8r5 z*0yDxO&YXLdFOQ6xN?ZSp53L5raD*ujX4}SV^sES0Rtv*;<5sn6ZP5(|0y8jt2{R#``ZUagJW ztvv28)z{Fo@fyY6Xyunz`t^}REip=BNUOK;_Qfl^0;lvWdSZ_QXigZP)~&x zv0k!M3@rN9EJzP4Ri3Tp8d#i&GpvJ;atwo|`-Lpu8{Dvle#?8+@nV*2ZK>yGqmV22-t03d<&9NJ*g9x<-2YO6j076P1A6VD&hcJS;z3qJ z)L>1u(h@ywa1VrDW}V2IGpwMOF#_?iE3B9XkAYcWyrQh{^c$GQifb&lK50@wuZXsZ9G$xvKCHF z?v(!2%sOYDot&drkG2oy7S_fvax!wU_91ID-aFZ-i~mJgm78ef(hJcvZ_^`|u_4_k zQ)mCml67*8bB2w-v4ZtE#u|D}D{@PIS4IRE8LyI2PgqUSrAA|)}JJ$Z8Z*RjNPmg zDbq$9y=af>JKLkIsdgG4yQ5UByAM4^uG6Dp-BbCEOnUuaGdJ>@)l-#hdSH#}W5upd zHKlp$`;<*hX{L+xR)SlU|3-PPJHyl_8GXajr0`6S?J@nzFnzXZC%r34(rtLl>LK$? zbBPsim7A&yOp$uyTUKUZp=plZ;3ScqLrT@|5>uoi{GDLa zO8Ky|qDW#&nl}zB>nN#dZjn32dR{Fzh0xnBV`{XHHQJ&!MW#3FSmV3QwZ&eFpH z6PMn>MJ<0GSB~h1O%L+KdREsdt*Oyw)w5biory_r2AR`3ld>$pY-+?a%*upjtLbqf zG%GjnvYA-)zLG0zx3FFu{$QHR*l$&eb#taQ87)?2)JePPF}+0{OuYA=)gUKLNKre@ zieI~Es^Qd6D=qb2Qxv`1i*#ln&Dlk4j9Cr8q}=94r))LsP;2}&lH9d0b8Di-%Xz*QjQ*ZvVbW_S`!$J$Uj2j5z zcOiWvod>&`><)xDTWEMVcTAH)8 zd6W@AeA#XZ;bB{WcD>sYNoO(#Q+l?-a}kS{5bKt0;Ki?A%bEf4HsIW|Y+q8{ZBtWTsi8==`cpuh;==Pi9zI1Ew9I<|Eg-b13d>ATQ6h zD(O`1TsrYJ$h?$iZ5zzk1@^5iu!iKd?1GCo1=dJ9>#O#h*bOUNiml$nuH7(pN2yhd zV|#$*iO`xxCy=?ku{|KHM{M=Z?LPq9lciRc{-*;lZb)Wj(z)o1)9h$)z|?EKn%WW# z?%{pboxMxZ&^yy_70_wzs1Gm(K1C{;8beqtASyN18nZkWM6J|WL+A{75}ptbZ?896 zy~gHv&{k)*CY3J716AmhHIh!YH>PL+nAkpRZOqu80Cm5Auto`M5WCMO5X>D`{9s+xhELyd62|(+qI0WwVmaR325&-s{Npyr18V-RAdy*U_ zbT#3khm{NhJCYp&p*R`vBq@$H&gNuL8;@lFbYbEFXVYQuxhKuhIL|r^_FYPMaCPFt zAS;>U(9$&yX0PEeZ0OH$g!C~}K>IbWV@?B7pemi`kkRFm!PMs|&@`Owu!&45&{~`0 zP|gM)fmR;h!KW)P$~oB)Frm+L7-bzt;K+U4q2@V{z>d5Ehk!2P3{GdIg9}!H!)^R2 z9UOmH=r9_)(?L{Wk>e^|4|03(WRvj!3GZO znHM_Jrdb>yc~a(xDwA+Pm{8=<(p4(fw1orD5@Ls7=*vv7^g`lDQeU?j5|;WMHQb&oaIa^;k>vTD1>IGHjyAfYXEc;&!>Z*WhtlvY8>&>p4zA6T z4gCs@<1t+vY|F^W1!XId?MPCe3+rF&9PVjtF6_FbckHC=j3HAAd4O7JbSO(I^T38a zqa!5oRUW{q&5oVcf0QiUebM~?6~y7!KDkSgSz08Fn8s=!=1r938P-mI|lVNCxJ&{ckt<&Y~%8V zLLgptI%=lag8PR0yAKq;LKwE>aq#dFDn88|x4bB3fJ6hha-Y-gn5ybvzqIZiEIppQ!bEQApjzB7sZrX22X z%5%D>W#~gL?&Q+7e*Uz-9BdgcaISGDh{1BLz$r+*C5A3ep;JK12G(ddRlw$2%T-|_a*Q^LYdP|ix~#zA}T>; zr^w0uP*w@QtPne8_O?pUfq<$>v@W78`=A_Xf0sH3r5EHd^R&!)ak^U$N4LwJMp|NF z)Mr$I7cB~>46m&MoAY~}O8a;fJRhodHqvU1$kJQ|h^XsxrscFKpqSI|WO7^z@N(UN zlSKeJ#BrXBt0j<-P z6kH8m3tA_WmZQ{Ya*!_Nl-|i#$f|*RtHCKNYpMn&i@|B5l`V||XEkigGCLm#n3uur zK&#VT6nz=yy|X%NXpziCh4eD~lx%Y!=aLhEf4UxRgTNT<v;pF{9*9z~`>u`yeyY&}*)=!65*u#7gWEYSgq`?b@zn!o#7(f+kl~8V z=H3KjnOxVLqT(iKT*q^HX&o200N#XQifor#@3;vJu{ka+H?S7`MC7=TU9M63&&lNWzTEj;yCUS(CV@|!(uI5N)fo)#9?(%wzANr=4I4DqPx%)LJQT} zYYV=J=n|DR#GA?US z3|sCJ(4xMb)-Ue^)LYwySa|nAT~V*=;;`sGz$4VIHMBm^sOi2BJU9AWwjAeu(0;hz z6*U{w1n{MPmztIuUQNfFU`Oeot8uob31n^?a?SOk6R-kTzp`GkCsD@3I*to8gj4?}{`pH^b~&gX;mUr4-n6T7Y@U z=;AL|wZJVMW>*dOMGMR*wYpZ&vdp%Dr50GHpK?8zi+Tv3-LSa^Gjbk+uHo z&b2*+%GOy|8*X?AJ5GIY4eFO3!ltk}R|qW@eXPs*1?FFwcZv9lU*N$6yGu0v;up9? z*j;V3?sR9$hDV?(-|aG*qaT6TAksA`+)4uWPf+*(>WEKA(~1QO{yH(!1H3Dhm~+@e&)6Y#t}+pVTW z$Vmdz6Oa(bce}|=tCy{mQIxoC;^=m8FuK$o zsm^T&@|jY1BQ4lweR$Ol7mt*=nMtm8xOWKwdO#_aT$LR;ybk-1r(A z$Ef&$lypDHtM7y7`bjs7_Fot?RDF=SebL=GZ0rL(-J)B-`P2u0y5x1UXe-A~-o7{J z?df;#G=ev9@%plxB{=a0{1l#uh=>*c>k6rn*1UnYhY|^6%F{P+d2138W$1nbE>tEF zjkLjpnMl2X**lVnM*XIK_^JwV$;u-7VaRwgVWYh&jUSHp1Kp(*qGUka55i3;L{iD^ zeptRIm58EkFFQ$PKlp4;C0OQ(eh?R(MsWMdeki_{M#yLfOAlOc?-j*(+MSQ#))#?ehc|GIfU{<%Uf76!y(e9yWau@$ROruUr*x{^%geFW)OTE za|qr^;1WA$_YT4OIxew-wg}C+PYl5esF{q-FBt-5^*mxOwQdOT=6Qsdb{mBhtA;>O zLpG5lGYtXfd^RB)UL1n24&@MP+PD<59Q6+J7IFw#PR2WcCG!cJIR70SZ{QPr+5=@H z@4N#bIgb$Kbi4yZLmt6YXy1Xm3weZ+wo{2XOYh)h15QNALWZGa0Vh^`I5-S9CKnJ! z+L@&s5DkOyg#zNS|JE>kdPqP#5H$~jy$u55F>Ufv78-`Z=0k;qc8VH?iuyvriwBND z;$tDPllF@V1ne=;Syx0np3NPDfgg(qcj<*OfF~3aX|(mMt+#y)_-2ZU5RP^XCV z#_Sk_RX0lrChcNtObpclDz=o^sgKiv@EfIsx-?q{xbI5|0d0t5+HUJ$-8CVhRy@_g z=N2Jx5%19fc%Y0(qP=y@=|vqhn97K`0c;!^cZ&#hSv2}^NklxRZF)5+W#e%4YcX+^ ztQv=1Rbt}e^!;(zJcj;4JN{}0C&nROiNKG&)HsNLCn1#5Ks^ZFDkY4xd2mJ9F+F(J zFC}&g^Y!ptn2g{HEA?=nP)5|yK18kfr5-c{%L%4@To1u%IU(pH^uP(_L>p~sTw&g8 zf_n=UgkUbg1iCvEgxZ^Hf|+Z3iAdTVc~x-N1oK*Z39q!%1S~7ngh;D1L4KB+n4^uB zg0xL$nBZ3vw&J~J*mks!C>cyJgW!jKgq!wkUgXNmaP)9L!Ia%K!_fQv!~|7#O%)szW8@e}|XQ1?YPB1fTXz zuju#Az!P=T2<`jjEVws4O|bNFvv53m7Cn{!uwa+iC9@!Qe3tO~>u2GK?H`D%oQ_#2 ztN1`LX*YMHgqQ^{g6D{kVdh8BeQJ)Vnce>pXgcPIHMBAOB3||pYO>}DxBS*e2p`Q8 zd~3@`l<}A+)U?MuvdHogO$ByBHst>Z@fkazB}3;ye2kL_q3!A=L;QJQ);WpC-V5{K z*)}&ZS8{J2mWka&B<+0Xat-rvGLR%>1E1!>?UN+Yn7DZX#J(U2C2gV~^kgkS3CBYi z#T5&H+2SE=WZeR6TtFXaKfcjBwgB6%FCwlcu>hjXi$q&$ATs`c?ImhxYkvvOwF5=J zmtf7GvqM*~pI9NkZHM2V@)K&B5+It=*x};JWg=4Iw8LYW%jjPl30T{*ObBS;fV=k? z0hkGiqzFGtKyW>gbo&(q5StRo5}IA0?Nt##S&>9W6$PF6pL07AtbtK5(Dll50e`4{T!dNbius2gTQUq>W}`DAPuKVE^`P@?xpO2W92i zBulfw4@P^k$vJZG|Kc?+=4JQ+FFS`^(_iKXw|~hYFFJqnL!~{3bm#qp)R8tcih{54 z$r``Q52DBU&|OevJrd90knI|4sf}8tV(yWWTpGMH zXU$y2?tCpJy+wJe*p=&Kq|7N^r2<*9zds=V%ka@AH>^^1%*x2enwC{6)Te>;=Dl2{ z+9;QkN*V{`mKs*6*y|N!O{!~^%8;cX+YFyqvCptIi$hB_9tTSk1Op z_L4OMu$q0mua}&oAw_LN`KwhCS!!}lAX&|>YF3ktUd3vaVMa|VY2Hy<>9f@;v80bo zOL?_g)uHMm)l;LZRmAFk@-dB0TEQn)t9bYO$w7~Awd(x)esYaIXsznb*8^l5O<~gV zVi5zXdw>*p*lShVm4l?faC|L${Kz0_q=8OK{K8uHh-r{yCfBT0b#5I(&tT(P_WjdC zbA4J;OT({}+6FXErjBIxKV7Ht zH|R*Cv}>K}f>lSZp*dP@0^>SW&KW&9m_w{%cem@wv<&|`)t7!fnM5PG9wbJrSHUX= zQsj+Y&)zg_Alt}e>s8+e8%Y68_qsTLZoR4tH3V5RCtI(w&Y}+=YEkeWGby4$VQI4+ z>rvAvGkJAZwVuseX(f|BOsrSsq*zG-%^Y*je_qc%uCtPJPG*2ABXo-7dqM-)2k|K~ zipH18lGp+4&mX7AooUAdRJuJjGL2gnz;3Rvkw%(?rY)=qP(`hrCX>qU2dEr}r%9%~ zJwSEu`ZUR>p=xXN`T&(Xc$SQub_A$y=gpFj-HQRL@Q1VH1Demaf)^U7`exS$)Q%xK zP^A=pAeqvHK-IyH52TVty$xE=2C_G^=g2ft8mPKZJx8+AY64Y;{yDOSrp9rl?SZPw z%z5&nrYBG}Qa?|I%;^JF8_cL#3=N>G!9NG8DhlnSNX86e-~ZK4D)pg3?4Eh_7n)^v zmCFudd&EvO^B)glFLgS}HQF*n!&`EaB{UX~#j6ccAprc}`!58sseU)P#@G?WHm)Pd z5Sp-eRbU8G-K`_Zk^xtcN~9;rHoG^7{Y{vMETLh27Zu^bD&<2Dx|FfOY{`s=T*1u< zR)v4PNb+g!U*rc-Fk95RNT%`R!K!6qk+k*J2dnPHcu5>BMh-E1+&Zg{bUVqGsYfSyG)7(_F<}!qsyd{1{;=$GBH)>*fM$X zLmtNd6qHDm2!6qWpp18W#1R3P!DJb zq}C$~WoM8nREexER23AHN|h`(hqCn*snj6NlWa^K4P__z&|ij)p==^PjS^&&q3n7& z`aq*8)kT}b*s7&8s>Zo5jGe_!r_?f57~7yor}#AGlCRAVV~?%mP)huK7&|_hLtUKz zDUAKJnnShGz|6F?_AqwdsthX0*b}B|Kb%25D47UTeZHJQmC)?XnqhAkTe_M{MGAt# zRnJqnREQ@$Ts3ipONnTVXH>!W;i}DRc@*En4`)Xm;Ze3Bakwh(8jl*JiJ+0ocfwVy zwWt}E{&~16=14Z>F6$0whhNL4L^NEK`@tHna<0yy8v7Q*RWK!oN)j+5RCQN!C@#$@ z9kj+osJ>jyryeMdMX>dU`IHeq5y8H7nNP`R?3UxrEp|z3ToNX$< zwTM#MMcdex@M20iE#Jmg<`q*+ntwZ}eYQkHy~Y?7*2a zDpD4-L-qYu5#^<^!%_WlJJ@aaMbzLBcZW(hE~1pWyd7)=i=o!g1Y_mwPdnH_*TvMJ zvvCJ|bHA8k`P+A>f*BHO1r0;q$(!84{!}TU+6ssrs>u!s)hJxrq3R+el#%8t%ZB!U z&E}t$QZjS=*X-~|Qp))MY5KOHrmyY)2Tpq)IH#?mqT=O&ULI(ziijFy4HGfLgb*== zh#_Q{5Hd^%5krU=l00DB2ij8=ytH_Eu$KpWvEm(d4U-JK5CxnCJ6Q*Am{xYj-_yN6K-u)wAvcJpG|B?jPU&0f>#h zxO;Km2T=8mFW#B*K`oh_AAq#3KOXS;KA^2pe|$dl#Ru5_d4JrIa%Zj7-TwfezUGg2 zIDh{Dmw`W?HU9hq+}!Sur>8t)YqXi0VE>=~xa8cj3AFDG#M35an^03X5Z9-iY-bn` zZGyZ<1MwXH(M_g!nXx=LvTic& zu>9?v-a5zg;N&Nh@m}kS?XV0d;&M;TcI-VzK;E_; z6CK=CW`+ zJFq^VHXh-4mhNv){?^F;d^o-sj%PF*^0EEh>3Dk5l@CtCbX=bz1(5XT^Ks|kbUZqL zGapwH1F6jOFdwG2MdBgV-~0l>Fw2KS7b5X2$MOQm8jr+#i`N(6!+*}iFQupo=56~6 zV1;ugPA{(t;KH{vaqFb30Jl9Qn-uGTOmUthirIKp_$JwSX5$*y!vf5>HX9G62o(C} zSOGjFTGe^~^4*Z7o{L+J>vyB^qq(>|#l>Kn*uNW}EX>6t4#RF-@%DWDa`})@hnSQA$SHBHE>RX?7!^p(Yv+rPwrjJX!!4h{i8B z90L3)9*w8#+oX;V)2aWKEP)fi}#K^0$d-9#d}g5A0hio0Jo0C zb4N4wV9%#4eyL>59=v&v#bqhFkf@Zq2On&`C*!~G)GClwT9_n$?~ zgw>HRGZt`#LnCyN-F+TUonOyE5(wQIRtYhFvF-TA4ERv$3aRj=^rm&c0tG_6Q z-@9oY%A*pNouceXGZ*ZI zcJhXrwotwoLv>1){kFgreVRN0}67As$u$3g94IM8kU~oZwf6Qp_sR8S-|I2z(KQ?MbzC2 zxbwM|Wu>T~9L*OMVECVwMT@R0;L0i;>k8gcz|%cCrcbd-$=SF9cc0fWz5Shj_Ft+MNlQ{f2qJTswx)HEH8yKt}50sn^_8Uz{N}{t}DGdw-gU1s+c>nqZD?$ zr)Pbx!cttO)w8S=ZPumfs!}}tot`OO#!}q>NY64<&Qjz_Jxfb5aHY2$F9n&-z+~#v zr7(WXz&dAoDCQpwEGmy{cRVl^>XxBU-7#ib@lcQp9JM8xE@=_d8M*A5me(xQXefJt_<*Ow5|%Q0uDt zLIp?FW)_KEP{Gc2Gt&gGsUZ55nT1kxY@MnHD%=@2GyVLy3Lb5+{M9HbtWj8)KE>?T zSe9po`k(VkA{Y*Cg9o6#mmn@f<3HLmyhHqW-3?>nLs~sym;RX=YE9;g{c9nSAIBfe|uJ zvEaE?y=B9Xx5~h%u(6!r{W2`D+gNXkP|sBLxC|fPx3TO*n1cUdW9ieeGN^pR z&N5Qme6ogRYPe#sGq);Jjk`axv(VByHB5YGXZjS)pXA=5#wX0q`f3Z+aA0FI>rqsw z5%)H;t`uY7<)Bdw$4@o0NSjj)kMA}!S*=?Q8~@wPE~m%`<%_4)(6hjxdwUP0m_{L{g5!jo#;y3)xyQpv91DI<4&gU-&%p&*SlD!bw>p}2Nw&ah#Hke6%|#D|Zl+I>TJ{Vt zBNEIG3#Ql7Gr}xrkuY0 ze*~cNAZm#Rt)J-!#Bx-Kg&oloNC;amALu`Kf7$NuEeYnKg%{B zu7tHO{M5=v{*Nrxr1n-K&l_Ob#od+gxN?A{NncdL4HtnF?`p>E^-6?$157^ESBZ(K z0oEBDt%RGe23Ryj;p*t0szeC}S$bQv63)~O(nQ27as5Yw)LzN|V}rFCSL$H>FN4gg z%hJK=KS-tCq66{uA=Z~7mQ61r7pT`1L(~@gNo5&g^GgSGDEoAXb*4CKWiu^0xbo`| zOAjB_Veo%sGjUu8&;J#mO8A@ZHf{Kv4wtC{Og4B`2g_{%*3o}U2d_RQn-p`d$u*{f z-+v7-srj)EZu~F6F58~z!1u3V=4kkvgjZvJsl$xYVWzBIUJYm&W_rWwYIJ@w%sNth zz5(sFYPj~xFw55Ls>XsphFOn#Uo}2>ZG>f~sDT3|)zxrk&j{;K9InQVbt6n)e54wW z`$lM&;{89CVP#QwHEg>-!mP-HtKs^~5jLM9EY?VU)tHe#$^v|}8gna0 znTbzS12{)nI{%ydILM;asQYo0U1q!*D}EbgJuF!byBGeF{7s`AU|9xu>%AaL<68{) zxH!l(Jl_CU>Vm8<#mFp^GzM6IA;x`@(pgx-Xh7FQkjavNlRIY>wHxrligA`M zKWV_+564+X@mT}(s>WGviYMAsa?OC7~gSvS|f=vNcWog%{S za}+ap23zHI8ZuCbIZ{hTwg!Q(u2oqAnco@j`TM@ zcWCNd4QOso(%N*j2I6CrEIN0q2D<;8WZ5aEZz~(CfvfL?m_PBj2DU-d5zoC0vCcM`5gx6aVm=Y`(q=ns1LI=_upLYxdU+{)SL-3_sB~l zN6c;V6%5Q4nGNs%i}uqG)7p4^I07nIu>D}{&*ekJ{MuVBQNV9^IC*m znp$p#&|rl1%&#`%m*EJz%r}^Uc9|@w$TNfV#tci-$;@!>-5I7dmz!Zn;S9Uv(3ugn zGc3bzFvEV^4C@?qnBl>(8QPS#nPKO-8QLfK%y9n48RkfyGULl%W@x8(&J4PzGc4VB zl~l1AM&GAqc>1qd7WLdW!`ioJX|FYA#`64GmKA<%hL*Bfrd)hx#uMgQ)|re`4%=s0 zNS!d_h0kZ1yf&jAE_^e~dYjkO72xo2ecAbT~-a#?vj zEMGatB*lSx9D8q$1*>Z6;bh?)^IKcUMmxv)JV)#Csb!7@MvhZF-Z>VTK3xy1PtCE6 z*tvQ<|Lq*pD0=H*!~HpSsp@t;d^tA9(rj1{%Vy`8!#7?Jj>H^`j)v;-!JG4}V`ixy z*1S8VkO|RKBXmp0at?Wn5vw@w$0ta;&wW*gDVTgPSdo_1Qej4Q;pJ_KO4- z3oNkvI;rFu3lGSeNIH1+Tjnm@N3f0=mygHT%ed(F+T#FY&|z?rUVD ziCW;*zyfnOa0|3gEKmWH7W$4OFut-8t6nY8x|7uix8Gc3my5PGV#Yg*ETr2(s_ly` z%U;-sUn&-vX`rGJA5||hf9PN%`B_?&Sj4wcl1&$Uae%e>5nr|Orel{0NX{}3=~e7%kC^_G}?G1mtB&n?kD zbC-=KB2pRm+32cniCNn!ZO}WsM5|}D4OTp*2Qke^yuv7&`!s=5o)-n2^39ft}Su0aCkXcHFx7Gv&y-UP;}80(r!Ho?*V#c0u7X@?Um#^$x_?0E8Z#&R56 z?ciIqT{E`_mZf3qDP=@@emApxAKXH1ej zcG%}+th1`yjxira==#zQr~kuPAb7c#7k8d_GH|OfT(F5T~^Im$$%)e{f24bqkEX!D)Tp(1OxB&bst@ zEwJ@HPTO5s3uy8<3r>``fDt%LXSx<_RdFWQ*0eyIjhkIM_!3EAD^S3GW??|G2&;kWF$fn^@3qD5*HT0wfx`!#A zx#umod4ghA@D{lBU(Px#$rjx8C#62P(uubiXKAxpPTcaE!~(@zop|aEiS?LwIPpcM z#M}dgPAq&!V)~g1CvE`^%==i7j;! zGnv11V!Q3Hc&<3n*DA3~GdG;*@%@$7Jtww)DzP+6(1{06Q9Of_PS|xpqVt5X6IXmo zHj20t9{(t@tcI6P{PM2E97D@np>jZCp}Ezq_;Q#MRc>s>3y&n$Yt3s#Sy*D(!?IQk z&Qe+n<*iuxLSi}U1Fbmrl5FfXtx)({f++^Hzimn>urS%`4p<^PAgVGf(F`yR%}%ySTOXc6}!|4`e1p|iaojn za~q?SA7g@P`gtpyw81&IJd32__A0b>X&82+Zwpf%qQ+ zN^n8nX#zH_3tKOc@u=Q~>%XDk(PkIo_Y|S_hzqN)QxYz(3t!w#Fvn!K3tc}aSda9j zi|(=$%y0O~g~kzz$9L0(!AE~(KnFQ;#JSNru$Y$u73s$^H&_*ck zf_*H(s7RFhs|1^G&S=N8uOrNHUH3WIIe1CRwQYEG1E# zWY+Nu?FgkwCb4VnxKx&;LDbidUTu=)_(t1tRW${lo@mD}YRI_wX**_`lPsg*MLV3Z zBw1fTY=@YQREuwUu%ac&vNV|q^<4E}&$m?4>02IX{XR+GgXlrok5o|&;~sc12uOk&ll9}drdvW;))w8<7 zi_K#M90$E{cbve)AulYOARx7RvHS@EqsI%LDFXg3FZMpA;Im(NVLVI`DzA8P>Ay+l zu;1_^pP{sZcfIiP52^(A&ShlyhY%WX37VT3HV)6AH)enLfnT50$J(*SoYRGiiEVHw~oPPaIwbGI6)w< zR3&5$5YT#5LPj$I=MO5uuP5VEVNxjwTwkFUa+Y5DaI9D@%uf<{(W(~o{+B*j@ts<5 zTS<~Tr52PLl4#y27Xn=J!C@#D(xxRJo@gl-A_D}jd|gh)qORq*5V5v z7(UYrlAl1^eZA0G^}>gz7WG1KAxdrfwn6as6Cj^zf>ldk@?((~ z1%uG3B+0rBMj;wn@?pN(C}h}{s8){|g^={j2Q}A?fvfS&%}HeQ^A1vkh*I0VT;VCn~l5SX~(!>O=CP%3Wt z;OPpd(BZu9!>7eg!5Xg*T=3y&ew)ytByhj6O-Of~_hH}pHbFjl zjvDT_HX$e3L*qT!CiqPRe%j_1GKRkN!FjV=NMHEEhi6Z_g+4Wbu0gkuIl?)?h(3Nr+jeWXOc{G`>;Irmra)scfIQqa!yv#?fz>@84)7U0-A097!gcNMiWuysL;iC`S7t~RB%W;ebC!JDx@WL_|X0R zsGv;b(-?d@D##PtX~4c26y~KontLHAD5Y)Gky?U+hUfag_jORnU|VV9@F*yBMz{Fr zf!nxnd2zE3p5>1V9rGJ~c&%|z{#seQ#38F|MT9xO!5!q*>v^ z^`A`&K}80QoqLl)pR~*e=l+}&l#6dsg>MWAUDHV~uBiwKoxxXLoH!N|WCIB=oVp$o zdYrWV+5bmKxNMfZkX$uIQ@7}aKE;#}l5;P(+NXrHDD%SB@27-FIPQh1DY6-kdGX8} zVc~My3)-MTSm-fFy&&1cf~@?x7p{F37P_QoUaTG`)lArnD_)-#G$T*Fcz64>;CD@V z(P5buBE~0PSbJ_-=v9Qg_~6%RLAE&Q#n!~M;28hii&>i@g5Etrs+x!pH9qo!^Ye%x zFCO>e>YoYB1!=?hf>Z(8=o#Oc5%l&^FD|Q`5gg?YXrK7;j3AHR_k#B3jL;Xn=Y{y} zj4&4USW`&@p&x_ktvw}5x+Y668vqJCaElT2tS>dw%rWZ59vw~hlo64WwoD=%y zu6Y6W%n5;kUN1apnG?EduX^#w*K?oTPJ7{M&4SRYIpxKjpDzg6 zbF|xifD1G+p7hdJAW6zkc=5^Gi$ZV2N4sY2q7Zd@y%_&!QHUr!UOakZQRtj>d*Rg| zBxyM6g%g=ewC)`7!iv%*n$=xiINiP^WL33#A@lnsK|b5!g|ky6aXP40--rsAiVl16 zY++Q03?K4hradYItBh1*7o&n>#y}+EwX9eTNtrr1Gy{~t&)xZMl8!dx(w{1oK^a|1waOAgr)`B7et$11xM!Esr%ZKH$gW*RmGCJ^CX@7y zbvH?EN*_Qw7m|W}=%oj{*B9}=r0Bt?sv;h7au4kAl4PEF;KUC_Tv;3U;N;UH-Z}ol z16$sd^Ny0J2abT8=XjPpc;7DPor_@)uJ}sMdupG0uxd=s^}#0|xbTl+9+ZbX@O)b_ zPjgPv=b*WmMi zj}<%=yXOJ%hJs(Ny-PWqRq*WLJ05gqmT*10<)MeeC0tf}lS<(#;lZKn9$5Qb3D1u9 zdO-Vxfc~n7?#h%r)PKc8TO%cx&t0Go%|<0Rsn2_G&3Pryb@q6md|1i*Ccp5YE1~4k z#2G5Z2Pz(_J?(*@LB%@;x;-F#rsBcblO9-iU&SLOCp-v$s(6pp=Yhi;)I4L@s#!{#4B)L#-ZM`DQr}&9-=884Y#Tfdf=W>!<7vODCW;Ke7?WZgJGoUK2$+D zT-0z`biW6a8?}7Cq}&6mDzu#5Ab4=yF)eqyc6)HkH7!>T%RIRKzgixgrf>9JD|9@A z<$G}V9vx3pZuh{x79GD#|IEPtuSn9o)dQL_vhi;w@Q*4U3~nH>t%@sW)_JhJu8O;3 zYpFK6tGK>+jR$uBT*aewFn~K>RB@SUr3drg)^k~N26dzgJ)ie1^FY=yJ=X-1?XdEi zo;xNI?U)GbxjZ7ZL;Otx4=nI@oB{(+=d7JhXAN8`inqg^iw1s4Nv9DPM@XW1-i}>K zvN1es2iJ#29xy#^hl55Vms_8-L&4`p?r4784(rj#^{(IB;nkl;9(6yWm^ajNS^ro& z-Yp|A@UR_vytOKHevq!G9!|D&rTsC~69iCR3d0OCHJ6!wJ%sU3Z zB;&hg-sh(ynS%eB`6b`!c6e)@h0ERD?f6h(;ayHTw&`|R_$B-CcC7f;!c7g{c3d%G z;lbK=s*TqhxKwqt9Zqg<;Gyy(?Xa)DfjdfC+wtY81{%~3IwBe%5NmEnM~qbSbVRh_ z9V-uo4edBlVdYX#-wwx*S$S}GWvU&gIedl=;kNo*vGkj47Hq{b1CNw_BTepChv!D!ysvmkcYZnZ}#B zOp(zJt*<$F*Wz2$g4-OtXX2F$PSrU$5o@_1tJ}eYX3+&#(7`38K&O3+4jx*Hx#+>2 zle$*a1+p?H_q&%|c-KR~7&+m3~`X|PP%WQ5QEbnna%Q-iX&YyL`qd_+h z44igBK67)uvD*b_-u3Vvc7hHawI1Fz;&Va8M;

    cwG3?H4nd>IO?LYEk^OtuE{>^zrPZgH$#jw+5SCPq zd2N7Vri0sHcz{dR6)w8N8RQ+YWiHrUILHGYI^5OT2D!gD(F$$n1}V>CE1nx1mxj1>uH5oc_TbHca|h|BfQIenruEF z;px*|6zcv6m+9$TJiai(v&Ov?^SV*qr)sCP6r((2u#Hl09i^shrTlz7%IBSQtbToL zl$#cs={P(&%6m-4RyeUK$U7&iThVC<@?7=7R;c6eiZ@6zcGC&_-w0DyuhZR2L6~+Q7Ve9JP!1B3gV;5jwJqv737;eP{W%nL23`R6>>OR*NXk~hyy$|zlt8RvP9{#grD{%4-X*HjB$ygN_B z;c*MHKjx``6D?S{a)G;pV{}CZ3p^4YZGlPK0#94G--7GTEl?@?TIkehfm`Kt!*=Dx z0`>VDE%0o^BKPZhTVSJVk$2QyX#s<4k*bdF<-YiOk>@(TY=QBSMV{@ZE4yT3kq7&` zTX4twOFVbzL<=6OT%w7{+k$6~E%BUjcMIJ6VTt=EkF>z^Crh;4I9p)lKchS&a<~Qa z^P)U7V{E|##wgd!Rkz@g&!W6@zKSa0W|VgG_n=x8|_O-y1-7(s) z?xy;&{3Y4d0?AV`vdM43RX@kLdtqA(tp78{JLb2v;MR4FE9dCCa{FG!gELtzxU+@v z^a$N#$}TXT7GBwcvR@hRouo@nS)B3g@uVGgzRkIEgzi7LmvJf=x8s&}&IA2%JFNSL z^Bi~7jw{DFmpPu!W zbani%BoFA%+UZ$Al6-HTqRZR5B+p5luw(qQB&}awJM`U5a(BpWNAFCM_YEDfDiJ@|%9gcSwiRnqb9d_R@5~Fhm?7(M>#GY}wdA_z%E=s=r zb~J!o%(4O%*(MjGngTn00m;SA#113UTTfWAQ=gt+2jybvjm;F*K=8i14!|#j5;w4A22_5SdVo>*zxM%h%M8707 z;h7eNXbs1jVB-Z+^*^V3_(6pjHHDi{79&aVlP1IsC8A^Y_a@w5S|SDp$D3fxSt5ok z51XLkVu^TJflUznwM3N7_BBCyyhQ96xYdOBHY&wV)Ac6Yp;C&ld~&E3<4Cx?}yq&?e&LR=~KE_FA7;cbnoKQ znRGQlbXX-S9W6~*&QzjR+1!K|-d2msh@lBYm0FCn9c;o1ms*sU>zeTVS87o+t7(GR zuv*OV?4xoqwb)y}y9rLbT`s20?rg#_Rk@h%rbi8#u5vM`%58!JUzLmc>5WZrcDP*Z zaja{?M{xqh^b}&n+Zs_bv9byFsWf81vb+h8wrWJWJ+Wc$MU5y8N;VArsu3^i1RE@m zYs9SC7dFh_s1@bTB^&BXwPLRPsSUhNtr!}ihZq+xXsHD!Y=DDW(KHvd!P6Ii89%Ur zv|cBMl6P&8snChCz-=3DY0-%q?F}2q&g;aS@Kqb`|3xSIEf;N2wWt#_mU?Vhv#v_a zZaZs(!^Kr%G}&##mZmDPWAKCxTF+F80hQN=&Y!EqVDP97S{ACro+=kT9$BRqv%(G= z7(g$YOowcsYa}pBk5KlV(u>*FDjV#$uNN;ZXl<}zRxgI^`)!c1!XO5h3T+rKFo>NF znGJt88^p9&z722x#~{j_+vqvTO@o*d+iU~Ze+^>DK~G>b|2B%6=o%Yt*lrZv&8uuE z)EGtU;&K~|eqt1}Y{^DAd(9|z%t?(Lzx;6{Z2!Jiyc~Gc2+{FcQCS>pgp038;=x8Z_?}4&#QGW`qrxO!w%uxk zzIKzSoVnHr&0m|uOZuN0VbyOY(LZ{=5$?oIVvpj>MyTFs7IQtP8}X&WEOsuPY=ml*RwlLj%sRyV@3*Q{d5w6YP7d|(xON0v3hQ>|5$O9>0?_gcj+ zvuJ_q-&n;s(V;3W} zUs~YN4ZGMoaN2?^!gf(PchZ98|7;d}ls*f1K5V8Ypyy*Zbtf1nQIz+j;oHF0y5cRg*^p5PDL(C2A zw7^>f4lyvBXThumhnQ2m)q;5|oua&Ug9Uf*c8b{^diz#kc8dOqRTen-nN!S)F1OIp zv{RI+lJ$6KiX;}P9-CitiGClehvpAlV)kT|9`|WoqH-x*k9vf!d? zHnG!rz8=p0w@s7{eNhk2f4Rlp(5ZST-{ux03n%I^tJ+O7fTtc`_}pSwIlUI_`_?UH zm|E-c#E6@w!NZgdM!;WFkE=I&#Ps07dU(9oBX&+#*5emV9x=GMza9>pCXgts#})TI z)C976#2Js6QZG1#*KElj&&)7>s{gNwGNa*MzZg{i zZpIh??H7q2!wg6Nx;NW5%cZHAtYheW+~1-)$jenfpr2fauq}sKYyZ1EO5@tPY>s0%B+JlR8*)Dj;?xChDN@ zZa^fjI(5(-4v5ittfR+k!?d*Z)zOvluxOgRSqGyP!=ikow+^RV!(zmLu@3kJvT37- zy32nVrm8zrhieyxMSX2o9bG()h#6X69p>eah#d-d9qyqkYCeSaOU*)uB2jD>Zu%rZ(#^ za&1&p&Tpxs3#?HwcXE9lTzNGrMh4c@!SQ#3qQg_Wm+ zqKpYfe0n!1_D#Pq!i{iHOdEb?MEC3CVutGpy{Ggvy`0|f&v9qDq2si&dAxi3tMl^1l5Odj=MqH(v5ObzZ8{w{J zLY(hEX@o;xO^6PQ*9gl8C&WJGQ6pYmn4oU#G(!1`N%2y!nKHJ0k_NTG2uBT*v_w`J zG2_@I?V2i#aPFH)v3F{p5w{LciY8wHCAv5%hKxImxNB8N%qrSOX%!Hd-e^RbF(gKO zSw^_?QAl(cGmW_YyO0=2EH}bWzmY2Rss`4^LZZJ-ticnRQ=(NDuR*qZN(@DpYEWM{ zC8m!~)xiCa3D_oUVB_~wVn8uo1KyD->KhMgAQYPtdpvh*Ab(w$HXgTX;6!1V7NFi5 zn5+wn*@25Su=$fP?ZYjxA2%-&psPd}a(Gl~s0nECy*czNm~OA22xDX;-&ccYGb3WogscYo zc1OfWLw*g0j1f_v*jfYPM-f_pHc;^IB4Tgt+8W&YTSV-LuB^d=7ZEXQU|9`TteO!! zvEUYHU#B~GYd1u8O^G^m?`_(KNpEF=$V3sD3vjzyy&Wa{Q zw*m0qbK>Pup8?K)I4An`ZUeMw=fs|wRs&SH=BN@58*truvS9`TytqFnhI~~9xcy%O z3atS=ug{A;Bl{@P_vghNU4a3Ts(H~pm2ZH1c2b#g4RG`nN#-^faNA9?v9C42(r(rRsJyUZ`25CFxoG*~kP&Fi~mp>L46vV)l5O4&s?y z3=}(cC>3y;3J&Rj&frD&tX>Dpd<1kl9lrGy7nOtiN%b=q=VQBdAkC1(yiy)Qm}@d|Ifa?=MM| zlrJhFb5%m@aD^*jX?sEpO+T*0+q#4pRX(ajUpq;hqm@u`J|T96?~?KTgqTrss}d)k zCPaO6ZzY~iCPc^N1+sZNDQb$otc2vABrUb4D)E*%DY{3FSHhu>lVV@YT?wncO^N}% zs}k-ECdJGB^n+UU|B|9|)=&vA|5YUUl~tAaElp|qfJFpgzc)t zgP#{k9s2E+xb$O@6!mPW#MZGQDQ9{e{nqxPNXnJ3t_1f=xs+zks6;VOE?pXUrG+Dv zaw&b5YvDz!T#_qdTKeCGfaRGM9^I5n8G|7$6g-hjy)%!rc;%&B$|`=Sg^cyZlE!pT zi;mp{`fq9Bp`lpH3ioPZqqkVr6Ba`g9A zVAeNEDQo;j1!VrBlsadBs(@vGD5U^DSAoK7Dk-EqTY*pCQAvGRfW{h?J1XX%#YNVd!B%OR1Kqd%cZF6t{Mza z%B8OUn`(?RG9J3BMsKD@$_<`ZD#xGBi%~G2h zS6|miZq}m4?lFzjnV?^IlZzV3A=j(H^`=(JE~!-G)F!QTSyirv{bgE-yd$gOnoTQZ z>GCOw&$Lp8eybWB-)W_wVZ9n23~D7)?P@iyoz+TyQwFJC*GZE3RT~Rn zQ3hXRR!MT}Pi1g_dzIAFaIOrNv{h1{;mN&vDO&|)&~4UB^y^6(bRE-6 z^F?`Oc>JPXN=s}kgZBF*iLEU|=M)8BTv>+IFZGga?yWM|pJ|Y~rX>~TZ8u2%$+!wK zwFE|&R2a7#q@JNCDj55ZL6Y@PsPN+V28rm=RM7UTL5kY$sc_${K}t8@Qo*X%jnwYF zDx7@VDD|r8xAh({O0wcFRCut)DE06z6|D9crNDw$g~2lfrrT8DxnYzpjkl<9+qhAZ z4;fYPc+n{Jxeuz)^G2g z6BlbG|4bGoalclIj;~VT`6soKG_XtsTUf0Wa=t9Zt1C@Xhnbba)@>#!P5ZnQFP51k zjhue{Uu!c-?xl%R=>EhcIl|-~K>FGw$%gNh;-Q~SQn2k-DP4q_X!`0cg}emCqq$Iu z-I->oCwaCMm-5U~$9z{Q7F3ue*@U+gPB)pQKz~~)OnqvWa%?S>=(lD`uQQf{bHFT_ ziVl|2-k6N%D@q}jFiZ16Ae(g-$?Dlv3Wn_#sn488@l;r(h-z~w+-tH(q1akV>r;z# zd1_@T{QzN+lml;-V%~s-`k17Eim-+1C$7Ll35%4?pOIsR%myhVOs*Qb^BSa(|B(W} zR5wVDhEWAvw2?%0SAjo$(ja9;ZxHypLCTrs)$;JK*=8X#vBc$zg(o=+;! zyV5H8Bkc-serToYbt#}qWtGw!4l6)ru}bqL~$ysvQbweewTjdh?*DuC#xAtk`j?qM}i_Mp?#+%X}*;F|wG*^@b5d2eCyq zk>!RVh>jv)3y9F%mx`UdRasm>+*rmMm#K=1Mivz=XBb365L;}TrfHfEgUB##dx74- z)A#i6$?xU%U#D(=&b~b7Jm+~n2LhH)BAAecS@=q~PXutZWZ}rHWf4qIcox<~E{Q;A z*ev{!S=`QSRc7I&>#UOa%lotw6R>mxVWl4{e7Dl7&Zx`?oXhu`HaV__($bT zIQq;_f$zuI!r?kkfy>5+!Wmth0&nB=;b?ib0&8M`6D8?81>Q8?63$${u7E-E5HKwY ztcb1)XWk!F;H1%NNC&tjmlR$KXL1+j;U6Q*!_oP*c`yJNb89#(_<8tn;-}$=cIDx3$2WyDjAsEZ zD)bF!wr(iEkvl&KM_VEauvNDt90h$@fDdPqFyyB!z)kk)FyvKHfX!{zFof?wAbKc_ z8R{s&ZKnP()bg?bE3!0UOqE!G@eCh^3cU((QpbZZ6yslr%VO?_p%uFd@!w5VVQBKp zLj3LFi(#l<8NfZn5 zkLH9hW|3DBF3Q^*#?)^p!XLUr!r;EC2>-n!I1Ej*MHtIJ31co47vYce-eG9d`69e2 zjS55j?IOHnd~q0xds2k2n5G$I7%IYT79)dLXAv&LFBmlGp~Ne8K46&KbxIr)R|UL8 zVM@Fu?Hq#=QB#=!QiM zdM+qI>e;s<@A({zgn_M0!72_n31};73E}V+d)-#FG>*d`;Y(YYeOVkZ1+lI8O5{Bn?Ja=7&I+1IKHUntxFTSLy4i|<+?GQ#g$QD~ zV`)^ZYsKHzHvFM^I)phM+y?80F$5*c+wcVSWhH_oNNSWG987dqZt_Bz;c^I_YS` zA0-Bcpy-9|_@k)hA;@b@J2tpUFr%m2@iwb97+s2M$LXW}!O%YKup0Bh%u-c5?&|m{ zm}#kO$L|$h2u68z?f9KT#ldLB({_A6AvYMcnA(ACCmv|JoDj?YSuo>X*n!_0@e2m8 zl>g*Dt@ z01C?Q!YkOG0A}C!UHC(>K7c8>)&)!4VlU|UU+olqKr=hnBFzrxXkSpfHZVBKCH+7zbUvd&Ykc_&6(XeGE(agHfG&8X5^ti zQ+d7{#7(t7I&%wRMVI+A3e=6e#tQtIn7(e@rcCx{Hd?x|A|~3O5#8N*WGv7h^?9lB zd&=ehsA|0$o8|5e=vbH-B`fC3ZXOJ4s5#*wAQ@9g9^FmXvTP|gK4Y~Ct1 zFw5_#;WPn+e=i=ZaaR|+fho|baamIA2DJQ*8m};K-hi6s_TZ#KA0YgrAQ16BkOHpn zfkEq7kIsbk;45i{^=Mf_57t=FdQ_d&gS!gr)-#`+>cK_fm)0})D|)b5t%NVPd!ToY zt!HXb4~B=P*P{>mdT>+Lmi6fDYxv9Qx1O0MJvfG2x*jEYYVekbnRN`mR)Y=QK%?lr zRfD(1y;z3|V>S4&py&lOOe1_z z(Cg6VXMnM9T*u_UgfE3&>zI2Ez~HxQk$%1w$0$u}nMHnDY!x+YQ9vMk;U26-@ex{B zTPoKw`6<7BIlC5>W&eiBTg%j_ev7q#E%V@l78l{IYf;N>Ei}}owTuR7@jFfm=qj~Z zoUU-KVYFjf{H-vthS7+S;9>0=)Z(GTE5;tKL5-_*_^Y()HK;mRhkrDjTf>w^>F|}f zf;CJYs{@$}6q|8*IylGeS;K7lMu*K@z#2p=gzjoHFX6iijxJ+5L8nLVO*f3eJn)x7Dk4G|+S2NFd>G6I2 zp4CiVsvcIzz}1L44q)zs)u>UW$3ISrt5DnpJ^nU*Y85kiOOHR)_N_uETi{E0(<-#M z7chA>t5C(L9$y(PTg80fgmmIgtU{OO8*o!w@+w668nAV8&nl+O-+;|*;40(_GvFV) zKUjqn@djATML*P^X~0F9Q+~`Ar2&7X>GNZ*{9wRI?ni#iObtXoRO83Q)f+%$m-#W( zPYlpI1%8ZO2be?2e#~bU1Ab4l#}5?~NCyY_p=J*wzMuYqA9}kA0$n2T%LW;7k#*7+ zu@Of6PEwyQsz^5Cq}E5is42^c6=T)D%utCDd1!*C{@Lq3F&Ol!254;)PVZudRr8fwmVf>Zhj5qU{_b^U! z9`|PK>xQv4I?)@f*WgPQ?ajpQ9>(8xZ1jd}N&pXgdNY@^hk@6AhC-j78iq~R5XI=q zhhg{rft!LNRqC*ed_ji@ET41ec}xcrpFG zkYMg&FXS}}m^Qm76Jv*fM(@ceWuq7m^PZ^Aa}<|N-t%MzR>K$fc~3?R9K|2WPkJJs z?GQ*w^<+Zg;jcq`J(+z609+8{iE{JdOWSf!rtoXP^pK@YNd*LqQ%ljA8}Qdy-%{pm z!zjLDeYBL}o{Zvm+|@wf-8YK2>?~W#9Dg;6x5X4JWs)4Dcu7jqQpCJF2B#ZlDf5Zf z7-$AIEoI(cGlmZrP)m_1a11Lr?13JIkAW7#oX1qgo_K&2kXbNBC2>HstFkf%}LHe z^vSMqX!+QM=zaoVqBp~r%yE1_+Iu0Y&L78J(Tf(MWnYiurfAy&pcaGZ(ftb;`?YcW zPIU7EW?$VnPL~6b`~x(O%c9B`!0qig?6pn+>--QTxHEYHYO;*OE^f~P^u9QbzugwF z042;f!zPDXfG$yH48QqEzs3xw8{oXBg3S0wt7bmh8*av1gvR+yQLGvN9VqkBJ=Tmz zMmXTtKMG)@Vm@*z&A3aK0I|L|Hudgk*i9Po&qc6AMO)>fJGM8#B_^a^!@1poS7W{W7{Vwx9Z^2i_H@=G=byz?$ zdcMo#_CYbaXJm}$D1enl8C>E(F|vAOOgyn*oKi1itn&qoqb|wdaR32#iN!L;YmI;v zmK+(n5&(Z0Vr7gUBjA{>%`)b0lmO=)ZyEAU7VuY@i)74|0|HKuv&}_I^8~zPTmM|9 zm=o~dg{HY^@_PZlW2~9W#8wKR%_y6T>TWi~ZW5=9pAvrgmy_7qwV3b$Mw-;Or8=*^EO zaZ`4Qi}rgqiT^$m=i-+P0=Uh`#oI?Gaap9@No$2kd_~jjgU3(D#C@xXXFTLFa@4xG2}bd+)Y_c4f1J?@O@a zC2flwd`X5CZwv3Y^P94*cvDA>o$o6Ka72NfF8CV0bZ)iNp5<0JmU`LwyH!@aqSI)j zKf7tge~+lQ(LHsLX?wAak86Rzwpbgl>wqj;SJ>zTEo9-I66oF`$fD?xKr^qc_#@|8 zfp4@!7P*N6@8gCnEFTN>+4*)HlR5o{pYpVW8XUc$qy6l-De=M^zG|HvFVUpF;hlkY z{9$C!8=7J4*xV{w=<;25Tr|^bp>=U~&_YyNXo`ivsKP?W9kJtYleSp+GkJFWUeEg$ zc&*EhBf|$?(-Yr7pzz*ne(8Dm%W~p19aIfqcF$`*?xr1g^-!<*V|9?uHq#ibR}Vs%?uL`(M#T3!M1d?2WH@*2@WpwdqlMub&eq?R-8;f3nVr59eJN<=p{Jyk$6blpmmh z_B1kRl)t~-i9ak5U-E@Ogt}$8_a#p!JMoIh%9phJODAqpC|=UQ>WDuye)f_-neT)O zzWB`=HFr()xpK$?7ntb4DksQO#zbqcJMsMvFB7l0B_RDmZq= z$X7Zbuydc0U+Q+^vcrBx{^YzF{9(6akT-hFKoCWT5y;7t&YA-&)_AIFLeA+_zeE&&?OzcarX>~GGspDuy}B8EC3HnE-DH}cx=tk5T@ z`4IOEwpx7EG&K){h;%%oO$#w-FZpMDofif@cKI{Dz!&3pxTI(F<~109+w;jY+OZyE z^9VfmcP|j*70&0~bP^4Lofo_5_ro!^CbHdh!!8UB9@I_mi^Xt!6QA-<(67gI_deyz zS&S9ND^F>^OpMbl$DZcT6rSSgrZplqsL$|x^Li0viZ6T#5aHz8+{`xyi=Z^v z-^}0JD&i(_x{$U7aHl3T_WsB0vq`pxrjB1ng)JPJd`6Xw}JLefiH*L_4Eu2 zS>#sL(=QG{tb#4|^u;U)DD`!8@^MJHt)PzgDG;%_!?TVaREjV!?$`1cPl-_F=vsd7 zH;}2ubccTXJ^ZCp-r;M?MI5PLafjY}LBt;!9^R&>szlsnNVrX(xdva1@PzD&nL48hy2-aRh7?q zEUJdD5}=(k>Z|!lJ5(?wrkdX5g7%4UjnKlb;*pS84^{wAu~p zyz4yuVyzp0m45j=-M1dnN!Waz*ZI3~`p&*`c;F8H!isWQ6YPd%XK^{t({8-QRCAiH zXCMnTeVV@C!2F3kS7`2tLL4o`Oagxx~>) zUqh?{Z3%7q#tnPg#U*^s_ij)_g(!K`4{rQp?ulYLxg45hTU`O)cOJ^4HRaP=FStSf zyds~!UkO<_w{nL0+RXM|r;+5K9qwls|D3x+yd_i$=E~ zy1McRz2pwGVN!o4A6pB#k1ffh%j=*K6wCqsdA%DHzb7;Jw+#@zv*AnnlV&$=ikV{h z1Re@z^kM0fEs#ZKVk&3Y%0MjdeCEc7M?7O`o7#=H9g3FIL%nWnwiNHC`?QdS;z1PM)CZY5 zt-I)I9kfP~-!8gj5E69nkD!x{P>Z&T?R4-E;h5B0mkIFTaE_ zmZaaxCyhdMb`Q-je+4P0HwM!>2()GR2GM870F!w>fd2F~bjl&u26_krn+_}1^JgrO z#o>Xq^u{-kGCXO*w?H8B@Pk!+q5xz5ke?qv1%XY6Dtzcd8~l|iQoIWSn=+1j(Is|h zpESc#T7*D4yVrv+bU@*g9xmXm5YQxiJfBW-LNQ`4yh}faK$)D(Xja!C;XC4C5<#?X)wT^4kSmiXW9s2EL0%L0!X}djt@7&E zGj7t~Z;4_b!~x7D(`u-L=Kz+Sfo&>j{43`?cDl(Iz!AjejEIQIU6s;H<>a{qVL{Sz zyG)u&%F;1A)O2WG414#mh|oz!-AnCDa0{oqhlA`gX;v!dh*me*1582e3BiR>P->`_ z`gbt2Ti&4CFT83NWIcB1PoVkVz<_EG7h7mS4peAa`>4EI;&?v8v8qg6EW!g&VFjggeb_{ElV6KNpL7z{f%yN z(2;6PyJjEFj@3+hf0z`%GMISoAiFrm&X2cn**VXH;ekI{}zhE=?#jH z9WqIrDTf=iZt`qPNIIJnZAZxEukEMagor|Im^R3vk|d)t)YRi9vB}lx>^~B12)*BI z>8E;$OYmo$Ar2K!|DTXmw%WSgB(-R61~iBjF%OssJ(Y@F!s*qCF%Fp|ua%{?PMD_` z4`i?plBQr-K4JCLFC{KPE)*s@WRmDth7Ps6NnhR54E9XiBtnBxg?g$##3guKGq4Vo zw2M%NHnh5lqh&)TJF#;DsJwc6Ahj8%AP*Mv95QJ`qD(7Ry2(jhc_!OGGlZD^@n$`h zT<;XJ>r#pxDrs+{Y+YCACi{mRne3iXBVw$&Z39#<>lFMwxN{Dfv`tcmb|~Crmo@ni zyRT~yF^f}D2B7qj=?YNY~@@#-PS`M-QIcz}ao-uEL`tNgxARKj9J7m)4 zP1*Y75KI~8s>AH>b`Bu)B1Jtw{aoM>{Il75hfLZBDu>r*xXE6}*N54^nfeiP%s4hc z{n-an+s!pORMM7GIj4YilO5LAhuQvI9YQ6^p$00a$1bQBn0g#CX?LnDtxJRnXxyE} zo^fjt2de z3pGFSzsq5%r7pNJu}{nkdH25klN)V2DRgJALE)36T38Hkb2tqMo_;T`@pG^PCd#w9b<&NayI0$dx{<+^l?<~AoXU?8$s^r z^meMG1C%l}c9@X(fw5fn_r^xV{G?J2Qnr4JAZ!T#*r}4vV#?MIJt6y=ycO(@nR>+N z_|8FUh_wjvz?e-=nRKjEmaf+j^73+&g8f5u9b#(i+Cl1w{k4$2DlN#Vl1_-qQe_t* zZ%>pc*#AlY387`{MrrkviF5BFwCPJ>keYIh3F^k8 z5T{H!w<=e@EFxs$%B0h@5;(L88F&$%$No)u88IKbV~o_FxmUvJjFC8}N;;S;=ZvKj z@|R2bd2D~dMG(6IX+|nX92HI@VV_eao$-~WkCO=5pL#Qoy_i)2LOdhONS!7ck{PL-s@P+lDhC1l5ax`5p=$sy*UqsK@c8!!nTYt!uil763>0(K(12nJG|*+@NmI3x)5 z1w~Goq@_`YMvaN&ul?!*_76J?5o+L^kg4C0pq96lIAxN;M|ri{D3ZNr=N7WR8o*Ke z#qDiFl&j1r2+z9DI#rVHNSQXI5y|e&fraem!^dF_(aDFXzd8p6e}8?sQzfaPl%?~# zM6%zQQON$mo{P}=xhX?bN#dX&KR$B7DU&o>%HdV5BFQ;ZQON$SkVQ<$`a?t1iAOp? zIB&V^R7uJ)<<+$XB6+s4qmaEjlZ=oeE^mm6U#=58DxFnMnWSe^UiHor$;5MKA-gYc zKSJKh)*-6@M4#Ya5_-?6l2mreIj%IQY3jNn_M$lfq1bEPL)4%8w1R(FWSvtcY5$b1 z?Fmq}ndBliCnfGTDWe~v_I#ohgwdErr%X~LD&eshk^Fq_`y#f#b1!t3Wps!NQ}znN zfs{v1m86qYhOXKsf;?>j*d&7(%|h!CHPojTe|$>G{yZFj(9G#{6ZOx<-5^if z^-h_j3Rcbu>2i^w$~qbuY($Tw(BPL-sfR+q@CNuR2WB+x{nle}!QRX4b5(TN!#j z6B@)C&apefKS0c;xmpvo{evfhTsLfY$|SY9a^?3)F7h-!pJV?K>IIu0?_m=)ozO0v zwvSCaWs(M7S(+_(k@&_N9Q(JK_Yu<)U@=k8&p#GUzqVkfN>b)4L&LVY$PrH+$8NT` zTbPe`&6r>}hy?#;D{;!CdjVyd+m6ZO2eMW+$KYyVPWo?~piYP_f=7V3$R(4m7`TN~CMGK01S#U06-pyIdG z3qn}e3YSW{Z{k|Rqc9nK_yigx{Y4AoldYJb-X_-x9=1pymrT04;zASLZ^^V~sFnTw zSW63YDX$RPO<60*zw7pOsia#lfK9$7F9#ja3mHu<%)QmecpoL(UR*d>!L)F9K5 zx8w`k!Z!AVsj&qWE8C&nnr;iV1?r71m2@`;RnWdA2j8w~10i15f~+N76I62lEur?A ze3MHhUGs5iuiD=dLbgI`?RR0j#`R24f41Ee{1^5FxKz@OA$PU1;4RtzenK02aI&%m zJv^nGp#Dv62=bulAeT(KeB?suLvP9FOS9Y9-z8pZVJMYxg8Iv{8-lRCC)lNu?lHNm zPZQsgBTK(&V>cI_Z$VzFkqPRTkFN`ACi+vCO1cu|(zZvwCCN)_+Sq0Kv(Trf#wVzu z;A?_YC5q(s6E~lb(yT23*NP0&WN71!cJ_DXz!pSD9G;}!ZvI~IKNXtfl1XL> zTxd$yGmh12xmJeNwcQQ=mW_c+PZvM)Q>6LD6a8646B>};`+9Wa*fQc316+|>)5 zlkC`A+`;}+JH(@uXQoLiHMc;JFGxS(Qc0FG-0=5VPV$nR?qDB85AaMrKMDhh$rsce z#zL1&GQ#18k0v=`;kwzu9_-Ze$n%zYk}C1Z6FiP27P(ZCJr8$v>rN+meGEZ^;O9KE zrDAfDnwrUmnWZUq$t2StE>yHT2yA1ZriYq&n1IenDpq?`kjKeOTr$bZh-sKU0h zE|p~a#DzXlI>;VR-%j>mVl|Hvx9*&xw(rRlYWtMuTq?>xYp13O_Wb%{rt z*T|=+A+G~M?GtC2OC?!kaiLWSkXlSsC;PkZa-O-eAa08Ka_~#x^o88>E|p~5#m%v7 zbC54hUv{!zm^kQqTjCVef0q^fqs$dhg;_f>u66CCojfiq?qvVRa*{_gee4wVR*@>4 zHl<&5$t06AE-lVzCvO&)cd~n&c{~v6XG~GMf>MO+c;h8lErb8hdW{>->a>$DF5c{9 z|ATXQlv{lmV9o?VeLL<7XtHJv;kdNCJUi+4M$m3z63^stxsYi?ypTQGUFniZHg#NU zW}2PE*7S95st74qT)xfoszf^yb8k6RfPWhcFUZ=gXYqXEV!r>Fy)VguvPmVR8R&x{QOrL2qn-;ofWVg1^ssF$YQg1p;)*CmsT2D#S35gX}U?$gCS?+FGN z>6oJSUWpR?Rarl|RFeH6xAGgcjqEue0I;G@cw`*xo}!M%?Ggm<(fcl$WXi~;ttzsS zpT&qS_F|e3j|>f(DXN%k7yQeU>RmF)Dw1oxm0=^`MBBywVcRkuC6w!@sFMxhg8x`g zgG(hDSaK^@Mcc@M{`@ZXpy_=cMQ53&sDmkCLblJ&2QZ!I{-3QTclB#*B~L#4p^MEa z5Sy8Y;iFU3jwKA77r2KmnPm3KtsES+l7Y+DyV%bor<+lgj~SY`krq5w*&n%7k_9Pu z)w{<^{yp8?#rEr`nh~)HQ`G*X5W(MesM#fxj8VDJT}4(h7~9*$ew<-!W(GU#Q`A#2 zNbs*Q@h+8Q*UHVw%&?Nj)ni@kKC`Zw39QCb)Kqn#;J-c&xnz=wEH`{yZYBF21j?56 zqM4b=4z*G{;F%ubeCKnQOtQ9x@isF>2Df;1vlHXZ&CKTT2rIQ`XoDa(iajowWVp-C zi65CFJGk}T?7{TLW;E#;Wu$|5&^7F86_8+=?&5Y-(Xe%|n zY@MKXkM_D$k~uMVRmhtn@$Q6f_CZ8tGxJk@f|VMmTO-sCCHA>wl4UX%dYzpjjxE{U z>={KxGkS0;#Y!DvRtvQkx^*s@WaP}v*}ij%oXG#Wo84?U)64|R)2-B0zaQuvLiH|{ zWG~Il>6@G+gVj~t>|OC>Gvn)X2vW=S75uL$23#`9^qLEeGfa|Sd+VSJI9D_?F1gl9J*#?Gs9o4VDTv~nj1UXSwtY#OP-Hpi5YqC=Rz2vrd1g1?xO=leqxT`9Qi6oyp4VfBe8kyYk zQ7d)Oi&$zG^vwL$ZXEv2X8}$hS`+!DQx>S<;1Gmyv zWFn7G@M`uC1;$3Ee!--b+U{~#gk9M#SbJwZDY()f(oJMXPOqB%V~Va39qX`LsRMa- zOLnbMbg3k#3@+3=(nMn8#-JD6&l}O%Q`kzC7-0kYCerOvN&X#N+WE;L@+z39*?z;L zM&_(!=w4yKEMm_f%vt*}sEf!^x0}Iz$l`%w4) zsKx(I+WVqP@`d4sdn1R)%ieW8?8VHpjVSNCNE>zBVX_EgPJl^nHC(B4(nuzPgL>Ep z!pTPDI~rx9_U{|A)Ox6wh$_iLhr7B!ZzKbk7=S5`H!>U@ZKI|i3|jnGXDk)Limu@Q zclP1ZJUAoy%iCR$sWqh$Rh*B3DlF4kPOriMll+Fb(zzK%@;EWRhy5Zup^=HR#M!7X z3bdBf3wk_7ndE}RrH$?cSR>oRE*jg@h#rL{+Nl5bsx9)D8D64H@@C>%>xDs*yfmwa z{afN^ji~QJl8rjL@tH+^4SR_y$uWv+&C?E&=WKot`-jd=jm)JtDUe$6Q;T|c4<*VZ zA1f|xbG5BqbXS0nTB zg>)PB;^THpb|wax6l0d34KvQfni*Dcw>lfI%#a!%ytWJC=R$6Y%N%Yw5F z%p|Y0QU9{nSWdre^Alx~pCgwRHK`{P1EdE|A1517?EF@kGMlO`wfPAjLodv_Omd~G zwR&=5$$Sm_JVVidlH%K-d2=c)wf1pw7GswAIKE;P#BPkt?5t6@L4&<)J- zpf0Gw;?oxS*f78(_fl@o=x{$7Yz)w_|L6&7Kor+)qe?#e-XdR+w@H*qo~qo{Bkld< z3q7r27b*N2P)di|M&%#-#v)J=0isNDdgVf2X7+;$al3{+Az#*j;yg4qD(8~Q;_smk zfW=|ff0k>Fi0mgjmc;_k(b%E}W+Gl|qYfg@BD^^iD5@k^TrRZ6q9X?bQ#9;mCFuIS zt93A)ZAy!OBL=mQ$|V$@VUgJ0@x)V^iK79q1cNK{Decr0*lI&iFeSu>(>TUA@i^r*9fJuJYTxnQJANlL0 zYtReq`Fd28KMt$qz?YWn8@Zo}GRei8EA@73$;9Q`8upAvS*y zTbwI>Y0?tM5>$S|IHqNNsi}SYh|mJlw3kELUL2hWL7vn`eb~`!voXL`;T=D#;z5Yc+1uk{#!?8g|0H zwVnyCv%{?4E4TPNI9gOmp7q?-1EalUSMiXB{aadaJ-YJ730=QG$|C2)`@6QeI%Iqb-B-x;Bda54nJs{esUkbuu zgk&)wWoD@ZxY8RDz2r~PB6ODEu0!QDZX5Ngn6YHnV}MC41YD?XOhX(2?`qjUgihBn zd4r*LD(oz6$$r(j2Nu;?LIW;sL#Kv(NqK15kL43}$hI`xPW`n!*y8U_h!$lMHv%`D zd`LrfO;cKSPhx)^^L|u>o$9R!v}C(S0VdHba90aR>Ttk%w=KhX$GD+~7*9Gag=eS0p8fGKmR< zE7grYC(l19*0P^x2iGyjV_7@(YvKZnf8|($sFFxUxYpG6=j3z!DJ^?Z?pMcbI+bRp z2BPO#YELQli7JVoguB|8{+#?;@x7K^X7Q?HN@~;X)Zk{B#Xr^!Fp27fo5O5-PA2wN zXxV?ZlUj6qD8o+u$JcGHeYY!7lu4{9+?>i`M2?Ep(Dg}`^2?r4Fi4q^)Jpmsxh| zvB74}{^oEJ45V3F7;bpC*h0wryq5hnoUdh;{*Y~_-tuqEa;+U;62lBvdeqQDrW)E| z^mfCWW2))0tOhun$QQWfJcV z*UBZdkfE7AE&D)SR*Mw#3hY!r`_k-BX#r-MrO@F@^Xxo%anS^@$f8=b#J|u^o!mNP zRy$KtMU}+H!_7(7@MI$JHB_PPSS_OCi(mqJ51NIRF@QH4%Y|!;HiM434 zQfa5YoY9(VkL0qVO5zCO(wtE|Iglj6%sLcZ%jDE>cIt>$ZC1O$pj9T(4RL98=4MiC zmFd{O={DCg``TOW)W7a_o3pdqazvHHT*R$R?QAB~g$s4;#n6vyk^WVioqBn)!z_Oq znJda95+ttGmC;NFh?kCio=Mdr+q@1t6(8GfuAQd^n8c^Vg?_iKnf&{#pN{>!i`+pM z*LA`g;*HGm^(n_hl|;?NU7bJNM0PJ(r(^#!Zok9y?dq~qf3Y>2{R2mji86`hiJMcR zY$8MF0(9)p;l?{m<&kcf&P@$wxnGt-R7r$UAnOyG$csg^j-5#9y~E&d)OPCinL6`n z!3r>mdx|R!c0MBiDGt}MI}}ZK(A13{802wx%)<4eC(0y7EN%{;{fIc&cqm)Xr90@PNo%L}7_Xa!=1zb~VrG96wO%_gwDv2JAYgH>AkbkW>uVYX2EWd*;e?JOyVa3;G z`O>^XQ6@31al=*e2jtKBRWRP#7T-a>*T(GBD;;M(eR~FA4`xZ*xRoj9M)IcRI@DA# zeH(3V7>Bt~rZk^k$DM=`GRyzQwJzvrB>(li17%Aw-A4Kjvz?lz3(WrCZz~dI5|tcR zTAS8L{*=_9V;_X~-A0Ry7CSZMJZ{cbcNB>#iIt8k-7Yqeoaz=Goa>u!Gc6VY7S+2) z&Hm2FV%Uew65Mga&vXrB+Vn)n{ z6}#PbDt6y)voJUUFp2GuE2T7bxYm)%A$*li9ftTNmz4<0`wsw56ZZqBZVI?{h-sh-`F?!Lv?Gs7L!ze5IUH(o7^|C0)>_3`y4t9gHB$HfdK-_(}>|O^j!;4!?g)-7X{dsu+3?$*4D3kaq zxl#||C$dir(6eWvAKqg0T$F?QrE$I4-z&Ea4#l(7m#`vr{6r>}(t7rb_L^JFU6tHH zeHpvf>~U(cOq5A1nsC36_7mw_9`Or;_%JTvv(7iTj=~Z zi4N**u!s3{Uv33Vz*+KAF72`DE=gXIrDuO%7}NFY^U_>^N8u2Oi6K?gZcs4tvi>_gJDoIi z6P?RTcTjt$9pl*>EEh$Y#2L$_-AJk-wx)7D`?sv#n`qh53V%xa?uEUpL?VY8c z<E^}=l#mv0}x1X`(CCR;9aX?Ha_QFIMPZ^zx6OjF7s zDBHQQabZ>FWe^{;q`2J5pp0sAVBal0dr)0*6ZOR&c2K*Pj*bha5r9ejy4-M-SVi`2 zs)H(MifqY%R7nKFpiBs_B6}`&KuvqL-b8y>DIC=2 zOV#84H9CMvT*O>xps|v~C#j*PT>&>4+tNG-wddT^@mds91yg30)|de;HC8{KbWG>VcSxNqC7=o_PTXYjWv;k~yF&g*39$5|B<5{w0 z&^e4;Atx@rfovU|7Zs-au!b6*;I> zMZ>u8?zS3HB~d_grSoI1kUp0ksv!2O{iraSw~LMwCg!)Ldv->t*8DCo{1B+gWu36`yW(P$!??7#G$n?us&r zFYi4rc6*s7%l_IHtmH{h|( zb_ey>yH(@0^2~dpN@CvThFjV%kuO5L4eYY9)EmeV(E-z$x-c#e7`_Lqf?!BzwNKRfYt=3k=4WvqeSF;;P%IpM8$P(OQSjH}%-4X~(s{~u*N z7utuY-^@HucI)yC?5_z40J~*$fLb_iTs^hmZTe)&op>bO829Vi`FSd)mP6F}bdx7xP9PRQ|cG zWKq zcWcg(y=QJ2*nWKP8rmFhhViCfJMQ5%@(9lLvu{0cbF`V~$bWlkp+QnETtmt=7T6MO zTs`jZm(V1tq-P_3JD;8<#}_vm*e{BTuQ7}RV8thV$K~_704BXa!Ih$(vt(jx3yfY} z?lnf)E`VG>)cEOVVv{J79<$(9uFX74l25i9*ylq0H56Pv>7b5zdcu^+ZH5iT?7J9T zs|ueXC+|Onnnr|NLn*0N2Q{^S;kdtJ1Ypt=8(f-BeTF<4P(u}xHeLf}IXi5AYUYh+ z$0zWjOnR+@o3kq84EdM457v+@uWQK14zTUEx#P9xJ9*Gs&OZDBn(Y~m9Q|YnhGh}1 zL5;izp6Q`cgENpFaNa=x1*+hayy%5+ZDpk~#KgBm*N98=%QY7te^b0pl#$7vjS zmirnSM5C=?=qLh#%{O}Le#_7d`^62Sl$2ajsdr%Oc#vH$Naxbe=e$|S72br)uAMN zAI&qe&xccMmcLK2>(N7f@70cb9$ntDJ5x;1zL= zL(_~KX~c+#0W)kG88=NM21JY@drHy2N?TNJDi?Q`eK}Ry-tdmPhK)3v9}zJ?#1Jx> zbUMRj6A{oM%$~OHb4}{vs<;ityb1#XdF93fhFn9Nh;EX$M4K^8#pVn}L$f+aN^}a(ZsJ!PLU%1HgM5i`Dr(36OM{>e~l~MFaB%II+JSm$k`@VcOSH& zX+PQv=Lhvz61?$wq_Yfd0Bv@HN%cQ^bxqt`oGL|pv*w(=(vjDP$>@#yB%P(uR3`r7 zNi^Y(vbc%g|3o20>{mC~7uWUoVH^9#6O%4m6qX6!{V!1==^0IoOrZUtp~k*=L3}^9 zif^1c>9P;SLt9OnNi{7H*Tn8trlETE$L)m`g`iFNhr-2Z|32}z?U^R@`ejXQ`15or zVsG$>ed;g9eylCuxSG;s7w+084n(O;IGTuP;uAr@A*j_a9>1j%|X?dx=@`f3- z313>c+s!T&|C&)~Qu)jJ8+m|0`+IY-eQ{orL9SrJ`2V}*!oxQAUa=)?FB&WPZX@4* zDGQDDtiV21DgkZ6!$l z*O^qa3#%IW-pSdhkR@N)r~aJuOs<&lJEr^Y`_U5dMfhQKz+h=3UwR3&M~W=_;+~Rc z^5h8@W;#ocwnS{ZaSZj=k=e+%Y|W7({=9dqy|Tv)+JrYW-3L-iiTH9$CE7G4wUL`; z(27r|*n587Feq0{I9AiGpJgo;zrLt3skRxGH!}D4x#*{NH`*8PRD(9*qYdvvN{Yoc zO@m4Gcjeqhz9TVDir8vQuvdnRgYx7FcW=7vwTZ>zo3L{xyu1<(Jkxck#70Lj&8r zIA4nRC3ZPFU_WR>Z~WQmvX{$y#J@LPdY!j>4g72mXan0nvsc>W=W<2E8`pTczkb-W z2ljTur232DN&{b8SRh6Gd)X)U%Jy6%=7l$2_H?c9WJBB8Tdt>@h`u0a zHE{k#5&G%VS@z0f$|1R8!i}JA{qeLS@fY_m7()s-H?Rf2g7&ZGsrDX2>ySKo!Xu&X zgRS=6;$PFdO{)L2tY~1~18Ni@_^#dc)(EssI5$L_mhBe*eY*!{nHt%^m(47Z@LR>V z?U&!d|OT=k@Fm&$H~PUh9=Q-XepxNn^K7r4G<0 z+*9hllT|y!{;Li&ePT@=J9%7#R;d2f*7J!2wE8!mE_ELq8_yD+moAfPQ+`<;yFXtm zMf{_|WSja~su^A8jnhn=4Yp;8FP8aCs%KqUbxd^$G~e|B+tl|<%yPwq|4m)%aYdGR zu_I(s{l{o(9V?B~NfA%)_1FqK4A3^=%2U_+wk=Z>pAkrO!-_iY?EuZMe`G7%5d>|* zE2u8Jv?NnJ?tROw`a|yAI`%xR6jgH&Zyb!`x-cPA{Fn5$S+z+gYFUgK zw9N4bww`&dFXV~|U!}VBUl^2PW8`~g%%?AFxhJnpir69DvMv7H3fhF*Qw%kEO7YFw zsbjCZm4PCcQO-LBWp!&vxs;*U%wu`?gXPWV&iI!S+v$P%a!i-C{lm&U? za>ax*R^49{JGTp8X@ps|D*aq7yCp#T$E=@hu7M8FCj7eUepj8b9Z!Rz%&LFcRaMKQ zDmu`nk>A@~c_W}rxQNw#&_9wPww+yo3MnhCWv|}uL|<6YXiFK`@DlH8-guiuV`(zP zKhJ%Hl6EL-dF(OJo-C`iO`V?)+Jxg;yfI745MMTbVpjd%{j8R~e76gETV83K%DOFPdc%HJlQU0ZBcT^Wg}g?Hv| zDdOdt3Y#lD$&G`x`Txf=FV?-mbP;M=ZdN@R57zLKBcQ!lTW;%V-{qDkZ&&>vC%?Fj z?MfFfPp?G11bi)S?fw|u9QyDCj15Ke&a2Vb)s3dB;iC2i*tasF;!(tdAH3YPoD6ttovQKDNX!) zL^ARgUtGhke5HpWZOXKz44I*A!c8-lpap68!P=K*)qk(wR>MmTpl#cfW-F|aL8CVw zpLM?z!7bwPy)9;yUyiL|&B^^(Te2^0m)}u(aa-}md9?1kv92xRdDT|4YF}VM4Oei` zoN|gdw@ZYS^|I1Lmx?pW6!0=|P)tX|4P2#;8L4Hg|^^ z&oZTI*84qZo7TkHdak=boA8dUvs7w8d#-|oSd7)IDSQwshm|XADd&=W*g(B;w5|JV zUXnuWJ)MiZ8F)1_>p=T_`C{ZP&xiBgH$J=Z;MurYJX7Ssdb^sdS+x_i(9+-A3g2mi zwh8y&xFX5lEdD$6wORE~ZCy2M%rr_7|9k#NHrF8&v`u&t*ZuBsAQeCK`^K#L&q7T# zpZg1F&bjk#g$4=QCY+M%eplIE;!$MT5Y8L#=Bt`slR)o#|Xbs zy=|S?`SKX5*WFl&ud-V)%e4GvEnHv(O;sN7PfA=>uFEc0tP{T7$IaM@pR8mN*FpRG zy4l*}8%Lte_ay&?KwP=*yZ5be_Gh|lXkXjPQs z;YU#%evw-PLsDf_vdsmcIcxf@Q)99N*k{Ff{Z(xls2=&XJ`9B``g@$)|7{3 zpoI^N_@fQyP|_W{)`&l{i|D7xb;sG9k4Mq7ru|@D9MJ>X)u|)?mXYh_I?F?8wb)wq zGv-tK{^R`BUeLBljn#TfdT(%rL|{qQV{QI9$NkJBl>dPdXsgP*pzw$ z72;TaoKY@l-`qZCEp%jowpX_J)&0*5 zHqnKRKxwJ<@^S-c%YHWbt!5wWElRZ#KfJn+>ecjp%j2p+3n`1Om(xZ-+x(l+e<3%B zBIG(&h@DX!+LCX7%N0YQ?c7vgUHoMbG$ndOm4(W6zxzqELOhLqhz@9~|CYBb7{`_; zW~Vh}L2?L(SDAzU^NMgCR?!<)i2t45ZdTnc(0$8mc7pbo*(&SQ?yL}YMl}Y%Q8QZy zvm9J5{#5h}CQ5nFx9sUf(Eb=ox2B{NLR;M9et)!ao(^{(dCSG7eI4ivU0c7!XDUJa zn|X_M>Lnet&3&ief5Ei`v>I=W_{V`qXsq-#-?E~`ZY&9&CRHSH;7@e;5 zm$n%3s=OPC+M>Q?eTASoZ+u~0>@|bde4y7qNnWMXedkM!5kuvVF}@W||CXJ(4BGH% zX00^2K-+Pn$8UA7)!{VH5-q+s&|_Ae2zrjOCr;4zY1Uc`n+SQE;q3NXEt{Y%xiDJz z_x71p|4;j~V|?4EUMw23mRm2cOp)cuS3mCdPwLpB)BUbQUM6;{`eBwS_l|Kz9%z5w z^qIBt=Pc0HsJi^I+1pTr+OB0{Puc+bg5lgTcIA7}_AL9v+9TzIcE7IEUq7xyE8NOh zCSI*Fz>ri`$C%0l+Be}JS}W&kUTf>?@Q0PDKpU_x75_SS$gJ9J-+PSdW=c}TX5Tz( zPgEyp*WT^$pZD%TqOOvq;_rUY{#LO47@wXD+CL04t(D$>8T~Y&-LKfS7uw!USStR| zZ$i_%u!2^kZSybGm!YH!^h?CAO~V*!%9b8uu{S~c+u3)li$5C& z?aqx>{}lHDBpQ>wL~Pn?Mxt`~G5*pH+EdM2)~S^;Xd#ErAGYBH5>0R|5TD2X3R8;s&u;SE!qX*fDGDyBn%zldy_%i7w5C245tZsa`6tW zKR>+|v>i#H-M3)|?BGY3LJQiL^Iew0N7>MJx>nEs|fAU9bGe`o{ExUSTnq`QiembOKSSJh+afZbU~SoLS1?K?MM zS?uiwZFp~qe^Tmo*js68lz6_%VOIS;IrRwJmJZrpU5{mP)F5c4OKN|Y;U-$4)I3j! zLC~&r#~k5W-RneEk1Us?te`#nt;l~N?au4G70eU=+~>sfkv#hde3XFpC)RGM^o@eX z=Y!VSg1i+5BgM9vF3j~^!NWY%4cfE$4=k5|@}fenZ7uY-xbLG)^ExBNm*+scvcY_q zZ}otdDQ;R8FDKAW9WU@(6;F_;Dm_yC_X-bsR>#xBeEKXI!=UN1Wolg_VKw+mzCSYm zDH?05ZLaw57SL8D+&s+YuLRA1@1kXKe+p=;#4dk+X&-1C)pNy{>%2&`^~_;jpAOpQ zh94}K?dgQY_VQhRec1r&EpGi>vF!n9FXRJ<`TkPS{;X-TR1T=1?MXqNKS})zCH<^t zj`(M+4+Fkt_hHsj`v{=jzVIA>wAF+}rPA5LR|DGr zbj&@>^<$v@!&hOMI$4WEn>J?qCzY6??bn3a;&}kH|CJ{y`02?63+XSn6n@$Un&Obk zZw$Twty>=@$&^XsLS#h-N|QSYrRe^=Q{)Z5MM2=U?$XwQ`W6@2S* z(0(~pY?=B_4`?+mrC;xGqc1eMW{H2BCBrQHZ&z@(5wt%P6<7-I=|PMBMCl(*_o8|$ zw6nza-JtzvN<#%dvjem}Y^TMQFbEpok%1A(2in(3vqax5&^C4ID)^RS(1xQ_mY$zX zpk>si`;&SkSZ~VUOwktt?eFW=6?}Ka>qJB87MIO}lD_Ot^H&-Dpe@gvDYh|zjeurr z1skpbE%W&nOG?NFZTlvt`Q4@f>}{4eT>P63wA=3075v^0uk&_0+2ZDdFM|e$bZKrd99;4%*{l zW?7s(4sGEVQ~a9z5Zd&c!OVU0`0rCX*0yLyP*AxeDV-G z;R5ZKy9+ESGi7KyaX-JcPxvYuW9PK_5SnnYg%>2H1Ui;o2Cdm z#O2qtU;eN4Z@smC+FJ(+|J?Xv`1%{^@yGG4II4dQB>bnqAA5=a+=#}F00#kBA3zX9 z)&h|88e9cv4uDew;6_xw4`3kxQv$sH6djL!4Uia*1XwhVpjSwBiVMI1pdSTj1~@tb zjuv2%9g=i_7AqtR0HQ4bK4hWZgrIu>ql1u~09a&zWD9^2#bC1l@_PUbAtH4bIJE#d z9gw5}#I^y<0GOf!cz|rSl!3DkKv@FGGJw%SNS^x;D<9w_Kvyn;Rsuw3Lt;dklqek2 z0US&NSPD>|0`SxWumPMRfTQsML(sxfTNYA zAn|Dc`VoND4^SLakl3F4$b1hZr|-SC1b_#(P*EiSFK?oNdEm?faA!cW@&?hQWPokg zQFZi+*uKjMaskv{0@(u4{4-IN9snQgr1mq4KclC#CA4e}9J7a~yyK}fTdrdHwC=J$9DkOyckKc5Y!8x$^=;hFfSd^^T08ry-pO8Q(KUP z>>-BF#FAzNz@3be>H*Gf1V`r~&Jq!87bKnp=urUFeGbjYEMLV?z!5jG!8iatB>UDP zNDDA)CCIq|&C6f+WdIiQx_V!tO+P~tmY2lTpS~Va0D_-DqDIikkHN`;B;q5)N`Rz( z0ov3tj`o_5hU*8oGVk?hGmauh0OSE&nTa4wg?xTE$le#k>feVUnE)c*du>QBp!pq` zO+LV@$rwNs0DInoBw$A7g-r1lGx2q@OjR9_G)ppd6#&fg$>df5?DNPpDL730nG?VQ zu+o8`_F-7B9prpSUfN_jnhLP)R~cQ#MC{D4OhzNX%ORO^S^?G=Ajvghq{T20n*_-~ z4`R87h|hg2Q=1+j>yb>Or9;HdVbTjK0bV==AS3!IN01dD>psXG0B3K>bTA)a;D$`m zDFD;1%cLKFj(&PcCS^ZB(M3o~pA$R%BREP(?thP1@*pzSBvXqSU}mFC*49DdE9y|L z97xh@0I-zedn*xSe@49eTaY^et{#y|lmCp^{R){DB|~D+p%NSh3|3m16g>ceQb-C7 z#Hj?FR7hqPBi8r;Mx<|KYVHM?_cdyxXn^496y-_Cqil%5-5DK=pFe zMk2u8#YoHcl=#+90otAtTk#2kvH`+B0-1VIocV}u>V=+pP^f{VZWfYAhh%p+67@bo zFL)o4-Y3N99dHUE>6?sLNsydDvt6)rVwrM~^lbq1F_ey~IPqZ*K=1*vCMSXn0GVST zs{!Wx79@4b1L!daY2oO7%#0Xr+uH9VEklr!vH@Q7K@z-&{5%H701)>Gv5El3+k@1c z1W=7Nh|$)9a@|K-x)$OCHvuvr*?S#9?z_apFM-?*aP_AkY4Ywu&ksRbv>p;eQ;@m_ z?+`0$2$Dh#5U7D93E)H}IJVowXMT%VT7WZ$gVd6Cn^@GLAXy!^V7=NP1>0|7y-^B~ z^;%MlAn#3ZzCq@@0nTEIIG77CD>q27@(uKh9mtse29`AGK`a;m_M}0w9$@gxAjJ<} zNB`Owq^iQ}=%)$DPXfR!79_W^8T}Ni&M9hu!Q}uOno(nmk-F&`)-AtBJ*xrS9|bYs z1F!`sm-#BPF%QwzR}nN5#n}K5I~5Ys72>Df4U$rQh1j#nXq`kz=23t~jpvB%4h1Nz z2;iDGK#LO2VFYjj3^rleGZrAYD1njK0u-c1;*G-r^lpGbLjV(5BW$c6(Sr@d;~od- ze0KvHr310D0U93$=%Blv_|y9V(zn-Rb#W8q3`pi)4^ZsrSu6&A4v<0%ko6-TD5RVv zrac#+B+D6$nGFG2s0O%QgRI5_^c_bM{ilhUj|3<_?=-s1A!wHBh)pj?tWJR0r2%Tr z0GMAKpeduZ#FrHyNK*^@*@-+S0jyF5u%N2JjFuUos{9&MZyK^1tj2Qg%K+tcRTGa% zL?tKzmV6!{>qr%`$T)Dys$gs@P>KzZ$e$s);S}bSPf$O(0M9=}THcdbLPQ~nwv(vU zSpjNEg`|2avT8m-yyV>g84FHeM4EH}6DHnhTRE}YV-o3$ z$}#fWB+4NzvF*bWS-Z5vml`BW$^ejhBsy<9fCca)1Qi`1u4|Vlj5NeHV=cR=3n1(k z6s7~{FGFJ84}}*cno_u*82dpYW1tN4SEEE#?Ep7xK~4qOT`5tQaUb#7$BXiU9zIvUp5>!GaB!wl!H>II~fns9A z$%xffOnm;;{5l>PZ2=cM-m+k6k#16g^aZV z?3jhcThkjz)SMdLaPe-33+K!K7iTdB_mcATxz0~5`ju!mc zOI;<~(aJv{Rxks7u+d8wS~G~H)_O^k2ub5{q}9C*m;gON1?G!5 zFXiX}X0AY5@e1OppW)Nx?VHi9K1O~vY$kqdz88CkRE)i|kmro*Z&@1R_1 z0L54qjT#u1#FCp9DgjztZb~w(gJs&?q*SdVE}H=?aTrO4+!UV^ht*e~8*8?;$k-z{ zmIrIGG{Tnss67_@i+gTbv?~^sdEHITBWo~3Rn44k?0QwHON#R(D?Z5$mf|ZaIBgnA=oUcJH0N`IC`si|GHPekwy&Pt|#ZA$p zF|eqOZfe;DQ1>|`@F~R0*Se`bFB&C{K}l`Pu%7=t@{3oztL<1{H_0Xns7oSOUR!;tLbq*TnuZp%DQqdifu!+~+i zNd~y{c$~UA=V2cFWt<`t0k%9qP+KGx?Kj8iD9y#V`tvxQ*8rUTVVo{VbBJ$mghV|D z^J&dE9dylx(H5Fl4uMa2Yj~ho{4#Pyhe4N6h85kHpg`^1J*@q~_$aEBN9u(#P zOb>@1(=-h4??7`p0KOnjEj=VS_qix`0|}Pybiw$AU?!Uj3r<<^Jth|=sb#@@eJ;|B zh6EgIyQn?~;1vhi7!-W}Ef<|v00b_%=xEm~!AgI0kuv_3KyPwUq$VJks>VfK<9@*g zkGUuZ-&$jv54p%{mIS+73Uaz6_>w{w76U$kI~Js#01(JR#x!2RGt zkOO_kEck%WLG_7d!R9#~G^!aEm^2+&;F$z(9&%6;z8Av1Jr2ql91?6r2SDP>1iMp#SYCr*FK{Bz zoM#YxX0d}N83zPglLvD0fWVVs2j%Pf1=poH=;(N#;8!*R9**B_0LA{$^YAEEs2dcjxR1PGjk zsb54;F2MD3AordTtf&^zgQubATPVysEwJMlp?G~A8h0-em1_lCU4TS)0lZL+P_w=U zX1sL-8`Wy$IT>U;&*vtFB=EAH>sE|l2XqQH|LF)F#d~)4WWfk&@Dv?0;|MA7ikyYs z9ifGI0M1U6os4+9&7ysFIw+M3es9c9VcF%VXS1EEI<B#ytW~5)*F+5v@}2up5wAw+puYCvXzC3x2K50#9)@Oby5MC8Y;-;&9fQm_ z0C-iz17F#w%94hmART%V($JTaZ4|p}i{Mw8joe)dj3mp!aczc;{T`YXn+01pAJI!v z1uF@+(UieWg4Mr`w1Nx`7qZg%EQYbpX(hdGonY{9i4%r6!B!fq6pRN*?}j9I?Q3HL zrz2MIsvC$kvPQ5gKUqnc1kloGr6~og1?#W0QhoO-!GuTs!~?MBnqIX zaD`ygzp_$v?{dLrZnaYWcnrGLMsRS=%jc|v=Avl9=Eguz?=m#X$5xCaO9hLVhqO`w z!rzDHq9uY8SxDcr0E4;LLiw)wXl}a&eHp-LvXHtUO0cIr;B?Is{8qaK`@u-T8g5xA zXG0{+_-6~Ocdp)g~v=&OhV>YeuE?+%Um^NhN;Uki+XIP7Tmz|L2f2ZS5Rg(H_Wuq z{z_)-Cj_kr@Hdz#J}V&ebH~lpT zjHw@-1b}G;h^4$K^DR4!lv8*^=5;AXs%pEAhWp$|7YxlZpSuEJ^qS1Ke`2KbbX8_& z<{@>(RhbXGXT&z=ip*!wAZCYiGLQ2N(uM9OWWzRySey{(jd;z&dPl2IYif;K_yfVVu(8}^RpF$6m6}OS<7C;^47}idEOuvfdKx@ zK^ny|6`T3xAT{r*miZDkNJ>qW%wl5(DcE&NW?McQq=TlDXp~uyI8LDK?|?%(nePi3 zs5wO^Gp)mbGnsOkoftMyWRX^8r*MK5-FiS~RSyArH2^mZw9v9&<|ltN(4_G)nU~iY zNKgA@ra5MyqbUG;wIHiXVG`dMaD%W{X4_N-ir1FN@VtPux{A^62?m;CRHH3c7)UX) zM`rY~0dq=`%*P`PbRnTgX8LzQR_vB}v)oV3*(#Zza`t1!-y!qe=6+IZvt$<6*H6)1 znKGZ<-cMG8QfBTO{dCZ_UFP?G?58R244GHgL4wbjFy%3Dk^q)!`zbafUFO~b=*itG z^V>W6F<7Oc6e<1GqTM31wV(G>Tc-kDCI+eZZ-$Y4)Q=H66-_y-pK=_VWFGxaKTeyO z%*>J=HxW$cr^ocz{jHOEs!31!j5wJEy7d&FyH;lB9_Z1kp6 zYMIqngVVE0=4&eueQ+ggz68L!Lgoi{>akB*4y#MoV`&{Dvs*Z{(^Is}6l?W#bVIbv zO~2REBE>S9l}72QS-BLI`5q*>OVG_lFI5#RKzDHU(rC$i3{B=H>Qujp4xSQz3c5*I~_@NgYmN{sqT5#;M(FBKkF$F}(Y)NS^8NIWR=e%AzD$j(~ zW%g2J0zmo3UOJx?j#^#YOJRyI7~7}NoH0Y@y-~f?rkXDE;O7!4ph(i38 zvxlP9BE*ztfHFD6@AmXyObCV8oQLoW))oxmn63wH@hZfge&0iyogWmBF z-xtw?1A!MIe)}CrWOIm1Qa9zV2UtGVP4NlCA+~3zni}}}A-3tWZqnpF4e=fGyRjDS4Y337chkaMPeSap=pySb9^%c;E;^e3AjIwu zccJR;huFa5E;?UuFT_m`x+tu$CB!_Jx+uT!Zioe%x-i|`2{HM27r6^>huAb7I7PQY zY(`-h=G>bhK11~y+z9dMDX)XBgTuNotv4gqXI<1>cnx~yzgBoP#NF?A;k4%pN+CL_ zzTjMl_d7eWac&Cnd&8YLdv6T!YmYn0xT_(=>mGDc| zoeHs4QJvJ5dJ@2~AJ1n6f zvP>xC0BU831uwPJqJr%qex|7%cQ+X@$m8vV@3@7SUfYhjARRSU&`!~ctuV;Uc4|vX z3$YU$+hLGfLOfz^J2lIjL#*i&M3+)SEIOi{6s}DncI$0Kx3dsm?Q5e8=5-<7Vr!!o zLtKch?FZ;ti~Kx9P)96`_Ieu~)U64zo9EgnzI1hnEvsz9)@&6jv%HP!b627_eba_U zSrOvpS#8){FUJ|y#x@E|ia~MKwo%Ud=n#MM2^308Lo6nujf{>ZAzu47^jH^!nBUuq zaejV?Z?m=H8ZHV=(ASDP#Cah$^IQaUzro6Aiv=x+C?L{4qyk1HCNu3VY zXMTwX4(mucB1wGdUPz2SiEYc(QFM=2V&z+ObgK9iV1tECHR28o-#*3y*30g1WOwfHe!AJY0lOI3Eg#9uAfqLrUYJoKR! z3;SM)%Tu*vEPWy|S!i$v%_SamXfQNAkXT?yL+Zr)67zOw@OYpF)pApVE0Vhsd;Wul z^84>dOkb@bciU}=-_>a-M}13TSHICvTlP(f*Jf$3in}54{TnruM9mVGJe1n9gXv1xB1tAaGK~_idsIePzDaz~tukEoo|X98 zAIm7rct&C~Yszp1d0OH_x-yznQYW$IZ^|eqyH?`+vdZW}at+8E%W!d7C2?9&MpNvk zB-Z;;8Aa+(O6*iPfbN9EQ>m1uo6syYN`DE(_Gd!R!xD09l@gD+3{Kv5 zi9Kj6!Tn$cjO17eT?nM3#`cy_q;)H-CAS1yhBS%2N-2S{ZGri%E5VhhLgLdt1t(#% z#7{+(P_$!{#KPVN=x304)U;5$PGZx|Y6|AWAy&5W37bWrWz9RILc@kg8i%5|d2|Yg-;nB!kiF@n78J`Wa zIb1~Xh6stBE-u2DFiYa*9YqwKJyT*Ul8fkQLb${qsHR zJ0u?)FDbC&n0~0CjT?0PWrz)VM1^qr|N-m(Vls;%)S%7oer#=?^2zq*YeSBJY0q!rJ_}EO5Px-0b z$7YY^Q`Pu=UF7)2>vB-z{xFBu;xCBY+T_2y*kdN*5Z6Av`oKMQ$TRt9EoR5kA zrjLuPe7tYD;bVbB1i6}h?B()&vUXqd@xcZ8I3d64<4@kprug^REzA3tl` zg*&oFA1m+Kh0D7JAKQI@7h0#@$5MaVMfKJ*KDM+5lGf8ctT(_>)%h@L?V@)#mWgEoBsAL+?(rs?3pW%n)AzjtjUyz8%(Va zU#iZdB%8*^R@}%VP3wLi_c!HHeO4JXAIqcjfl?pazZa7Jy-@g79_Ex1ACr>vNSR#h zW7k*a(ZZ2EKCb#G4|mW-sJf|n$l-1u*N1W`7}(+Cn%{D90GQ=t(S5mOEzI<>!TY&* zpP)pMf6B$SBE!d**5p#NZX0Z@JQpkcbRXOMHOTHXSi)9-?kzrkgCQtS;p2)=bLqnR z&9JdqxuiC3^6>+2<(7e(#MJlb7)b{3Lkf8=8z&70~`AyhjR4MKIU5tkiQK1i9%5PQW(

    o^vj*mAV$tJfg z0`*gpjjPpJKCau5jj4a8k9iWavHcH2#+GN(l-3zOerJ9*&hV%E_}q81vBoAZ-|tn? z!ZzV$gTpFZZOC4>@{tOwf}j^?O)3nPue{9Mq@wtYfS0X4rox0MdGYNf73H`2y!`nN zNYcGtzI3CCk{oU?FI}#p^Q|wv?B09?rHy+zy`!SAQL~qA_GVGEZWx+}vnXC+^0Gc$ z!NuB*UKVyEixz2~ds%8z7S53dy{!C57PVOnUUs)Qi!>zzUhc`tqR0*XUN%253xk#3 z%eTfLR^d~Sqp~n`_j>vDx3lnMj(ho_OG&YL54>C+Qj$7w&&!wmqNMt+7G&eHk}hQ3 z^)hvXlA2w&QJe}T@_fsSNmEH0#Z51JkgkOFUPl2xSJI@?W-s@C3UcB#6laza7y4Jc zeD+&P>e_Vy^GHKK9m#?&?Q@lotL?5S< ze!~GTn{z9jk_PvC*|hJ|sU@$>%Y4VuvG*+XGGj?PDcbjX8Q+mkM-?Srel;dAV;(8r7F@^?pBYP~cvqB{$MvV; zd~BYVzqp@DRq>HtcIwAeoc7G|@@18&bUuBympv^-kY$#a?fwen{F$(yjj70181yVp z#TsCSm&eRc#hKo8FMsfMDvnvm!_wh%qB%o&nAwo>`W>T(mpn|t?l0(J!HX$)vJ&uc zT}=u_YW*G-R-S@=f#hK|J5wm9+w0-8Qc@^C&Ew&J_N+FUVtb!?7}F(VNn`Nv8+pkz z$=vVZbGIZzVV?&#p~-Y`^r?rBe+W)duZJn#OD3!-J?y$SiQ2ReJUna|%f$Hm9=7L~ zBwQ1;csRe5gx4B(Jv_252|KCV9#*OYIpdax_2wbSe8a=$Zb_oioa>0S7Lt)`9(LzL za0;$^xOg{-!rbRPT;bh7%F-qet21q&qrnCbA8g-17j*R=%!3<{=vfa})&g{#_VBai z8>l|1&cmPW+<;wM4XPz&1ICSN4^LgWf!zJ4Jp8}{a57GM_|11VP_#*hCU7NEv#K1m zI+Td_00%rQ?qMRH>u5ZD&&5R2+slx8bt2Z8`#kLKfkYhF?Dg=WuM%C*sCX z?cq_&AyMz~u(eU(cz1i)_Q{AX)W{cyB|TKS?b|e-;1ZNzyc4i^RB0bW%E7kfN4GDOY=Nzcl&yD*hmlG{PTKh zanJGan40xCgPrYRGqnJ&Ssw2B3PA-kJxsq5yAp%wyJnyX=0Tx-nun*qwVt|i z$in-i^UEE#Hg;p z#e44uL{i|ai>3b%5cNf8T-ajJET$gWi`rYLud zcv|6NHSjZ(qh9Xf0~_5U-yZGavtl4oE_3m;2)9u5EOGHFF)kLyFL3cEwsA3966NBd zN8{rBNTiFeygn|HvgW#2QQf#O_RMy%v*qJL86SbR$Q>8@l9?{%-#9L!N5Wh@DrQ_9 zRL*d*%@O0Gt$P}BC|m+=%bo1B%_XL&WhcAy$R#3eK_?%)>=I$=ubkXp>k`2Zzmv}@ zcL{yK=j743E>WNFb>b&ME}<~FoqYRZaFSm-dGRclsM5V~GOg?oVdKM2R`aVv9L+X4 zd3}cimT7eItCt)iHvYMj-K=qlgX(8ae&>KgG+PIp?4HUYx)l9Re*X)HFt+IdJ_T_1 zI@$ejhiJ=r;$$t-s5q~G;N-W5M@4@8eJ8)(J}MTfTb%sd#ZjTR+;Q?Vl?Y0`?c}HS zj>0l;I{E&rQIX@i?&L+EkBY9WW+zMkWK=|UUv;vz?;|L9&dC>eM?}@GCMTaUG$L9I z4Nm5HFe17V>Ycpr2LSaMC%b+OL6$mbE(AHH*2#8n9T7R&YUqg@5er98IeEl~Ag7;n z^6|GvM1H#td3M-^dtB>eReg4muR7pl+i%&0zH7geEorigMS*=z<~?K=>fBN^L7rV) z=q+)w17Ct8tDS7!a=RGawa3Xt1VI08Cx0Mp!n$6CdbZg_TS1nSuX$t>Rf9?=lP=kW zB4N9eHP_h0q@rz3o^`+`&KtKnS%k_aq7&1cj3?McbCJTy_x|1{7MfC>ENZF^eR-3U zJ@r~eSJ65I4Ozt`(^@B=!>wXbVyu(5{9qMHMXQ}G>zGw2j4Pc?{sxkS6;9TWW);ze zF;2E-tyN4JT;}8h^Q}U^eyNl1nQRpY^A|X|Y`2I-{ZUT5m9>Z#ITB^RVG;3pbDjKF zokcYF%y#m1Vf7=u?X@j!$>;9pM%c%$Vk6FMMV( zrA;{aZj)I|8VxzPnVW?>HRxbFel!bxS-^qu$1LhCl7pugnuT(M&%yL*AQyQYEN(4= z3@;t*=6thQNG}|0{$#T_pKEro8oNnM={7kqTbV?T+vs4subae$jOPy4S8EbR-7^PU zsWpj7_5lYwqcVxeYE``$iG(y6a$bzBdZ1;tqli8-=>;mV>o?Z4_?P4F{i*ViY;?*BvZlxly#`U32iJ z2%`w=zT#kRc~B&cH#yjfUk8OItj*r z-MS7*)_w;Yt~H={?{lzltwD@h_Bz;Vl|e)&lsH&cf)XTRtwNptX` zjr}6upl~qFXZ<25r8-!3c)!qOY;y2=pI*4j);ZX=`?A&v0|g^(oq z#&}~IIJ-P!T(c6fI$n?Dw@6Z%#^6Z2TNpLQF?Q`@x5ybB z9Ao>BL*g}z@lD0uB3>~th690aQB~MC#*Hfx)b(_Xor~%gQ%0VQu|3pc?34#ZD|3&W!Op-*#ekQ8&hR z6n2V(@wH?4_FJcD&Z{X~^wb#JIG|)GqQzGRIg3Z^trBIfkRxb_^*QW31?KyI7=7ALH)4b`jr|HpYvS+l9ia z7-Qbg0D`HIgtv=W#ilX-(%U8?^Vf~B-G(+X+PZd(58Z1M=Lgq}@${xPp%`B^#-8fh zggbHN7>~_u6B^a>G2Z+|n+TRfkFm(#w~3tYrDN>mdu^i4x&TFXwu&jis4=GOYZXbU z^TybN8?8cW}$z7(fqQ3ETt-1pQMn97Wf;U5 z5o1hIxeP^R5HW;^A?CsD0e7}hwg+4uaA#9lPEjGGs1PEC5SfsqtPoOEE`u0JzO6t0 z{@+fWKE0jpK7G3T^f_XO-x95P$YcmyUmNLG@+L%2e+JQ0I26MD(*{vnHV{H> zpFxZpejS3A7K3PTz6{Z)D1#Ud_J)u>X%HoBcZk|L8pJwjR|sU^8R#bdJOovr8AQIk zomLU57L&}aA$UDrEvC4dL-5yQ3WV;^?ANQsc(y5o8!D^Ca86?gcFU{9enou<^Y>KK z+HceDY@o!Zn<3B(deQ7SAHthny(oQOLrWggi?-!+lu_+^s<%{!XxL=ESSQnmV4zq} z`>qSYn_u)|icT9s=MQ>OW~m6l^cQ+j>r#c#y{HonL1hTuO;BJ(L7DkfCu*{ehtO58 z6Q%NFw5K|qm{fc;gm_dZdbCGE(7#tF)|wB8uqRn3raBLWpq*%`;&Cv9O+KxdzMK&P z6KciI)%_vV-P4NkdHX`3xTF;o%Do|k-?daj+a1ERY^`XkP76WezqDe+x+6q`qG-i9 z_cq#|#R@SlxFrNHCn~6Nk`%(mrxl`SbyEl`>no_l-WY;>U4^JoB!)2gXoZ+kx{gkg zJr$x^w>AWm8!AMFc})oK2<24s6z8$RTTZn>VIF=SDi^yJxOouU%EkB?GY?J^O_Ids zvGKp<;)T4Gc`VE-7dsV^c})7goNjH)^Dz5aIaRUz^UxA16Z=ixc~Fd(i5)i2JZySg zCK}u`^Dup_Oq2xN^KkoAnaD4?=HWmdrD2`(5c{c2OwW2d56{+>iRQdF^KfiMBT5uQ z^RVjDh@Hg)^Vrj?pyVy&fjo?7^8s0PwK4@VAYMBB`Zd05$^ z5j}xt^VlGkiq6HhdEDhM70t00+8r#V(ztmZPv0vQHPSotC|oQRQ{_$b@Mm$U=utMz zB_B}hk@@)#rTR_^LXU*Qn9w$M0*-45&2=`Jhf3Q5fwJWJTQ++#7<}RJl0$* z5yKw+JghlYLiKRnJl_7TL`+}Q&f~hDN<`U8#XK~8O#z~!m`}x`BVAjkv0y{7m|lHo9xUF*37f9^Wa|V#@nn^N@Z}O;4jc z>3D5bi;~6d^B{;tq9n3)9u@u~s?#RV<0~u@<5xG&)6bzrqEoVI9<>*X#JZdf^EmWh zN}RWz4sKQv)qfHwH@+(pwT0izL;pXE#E5b=h->FnqFPOYP&lfhlY|dq+XI!DP{sx^ za#r2!?T`*fHh_ z!mCG0(O{nm;>uN}D4BEzaYva_uwk69rWQUj^~pb%iKf=nF#oDTP=Y?g`@C-xOm1VpkA9{iqNrb)lHPYNG8~Dxfml7=$%b1!8(;O^`aWDxfp-To9A%3q(HiY!G%< z7Kjo_RS@wXb^TCk<-)Yksxl{C8rwx;UH{YC#M$+hk}^!NhZc+9t@)3kkKtE zJqVwk%BTXoF9@C+GEq(T2Jua~Ozh%!2eBuQCb4Njyz`SxOk;NhvF2-;sEBO~;>o3a zQMHocmM_MK6X^ztwHv4bS;hQZvqITxy94bjR)tb)F z0XLg1#@TD;uwfuu?6RDjgY7NZqRe!54qxfB#elwQ4h|P&i|UHXIrwW&w&*E6Gl!=W zX?FGLIgGAkiFJyTb7*j7i5}UBIb7||5)*Qd&*AO*EGmtU&EdMrEKxz^bI_QVC8}3s zbS!?Nz+yhF^s6jU8iYAm_qT)&%7Hn&WtY%3m_7&MBZ=5=+c$^RS0$p>ymt;hl}N+{ z!|pjanJp1JE7In0`g@wIbjKVX{7fQtsJ6{vPcT!AFW54NNtR4elbbY$H}7TAX}oC; zR!o^XRQ@cCV5I`A0uQ*R7JyoDY70PlTkcdi~Jk zAfzke@uTkvAxR4}e%y7P5QEq4hjV3w*d|?m9Qu`zc&pP7YyU+^x?$1}^3MrTl+&YZ z<2+Ba>OnucEj%$4^!s7MeV%Bful&?5mnX8-UO&{Uc#;-|u;izVr+ zxBPJaYnrrd^23Y2W28S|q`B-d5^x#(5PK9Oabwl~|Nm7-;thIQR|y5mbbdJYON{VJ ztskqtixE#=1#RI!Vx%)u<;Q3K6{3lp@Z-SH3P}kb_k+82g_vE({IFcJLKN1ce)`*V zg#`3RC^z=55OwKcKWs`|A-2LpetJ0*A*s@Xe%L(~AzD7&kNciRNLP5D9}ZlPkbq~e zAE7Kl;_bWX==>TXNyaok9R49fQp$Ev;4_L*Zu8@z;4+EJ-9m?HWSMyQ&3?#eUnU*l zjehv~{4!B{5-B&7%Ot_J&JSBNmPuFjT0d^suuLSSYy7Y#xHcZuJrrxNN|xjrCuNG z9-#pHmk-yqFA{U;oev_$MbhD#_QB+dMPe{d`Jj#da+g%R^})HsMatecK9H}3Nr!aE z2ivB?M8fv_ka-d&w%{utzPT2r)40zEfBq3B{iYrtl}KTdRMzFgUEha^w(z+R*nfmc zS5~_Z2K@^pyxii0n?nmE!GG5Whg%m&n(a>?B-AXBbbX@_okuC4uJ=LR?gf&PciV^g z>lR2nZ}P$F-yz}&8hzMr50U0cgAetOLPTb)_TkPeA;OpFeK=bjB6TvIk3PGHNYd&V zA0F67i5E`$V1)!pyz8V7n`eVWV>;o3{JtRRFFo$Vm4+bUWygGYr!q)aliUXxc|oFB zkomy(?;z1S^L^B%YmgX>;KOa90MV8l@ZpdpK-Br^K2Y8Z5DEXY54rOJk`UbEgC=Ew zIPJT9kd_f3wfdbt983(5w4&`kELeF@Y&lzf)Q`t|B8w#Xp!x~LcsBW9&9(O=-Lk=l zjV13%bJ=(cBgyji7eD>{olDfKva&^^D)FIJ}eO^DQbqHSAH{d57dd`cl8~vnH z#dvW)^-A249reQNJU^*hig@AYAN@q@UiM8L!7Ze+KdSgN%8l4 zG5N8FXe_V1aPx|XbZGj#5TWj~ZTUT3kpIU+0kNq>uJh8b`ZJ`f_!h0=pEOBo^5Tr& zO*~6AUf4P0CJNU%FIKm>iL?5w7v5C4Nt&w4i|gfXQY)$S(tBe!v4twU*!HEHu3VKD zK89Q*twQOAU861{lPkRRbmJmw_LvuX&r`sA)Qc-8XwvWzFYemwBI(74y?AE5izMV6 z@?!gvlX$`hC|jtf{yIlG?bjnGk!bgMA^)mcz3+$`$r9V8r!%|gQ|2kD<#nZ@V7I*7p# znT5gcX)fjREWG=NgE)zA7C!pyMB|^G1%ALzBBsA)A)(1mv_f5O^){j|?wy6B zatdU1Q_N2^Dfpbyd`XEd?KD?tjKmkW(sC?g#3^Z}CAW`}y1<{bF5?(UH#gGS3&x12 zsGhcG_ZZP;-li@5dW=N;CferzSt&D(v=^gR;#3-FzwTK{DmhDgdfrM5o+{e+6IRk+ zT}ipI$4bHlXJ+B-Ix9(tsVK+(wvZH;a+Y3bTS%H#L92LRA=EN$7C4iIBrP4CrDqTe z;cZ7|p?9x^*h&x2;_dYoQk#8fma2|sA_*Rt#eH@&2@j{wV!}f+sa5Tp1@A>ONg{h@ zvFD_jG|%js1!IPp#Ors`z9*WARH+>o=wxunz=(7)#1bfmfbUhp<($Y<{)Y5yH z7_v6Z;?JsK()@niEOHscq|3N=7Wxw@P`G9m44+J-YlXOB|AdLkL*9+dLlZHSv2N(S zWFnHBm>bWiOe8+|$qic%n5caI=!Tg@6HzNZxS{Tok?<>CH)Kp0N$sS^jh`MG>Bc(a zhL%f4V#s#8@vzEBJnyGy$r(nHW_;_$){}uw;QW7C`R|fjT;jUgwK8E#@?lB;t937;fTGObPhMW@xy~^ zqEXy&L(Ro%lC;w3h7BjHiP>K7hUa^$iK65-?dkez5?(dA@$KJwqM0$e;rO_ocq$Au z`+Yr0msY#6%A}|Br^=1|37TZ6bfamHo+Qc7xFKPko=6u}Zfsc8QDLQ|RakYzpj5cw z&wDy@Vda<`HlC*#`%&7R0v(;bN8GS}w~k0iz8i0Ur6UP0aN}x7OFWtbZZKH1RJNqM zA=;)TX`Y|maJoiIoE3X$-{o4O&e`RL!#`jaWhV zi_NsDEfqvz*hsTiRgmWVL^nF~DyXnZaKoN|SCEMD8#gw6Rzc$BtJBE%%Sl35n1;f^ zaw-_PX?WRKPIx&pjfs`zR3fcR(~HD%(ls2JhRN^C>3A(q!KS*RpIHXa7}9r2716da+4?9GHe= zznTti#xzyY)l|3HKMltk)Fe#y(x#qLlP<^ZX}FcGCYqwOX=wSD(nPjTV=pNp{pPLH z@MgM*Nae}XF!QvCID?y}VfiY>=r>FQQ%q^H*HcCvEFwuWYbnE%i-@Ll%`|LUQIRf| zxaem(6$y{=F4+E1MG_RO3wK^rk+g8s1-q0gA~Ae&(NAzHB9(rmz}FPx@w+hXe@c?7 z@w#w_MM>4Czg)1bO-Vds?_7|qSCWpxX%}ofqNGZW(*+6tR+6~tNf%HLyi{{Q7e;*w zlI9+8fxk~d_uSWX*4HaY>PnvrhRPJA+1%s8?q3unlHcXRJKGdQ^8T3%&kKd5w!F;+ zXIzDZiai&|pA?d??T!ogUMVDTiY6Cq`fnjgSg3a)n^8!T^tW9&vz}tIZo2U0-vxx9 zG`g_ST0lH1g9|F}6_C!wvo1VjEFc;~m5bgD7Lb&jN*Bg{EFd;lg$pM?r%7s+3!3NT zgkL)0LhYcOs%pnwnBFKSk(^^LTw5+DYPZ}4Gud*|ua>!>?OQpCUq0jlx+bX(dC-M> zre&lyC&LBY6B+4p?Q_Av6&c;2_qx#dUl|E3?sCDw0~Dy<=>l=RjCiuPyI}C|e0sLp z;zENppL8mdT(Iw6J~1q8bm2-(K9T4WUHDv{Pxw_TIg~%BQIv60)Z~(4VzDWFSC&U4=9MWh{gOvIawAi?Yg-_FXa+*rh5u>Cv%C%HZ_Io_vTVnwTyg7waOW8#G z-Z%w?d)Xwge0B;|#%y}BtD3@Pc{WLrR8HZUAG7JXpkfLlpHV=jngXpq zi==u^P@29hlAu051*hw?NO+-O3cP=0k^Tz#6usunA_n&G6uP!#k+k7MQ+OyUA)f4m zv^x_LVsNBS!LElAD%ti;L90nZ>b!fV=$9^vDc&^&HM=B48{RR6AHR@L8NO`_js-Ib zy;_{Yfx%3oHEpJRZp{*p=f`~*t8Et4e7teJvWu~i{SB|31^ z)T)pWQunu%zTouAgQ3vi;t_o(|CkNi%y(-l5%MSE?xhhD9{SMqePlUK^ zuLBx}h|ppC%K`pIB1rPzIq>IlBG_Cm2bDHNkSUxFe7B7VX8#)pZWDMRwRp$@Ra3lB zyU_1|{ztsvDSPFBHJ5lHCDQ9anUWV2`fdj_?dF9G{BsA)e8~$PrgjG;&9g$kq}73n zAyzP3?>eBRkz%BOI>2*^6*?Uav^&|XP%Eo*V8J$4P`htBus#+OQWfVN_{I?v!d`;| zgoiPqxv1I!doRWWNwCTR6(?eXr=-#WcX!1EO}N5=Z@-|#Whw`9b1Q;+`Gf;^46X<^ z?QvRi!-|j^D{#<@vK7HlEqCDatQDc3KkT4mx*|l3haAYPM1+*ggS5>P5kX>3chH{{ z5kZl)&wmU3*vvQR5u;{fH-l91#k6R5K;3C#uk1RC3y zgoGJp0_*il!iB=<1T^O@34xi&1U~y=Nk~&HPhijLqG0g&Ch(PeQRr99PQc*PMIr2& znLxa6F8|_6jHoX6Zm%TqL8Y5I|0tGDd0tl35A6e;Gcg@EEE zEvGyzba{Gc$=PASpy;A?Z3_!&o@cc7*n;3GY@_XQEC_~~X4=As3qnHS9olBog3#=4 zq`fFu5RwY&CqVhrf>7(aHG#6v7lg3PG=cm4AwlY_nSgD5A)zk++yv!MNN_sz6A=47 zB*f?GCg87wAtB9vW&#EhLxN0tdIDSj4hrfq5eWG(lhb0)oeIa00tr0iljfp8(V2fFRZHn}Fk&0zxFRXM*l= z0im{H*94MX0U>E=#{_-t3J4vVZ4=No_g+X^NS*-Qz9`qH-Eer z(pBpzG0-H>+6lb7;k{rkSTg}9m;3?^nP$iBR=<#x&)e~1n_oz`Gj`~%@(a?Os2wYR zqktu1rakY{XlLx0e90?xE=}9<-3hOt(M;K) zewSBB3QgJpzVHg>BD4eR_XrB_0L|X#5yAzp?Rfl#N6{MoY1liaN zJDg7R2wjqAc3l7Wj1XsPwc~5cj38t0+CkMiBh+gDq`7o6f_bsQ4n4ol2!WD1I~06N zi32z7$i&=2g7Ul_?l>smHrQd`L$?s0Uu}nX=iLH7R%OSkqi(??skFnoAKgNyvBD0| z|LGPCv6FT@;B^UU6({U4)9n(%3x#&nUUdm|>H<56^h0N=_lO;u_PT_A`C&V3|Jo%; z9AJl+^G=~Z`vC3okW)w<-fu@&gHxzw_u5fW<`e=IyY2YlKQt-4ld?D2DQMK&X;YUb zg$~aaJKa4e1)D6%j-+i;2-`N=!BjOV@RCG3todzHh%+YG;pTS~6Io-2Rly;IHR3pp zFX0fJ0e+l{9f#1VV8@}_l??TUb~Q9 zF*}ZLy6u89G&4@4L)!&~$~}(HRd&JSni_{qd+mZW_w6{`{K_tLnQKlh$f7pb8vS%EdfYP{Lj02Zw6Vjy5#^J=@V^pfQj>Dj3Oi;w` zj^mbA3Y7gh4#vtcK^ADB4a*)A(hKXxasRe4A<1!b9RG}3g^1+*IIP&MLVvYk9P{s6 z1;f(WacHfvQaN8W4osd^aL#DQ>8+zx(BxH&W3y-x;w&e};gicETwoRBknxye$_vM_ z?xID|29A!yUj-JyS#V?=w*O=iQtkQUp!>`s7&2iTUwO?!zb<1ONw-;uEbJS{Ls!j0 zifZpT)TzvZ#JOu6TzkxdB75gJBz|QU)P`;2a5Ok9sF#w*p>beXP^dRknj6Cc{ogbW z2_?fqigZ0K=io3E-D}6uxPDmZUtYE1tN)nr%Zy@ zv|`1&ERzry`DlfKWRq~A_=6QbEg6Nl8Lt(StVTgA^;qF>n^ABY-&x_T&M2fVyR7sU z)F>F#PAk0KW)#e>H&$GU8U#Lj$O@Y#3_^;b--_w?4T5y>r4^Jl20^Xrwc`0agP@u0 zvSQo!6p%c(;t;78)Vek+dZ(%dS*Y1c=UBCnR(QvXn@rV0oUPG{dyZBMPQK0xvVT_# zb!E4#c=8|Bg2aE`iq&qt5YDTiy?CM*YKN<7!!GIRE?i}W-V=JEQ(Z}`_(?CMx+<(N z{h3~9&Qe*y>(vQy`V&@&bn66lsL+bc6`jykP+$deQYY}%BUb!ok4{Kq^R2M{OP!!8 z1uJft(+agS8MI-2TA?#%zZH_MYw5JvYlY-utzcf*MS%>8DcnhuzNR!|+pN^TaRn8* z$yV4nSV7C#Y{m8U6+-&V1}m&BsSx6F)>}b5P$Bf|*II#HM`=Q9 zO=Ut??z>TxpDGi0gKHG_Wt9nO;kTohoJ?s7-;C1xUX5_UIyg$5TWW;nmDi*2wnal( z_i_|plxu{p$(~WX{R_pcz8HlwTQqbCo{i$6Po;FvZ5_p})>1*1(>#iNYpIZ;|8o@H zRh9~YK*K0>XO{}i`E{dU+FB||O*cp3_)3Wo2^&W-ZM;ONEi{Y*X)6(utY=5jr7IDd zBf3#KwMvA9BJC(vZYvQk*iVl_dbC&wu*y;R#$GH)N))3w-CiuzI**M)b5*h6T$PW4 zDz{jOFO!YJ&Toq;dk>AmN>nYVvks18uU#z|D$+;c>^-$m>-~8Yf7VkVXU`}yxfIZ) zjl#=sDb4%sqgWLy5)`>xM{(Cgk&s@UGzuT@6$$adjicD6FA{8diKBS@w<4j|kT42~ z-%*;-no)ccQwf25(E^4Em0&RP7T9%PCB!c<7Vzp-LY*vXfrj5yLV_t`!Hn-z!i9wo z7Kp@@R2lGD@Xmx%keECc>h@46G%vifU_`GJ0Or6?iC1H&shudRRuy^R+Rd{f~~Y^Rt{`GO&^#R7V3zMz&SSzx6#Uyx`w(xz7C3kja} z7WDs;F9b3ZEO25=zR*#+#)9spJV7x@MnE>2C&aPb2n@F52^}hC1ooEa3F+3A5qOrB zC)gH0j^M`RJV7S^FoHM#&J}D1?+7p>xk7s2uMs@ilq)pnyc@x(vRr{Lca6aQ|Kti% z_uCO{OUxDO2#!F)qExV{2S=!XBq{Cb>k*h~kWwkyH-hPZNCinj&j_?0lnM&tixCjl zNdj(@D<_L=NyCblrK1Yzannuv@dybIG*VA$`a)h{|+atK=>m0#m zF^xc7Fk7e#*No8ngls{Ye~z~2dbYsp^dr#l-)yP_RMMn9*+P2enGyW?%WT0}tQvt^ z{wzUZJ28SYJz0Wtsc-~#T+I@s@`4dKdooMtsy;%Su`5eR@aK=fy3ezy=!Ov}^h$)T zl8g~-eJT-n+rAN4z9bRSmiLU%K&%o$E#EZ)`X432h3Xw6@ai8Dp~Jg%1i9%BkcPh%O`}I z5$@DepsW#r$zb`&@=c^WY>c<*4TvwU#rRsHLlAez_%!orgk!!H-j=OEcz$_>m)Yfr z%#SO)IsXs>xFdX$dp|N(-0orvcyYcz9G16S>*ZZnIRk~TjcAAa|l<~FY-ylLqoV_ zD9qPMUJb#K-@|;w(lvzDUxj%|PTLT)^)B!R+n+-)d2)g8$gLZ~kDo2@T@K?ACOi%C zUHNB+aA!e??{JIGf({3UA^NO?Bxf+d&AEMl+i)(?WKVC z0|oqE-lOyk;_MYK-|uw|!e2jm`C8?hL7aAbc!~G*AWoV*d|1&lNR50v`~^?@Aapxs z_&CMgL2TB~@YeGekDYJU zOb)=^|JnGCQ2ziNueb5d>fQm|m|^1${$~R??H}W%isk{lePxV~cQ*{c!Jo$Hpxhh) zX4=Y2?dJyI`FShv%+?J+;rCWvVpa{{s@=kOlEMM#(OLM2{s>LlV&T&w2M16XG4qPD zeFM<;hnd%g(gpz5QJ`q+0Q&ldd9`Qb02o!nyhNTbfSW%b=Hnft4||`O=rm^fF#o8D z*9?E`Lk}_Xar|r_RNgo87qsp^?6-2P7Q)Z_Z(Q;qXxkm_GL6b5h3FuFUr0>b){vqjC3w^4Bsx z?fqmgyjs%mVfjEWtZUNnb++DKIC)URr)ECy#ok~k->GZvMe%AWpA>HB#Ur~)d4uX^ zFWhyP@HXeUUi6(Wq0>g!i#xtA;Zu#OUewx)dC78NFLYN@p!i5HeA-aVr_UVh#hs|; zlXCaA^D(RD8e` z>w!DDDqa#W}h^`L*efR}};dw?r1pzFP|2NO0F@P@II zJ(x5k=Xv&652O{#d9_AHtN23B_j?ZXz`>_7J}&p?9?EAKpKjdQgGWd{uUJg(!K3%` zd989o4-{lm!2S)z{GCVF4&M#(hCDvKEZPl+GxGR&?}u(Y=+EWNQcpMTyPV5ws838x z`*$uM7shT(o|5vlg|E9|onFfG*6wcLw@7()tgRbAjO6fL#do^FRg%L;oORvw|3wa; zBst#=%{|$Cdd1mps5z0%OZ{iM;lyedFUeJQWBP+EKGjgrjhk|___&4qZj3HTc$++< z8{Rfbc!POQH?$s*@F~mNyRm96lkZX{bpu?<9u8b(O0nzNfI?Lb$HdnWrF>5YFauK83-r2_yl(di=5Uy`veBs7P*8>_Y;(7 zEpi>DlTW}G3UevW{wJur9_H%!o+lve3Ud+lvnP1Vy}(KAcb`Dw`326fQvU?*e7nGP zDos!5nK8tfE!9u3r7XnR7PU_>c^w7hC!b(*Z;NBj_j2m+%wzDEd$|kwQ;+dbqL-`F4?TwG10Jr<`|>faQF*uv zlCH;~`lp9em$p8}frm3(s-x*KZv1VA3rB7}hRRQFuDP)0F%C7lxinMNW884S&6xwI z9|Lt0$ED{e9z)+H7uQ)Pe+=XY7Z>M*$9QtjqB zoaAhQjgRq!YLatiCp^ZMe^QKwJVMVy2iN6@J;F`DIk?)$@*^5)&CbaRW*_10Mmra0 zn0|zV8Fo4)-aNvX&&EkI`yb)2o~5}?TDj)PnMZK=A6BkYu6zXA2NteNUqI{n)xveoQYY%FYfZzPA#?pBO#W_|OHi*qgxyvXS7&A) z!htdq7axo~MA_FSPLt((h=n~y&RqQNA)G8Qa&gwT58(_kaOt6ehgjKe;1tdF}x=Uo7V&g)0xR=KFF^s`o#jA%4m@iEHKojU7|Q zrAC|&aMQXnE-ruQ0Y-Z?|G(ZJ;M)QXcft1J0k)D-E_I>h0qWaJIdfLi13Z*f%E{EX z9>Ath31>4I9>9mIC0v?U_W*i-EaB={)dMt46>}c>@dpT1#axp1$OA}7F6JbTgAc$p zsHPM9=LguRQghnuvefFla7v+&h@)cvmD;&om3 zG3g5hr*^d7$2X4)xz2^A`%sxz$OR;~X|9z5E?!l0A6_*Ua4FSQ_u+U(0hj7LeIGcl zoJ(0eejo3cOH;V+ zf$sZUPNT(p7_&;bI@_yzSY0ONIs#qyko!u?b@HwE@LYEe*D3q+9bUrmU;FhZP62xybVAdpPUQ;yQ8^_n_cX7AGl^-@`ZGXK_wF+=G4N5>DpW zcMqSHNjP&b?H+FUTEeB0E%(6Koyi$w8}GqKc_x)wYwy9)*ec7Lgm!qX3&n8%2wPWlLo(;@&wZoCGc(!Ay zwH@1^vaBrY&vxLBuxzC8Hcg7g*xK@%c4%#i(OgySu#!PBGpE}j!?(gl7LT{XZPN;^ zOWqFdZ&%pn0%)h7*CH(SY~K#5k_a0&oYs!dzlgB(FK0VM9xt=$3me)oEsp}^n|9QG zT4K}kg*JH6u*4=6N88}To+UP-|Ih|oW*6Ch+h1*1Xk28q{;4*s-@3@AM26Y`G0gU7 zy=p_|zhPEd*wqF*J`1x>O=}w-d$_<#OigWQ`gMWroV?WrJ&Pfh4;b3uuj?UJ64SL& zH@PA9LeA+n+~Eqcor>daD60yxwz4B_pxY2+sXO8}YUCVXJ(EAv?8*RZ3+!w|r}&=j zTuE-j<@WdNh3pM&)ad#>t^J!e+&u4R<1~CL?!Mw@y9_I>aOhutR%-XRLZRKudb~5O zSaHhBDwdqBSpBt^b*>J!!p&|E?fc7CXq0={+M*Y&&>Wp%ofR#u(B3q|#+w^k;YG#_ zo9?{Xirro}s|cKHrG{;8Ruidg#olcckf|!NqSu1pX=3aO(*>TQ~N$1rmsjb-D*yFxqNk<3hbHICId(rmj3| zfqwrOn=WZ?ftHJ7tTex&1!}$s6C__Wu(s@;CYb$}Vr1=2*lek0J5_g@u<-Y4wpLTuL?8XDS%u!%gwBV0Hp#4S zqH|2oI_(uruzyj<8fFwt`01LCO$f@Hu=PhBT~^RUe{E>l6nrVOO-A^^^;Z)D3<)Dx^y ztC8JmgqmOpo1io_LgM8TwyRjz2+zJRVI}3NM*P`Y%-ZyYjrgXdn3W968nNJuVzzVa zKqL4bso8kv-bU2ss#%3+M*q$OeY%8$gj<$Qs7ZH{jGj0UJMgwgC<(3)njMnFj18ayH(7q5+fI z{dOz{a-e#b{Ohm^R;Z& zG%qJ+%Ln$wv6q8{l`WGLcpG25zlfWdf2Zvb_*#&i{*y+Pk1V@y)%nH$(bL);rQCvMQA&kD09;`bDh}O% zBzJ@c&fR|l4(cO}LAUD$p572)n)O?6;O)L;rmK464eTmdX8H|lZ=f@_#Pl15>kw&L zVmeLH>##OsiK!d@a2>YKE;1VPU)SM4%_5U-nYxaJ$%_nc8M=;lZR>TB)~`}Gp^(M)&QfO+;bf_9tbealiRQ3X778Ze{%D6NH)G_ zY?JG*WAc{&2gGZbJm_c4lgu?tQu>*$$&c4yGx0KYle5=gW2=|ZOio{e^#{C6+T@#S z@Qv5QL>#ZLp-|&tIvm~CFqZ70L2lcw;qt%?6Sn_(4Lm1i7=!)xHR=M+&G5FGYuMl7 zX0*1dYtWV9W&&fUX_WF=7b6)veho}DE~eRf%EFodu&XH=Gj$hZ8%1wg5SMLo!yNw zk>Yw9(mZjDxu8CO6_b0dOnlMVtN2N7WongYuHwLog^5#~xC(U*78;2A=v6G-ZDGu^ zLsxOD%giL?@4t$XN;9L#-E|fF)|r{+oUK>E&^^r9vNm4D14o9LaOT>pG~TF*iCg8b z;F}vJCV^kMg13G$F-bB1707oOnS{v96C&%IBRVZ*)b1k z!*x|KaYYF<*FP(mbOpJLHTTOIwLEqiwq=zw7xI=beu@-kgS#Z0I9*kxEPC}z@)hcCmQF*Re>Wn88&ylO^LzUMN$ z+@ogtOSWIegVRM!O3~)a;L;T_7Yf&1#^dXY7-znC3H{wFCP~U%g32Q*Mj`ol31dr2 zrjGYq!gDv2Gz_@w5(+;m8BZ85;rRA8S!gsWu=hWB(`f}39|m^fD}#XKrxBDN+< z^J^g!FyFcaBvind4Tei#xKhA0Yju}^`M!YBXjGT5a#YTwstYgShhjMsD3o171&!@o zmw(_AOy83+={b8Z!J+@i80YHtOZdv4&!}UYFTqYzJ`-MCcM0xprI?_25x*JAW1Jr5 zBA!*|G4v($BKm|}rg?1kA{=SSrGqKhb@=q|#{kJ(K8g6bmtJdwrl z-olH}RF=gAoU)7f>5D9;f9$|TIQ&S$)SC8Qg!XI+Bh&4;2>g5|6R%0Sh(|AHGG^8K zi}3urOvYI%njl7lV8_cpnrJjw5=&oonIL18k4YC^nZSF1kI9^^CakGpV-n*n6D0M; zVo4=B6TDnmi3RcsO>kt_O01d9Frn{MB$gi7Zi2Iqmt$tzIuoq<-%?DXW6nd<_e-%3 z>ftVt;=R9?x$k2hi)e)AEB@GpvX4esKkAJo$fu2H{D(JI$GfdP7`tVJH+}9{N4d@jyP~d`M_y>e#$B!$&u18cIpvJS2eupW*yG7q z+}JuJ_Jtg=a2ZpB>%Vuz%(B@UIP+h7>;j85Fw|y?rTM#RV54^|<}^3eV8w>9n4;8B zgRkV)m@`*ZgKO$7vGmB{8aO&(j@7#N)IjqW=9tZ}xdwf|499qtsHZn4rdU$eM?F@c zF_yC6($jlmLoCVuN{=7*8e)8Ts~)yiRmW_yTY6A*>tnUCNi^CF}Hf%wHZ$3&pCar^oVG?U<(uUlf$ZY#OYB=>|VhOsFRe0=jag3KAu7au&b<7sqU4^&BqFC+BrYdO5 zD2k;U=%dujDpky*Sk}SYZe>hOrgZSv--=kk`%(wX|5C)HrWPHwA1jPCt8VH*xK|Jh ztZH?z#xIX0yf4t<+AZ=}`f$1q))mNN(xPoTT-T5vlVm37Fu{=*OM4%!gtcGh#o~wm zs)W^Fa$`=_P$lperLo%8=amp0%ArHhPzfJ|?3m41U5W4ZWXGgRWhK6;%!--$LzU3? zL=sE!?5d=`(=%h*>W!6f>-)@DXMs=-mH%ChdRF}9c(jc~BTi>I?w#eMaoWCe*qF#i z`Ml3nAUyjEh67>YOl*3Nra#S1?LKa(T-v`1^XKU(dNvwQ@~EVkJfqlY$lpga;FRr?w*NuW*W;dddeM5@t!Wj)<>>rt^PU|4{X?DiFU~k{6Rgsn4^lN?SH`Q$HUREb=@C$ zIAn@yikK4o>swQl9+XR<;-oPuabgKZn+(x9O;-sTT-8yJq^Sf}zp9SLd(V~NtzY%g zRNcuEOt`FzN^%dEKs{=slHl$VdPb{=rW-ev;O#vX(Ih$f9oJNrMBX;z6*!@*;G|sXA zcWBO5M-|0ef2U@&MbQrao8O^wNEP)=t`vhiri{jy&J@GG-O6an>Oe8xJ*9}Ix}Fsy z_pmUUs;Mu=!eBu(A@gi8yxdw4jkpxWxc-DZ+OL7)|6}US;llbwC*v!X^hXrHBwi2pB@3i0DCt7(&1hz?(+;y{)r=15 zn_1diN9xBT5H}=Zjzt+d0zb(MpBt3-9D!Fggw4@YYmS&Nnh2e%GF>}j?hr%gzLe)4 zfoD^wb799bj=(;*LgvIq`Vly1D0uFV9^WJIGpFFWmSpE6@M08YPPVYbfdkH)kdDq- z4wTzwM4lz~ap0%RjmQ<`2?y4b4MOyfCkL`0?8j zuvLcq%5h=Cxkq{s?X)Qlx>VMMcs6U} z;H!O|ND@sE2bDNiS$xDll*WXO_j!m|;l(r$Epm~T zqM^MZ#}Q|P zC>maymx37DxY5vDZ8FlqU_?W*=_KUJs9!Wxu`LM+O0SsB#W+vqQ^( z+!So&n^*+{(mSw`s3YbEP1^<{Kg)X0%D?AEu6gR$egbI^?e-;QBU$E;z9^0?Jr!&^?>H9sxU&7j(`7 zQ3Tw;*Xx87ZUl7dKB8;sh%*1Lajg!=^oxL=x@&d*BhC>JJ5HlZN;Mrczrk%PY*(xz)9T|S=mAOqF_QNWM4W6xmS+ z9E4*xkLhp*|AWvRdsO$V#r+_Bsdz-k3tw{(a_Lg*)+pw}p<04kSH*b~4nzA?y5I?E zIMkmzsB7Uq3WqXk26X<0tKpD-N}>CsB|97zZBgjH437(k)^OxHmK+L)u9e7if3Q8n z%?f6ZZgk8s9C~QmqjOIGcmUoV(xnU5s}4XlqD~zvzvlqtQ`@0SrPdsP>OQpVcwN^H zm|q#wu1jU+9)M~&Z90~ka{%%#ZPf**&=0_mds}qQ(>@0v54#rKD1ZF{xHP0$_lMC) zh1TZf>sYNCDs-h*WL}S)3L8HNb$`g}spbt0oK#(hs$xG(Dm|_nO}erl67;6%3=>)Vp?=#G9gcf=KjasZti$Qa`=M*1 zB%MLHYrk0;Nz{!}Nc$ni2ac|#Z9W8kyoIB?60Qt^P4R49k_--k;!0S$uVSl0;JU6@ z-Jq&C1YT_$s}m=k4uRPFn7Xef*db6|E<^V$jTQo}tfA||v|b@_%oI)6Ay^v%J={#w z1se_fU_CoRSJkZE2L%>~>()?Z`=G0@!gQ$}wfmrf6=6E_)bl>b4GPuaj+(0tR;q*fUEFc9hun9zPn z69mEm_r|nhW?&#(`B7@`71D5c7SKHkG>D2QG?B)P{vEctf(6Z0(weZg0pG zz|zWSCEoDfdrYm65$_FWx-ztDV!gfLvU4=;P1d3pEH{R0*KlNB&|+GcmX~=GfvM=@&zw#gMfH}~_Y?wf>1I~FcHajW^+yP&9 zADvCjA?<)~Tu{%B<|wyAk4%HJ!NRKTP+j`K>`me6?eH_Te0ESs+YZ4Ive_?1YqvwM z;jURvk$M{}+u1oAEUMiG^;Wc-e<3pe!l7-}Ulg?sHs1v|>nw8K26a#8&rS+wx55n^ z(d-|>CtKnAuAEtx@Zwge3KGoXgv_mQ=>y*El^nONP?0-#c1;el1rl9IoBc`9um$Fs zj?Yf=uWW&0(^F>s`G>baf$F4LF>luvcvnQ?Y!!EYGfafpvxDjIW_WG~YgU_9yctrI zGiSA_?9EV}J!5wAxYuT=IFB|fOEGMMGCzdR{*nB86GV#-n-wNq-vqn9qRx6IW^97z z$sx0&Y~M|g>>g!S$XarTN?lEwFJtBIaOOFK<|js}I}~8lYYa4=JG?p#(Xb-+y2JfS zjixGWl{;j<0Yjsu_PaschDnY2d*R}}_os#7S&6SL4c*X!XxQ;NY5iYE8g9QS$ zMu@y|g?z^cHL03MuI7<}0ZrIcwkuTiLauS1fLx&rADL!!)X^1kx!t9?saCl_3R0&g zc(B?9x+H1WnC~ZXf%hV(Y{y~n$ zS(N7lmAVTwsRD)*9C?AK`IYP91nHL2HL_H#BV2qkO=C!Y>InG_9oKxBc*zkO2v5P0YJ`TvBv>>~*Z3p5 zNYFYaO*1+*PlT&pglm2phlvo`Crsl!QcQ&UZc#PA4zP((JSjx;mCTC>BR^0yS2_$1 zP_E86qiuQZV16CBVJ1v;-NAgZjDF@OKf?ibhL9Oqny&*?abGj@Wy+F0yvuER#*-tr zhpWy_&V(^b?ICaD_zWw8XAjq$7@J{H_u9kW%8?nmX{9|}O;ykM>lJp8XWih8^K`i# z{BX;_jCPD~2fG%_XP&77?BMHo*^E%W#ttI!yJo)Wd}9mezwMZjwLG#lzYeB-CRLbi z3-RFA83PxxHNSeeWk!75(H5qc=g$~8DgyM(PBfFstR|T6QqGy_2p1CIlK1?XuR;zH z;6)~HhG$qyfVgexGgTV(3iB*O+RWs5?Fz{6_VF2KwP*!=gOoDkFOOPbzF;kBhTh@4 z0%q$tGfB;}c&L%Xo=Fls!9#9cu`@|&7xAztkU0~U#Kc3&d-NI4ST{Vh*OfN&WdyPu zD)~8lW-z#6Ii%Bv&iuM?c{voBLY-kv$1aC&_65(Z8S_{U&4o~AQh92V^x{#2`VY3q zB=rnI)H15GNh)`rR*!1xm!w%s;;om~wWh0ojgZYr z1AaTMp44Z|NxSos)L)G(A!It6tqyK4MWnsHOf{Xm7a=noX=-|GzfRh#3snbGvUFs6 zSFqZ7VvUa6ea|>F+4X2z8t}7z=vM(WEv-AM88Y7#`a!xYWO8UUMEF5^!+mThb!zPg z>FOob(5S3-N}8io4221!rljiHo}ph8XWx^fiaLfysTbdq>(g6@oTpvilbsLd4+YDg zjZ1U4SaQU6X#9!njfQ;Xh_OX1`p|Eb;Y$REo%Lto0e$H>gw*ddu9 zevDidO&|J+z4(^AT8GPd{ImUrHBpdgAD}6L&Qn5PaYUx7Tf@+YJ#R9C_sRpgIdovJ@H zRZ6n|{WjGzIZY`Q{@kpp%26xG&yEUJzp_ON>B2s~>L-e`g6!*_u40YV_mMM=DXOp9 zqx;AY6^W|RRGnNpSi@5N6meNjcD%|^eWP_ZJ5WzXs9NOGUTOA$P*qqCt5^DPOR%bi zwa`WGUv5--nu@!mOGAkA=7?7p*-JXDoNRghiX2-qq4ZD5cqJ9_N0q|RrB3pr2$k}W z$(xhwY58I@IT!B(6 z2y7!4M{||3Smg_HwO6XL%8>Iy+GC%r6snwFkcZy0mBINnt>lj{m`VeO-YO;CqACBN zXr7Zj^23z$(Y)v6z3dRBw#DT+x#XmA5Z93jOY6622G@i?Y$Oj1jSjBq8kCafi_I3> z2q&r3F`{Eo)^n(V>`BNUyh6{bBSSEEFiifWRvIfv9-L$#HDun-*g>Acqedzm4;$1n za21*`CuQ9c6p2f=Ulgk~(-{1z9?vWq24ZQJZ?Y&2?$?trVG|0b8uJdhv zBV;|gL$06Zy%7&8Z) zOQc3Vy+7F0`vZA!JGI|gwDJcjr%kJ9(F*d&Ykdb5=C|DDO5xkhia#b80x93|xB@rU zz?ZH+8=(kOduB=-5u{H%aN{JIo2=^lL$)f7yhh&A_pCEJgSx-ZCo6-wi!7cZ#gX7!CNbv3ohgVS#^$xlzF$#KV5M@tz)ba_=`P84}2+|WyB z%^W13mX7pJ(wX7pHQU>Jh2f1<>CMvgUPFlYezK=6vzKSOxlfuoy&$WaCIw0_y=s#Q zN3=fDvU?1fr>tiux&G(T*Rqz1ZPK0;?&~DJXtVUqepAn6GRuuTyScN6#oXgWURciR zX`wn1$tLx9_g4!#TPYFdck?D*|TBSDI z_RrVK&b{C>V5Rfj=Q!`W(%0*;rHOkku(REk7H@N*i>XeMt~2PW#pcTdOPs)8v|2B_ zt38ec{)x5WH`JlOFh&inL2KAuk;B2hdFxi1zXZ{yd!NFSxF5+!1N^&*=(m@E#eko=q2y812-v&fTddbhIL@8)Ud#8+^6u>l z{JV8k@#fFF|57*hx)qn5HTe3gFrM#ZQ-D6e4OfeA_q9KEDlo;%YFBQQcCEAPcig1* z^XMX9Xe-#(sk#gPqNnIdrlu18T3}z%pMQ&Ohg3OO_6%J{_C#^D`5~Z-+pvwPdsSF+ zGSuJzzBE{2(EEkDv_kL~-@XYM;SFo~oAkrUNwE$DSxK0a1K5cT=pqwTv87TxSR{Dl zV37&MCf6)HSR~jUu*e_>7C8`)emm#Sd;jN~om?8&1hDJqB3GD8ARdn{(#9N8lw}3X zoCwNBbddzhli838KM$dRMS{Qri|lTRz7R2jF0#<_xUP-m7qSzIS+_FZRI6swa#0fSf`Ye17ccr=_1nOtzpRWMBdz$-0^6?cd#4#~) z!dU+oy3OF}C2%NaF6^h?sKcEK%yGlc1K8AIYI8-IS-~;&*n)7V zY+oqt*|0gkPJ|mcpy1eL_HFI)!2-uehA_of7gck1Aes_b7js7wmZtN)#P0a~EbQQc zM_N!CQBJ_EcRfC2kN&$|XjF>V?VI3>MIx2f5?nD|eHZ>%XG_280KNq?*!W&7LdNI0 z`T366*#>7agSz_Ynx%V)&H>$%QE(LUYB;BkEqHwR6oU!B(X+=3iycOn9R77GWI7jw z=Z1HBK`jCNMN427{1)6NA?WofJr2*y^9Qr~<<~;Kf)sqY$jb60HTs>7p%!euaYU z5bslrWO$$_BOyh@UBrX0W(nZ}Jndqa1Z+ZIS?d4`>`Y~6+WNZ^uw9n8ZW?NiIg1Ay zdvrma$OOA>(~zHWR01A>CD;?B3;Y9k@UV0b<)ie1l)CLw-)+ZV6OXRErAPyrBKq94 zQ&PUVk(x+mLH9o&gS<&sMWN6Os6XF!l9{vdz7LUK*59&iIGX|1teGQM#|aIFLW zvVE+R8~8`D7&lL=xZ&5A1T$Sh;Dd}dVi$J93vYgV+zl7-Ex-{;M8BG(thG(!1itT| z+}D{o%g{~0JMNDbYt;CLee@%u-o8(o!N1s6hfH0?@5)wgFfb?(%4V6N$ znIL{_!ZXRi8_}RDTooPWOazezvP&Wwegv?e-yYp|aRE(>HY;PV8co9w7*Y10Pd4G{ zNw(49(51U+e|=8qYuTsHni4wcENH&2PX?jEenq!cKjZN2qfMeQP^8cY_+OI?nZ}^9 zX6H;x0w^aSPaVGVB)1*|M#_fH z;cbpbWzVH|Q{W5!wO%*rj~+5I$d~9ooZrN(d1=X8V7tC6JD5ne010$gQ|nTcLm>O! zX!Z!fHvccTTU=0^vD%3~qu<9V(hrvO1|H>Krvup#iX5&(@Np%0;+u`_Wb^pDIpvS2 z4@Y2uvA?8r1VWGOIZ$QM`1#-WSxgh|a~1?)w$CxyTt+}K`9l8jq>*xjHfIup0}UeZ zp@AK)KZE{7@x!Fq5+8m=>!C1KeGBaZZjH?VtxvD&JonQf}XwJY0E%Bf*b<^GA1=j zoiYLf64ZN80By8}+ev58fW(2s0|K(ZIR7(e1Pw@W?2@3L1ghenQ$y!iXFx!LYy<*w zh8cICnFs>X0wCunK7ODtLjzI_QWOZt3^^1<8$nN;CF_gsq^gK$Kz=~8sRS*gvJQD( z*a&)lL9PV>xjVV*DiIuWG!siuIB=>}NTx1Bv%%-$u$gNf9VVgy`8ArwC7|9i94`b@ z(A;8yvd+p-cOsgClFZc1i(%L0x}M9U_^m{v?^tJPa6$UARrSJyxmL;7cP=YCK(_gt?JvUXM)ja5Re#x z1Ob@<1&%U7Kw1^Orp3mI7!Z)4U%-Qa>{~C_$AW;gs)PyE2g6w)AOTdBfPl=BE>-q$8%wEM4j@5P_VKM zjta3Vx&NG_nzA#{$V}8FIhAABeJ)#>>@pp_&$#* z6D2VA?4Vc`=YP~RvhqQEo;mj7zdb_Bw1W+ss5d#}={9*@3K=jtEFBIRv(b$Wn8fkk z0}KE$3pi6HE+=ZYEI>0ktPhqN{{0fe$s}IgJ5>kZW|LyV+5126*>PxX`n>&xp3N!q z4t)VNNK2-+#)wnA9bX4BdJ_u5j_x*fKtE2#Z!h{>>RWH|YV|mEjX-F@`u%H4Q=qSd ztM}ckFON_-^sZ~5+|ehmL&UQcol1a`6F9~_dGYHx3xUIwp@?5Bh~OnxJ%!6BvdC{x zfLrNAjVXR}n$sNkbu2OZPCfN_sY7>fTFCzim#=$=yZm!R8K_+eD2|NmyJsSI3!#Je zJ_Cm@larsQaNOJMMN5Mb?;FP_`*q&%tvYmv9^-KE#Zk+FrcJr3R(I;MS4hCusg6U^`W42H_8+3NMlYnu zeWYTycU^02E$AZwVlC8&_ez+1Y?~M%A^rvOCxNXt6$>}+i%Zh@@m7$ez?JTD2Y2dK z$`#vxd_2L}drh`l)m|`76;+6DDyQnKa7x0QQCfm~{UStZ`!ech_MQ!4Mo!o8tyuL1 zadhXD$qKwQIZ!l(IKh@=PrKpnR<>Vuy8M-_a%@1Bk7GC@K}oNll;-*m#4Wf0)CtfQu6`^1l2|k^(PF`aHn9&wPTi^LCz}p|7`uyt1+naI zj;BzSAxA9D0aBgpn#M8%f#|+@m0r>N^Y2anqP)Twx zIGbBha3tQnFRVH-BBR`@gPmau>zqnn4GdWzp1A&l{LuCG#hoUQ5HRc?o>#s~)~T2S zc_RscGR@iPncq$8%S|A?0Lb<=MV0r{0+YQ6ItdI3R@9BqX-X4h0gSjuuTq}62XV@c(Ao*&(84ccE%hdG z#sStPcaQ@uC=zGfNsn=V$Kh)r&?}CBp2kf?b9V=6&`I@kPNLrsOu4)r`u^xF%8-ig?1=xzK)$KJd-|H8{ z$O$Ilt@dSe2)_##qnyff0lCqFc5zXg`7tg>cw@W(&?h&8K8#()+3f<-qXh!vG_Kr5 zr|jr7nSXz5u1o$I!0gELCi+WF79~bG;{sI#9q*5pFxQ}ahdl(u2cW6 zR_6jR0bZOg4Br9aXD^dn^bV_r!<3(kcE1V)O%D`k6G! z>^bo@)u7UGaV0U|&k9yQol-n;JX}sT`X1xmT#>pF@Jeeohn6_bBe^-gR#-dJcRJJY zXi=}$$HKPaYKOw;jwg3h(|jxdTzu)p$S%iOp?cK&p}?a!k%H1vxSUeWO2??!-(tP3 zPc` z&qz3Qw30sgOao1w<3{epqXpN}5DfR<={N-H@@H=SefePY7Cc(M{|5@kD5W908b0ia zrW``6{C2>4^i?6F|Ex`&bH$@zz|uDyDf%s+hGK@T0I8uo-GBLZc{t)xXt5fFY`=KP z=syo5zfoxbfqdqksJ4T1_7VV8KDQS~7gGizQ9R@PnXTOt-x+I*M`6z+6xN*~hP`I? ztCsU40XhOai}KPB1Dh^{A6|h6AnJ1$5)~ETXAh*2QnCs`X-xK2woE;QicAZ+h6k1*2phLuO67N z>V&|Sb{kpnSTiFwz+Q60*aNvef0#BM*-i2B9krtBz%yEF+EuNlxR?%+@Z>tpkZZQq zHi`DdLi`SuRnG(-diS{D-as>H8gY>fo*&C|J^JjZn$|CH+NrQ=q(BsX-P&CvJ9A*b zb2GkTO6czT;YnKZp}z;b1ABm=fyp@_ESq@zmz|WIOv843u4_n-%g|Z^a_Cz_SzL!z z%Z0^xtLj5rqI%-6ucU8(C(q?gsaq#Kh;gW})v6Bz2d7)Uu(_p~VTugFN1aS^a(VHj zI4x?WdR1G#Rde=j+{uxs$f=T(qLDk3&mtssM;Q%H2eYD>jDnOWE<&r0?buLY7o$J+ zN~fTi!+jEu@9RrH<+T6O&d}%}!EOoPs*UUS*wh-`FROxh8|#F=CnfBil=V)-eEVSL z#Z*r`*Q&SshiST*`Qw1JGi}-2sAnF2?LE58@h$g7aLn(6d1a|q4d4Ftg>5k&w9A>t zm%W_6d0tYp9}zkBpWYcB8zj&kOtI<$yHyRc*n~-`S90P#ny3Qr0jKYEJaBar`|#T1 zE^bLyt>J%aIpc>fwykqWT(*C*w;JDnp=+M>eO5Y;^@R~8aIE^pw{H$m;tCXdY}tv5 zu!}Dw$`7h~(wy#nS6o8NMIy_JW9=?GkjP#e(zq%1Obv2ViO+8xJ59>!JECP*<`@E) zR-NT=?2fj0zX2MXF>)`KG%cyPKOIPlGTdTuHgKOv=vM9KbHQ^BXYKgmTH3Or!G65? zLi1n}DU+Yqp5dVS!K^iwzN1TaslSuS)!Mq11ikrX7^x>wf02NFfcn)e1$nm-7x`uX09i9DOuVmr#l zsL5wYVP0d(N@A0u18vd!b7XxkJSU z$_FmrgezMfT2wjNCpT?CGyVMOJS{XF*5iVqXk6q-?stK5fLk*%9Jl zY2{DIkuIpfe52}>>n}~S4u3smhP9ef^B2XJ;pv#r{8J950<@;RdVMz^`kK2s8tVgc z6+=^Fd8Q0KPWP(wlBMJSjK)HMITo0~is54&&m!#va_s$B)|8kv&8A!UgN!Si+5>+dTswEGc8K}V z;X6l({Qs)Du8re9k~u<)@bLZ2o#TO#jCelQW_`OmkpI8l%S*<@E0d{J7Mh{E6dxLx zJ-%-Go2&fEd$7DMkta{gZf}yVI!}Yvi?XjpG`w#74lCzT^hGPxo ziA&1k;iluxy<(*gEyF@uy!6vg26&8V>wNnQIereqw*scvi z5m}`CR(`;bD$*vbi~VqVr~3TzkV`MbUV#j$h1*z^`O*S@XU|KdrJKT6IsE&EMDXBk z`z09X)qd>*gjrhPwDZ-SO!)9Tbq*9gPXufXDxvv2vSw!*N_dNVTmf#wuK8ul6pe@U2dph2;q0FfH8E0{S%(R-qdkVb6H0Lp{3v_jB zBQ8DY8ct7BUd7Zqz>3w6L=wA#-l=897*z(E!pdOto6i$=a_UMk3jxqtvU4tWbqvpq z7MEj88|W$jZeO#bBWNo1)Nhzf2spV6)0@I}o0pnBw=tp)w4@nH^KaXN7+m8WoW;B2 z7tAKN6~v7-+{1W2(9sT0t}kk}sSVA3fa#Hd5ll$;eBV+)Wx0Q%2|+_#z`hpP5@e#k zuEqQhfKcoXWnFFFAlGCxU@Rl(r@;YS2T$oO+!Z*d-{hQnJ6(A*1p8BsSwBIzt_w}<=ppOU1A&NiYZlSn94)Gl9W@>Fdv`4)K8~{i)p0A919Z+%K z4rK^HMv~>fS%EY;wwLt*{s1JdOIJG>7A6ejHOao5@R5b5cESHeRE=1)5YJ_`u;g7QzhV-jBxBDt*wj(XR$@9w0?~rBE(AfF2Pr zB4{T6|Aa{LLcyj{`W?WRpb7u~u3bES!@{e9y6{iE=evc<1@j=~P8+o26* zfItPzk(cZ+RxYM^3IIQZ7E0IM5h}SAONZ9e=EVL&W2J4+>NLPDp=I~_YsaubE3Xq; zou8QBPikxuOsV1lFNGHIk}9uBwH29%)_RTUjk{^XePK-$;IvRku!hAqY8}x2^#{Os zp~#^1JTL&& z%#X)PO_j^}hV6idL&49NjpjEwSW$y0M!G1BQ<<8^)1F`)9)MDiOU{x5YX1NXA3$6& z`fQ!4k{O;w0{kBe%*4WNE!GSoir;=rD_=4VQzLfELd+n~W{i6MQKAy{u>akJ$pL6E00C~GPVLqef}fA!N>R?7DFHF9oGRpBpqd=^ z@0AGh00>OjnXVoqfCU3s3YgAHrAazOc+w^}IsmZ;;J}1lL#cuQb^xH-Yx(k4QxI}I zx3@6ATyC&(2UWV#<2ngoO93bbBx}%CQNRnr)6LWc2cRkeG-xxO?g|%zX9O^{hblMC zln{Z#pEH9vC$aK)(7G#e@lv zzV3D*h$4XKGbT!>jKljoODhTu#uk;8ldclax?EZa!V)wD2`IH}vwu9hh~RQo)b9Xv zvL8`*NP#>j<2(VxIp`<;7RBy2ZpdZD78Wu^6R#b<-Ft+coq>9*Di>+=9|+*!fOaGs zWwmWwQUXPVSDo4$9DpGAH;NB86sz}U6@rrpx|y{+rNCgbz11(h@KMQNjg^Ngs7U2| zf&h*-XoilVgnEI5>z7=Z1tpa`0OjvT)Sgm#zin@PA;=Y=mr9`X&n`5?B0VpH1QWw~R>bK?mQI(s+B)M&Gf1 z9Dk}vTWfDIzir6k6Hv#V4b#)S&3hW!@N6)De#p+B<9+(k4eu%ajrhK8t^W4FAqGrB8{RRv4U){cHcxv% ze#`_%E{@npMaCKlf1AkJ9#hbR&5~Ns5mV^{yh53{#vX7d$8zR$>dH1XbWifcb>XAk z8|Cfh!@!Ny)HjCQmc-+mXYBy>GOW;!sWzlBD}KZK-(DE715!N@^b+D1SmpRW$K)P6 zz}#G89O@dZ6wzEtC5p7>dOM3naKrgAbrF7DV^@V8Ab#rGU1|mr4jO7N;EUaQitT_W z0LY0O_=-#C@T}V{B0Inv{W&eVpa{Ba;uK1%PaMy*d++*lat!cS6%;MdBzVma6qX&J zog$Mj9hGl*)$W@w$y?D$vjgS>AZ8Z4Lp($hXS*;zJHT3Hq#W{*H60Ch6W|4Rg>H5h z*J8pe#Ep;VEUJlifb=>hS1xtgT$OpIOEkoVkG8<&0HoAP*TjG{iL-r(#ujj98`9#Q zcNX-H#H8T&E)P-IHW>&h(ZDfgW5>=(mXr_{8f*dOR^P75>!@^;mvJQJ0V9uXft>;f zxx>B@eQdn`f#{koVC*)G=lZl)-tSr+hxa`jk!NdVq`bqTM@u|=r0~WjrVD>w!pUmWaNT|v6+MTIiHq5Tfi6oPR}lCsa!rq4#)RPCOmEXZgSe9fCsK= zM??h`UsfHm&KA&(e@nmWVczAMTHm0wn!x%43THaB9FIm40mkI=RO$ zcSJ!%+tM9^&PZ=OJuqJ=Y`MH8JQ0=Lzsm?W9r`nE4ZhCz1w~3ko7{71R@n{-bH!kk zMJV`t{cZW7m1zw{o_=!^kBMl@e0OQWd7C7@Sng_ZSZ5ZwrysJ>XK#8WJjuFFd~R;Q zQ+;|GHsjrUxpNjhp(zp$J=CAhymwD{o^g=~+IgSxe0PbUT+{gdXOn8~qqF0*FKAg_ ze+=9o5D-yv;NQk(zAK(#zVFXUc35qGVML``TeCHG^8|;8QVkO?^&%(9({qWO|ABh9 ze?c7$*^i2j)9R5S@6AI2EiputxcFiR>j?>8Ct>(n)QR_x)Zw)GoUH1N+2jct5v4)? zMubj8ylaDY>A#vUP6a2THj3f(@kr!M;*;&}yG{)H6H!tn#dqqOz2u2YejoRBLBZH=uF4wcYQ+5$i!UwP5 zk!&Taw|W%*KEi70)v`QGSC0=~XZ7+`Vj9`KDn9$7hcX!(@@ zpX2L@C>gcxl|-}SrbfI5X56DQ$UG4P`gd3R)D~Zu2hn_sJHsJdy>>h7hMVy>e-}71sH- zlZbD2waPIj^$eBM+eU~UT5u$0zHUpxtVkH=`Yq5Z3pv20j9I40Q-~Mw@WajR7>i8NryGk2I#G)+F(LCjGY6Qf z0N-4ws!rNVj430GVDx3&j7bs;b5srL`*0qhwuS^{|hl#aggBAn|_)2t=D}y=1T)if8oGBZ87m*a6k%1 z|NoMrnBPZWkG0(-=Di-%^Q>lgKHf=xNPJ#GU9sYc;&G(m`t=_RdSx zm~uj4j+fXHM9PI#q@z{&Jj~JuG?v1-ee|`Y?y}#g89;cUT10gX=t9!#dI4=4d*F>pDk|jCmr?Dhj4)IjVV07D$XI&eui`qlYN$zkDYoi zDLWY+OUi)na^rz)jtN9h1H&>%-|Jhc@jx|7ObPcA7n@9}}IHsoIHsc(2T{BGRzNJ)8|hdrY1>RG3soiZ)G;VwzQAMAyc%Si+OK0f*#mE?GO(I`6%3=5bv z_h>2K)$s&7J{{BRn)ijiz9s2pQ+*s?XCa}7f!_frdy+jb6 z73VV@-#E24V)Q)JIFApbED4WmMgi&Y}GiP@OjH+T8&ISBqSOf-^ESSFr{=^{J2LZ z-ffynathi*)?(IJpsC_@h{4V2&8inNOlUo07kuc1L~b(pIeqJTo*xa2Dwrgjy-1}y zb$1srF`YJ%xN!Lhp4-M@JN=WUTEINGK+%<~jcO4%{`V!M3U z8{drCmw}d!&%(2FTmXzLKn3>;bErSjWN-#xBw)H>GQy8 zQg2Lt<}xppPhdW2pyW#e$zy#k089dTf7yA_({1=iX{oa=|EXwfK4dl7SdqD4bOCr0 zxC2H|TZ&|6XV*H{qiZ@@m?0bU5v)F!>g@_3B`_C^lqZok;jbk}&|FXQmh?1W&cbX6 zrt*b2SAZ&kHz5)Aut=V|O=i0)-QyIP^BcGr@QyD=uDTvUfhDjuP@+RWI^h{v>W8kc za@7KwWl#@aO&o1-1=tdEMxcflNle~kzw7YhA{J&b$2=4nr3*S&055^DVp)8|X`*D7 z8otUc9u`n&z?cRs7?PQ^WLGzUFo7?Fg1Tey(c{f~+_GfnConHNaB$$)mW?vp0LTQk zj>H%F#dZ?M6QQTvbkz!3B(TL{1`ua&UY;AknZOmY5w+4tj7s$lw+u3~88giT{|H{R zk5=afpe8VtoKb~G5+uw6tunXQd+oWAz?6sCPrT&@wb`j>Mw`HsvJv=h8esmSTT`Gh z5p(Bb?v*l~X01B_oWRmT!OKhV60>R4+kI$g6Eo6k#!-GpLvsh16F6di0VW;VG%wzL ze~}~{8v_8|8ObS={i zqsOJDquV_)UjJ#{062H>UNv-;I{=@Uo#(x9^>Tdf+rb6**Lqt@3s<3Of#=}siVrwXx{H&zeZV1l=2kz5KX40+dXqX0TQ&9{>=7Y#f2tl0}J8c zK0C-0%A2(&v5t`xP~WzD(bUvHS2-j!_8Fmv%*<{0&sz7gkOjF37wyrQedGzf6KP!h!;;R+H^5MyaPE*0o5Z<<--9`=cwNMdm zQO>{L%v)L#SGbyylrOn3_VHfNrM4I-hAtCk(C`X%w{x_m`JYwjIW(7UNB zpBLUf6VOA!N0mijZ^1{fm&D}^lNJ!$mC6D6Z`lu3QLl z&NU4aduI3j$InLv4G&&Vv*_^q+E~|&xJZ)T1+eJ_wPC9c^yk8ID1+hYjg5)|)7X81 zn^C-|Puuf|p@2*_AEw+Q-qjh+@99N~7Omv^h|!8gaeneB15o&tZ>RmE^R(|WMv1xhqMMJ=$*FQ`D{#R-zHFu<75g>HV~i+^12k| z{|qSQ2VOMxjakhQL)7hk-B4or@~eF(oO#h)%R?aqnDn)cm1K0{JZ>V#IDa*18h4R>aP?# z?i^iDZzdU$%93P$+diZ#hrCuJw5|GVnmE88HTH=G%v2vBDh5?+qF?oM70KkF!GF;T`BhP9mrjh+vS8^@hihDb|_`SH@uaek6$=pyEPE@{d zvo{9xkIHxYg3)SJ@a)_=zP=0R{Z^LkIjVf1ZMxc}9@_t!JRVSxRP@eb!LYY$eb$L9 zsWn^y@@c|tQ~lUW&E}o}zXiC|GG21NaFY2_9KVxQ5zHuA(rn0LUVQ(u{zXneeMsg( zi&Mj%tyaIlNxisFfo8kmTm)nKJKm@+Twir6Pwg_iovE$L^86XrFbcXaVew#(m`X{LL9N03`(s`WSh--)!8m?#t z^QMQl=!;DI_7)BJ+wdYCnhPuwFH1D2)4!fkcN7z-ae!;g>R0Cb7Z?_#%>|ZG{$rYC zp1)1|TGA(d8&H+6E)FI8&y1`-(PA|)bMoo_SpP55`x`NN90!=r*O$w~{MXWRNUc_b zz0Zwf#=Y}eUCJkQ9T265-CZ?%ujTkRTF=}3#{iA)9d3&weiG*a&)QuQE#EsF5_9y^ zy%IpipPh?q+k0)mwc(St4`^DQYedf8ua8UZ+O5WQ_U-ac-P<(a!}(+l04(ooB7wd4 zqAaJn-D=i2TJ>5h;`<>NzM`ksV9e?Q#!>>NH50PPx5+DxzQ;;0uca3U( z$Dh@tQ|`P2;#+BCp8I4-0oua5bq_{;J>)%oa;pm@@@4{MzV*n;O_-lW45SGq7jE|b zvz?Ttuo{#ae(c5d{X0ipgV|wlK-rk7FXs5xr{^sxtcJuhu1|&g&eLc2e{$0RVdPb; zx6vn~XZ;21IjfILX_G#GcR49AGY$^uDu0)k4ERJE`rSTV5d*HP=?$!H^QjcZAH}>p zVj#P6NpboO!y>WnF z&G){R(s2Hhj|oUp$4rbQ@0k&(S8X*U_HNZwg!k{NA`)hU!U09=0Wvz15YDBFs?-k;CtS8s$lLZW@c`vW( zMm*=KkE6$|ru*abY`Z)&a;_D9a*zQrP|0-^ddBoXz19&8T zH=tyG%u8`Z&3^+=#%n$u^#hO zqt~?6aA1>5Z?MP8iKwGk9R&WzrpcN*$mb4!!ODKsCo&;l4YXIWkU=eBdFrs0i$ zz~3a+wZrF&>wD4!!VMVOyy5ZGuzjOdJKwAy#m^5wdcIfPRqe9A$=ObTxi08hFYRnD z+Bb`zMx5qku1T?v=(`+DCY^vArOhkNUy@vcV{rD|w+#OMV>?&e{b4}Je&jVWdqr#Oo9(}l(MRz!A%L{s zPw%*S$71t%lAa(F9l5b+a*uE9gr6{fKk>HH%|2_V>ehoR?K})^1@Vw@Pj8GSC7@i0 zpI3q2&DYdAf77exoFIT^T}RvG|Ju9Zg&I!3IraP0ZqEZ{f^GM$6gj{G{~=obNg;ZC zY^?Kt^HVYWEDgwT?Q~}SKk8TcTp;jhJ67$j{%dCuQv)aS-y#H|?hT8ztNu}29#w>) z9V4D|zT+2oc}92fB7V*XPWgFQf#;u&jK}^=z}ET`**X8;bW)E7ZVj)sr!w8`1zPcz zdxPw9oO-^YHvLFS7jjcldQD96I|#th?_12CZ5lkPBhYQ6U8S7h6C>viEy9iFSwupw zyGwE>`RZXoTQvr#HHvDB78R`Bf9dKyiQkWa$X<}!c>Ymf<&8UD@C<5Mf{*pL1F9#B zaN9Z@v2oTtM7s0GN*A}}C%|{VzdBtwDKa~%S^J-v1C#jO4KQ$nmZ7@x(C(%V0xK8T zt5ls!EZ(Svn_z_Msti+^Ir>T7Brit`x6~wyc`p4n+nu+;$|Mjc*-yGjGv`HCIgC5g&4t(TJ1+q52RQvV zFFkY~Adq*Wo!|5qbjnV&YvBexp~m?1zD0+RMP~Q?hA^~Eg}}V%qBbrgZ`5HPzmEfD zZxI`~smMW)j4O0-p~{7oJb|X|p1BTg_e=Hj&GZG9Q-mq~v=C?Zqc_jiFNwl9E%Zx@ zd1ri90BHYnq2l6&+M&!j0>{U44BW|`)duTe@-WkPwU=(dOm1ImmB^jP&~ElAWd7?) z`_2CAO4g8g z9G9_7%DS$QTlDr_!DlQGV)%u>$frI!t|U@{1rknY1zTIKt3VT*<;>to`a=Kot-lw( z&@5uG=>=`UUB0|3qjjzspZ`E|;(+GC=-<`{>xl56TTy6nMN3()4yH+OtyyZii%+`E zznL0MYaxdrJr;LfN2({wvWh)7yu)Wx5ZB1?vS3j{N7@LCp{Q!emlHV|I;n$6SC5;u zmOeak=M33ogr&n^Z;Z?fq%6Q`CxcmfT*vsjeOX7+XQU7GhOO2qJp-3?B$z3}|FLgm{S~d<@yrFC z2qJHKHN1eAhD?&$t zfa3dyJQe-6SFokJ66S$0j)jjwN0UpnO1Z7eMn?#E8^l-#skmq)NP=lDe6DUcn@`N< zrK<*(2|^+N7L!x6QkV+DFc?0l2U<)w&vL_~E-aJu`EcXW^-rgzXfQ~ESu%X`*GL^A zrloFj$7K@4Bf))?uR6RmnTKYBBp5}*kCH`n`o+o0Gf`bykv?9&FjnR;$w1>l5lph- zXET3n@a1@3n>tKOa2(l7?c>MoU_uCkaQI>O@Hs&l=jIG3mPq<^y1|G3t7B3$BqYHc z9iAS~)%1O1O6T^7B@u)(UzHCw(uaE=4}GwMIU$VU;c-+P<1hGNSk~327U{$7ww?p# zA1Yx~2vdA`zBTv?sz({DF*h|qyL4OW#2l@JX(22D;DKq&S(qG=+OW#zN&0NO|C`P; zBMcZA!VUqRz#(n-wv8Cp^=ecih=zi4Dsr*(#T!F3GbF(Z0v_r4K6hf?*exget4R82 z{TlX@)o%=8YzP|+ct<>Mow=%H)N#+x5lm1E{Oz7fE}9&QU;zRzoqS#9TSeZx`1(mg zGQUDNC{);?;UNk3C-4@tV1?Q!4C8y%6C?^ciw8be1#5Q_!Vm;FdqU2h)D5@GcOM~nBK z0};JaUD%O#1g(IJCrc-@gK;8kuHdZFp;eU24EJ+_M+m+w55J51WJ)wqB*Eef4nOv? z(2=gZ!8W(IBE6Nseth@IE(RJZl3;HJr>Tb7U0b>;v3Pq02|~H)SZu{R-4=j38Y}FTshB+j69|jR6Bj*crl!w6@ou-j-*@XZMgG5)6X1hTh<)vd1&59f^{og6Fe4wzTYH06<5+s5IWeamcpA1(a2E*+giAH-cM99!wo!*$Wq$iuh%}M!TWZdrwJvA4I-Fqn1ix@CdtNxEU2kSzM9k z9p&5ClOPTaUON}&k%Q7am_5R(8LnCjZS`|P#+ATJPY5~*kFk(aq0&ax^;iU(>cdu8 z^PUV(v;9J@q)l5xaIY=!)f0j|GmcY@2o~LQ^iA=5)^M~%0;SOhb~QLl-mff}w52{2E#)j;Uf$xTrEXE*b=#~i9?2$kJsNNmf-0hiF zsp?#Niy*VG$h1+mNHl$x~62YIse!vvlPoumU*D&+uAz{W4Y^lUg|^-(4con>g|_tIbon zo;v>`67Ug6m)+ub<$4V@Crd}T!52jkM2E~)$GBT|veKsINFfREishbXzPo<$?Ae-J z={d_TAc#C%MlZ?}D@(aaXGK6~gxoWkbSaL_Ka-f3E=xW`kmmJ`+@&XSZTwPJlphH0 zc-3#y?lP6SJQrU%+LnBZ-~s7~yKpUDYRycNkbn*8xic5<;y)4)9xpX{!#+uZ7(C?F zJk+~r@k-OoBVrPuCE=+OUzbQt*weVmbXM?>BE3c=WN&SHoK&Lo7m9#Pd6)in%=vko z`?ff4+4Sxlk>2Cy;iFT;Dk+mY1tb7lPMEH0b++e!x)>|9Qg6s6=`AD{&Gv_44ZrsJ zf-eFt=40`@7-x^pbL3dVvvC@p2txRf)iW5kdJsI226fO?KD zxC@<(Jwt+{E9so@7=i<(p?^0PE%l6ZJthJsDCESxFejIR&=*lkzm^TrBv1fAPSJ&Z zUmT^Z(`Yy%K#GoEqNyD-cy9El%27dY1VN$lQ|3A?*KP}|icJC{>DAqkFAq=iU8)yo)!i=e{l3pLv(HNo%KcN}QSp@&w=MoYdH>FqOpji#$Z?LLl&ZW93qcVgzp z)*ZjJ4!&SjPO{9ll0d!y+1%UXvu9b1L!+IWMS#%_*3WZyywBRhVj0E^WN#wKYrbmf zvSw9YQ8{fC0fjec;jYfcReev)Z?Z1Q_#~ zc{Zs5mIU`re&f${CimU=f|&>yz=enVqqo2J&M;ys+q=q5MWB9wT=H#Ip)8PlaD-_> z0)(({z-ZClgQY49w0q25q!H9P4cfxvfl`UjZK?vb8BT$Q8>51Z2C{wr}K3R{O+g&9@UdDJoGOH2l>IRUm8wfxG;{JcpZD zBQJ3$qopB%@h?%x1JQ2r5ipyt7J^i?>s)&`GFm~#A(@2|#YR(DZq0#cQ~3yZ&%f}= zEoZWswa#L+&?H0wtcMZ<(cbd`u%gF4oeiU@vx1z+Xgw-I77Rm_F8$>8EHn^pQEPxR zJ*Zh4-!>Skav-B+t3EN1F|FDgh<36yz^JAS?34O-Ctv=Cj8?Zi(q}Q;8@w#fx~EDyc5{ieH#)-em%opw@r-J$|B_5P@=5r zr}Ylahhh5+T?HsiN|=gszS?*B7wZ^kAvTA=G=3j63<-@|Cnz71I5`2l9!KQ3m5Y!st) z{6l2=V4&n{`i?Ey0JOEg0UYsA=Cj3r?-sh*h|zLipJo`^P&O8T7=S+mgZyoQRom~T zq0ZK1gbG|nijX`Mklp7WF%SSm0r1N~g)H_DH<}!+#0WglhaS4SVp;Snr%NtN7>lr|+4F5&rR4u$iD#ZqIhAX8=$lz=@|#y-s1e55K7Dwjf zYJXr(fHBVwNoXD2=VOhD5t_0VG$<&%8*Z!}^9Osem1iI)084(4? zl>Uf^*#K<&(9gUN*Osy#QOO9KxrF>Fl_=x;ZJBkiKhQP6#lPhY@%Njien}N02uGjW z`2EPHHh;wGd|{dIxaMq-5Y{0)g$q$mm;(Uacj59AN<_}`WMC>yC(&Qin( z5Yopx8Z6DT{DC6^mjAoux~NT6>?Dd9;YM6AwxGmtNXY6`f1r{e2QWM|G5wU;GQC=i z;3a(uBAyNU9YkI5r2h2cHwt7SNR{8r|*)*2-5;b3>W2(GhVl`{DJ0z+{4i!_qadG zMp$GqKwqd_0Wu{$wfh475gSH@%*4Nv+%$h3YFkSdBZLg(GLnKXo2Nfw>d250d2c+IsI2qay^4&`J4ldJqR{hDz_z{q zK4<;>YHeV%X-(OI(4UsP;ikL`ry!pPJTlTMtz^%tzSQE^ZEIFQL%*-CdCeN%xQ z`b?4w4@zBd{`C816V`0rb$K>fToBIPVWXF?*`W_PIr%2T6316Nylu`-GoD4gCX0;^ zzA?2SXsFRo4LS6iCLK<{HY|oUPcnFN*VW>HV=)?Qy}M0{ehkI+6W+5pJR1`DL^sZL z@~&DNh*|pdja$ zu^-~-Zikl%Z_MyT@*Rpedxd_r)|;`F=*dIQX&Wp3O#ZfOZ+uWj$2%K|Ggr%s2BWtsc5goJXZ-1TSx2h5FA_1?c5bHqV$Y4qlsm%1Bb2kvTh}MdXuXaEv`8A9LcjTc_Srv z*3-xjOtPE^-Z~|`4mxCU_Bb@XMiJbjtn^d}F!AH9ob}d!u|K-#4|sJRdcTN;=7Ahd=sYs$Trt@PSEDSBa%KQ(LBII?Zfe92Ftycla?Do!p`Ox;g#qO zNVZ=+Ie-)2KaJF!k#nn+bEagIjUQwLYaRo=Qprmn-_+y4xQEa7mji|g8kw26=a}+= zmA)Ut5WSpxT^oO7F23}mqSQ(+-Lpe4eX;9SWf6|6M{+F>^)uWA z)s|wXf;Z=^^h$FsIz^BJ>qfJ1+WnWYJ7;KfN>7Vp~H zZ$>Z%=AomVIQ+%9u@wXb7QBlT?RJ}V&RfX$gFP25_0=L$bXFv5y4fl`XJ9-~y}7;e z79({ld3j%^!cs3BtVD-Ta`CUSemth|zAG@Hjr*?U?ly52Rn=vw7rz^#(=0hVo>_;d z9C8OZ)vbB1viYyc;V=2M1YaQo9goFwNBLbmB;nGvwA_+szET@besy(kspZh^E8cjV z!Vb>c12*wRc*de5%<^DMr6Q`tk=%Eq_nf6(cW8(X@Zt<#&ul!R5y|Q(Y_=O!YMsQ{ zt9a>_dKY~jx)G4?bdMzA36G?xPl_fxzAV+1TvZ<$MzAFs!WAR1y`6~%L~7ZOzi#5T zYvgX^U1V>6Vk--}vxs+a8h7LQ68|^mIgQdyZQ*qC>vuW_OTBE-4qbxCno^++9#1K5 zTW*tb%Ucxt#Jf&1)>{(v_~=$84!qiA@;TkYKW{Cq;9FW*z0unM3v(cioOF`wxnR{K+9aTa)pI-y|?pv+nwer)0u@ zbG_=(kS)tPM79wGcH_~U;?6;HfwYGe>_;9-_)urAH}+RHFj#y?IBCH=&3%;rn0+vyQ2&k#+TxxPf8 z^6S){9CE;wQ4XGJGUhMIcwCHSag@wC*nfoJskAd))E*^2INHX!|m355z9WJaCu-9+(`qiyR5mQ2Pm zMR}SyHKAXN2g2558CNHAPsf$<#FtioSTNIf0p-1&E=?D+zh0ch^JG6|GA$DsmZ@cY zGJVufVWyXC+Kr5rXOo{e#lFVlXda0iVZ7ZW`>ue@v~H4_>8lnDCz|i)kkiWFbl_<= z<9_;R9OLwd2SW12de6rMN2gsjqy9&+ewAwE&FWOHg7C(O1b=M4B3%KKW zDPZsVif8F=^D>{r+P(9hlaN!dCtflGRRbtKl`_@RDRHvVn*uzFcVIxaC03ax zGh11RTZQJE>DwQa$78miC3g&UX5mS`V%GA-7{iz`*8;L8*pE*zgfdLHp@rnNlJ*ol z2>3n6gc8FwZBD-^K9Uv~L99_SlNS_;nU({gc#iO=C=EZF@l<)QgdFf=G}BBk7-iJS zx=P6o;OyIY#7U&$BUnC5tf zGBP?Rh|8raU*8ZcSBnd731A{$gr(P$FA0RNO!acrO0&>=PstjmmO4Cs zYA`bQB8*X^o@yfJD`XE%^|c*_)|ocV;*9LkYCOIAy_bbw7~@@k#0&AK)!MrRH*4k1 zfwDHT+bL!_9)7jux%7leO$CaVVm>+bXH#&iKunfVy=dP}uH$zV;n`V_y{w2(#@C^# zGI7vH^@Wu*@PDgarRIUvJz}?TZayBp{l$|v%3_#&(DaHQzG%xf)i;S$-Vb$`lLy>& z8F+Gcq3r^Xr7VfQFeI+4N#zmDundk*ze0T8bvzjl{{Av{Zxt)Aw8dtG9QZ6Yf>_@5 zQIY;mydh%j$miVfhn@l^_ibC5|^OeAijd^MgI z@6*+B{G{Epsiu^YlhQd8&=G-D*KjLW#)McHmk0ucVGzA1>dwW-BG2IkwIOR7|+iw`kVtYsyT} zz#}|rKdcVEzT)`}EBuCHVIAcSeGE6p@th>P)4i(* zM&LYpz>u?~ZgtxoJm~+6@Y8A^hC7etMp4TH%T4r^af}90#uvfd;TUs?{aY%CH9rv7x-bXAAiYfGyl)ms5ewG}xX%t?DZlUX#6n~v6+XNh1 zphIA&g~DKo`sC;d+>tQVw7$$sX~NdBD6FIM3=_T1xY9g6i%nTu(3_4c7LtTXY%giS zq$Wag->f&yMBm+0Ngc^JN>R=Ar{IQ$eD6UkFFVXEu9wJd4NmYhJSAOBmz;m6W)kXzxZ%-)Y)RNPZV+y#xZRxN?3uTmtP@ar zpS2%0(Yu%#%cJLn65heR0l3^ELpwzFR4STG#FXl9vgjt@P6G{w-8Zd8CnaVA^=CIm zZd`_JuU(pI{VB;8+cI5E^eSh@*G*-oDdzihpVboU`{bPJ8XSD4CfYcOsae^RkuoMUwpM&5pR(qoXUrZ?E*^Ku{@# z`6Ai@ubl-2h@rEpzf5vB*n21L1@Yi^Y}uP<63@9p(HxrIZbA@AmoZdVB}Mn;pS2ym+!?KUQ0t;0)~6guM@6#M3;&sLH(49B+&!z(dGHzghEQY#a^huU!4 z2dgTI*+uDRsmXycm!}Nrs>Z7O6xOEZ4JP2s1N{l(X6l|AN&m*q&rTG3dB)Y1`mn55 zk14YSiZ4y{8f$Jre`p;=#+&`DR54hblQ0-PKGXG*&SoDA6G9Kvs;-PC%9m$j&2b}3 zpWZZ#uXus5-aUr@@@XFm&FGO{j&GZ>o!?O#fQia4f(jz_6Ar?y?< z_;u%JAB;h0^?rt7Nv6Mya>Cim#6&OAW|+U}>!F0yNI&ajESP3a45@W!@*qWZgYgBS znyS)aKqHs%%$q;kXufZ{x0zws8*^SkSycP2GtoP^?Fw}3M<~^PiqA5eHG&*VhT%KK zy?2so>(sR-;7Wvnr!=_5^`qp=XrHyX3#Y=n2wM?zXUfJUi`E~EO$Z9_tBDbl5`IwY zXO)gerkui%o{Uq^Q7&qejZO4zS=^$y{CNt;n)BJjlhl<=X4tV4zg(g$RQnMP;7TU% zu2vG<>}hNQBA)|_ovRp?6u}-HMc}tmj(i|ytMTW)+uv$eT5o?57Fz<0iKxszSM5p@ zjo^VVFqjC~+{c{L(KAwC=K#WqSkC9uZ&wgb?&2+=I}zr2Y+bvKKBe0*0^lbiK*MXQ zSN=Qgu4W=IPND(r@M@t(N6P5tIRG)5dt4@@4wa_s=piwJY<+IqKs`REBmLadzq>eQ zYDs4+kXc~I?r+r5fAV=UgsU*oRM>V&H>48@UugYtOf{nOj>&gJk5?LVh{=beWcj`#G{mgrS9#?#1J8yeYTr*Agk2`WqA3gu;$q(yvq#8Bv zK}btUy>2+Bx&G@ktMW;Dl05ar-@)UF)(ihB?3!8@$Q|)P~JM^78p_5)LW8T8ewrEdvC0wdrBAH@)-2t2*?qSXN?R%WlM$d2g zWKyVi;{Q5Xvm~nC#txe9TG*l+=JaPU(>t?U=nLFS>84;uMawZy>R$d5>HY}s2+;Zs z8yULbY>Vh7`cKmBgZeDKXMx6HNwiW$nB?7MPSHhP@huF`x$7*YFL>Q^!{xkaBj*_; zn^u#|%tq7kh(NS%eZ>3xr7XHZzg4+DvcKslvs5iAKdH@*4Oo1ujhyVd&3RvSollPp zJh$o+_*l^zaC2`aOD&rFG4KN95{6&+I7QFfc&5_(Lgmr=WdFMtjmB!xT1xDt|H``e zL~AREest{B?2CB1ef#!i+%JrFk0+U_wezGeFhMzlAtEIl|Kr(f>9KT|z=*8`0l;Z5 z&OFJisQrE?V|$^tAzjlQzjUHJivGO${OJu~fJF<>)u*K<^CXv22(5ALWLub95-~ih*b5c}lA~pMu zt}l8QBgyT?Cx2JZ&ANXT!z`%;%PlOiC9@`zq=$(umXNB+y*_YW^3vk^xOE|_GqwW!B-Ik`5})(qeX@OrH?z^*DPuUdLx4OrqrR2B#xKz$Zx*$-z-*a39~3V7>c#J z=QAvZaMw0s1U_sEO#LXzKdnrH&{D3PQ(Q7p8r0~X%Qth^ixd9zY+!y=bk|gwzN1yH z(lpGe%*%!ZKW4dG=oU(^gUJ_x34hz_mpLk0kjt|nDdjOAR#d)!u`Ja+KeJw|_bdE+ zcHF0Tq>FF+&;O^atXgB67+`ia+~U_b_pe$r*|;to(GdSWn0(@$=(dfl7>{Rt>?*j{ z(_0?p{uR^wiC)9-Lt2z(gcM%bR`wr@yV5lVlW*4jI=|;+nEQK8k>x+Y9gGl+e~;It zkBH>XO;wNyt1y!0-^gaM0^J+ri+sJU;fIN)*|(%{YSzO~KDQk-!_P*wH|H(QecabX z9q#=zNQV*k@xvxf#9Pr4C9wm7XtuZdPgG5nH8I@n#lvej9cOO|98K`)<;0DxhFa?|%5h zRo25HQQ@F&Iz^zns`hyIX6W(YL-wd!EQBxT;;(-Vj(Aj=t_Pk=eC?U_3^7+;>LyPpgA| zZnXi6XZ8AuwzFNAyG0Sg@vyPhR4Y~Pso9X;NK+$Ex35}nk2`_`8lh5D2cP+N(W9W| zWXNtto@Lb!zFb$MaUJG4dg(0}z6VqN+evIo4u7`g!LwNps>WgH@R!U<*AD)rE6yN~ zMtl|(YrFK4biR8w8v>&SXPB22hh2x>xEk;VwFDVPP49FYDU08He(&DtgxQ9x75x9lBS#&q9-|{ zrEip4TYE-7oik~4&7U4L+5@_3M50aD#CA1{F88M1gJ|rqS?8{CkCpGWYliev zv|g?8lCLvs76qExi&(PuIXrpHQwX*1*5yp@8TOt|*ZVvY--ceSBUzGtKSiY; zDVj96)jPC2Wajl|Pe$zJmvm5aBf4<1C;HVBl5D9-_XUbO8zAFKH$1%A9%9)d2ewpB^M6<<#IxE42%IF9q)!jfz6+RdvDeqhkc39u*R-?(rVNjI zHz4kkq%~{rqkVtjmckx%;ZXTXaxPo!p)I%?jp0dgTfjEm%3@@+?Asiby}pe`L^tp1 zqJ8(|zRn(k=8=f{6h%dC_B1i~n#5&Cy0zt$aNl6x(fmQl0TQz9`A7`7UkY za{LE8qaTTYSyC-FdYkq_b!B!tp-SgsuxB~#g`!8h9U}e}h_@wKT1J)94rNfhcYrD# zFWb!y7ye93D02$L4FLv#>-L%tT%tWqKFZ!fXyS1XRu$1ccASdA1p_Omf)(0#4)eQ6 z%YJk#887g~RRo_7#uU)H{bgCWpP&L@;R|HpdD`zBRnrbakx#vwET5KbZqkYC4Qvr> zOzKp9$f5nl+BUEQMC~9#5Ix$a%cgy@_8h_O2o(rbCUuMiWYLzhS(7{TJwT+j*3p@? zk4eWhxLlzEkNNp3P3InMeEBBlgAJ47LDDUu=`5(oV3>uy*Qe zgh<& zxPIfo*DX%8r=~aJK07%OAWt&e(&9k-!BNa}&{rsle!kYcgZAnXONc8yY!P2C%DK^G zPaCS)+Ts9;d?=U}%{Vt&(q=0SA2plW1@>b3HB6{LBb0 z6sbUTzvvN_XF>b+UeF|7T8*nm9@WH~(WY*jYCii&ARJ&k5p6>I&RE89)E79B>VIXg zqs`Qx@xgT_ktkb0vYZPvrv0wi&vXPqKZs9Au1~#}sTmPkHf}@7g=j*cm2Q#xde6-m zM?(J-PdBZh_NB9vahXa3N;Zi45=PY2?&Z~4j^OvlwJWz{dR5e^nVXHci)9#s5noI+ z&r-X0Fxwmn^-&JX{Ap_B6P4_<$^~*2yGmjd)TKKG3P-#i0II!NPnmM+cT?Lw;3k*~ z6w4spOW!*{ok?$+cD$``pGv8j?4$NES&O(xW*DV9NDogA^icnqHM$qC3&)i+^>3TH zsp$(mU))18455(Pw!~M|g@?;bCw+Mp>49fx2ldq}F$dS!G@wigY2hHFj{4r366*xz z1R%U2{j3;$MEz&o$ziavZvYZIrSFdVPYp9XE*^QqiIw;XXx~pZ~ zr>412wmNl$mhby--A?=BUF!3BjtqD3<)7Pdee%2&hz@^st$6nL504WvdDXpkWlp5mluri>PnSq8ZMF=B>J$ z!b0k>Q@uAX5Hf%?k5vx)0(JSkc_3cY4yqy1!jLAP`hI;v815f3Kw%(Kx=fZs{as!c zZS&iqau~Dge>Zm@fM9U)IxydNi{bnDGw>eAhGZx=%A*t&f@f2v1qQ2;KE8io{?>v2za>c^q#P#0*G zz!g&+TV;Ew6HeV++&k3(A+SDi)GlgD`Dm;Qp|tE0f7*%q(OH{}YpWVihD@|)wa|h3 zyTT~T1uvz*7}FAwdYjJ<>Zki=jkxuy0wQR06EYiW!e#4L7k%fM=!h@ZiW*X~u?v@G zMWO^7DcN&uJ@xIwjRP(fEt-MXA8szCWU(rq`NwbWsYWsM6|VhmpmRh$*w+1I&j^j+CU25$VSfcRb7JLZzn zQ&*YyE<)p){gwfZQO;~lz-M6?r1!L|IclS%yCtE!piu+VVj_o=$~mLw8GP<%-xv!2 zk%q2B%^2k{gJO5-3)@78IU@?Ar!HHPaa~y?WCwqK5-m46w0()c3ko@Kv6-%Bt-QtJF7s^LsD&Ubf3{v`-0|Ogub_-!Z$Lb5GPhUE=w~&$wks59=FSAKi#r-7xa06 zR*l4;mvO>YppRFFNZjF#qu_U} zF}SAA12UsOUvDcgno8Ej?}joG5ZZ~p7-F0^N=iD#!!36nC~``gt>FocLXMaUb`!ep zQr$je8x6acG~$vxTa;KO)g22vVf34oRqJm1cDJ*ib$V8=ZG59os-jNZv1fn+ucU|f zwP{Ab)tL0}cF|Ym)%C`w81*Gf6u6?V0_9~zylZlf(cBkHBfFsr#h_es`6g+>%9m}_ zU3zRBH~dvV+&14m>xfahb^GjYebb(ZW%Pk%)LrPfhzkNeAgy~z$YdIQoGE1NfhHAP zB`CZiJ#2Ksan1|(3)({1_i*Ak-Do-dpx+*SQ6I_XBg@TbE~AWz>j{TZR+!|DHSRVV z%$Nw<14S$#G9q%P`{|$zfFTi7-jy+kp37&t6?y`Ceu zxX@hUQO?FDd+fxM;{sgyiN+U;+&eaSIV%M8VagFCNZg4XTK*>HC5rFEFysx}@eo1T z1v8V5a;6g&{(8a>_l%;^O5->$n^4n;CNMQ7XVoi>upw79@t_SrgPO*@sn-Z*FEr1o z3ED5)gLUWoy}{+CXzcSvmu2^CBx>l1TdjqiNE@ydQRE3e$?3=31gl6gE_jr zWUqL_j@CRyo&gQtb}CY@5OiW_ zMz^!%VoVq|#JL#BXQW#Su2Pf62P>Aksp>U~p_;=N!R0hUSNN zb_Q`)tYeQ)#euLE#*7=-rRM4i=9|T)g>0)9m`2{oe%6(O{cwLG@CDLQz|c|@^~#+f zCquK%?fr9mdDx4ggIe5@i$1s!VX<<J74mK0SJBnpaK5>;+K>W{Ay^< z|0na+W0$cRv*>{TK#CMt2mCL^11FjlQL=@Opv<4 z?nY(Bti}$7r!oEuR5WPClM)t|MrbyLP0*eDsv2xU$ocRSXl&5J==DIr8G`!_?UnvG zGv4_C%TarEfv(B52y$Rcwewl*BP`?Lw#+r4&q2$q8Kr9zK@kT#uNgP( zV=Q>ei2D_IyU}XwFe_k)P^XGEXm;g6t##Ou{1LC8khTXFZGYwK<_RV_w2v$ABQrZN zkGnOKAPa}J-MK72rj0~RT7!ymPkg(#j@jRd9b`*-y+9X)mVkG{E^Q$Q=U`X(#4WT7 zTdoZf;)ZgxQnc$#qZ2w@(dN=mmz4i~_q zHSw-l`={Dm)ETzCbS)X*CTqPq2eFrrj|T1s9TZwRxA2B!1Q{OMO@Fz2{DT~ucc(7m z)_JtT{V~i*^S6{_q@jX^_*y1!iQvqGy?OaZ-zjYW>!21~b&uBT zYopz%c!50#uFw|V)MkI^GsMcHA=@+scI5XIC;b~SA`1R51 zfBV?tHbO-%A_Pp=-r~$+34%?!qoCbFAii~)dgDIhDI;PXSA##+l$18VH`;Yr4iP+x#>ju79$ zA9ay!fnjtIm?7!|+rbeoU`vYh4~?KVLvYB{wCW5&`-d2kvo%lI8tg={wjJ+GK&VNs zptgii28@UlYEG3}gMBQ`;YT5@8h|VQV>_=A?0~?x9QnHK6ZS9pG8->yKp4y~YSt}X z1L}c`c(@6tWvJ_%*o)X<>l{xJ=-d!g^IqZbm>>~E?9Ct8&7+H0PWsXqv_k-*Q^9@s zl+ZwoNS;6Jd>6lj{XWF&`X^}Q5bzV3x$uJE8bn;s-|1&2S6qWR6Pb7=1;U4hqZHjb zTho@;n?Xr3FVD-pz6JFiLYoeZ z^(Y9n$B2NE^gd-SV@qa1lc3E5P|BjQmuN0TJeA~5LE|zuwDy?{SMDL~Dr6*oT$hU) z?~b^i!s#rE<@ne#_UeSQ0N3>)h|8mMhA22htQUF1OFtc!k@;&BuKGhrn1QEOMd(^a zM8wFEdT)&Du;c6F>&<)f{Q0v7)3Ss~^4H5c1AXUo zMBUazA^hDV5y>dIJ)+cM-&eoo3N!exwL06Nu}3Njl()RPU&0i^7qbC@kP=W%hCd1N z78c9SuKb8ah_=5&O{>jwXcmK^x}G$4wTGGC@zUism@Cdg^%teaTDoB}gOaUd}0 zGAB6)K9B|o0>wp}+3A+iITk*+g2V*m&$I6kL#lV=6B1I|M$kE#FZ+kPpl8xg?IU%Pu*D{Jnhq$t6A5leI5^dTD_j2;O7rGQj* z%4%dFyJF0t6XsDK-IzV>l~u0GMP-nZPq&mB?DxZ) z`PuO3_CV+{1$?d4Aq?N@d-ybElBTvn&`E?_fr@d4Skvnq)$ z*J-@!sibpL%mSA7=o`;$c)55W@RnnKUBW7Hpi5Jdduh~tDCyb{*IqDjq2oo^EqoHRfPuQa)gaJa% zDd6sHsA0c3(dRk4iP*FLL*~}r6aMH-VvC4%iNZB&8XGKEx15;b7MSWHCsl60O6Inx zr?8Ga&4LrgaL57R9kjt7?nTKb7Fvc@5o_At*Th7hFh-}QNW{xiG7d#4u;ojxp(iT# z&P-};p@y^QW&aS?prZPoSc8sXxd^wHfU)@4BZV%rqN{9(*z$gS>Hciyb2!%_TA#wc zp&rDZKR7j-*(Wq<(?-rknU_iE^|6E4!YpSX(*+$A8xZU-0aT0%+u zOc&Oe8HrAwxhN|@5r${J#*(r=@-nA9m#%0%pac~9h2Gt3ip^Y+h8Af(P}G0|p5}uQ zEVNd%8yE2S5dwjJX zsDe~7R21EfJv~y_oMDTu1GXq;K><7U67Nb@M&G~%M`FYMyA6`WjN9mbVT)246wOKR zSJ`+oYkDr7teFiAtLIE9k@O)J)-&SE=x@db*u{&J?{NdF0>ui1>x|gZwsHusUu&Jth*l#mAvUGoR zarS_44@l5o>jW+7_R(%z7d;B)&c`W(8@=yhOZ@^tdIq|QN1|*Hh3^}12a8C_Nlvfj zAEqvPpz>D<^f;wI5Jso}gs%3sC|X1T|9VD;I5a&&y>>#AD^7NamH?3$QGCib-4@LX zDo`>>vL1^m#a?eOV5D1&XT8)6S4TbINNT-eOR#r>5*5z?4Idg%u!#cd_q5WhA9zXJ z+cz~8H=B1yNGz6OFR%PHZ^?NEXqr(0xhP#?>?Le&cU>nhgd;qoiG=D|CuU4B_VQs{ z6VC#TM}|?XN&?pTr)!5Yd3}=>37Urb7CUNIWr7@$y>1cdk5{BL}CeW~GFH3rA}1A{2QC?1^h z(xruqfDrW4iYftk)Gb;m>NRzl%Nwcxv7Ka+sEZTmqS2x5g4tYuBWyx9C z`_fgJX&z_@R)GR-lwPFykJzy#J}qf1y;N3BES3vDP0jbE2dRg*uyg1V zpcXs$+Ub=p-j%tZ2TJ2nvMAhCtS4*RXzKGA*KKN#n`{$z3RGbEXG9F7TA)Fq2MY5^ zpxj`JUC^HDvR6e`dmJCQ>`E~W@8n<~-I^Oy-=oQ7F3JK@0<@_S*qnuqpE?{HI;1i{ zrN0vB%lf2nj!%7$Mx6#IN=SiTg{gZyZfZkpX0@uq&+mbw1PI38c~#6*do(*8MoC0U z`XDb9n|GY^PBrjjo>3WayaF5~hpz{QVEJb1USaG{3oL+-=pcS0m?y2tZqdIt-Q|2@|2(W_HHU$s2uKd(;dd1t~=D3GKI#) zktjwffi{UWc~MhJ?n;xiYWU#n;uZ?fjQ&sFWu_RQ`ExExVM^{u_R_IucbyVbTzm%K z&E{$+3pZ1sw(IvYNmPn48fZ755GMtSEWW!dXQdp74tg@{k(g}1i2_XH{1XS?lm;{* zH-HT2xwQ6O*z(LNM#_QdjI*-_sPLH5C*inYhj+YFB{!gvyDdaWp+h4wd*4{Hfi^UH zHkZv{SyO;)JTg_h*WNN3`iu(bxmX!11vK`m~(_<~k z3$PS`04vmYyzY7-NPh2i*l4!l^ar6i1^CA9I}WMI3uwz=fby@Doi~%MvElO4m}GW;CKR9?J5onIlc&%g z!~@c|uPj?Euuq@D7nAzh%W|fNqoYDG3SKo<-9DM*f)*+%x&yq3C&9-`Z?7r@?!fHR%CW(`C$oIa-h-b(~WidW1e{fMU~PVYlBb?Lcw4 zlJnJQ>E_9b!LB;37;0qxvd4Kvg*V$rog7A~Yq6D+T0#5Wrn{B%lXP)zJThiFd5OH< zDt3rA!Ay{H4s@9gjt@g<`$%^GAZ@OW%I7q!$O6ZQPb*B-WGJ!uJ?my1XR6uw+PE#s ze2aHp;rG+}vV*vsj>PFx;~uE!TC6=j(o5UlrDk%H-aJSgZ$Oc8@y|B{dT76KuJ~{| z-ed-kNA6GM%#oo&XYTMJ24^94yW4m!N}P+Uu8h8-eVe0IMZA}F*o+U~2sND~Couy$ zSH2)O$0BaGvR029pujpgS(sT*8y?~)Bm7xCAIBntWRJ$lc!7_pd2d8trfd7y%4XSo zT-@X3^_Uh}+};}D&%bwkCXXn-crE3802Wg#^Cq zc4xE&1;LiB8Y0q&B!nbD2qBGtG#~^BVGDt;yBg`VZ z9TOC@A~p)s_D<&^i}j%w?(&DGDciUK5qYc0rqg!m+?;U$l^3Nr?DLL@jAJZJc_C~4 z0g;qmZaP=8rsXtC90#T%5y>}9RpFPK_V7DrIL*?Vu+{Ud5DJ+a%u%jOn(Uu?&ciR&z+pgt6jV?3|;hK7ny$F z^i?b8PIubTDK8XMz;H>uVwzTMn$2+to!dR-HLPLD0aV=d%kpdzXHG#UOf@5%1K`bV zmDn_=eju7-&Z+n~X@n}q8_A0zQy)zun^Uj&)II5iU=o1mR(?6AURUnYI7S>&?W7S& z-`fwN+Nhw45?_wFnpQmNg-{kC+S$Ym)9((Q)rD`2=LjeB0NTZFhzy9+O@j{S&WBs; zlEWvP5l91Yb-gpq^kuzZDm-#1+MzQw4`!kJ)_y2O9xY7uGy) zHpOn94Zk}UiO@BGw+!iF)AdJADz>#e`|MbxGPJY-Ky71LpP4fD#p%llW044)0}{El zJDc{u&Fx@E@-H7BvwO$jzkpC#Swiq#3A;WnYwuVy!uEj5U8Ihtks)#+`@K92KW2x@ z-93$4$);4TNfLXH_}~Y%7lH->vV$eIrf(fRqS@7|1*zJoL8PmNP*vLKvVhHgB`UwI z_Cm-a0R60RtLa+!0~)(tK`l@l9i8s40bJAgR;DMoAAQ-?*@_so5dtQGSmSXPrVIM7 z^kGKoh@)z+llsyJ04i!bP8BSM&2_xpsm?>VCeT|>=qA%Nhlc5}X3!H*S0ZY8s5mxI zPk8lk!&q3ecj>q)62YPX8W<+cce&KRpiAyyGse%BV0YNG(w0nfZP2E zIU&5zMi*KcU!SOqyhjsNKq{YJ8R3=H`T5Xg|A^yCBLqkTgvVs}5sor|>CimI+Ad{e z#0t9{Km~mX?z!sFi|j%`>4orYpj2i+6M?B&lZWPY6UP-s%FZKYQ0UIZ7ld${s5`WJ zMbxP9LU1@xqge5bz>Lthh9-07N)?qNnoTK$>H$Yw3q+xIq9Z~@B|_5yo=rwSA^h4) z6olF-#0*7qX^XBHK<$F*TW`jP_N=_vuZTooJV5P*nTLcW2j{5JJkC5pVRwE)RRo}N z!rzvqp`n%1%^!xm5S94MN25x^HM@w$05U zBNPQ>bx(7Z5VlgT4>{&Pk&?F8~m=Kxg9 znBu(D7xGGBA(fA!9HVkAC!6rw`rVF@J(9Yc^2nR~jI+?%h&F=|2HzYDnUey)An@%`wwIe$uZ*hPn_qwa+7C@*L@)K*EA42U2c9z>A6eWml2Br{l__yLhnmeAG z%9}?dAwK~_$K_nYaPVnf2z7P)2U#8hVFKv3i5$YI&2FI~kqSYpEU##V1_7v)a^boO zE#%Z}eYwmIVK@PA#j+5>3H`8d$Y|!GQ07I7b^8%uSjI64U7j_1)*kV8hRh2=KtY*4 zt8~IQoO4>%EB)7B$?Uq?UBnQo#9U`3%(C`?!6q^zgdhdoILYxO1UUvwvYK0%<9$X; zV+V5~Epx_=KponzVr}dUYUr~=094SkiR`Zluaj-$tUQiuabMoMMF$at3OR!YH*~Wu zW?Cin*&+NYD5z8JOh|LkwzBG@=6w6?7WAYXK)5UVAi*bWM#RccJ=)Rdh2XEC9vh7V zp+_(wU>%!{(DgRQc$0(xssnwWs!C+tkr4-a^ALI#@JL3nA%rnh(JXUT&HdiUV!drT zaJ{s9D~st%vk_m!6E z6&umoZB*EIFbP6csLeLVXPHK+kIK4@P;%J8ouXxY7bkX-*^@LU=(elNb~z0^>enyg z(@V~(n8%{5PjuTM;4!F#+%k`Ee)d4l91Zw-S9hf?&n*!`MXXu!;cn)hMaFt(CCV)m zmbQ-I=SEE0nbkw=fzCXFcTfWGrj0U;PtdqYm~&$G{Z20wd&Veg9m40Rk}{cj@r<83 z^ZL~xu>h)peK&NM&wS509ML(7P}87#vzC7Ra?fNOvzl#nsMF|$I5`GdY0~%Nb87cS zF_YoK@6J2~x&|Gx5H;b~N|M;j>XwHS9YzFBW;BFKXxB4d(3#8goQ4iNgxLl$D^j1~ zsi7tT%=g*EqK-)7%H@;Ljp3O({Abs23Ued3HlZUDLB2uNb+NVhZ?-jP zSs52X6}Ss4CR)ZGvCOKY5+TMxjoi>`eDHA0EQ9LJm~C(7@}EXPhxhfC;S+kKlZ?5U z#&wDpB9}c8+#a_X8RR}v6u4>yI?Ue}b4!S&`xQKsO`>}=LAZBZ&mG`?h zhXbhoH=;LI#Bh*b>5?`hv^?mVQj(3&`Seb}7>$4OvounkdVmF>_F$iF2}z6|Nneh1 z6oKsl5kE$!<8wl0V;PlfMW8hDHk;0bQ2B67c@B^9K3lm<8i}y_fZW#1wx*IVkREuW9oyiuCN%bqqnD@2Ld5w({%jHw`MEBbH3a5wl*W6 zLCCR)MZ2&WL5`)TF~{<{*3iQv(B zhr2C#FDEQdKwm?w!}xD&q{`sRfX3VwBLs5@kiU`~!uyPf<-t8PCZna&c*Z>dz_icK z9=*YPC_}qj@(@ZQaPch75ub2ly*=1#in%U{Y*-N-2U0vTN%&!MYfEsCq^Vz$hd>sA z`U=%{d}QA_QE)Q-;B86sSAiA204kkMD-IV152`MSBt{6M5n>DCE%Aey`}o1t@rpo6 zWNG}+QHb=edkelxWE>AS2zi&J5{DA{23?C@XSEKP4@=a(}$b!COj~ROUvc_`JJykWoPDC7`NdwA4Pw4S+ z{&1?_L3tn#Sss|>c6^@xszq1Y@CUhn)~vJN10s@U2Na1ew*x_Bv0-v{;3PdGy4T0u zJz;T~h^$Gx@Uqpy1E96W^(s!q=ECzsw|(b{h;j5|5`6awvMLRI(gD*<1J&rV%G}Nt`khR7mp?rK zC&slSF2L6A&g#E$Ej|WRA&WGd>#Q>AgVVy1r=r{OO5*rJ7ysjZo|6mI|4x6sBQv8Z zK#eTL#-w$oC)rb5ou(rWpjV$9GcO%aP zo#Jha{?k!#FRe4+z>ufM?7(TcJ+gFdSD-L zd8x(yM&_dge;l&JIK_HW9@G=(aqmx+!H016p?%Pnk};QmMV0vYtC0oEFALvw2ANA7 z0uQ<_O+6x>c>Jz2AzV?s7kc9k9+-~rvGM02%b$I=YFmPi`OPmp{KI&F*TygJhF}kg zO{&U$(XR?wOubxhlO5E|EiQi8dvm3X80Or_eSI>Jy&Kr}v%u27UEk?fg)GgE5C-yt z>UrDT9RCm_-0k#k+6A~JcA7^mTHN-NAPc=eF-u~DF2;+M53*0QuMrVb>%T|)JMDrR zkB)u*$87SCe)-6<@%Ld1oS@1fS?>SmPhdu0-w9;6Xczv`VjkfKB8$*J7DX_ELfUoY z{Rg7E3yCj7i7o%x-MeWgK&JSqHtQB#{M5*j_K%;H{z29(tJXg?3mtk|)(+@;V(8HS zM3XH2?2!fXU8SeJgHEY7$K1<4&$vij7`Z^7CQiw)zF${)wlnBNjsBG9C*Aq_HSo9-jaaf z260_hQpSDXDg*^^dTZenZBRr%{-?CzA3jPJ2`U%2KTM$vob$yYV1ebqL^Z9MG4$cT zhwcvviKs>PC+`7UC`izIV>6hU=nEp4g4|ExL$r;nv~z#|!|m{IxnhPb^r%!`6c*19 z@U=&v2e~1fURtDI`M$riUdU64J^hK4e+1G7Y=CQ8g*Yg%VxKRFAQaMVliF!#RLagj z6%b$UT4C8hnhUDTUj+wDeI*ExVVGVlq3vOBI=wq|=MPJdGf_E5Ic4g8w4q2Pt{=*dU_auL=6|nV3HRqf8t^JfL1QzkT(k7J#!}9L$e6-{r zPR!!}7(MlsaKRF)R;gJ3mz`Tnft4~PMc*-V;%W803jsU-5K8>VPb*oLfUZDEdlTd1?Lqg}J;H8h3xIX9ll&}t z+fhnBg6#N3UK2>GTrGb6r=H?ifu@HDr7&B!rX;TIrSK2{$oJ2Z{Ah!In-c6Z+^1;7 zjEh?Op5b^75xRaWdrO$qiAbqJa3T>0e7xb4#W$(?!(t&lr~hmQH8J@*-s&T*Hx7Z5 zggNfgQC(Sew<@ghLmtE>S;bgv)AThnpf@2}8`mpTc}oz~NzhYUEj6-toZQBf*P6WNVa7~Yg~!_TVH?$6KqFQ z=<|oE#!T9E$U7fF#3XC$RMfn_t&M-GGj;|04G^H5y+ieX*N>d`mLR~H?@P=S)JXnH z_>Hal&_l#u&G+^0`Vg2yfDTTyKKe2i~vZ*I*Hc6TCViad{2oArPU@=`|v1J#8LuwSCx+ zOg#2*hiGTc>{AoS+NOnrOAY@3N)RN`_o@q-R4O~-cF`YN59mW`h6zM2j$?h9Xf+I~ z5x`N31tg%JQoNy9q`QE&#NVE={D1ajxS9ah?gr9$ysfW6HG)e@`&_`MQZ)|aSN^bn z_*|f^Y=A;Ji(m5@DK9{K1itjT;$|Y1x|)%DWfRkXJ8}5Zk3+^YanCnE%=<%5*8(=* z10@K`iE7y$M{Q<3x7qTCB*ZvImAe5D{463l2CkHWI0Otu^)!p6E>CEmTyi@Ux0Q%k zi+7mu=^KC>K0Iq0$K?W;k6@+-k3>gNsT49}(;qGoV;yJf2B_hj_vWpl7e9hk2(;?= zwbxwg9y)E-%wuH8ia6j_yBOsXHwOTHKTWJ&)LcymB?toR<&~ox>S$ubx&Kc&GSFQQ zKo6azi)X|y62K}Hs5K`Gr4BBp?>4gt=2{Zlwl}s!jngj!kSDyBb~Mg~3rY|?*Y_4e z7B#~!yY<{3W|F8x7+wfndEq;BOt8WLs}R^1bMsdW>K@;0E+L~xOC;u$HPWKQ^R57t zS~~eAWNdQ)Xpf-7dw>eJw=D-wT2Wa_wlyxF5B7 zikSGP4&^UJyb@!GUMs#*E!OV<)d;{Xfnn-L-8eJ1;Xl%t+D*iqk>XYRuI?;jD2U4u zMXoN`f)WG=mq9+^OU>gyyZNUzC11|w8bitNR?<&RJ6eHi1TOb}pn^iZBfsQflDWXy zL_`>L>K7D-F)Z1TCtsyKA%gY@s&0;LLZL3}n8Sa{RqRCpZm|61LTXt3>?Kn$9|7O3 zH~#2Nec!Sz9iS!*nG+G)Ge}N#GKP8^0)sPrYw@52!RY0f6TPX+(qS{;PY27rMO$O2 zzJJ<1a)@jK+9OcDE{9r>S{+w*?}yyRSaaff7-hm}m)zPI5)4RP<|Z@%j68%9e?8;` zkecVq@%>ZPVs~CfG=}D0M>*yttr~-pTfIwB+CKP!KB@5ESIdn-wQt}7MP`mM$op}o z>3c!AF^Ie0!TEjK1AeJ9Zq*MV8sa8=uU!k+ZVcKlOdRUf65%(mwe-(%Ho>sNbzX`Q zXb!&zeF_4qG6VZCtEX;Wd=>eW#Ed<(Ua;WqZJ;E+M>dW-Bqy$8=)?%dZiRRd*@t{F zovJH%H0x)8EJh-`YH!?|Z6GhcSuB=_&qO%`phOx_r!_&1G(G(%1Y$37H2|t7IzAb| zjpxL zVoMPGdBtK9V_rtCyT|8_E)H0Ml2|G29Tv<>pO!eeqas&ZQ0>kV8IYOZtURFQo|%74 z0>S-L_2UI-cA#+0YR2Impd?VVU?6TLi*~JuyrKtpg185C2UP)TANp0Nnig5}F6{=@ z;WO+J4A}YY<1&@V+Anw?h>LD7QJSFn`yrRrC$d&L>_;e`egDh*yksF28F8$fL3Nv- zUS5E92uB;bGeuXWRxY5u&+ON73>TW_cs^0|O6lkhRx#!20|jUggMvjvR18nh-m>M9 zfi~KF&4eM^({Y6Y+Mnl-^qZhvR&Yg|ugILI@CEa`qPF#8u+k42XfZ=_K<=7HM;An&;JtpU{fjl(%s&S1c{9MB%7`L~*&;|Pj$y)%DM41#s5L2wNu z!g)Q}K-6b@iCI5^`Gp*DlL&*u}80!O=Q3F9xeny<*c@$ z03GR2psbh9trWy1@SGYj%Ix~H-JRKaLv175; z?fBPqso7rHZ|{TPY1z<|0(30?E>|3z?I6DV1gx^;SU$lRx5pihv$K1mf*Zm7ds9KR z1?b=&;b;+<-7`gQ1FJklVgn+#=kf@c{XWp53#?KyUOX^CXA3Ay_Z5RU0D{Gf%Lc-( zS62E|mffn&2#Cv3INvWorymQOD9WrmBF}eVRk1Y10O~TqsC*Zt|IpH!fV&W1tHk>s_K`g(UKKdh?#F>MBf7m)hz6 z*DIM09gbglOWL?cDlj;4GQDCZ(@wH_$UDEZBct2|of97QOjl<%2dF%~^F8&o2DWiR zeSj>pnm>Hp+g_SEUuuF*GQ+BOwr5_ApJ#a6d+HoYF*NcktM%;6jjLO@-ncqxL`eZU zYfaM+BxT;=cqDl9JlLfMvT}b$O;qMa#$|!GWPEX`$OJXWMW&r+Wi~5Sx!&s9A*&(` z%*>om@Xw4~VV?B{TU!~0Cg{8xML1uakQaILNZD5mT<5{u-SZheyp#%Wb-%Fpd;vO9 z4=FR5%xF%uyywkZ5a|tA=<%QrLmBUV`=5A&XFJ``nV>U&6d*mAbmOHrcy}V(K$ZT6 z^+1wg7oF4PE#dk;D=#r&_Nrc;jwI2_TGVz0NsK%Saw;TKBdOo__pe0eZ3XE7_TnkIsEs-q2U?e}D@O4!45bE5EKr^`hqs4cLW z|E^ECk=y4%;aN;54M6TT#(-4#N|_o!fpzFMIVR}!-NJBNw(yL~Hk_h1*0O~d&%4?B zMUrrkr-`BPq62TFo1mh??^f^gF`T*A)A`v#dk|%bb(jo&HMkMoy{HsY((G(2MTi zAyG?up76*dMN*-Z8&K#mn{$QfUhNG_6!p$Yt5_5CDt=)lH$FW%Fm!`2uVj@KgOSuf zRsYCM&+}Wi@Xa^jT#YtC&5$A11@!bm!Hb=~YN&nC0AhbjJ@1=7N-uQwt+MsrdeQ{F z&wwJ^mw5t;FK+YCfxji-7S7NpD)_{S} z>U|;?RH`oue8C4}+c^d3oe&flKN?jB`Ks?K!ox9&{E1$fSYSuf7WslU>N1uI>cd>i zewQk!kEY)8&BxD=7$Ed%dTp#=)d5T8?de0Em6pW6g zeDK3TlePcrE}+APsAk=^bw8Ynvf@^N-h_PlWbNY1`|0=zx&tOi7e8h}Rb(I$f_~Sg`pTJB|zLU!sa zUMbhVD%_XtjENUMI`;>rE^D3%{CQmO3MUivzALI|*eLx};9nIHIRC%ihFZo-${xbdQ5Y7(ay2}BzLaI*MvgLL9piK!r^dGVla z0B>*n{H_A@-ZiSHc%L}GKcMPErt2=uS&<;F;iOb6o*xaU+My8bEI<{z3zjDI6jxm- zCxEB&?=vVbBI_c2Q>p_?(gM``yRCPapm*0%Ifg6!dO?8w&Zr23G2=I93k`qS`$>5K zuFbcGRDjxj2QAVj_|{`@YXhow>Q@ZHjZd5UhxqmJ)|~+o_-f9!0KNYo2Cv!V8>u43 z1M-7;#Rgx;%b=1LzWGXnHlWJAbJ(f?6$=kvT^I6=R-DZOL0kWWR+#RiXFVp7zYL4i z0&(j@nU)3UFBGU4#5{`X5r~`3?lIUxj=OuZ_>t|O7=bu_l9fdP>NGxW^}wIMvAZlW z5a%w8Fvv#6i90~P9iI;cRz2vhH8VkfLqWA9%`@(0fwn)-!4$KYu>QDjL^&YPG|r{N;xGUEdDhpnEno4(0j9qAWn zRb3L2aRI7%$(`S?xfXo`}S z|KrNmbpTaZ|LQ)Y;N}ImEYa+rq^24qGZB}dUT(6OXhyGE0~^+$#dmT?Oh;3l_<1*7 z9Wi0KhB<21l?~ZkSNXCJ9h_ApYoX0GS|`kAW1gw!(5qfdJ~Jq8eqrgOxqEs^LVA9u zuf-CuxrFVC$#Bkan1ytnlZb0DD=$7kS8QbrOa!z?Lr!4i$H=We zV0NIRBOkIOu4aCM7$C7DZ4yG&<;hKV`4Mxxh6)C+sISK$7iwEijEyjlt8QRO)-*Qn zAk=)GQtHHsm}|cwXXFRRW*Xc?gV)w*5gC+`nR( zjALq}h1xxe&M8vSFCRYxR zK&ZC;J1RlNF;_nOkp(WMmKelJVK*lFIMpDzf@Oc4vN#N&)^}9FMCIRTU{x(m2MuFh zCZ9{?VouK_d6)&7OjpYxR2u)%RxIFbjDE4ivOlX|H)x%59HZko@5hoYLL~+9oB;@R z&Z7b-uV`DRP>?wL++c+ozwFH7ERShUgyNjqx5)rhSwE^F2XN-NjftW5i z>{WB3rioBBV|vM;wEF$o%uslB>%1}B-nft12^_-vJ;dZ!&DrD~Y_P2NiNT0btlMP?#TfTmf^1}x?2Xfhxbd|gf8vdxP$JAS{ z!m=`Um5>u7E z<*c#u<+JRUKt@?h4<`P~P+Hk=)Fu1|gAJ_fX|$Gor(?*4gL~pnZh{WWv->e`m@Dlu zi-U91Uo^4$E1Ww)q~ z1KyjddjZ@aWDaB2GB0_NoFh5fy6pv~GFvP46tT_YYPB5PPXbXL)T7Uy!=z_Dl3^<@ zP9UB$n9vs9-i%`(<8622R@sixp8(h8Een`4&7p-K&c&VQ))_=vqPbxTc{tG;KQ3!3yjzYk=VYRoa=o2xFB%*(flcesyP;0Da^E5mx?Nj^OAD5vNi zzcc*Sv_4HCIe*8@AklIc$&UX^7p_b!la615f6&CRu5yTw!Y(_{t* zUC$f6Fzglgl9VSInECoP@Nivhi`nYxITt_h)cYo?4GO#0WsT8cr$ohsDEsk6%UjT; zHnk%ryF0V`_^T*T&l4F8cx{en>0z&AgMm?!!m0V2P(R1_5a!D3X(tMzc(*#j3<5oB z+DR}hM8+zPl2F=vD*%V4q^}LCy~T%hQLqTewF2|+d1d>~hGs->QAY8C8P(;`;TeSo zX6idNk-Z)z85pxF$Mk*UYk{OOqJ@0jmpO0>j6rZw3Ad~7^A zLeGqmW21Q!i`-JkwOGu;Jb{BP{b!@q=TZj^^1$zV12RJgqc7f%uCfWBl>n)xS~jK` z+~Z5{kLHzt&kXKCCO;uIG-J$WDVk?SKUfScQTWN2r7$E@XBBIIUR-KW7y4`}W`rhF z7Tsg*ZT&2ZfHarcG)!_B(mKzLRkzNF4d%n-Ctcp5)r-qeY*o?h$^|HMA}JGdBw8~p z?#8N%0@4iP#IAJ9`4Bs26okKNDC0$X^&OUKDfRyFP6h9n$^6(NMKvRXBm7nB;mx-Ti;L#s5% zLXVMDajf@KY(`QQW08{%31az6m{xST<4sOd{%zWb!8JNi|1Oke)a`jQN$t}aA%Ln) zc&nI|G;ijOG^y&VSd~FldU8qU$6AgKpG{H=xXEe2(?fJ~Olms1xN6A<$wH06bULos zvC6C;dg#Hga+yg<0!XvI7MK%txswya$Gw&;{I4iAmo?4Y(@Q?jR}Tx)PeYvj;5JOD zTCLR9@_EAcWrJt+H%*y>X+1Af@^N=pvl4-Y#Z?k!WbN^P2BcOAJ9P$4>+jnaI+)bh zntiFbS<%G=$lZPQASQI(D1ld`Ni4g!Bw&`;1qY^xnIU^7N|k7J#j%h~zv_zlV9mz{ zeop0;cW#TtB(WnFuM?TaCYC!>^UXx%F@U8H=z-~E&kQ*%rAk;G2VyYC?D9wemw8MS zK@v#BD^H?6*%RJ+b?io=C zwk9q`00RR_DVR!kBc0|hlxz^?82q{kt7~Hns%|)12&PSMj)1I#TZEX2_kCvWd7-^& z_BDf^_wz`XjNv79t`n-q;~Y3pne$K%Ch~3Oeo_h5YngQhyYIK3YNU);k{Wy_FJjsw z92yj9E@2+vS8+McnQ9BsfI$v?eCzE@hMg=lB2%(EDS`#r><%cwG{O#Iw}MRYrew+B z7CxPEFP;%H;Z&0;DOk*90>k^`OEC+vdFugXCTz5}Vq$7yCh;YQQ90ziA-n3OxS9cE z6n8u{NQ`+N`?ITd$qzFyv+?-cyFkV=D?B_q-zKmx7@{#|pI{E;ylgR)U3F_R+#p8I z+D!6hMEcg>&jw}cr9h}IhVcSZC~sU@lxKr?br%g@i`u?WS<^NI|0aYrv@;AbL2b?5>@$R4;!q`$>N=g z;61ZX?~A~3oiG4$$z=^={^y?6L&G9<_}G>JObR_nD(?)gXFUbPk|$FY$Dy)TsRq+W z?-5h?izPamo53ml%4N4C_{_|3xEOaxIp_W zoNC1RW%A9wfX0@;hRLfVedm;7^{{WX!D#(ib~-lrPHMG@1h-G&eiV-6sZE&sI!`We zmf+CRM^uO%le&)`>^keomE@BrGbupu=_o5qoo%fpos;Av)sL7@d+FL*Kycpl<~oVG zZuN-|H1t7bgPFIh+gHaWAW{H{>A2r;hQVN?z!lS$d?)szC%`G=9>B!i&4N|879LUq ziP^lv-@IO=yNWi)wp2-5oIC;NT(yhMH*T+I>D63PQHvTagG6QE>59%NdY;0gsRdW3 zP4j?C&PBUp+VJ<&sWUD4XeA`-5??A+Dd}F_Wmc{BZo((-kfL=u2(yki>lKvNe54o> zKvm?)+cxykn^#F`t>9U3#SIAFDG0%&<$2=t@>Vrc4~dz~Q&{d&I#v4Sbt`UM?&b!# z+|qL}=lQ9?gW6UQEr~=$=&x8%Hrv@_@_oQmHFcQ_UCpY;<(~q@Zl}pvf<4A{qkl~qJ zOxV8Mad1Ps1g(ukE$*M)+d}Ds+BZ(^>V?#FXP}`~Qi%E9_q3;T+a+juBr1XbrHm0s z-;+%`-(FSM+2jN)HLZ#FXz<1nrUcGCS=H___Na5BG@yoA=vp$%2}@2cS+hQZNZz zy(=5C`F(I_Z_s9yhWVaAQe_ZAJ%gcLeoBt*JVBNX;a$?vtsq`Mk|Z8dR;d zG3y2k`JQ`$hl%l@Y<{n3lms;kX&&7;q-+vc>4(c@hlPQnATM=hVt4+9j)%K}r|Gn1 zo1<6W34=mrYfHN&Xys%rld@_P^hul=A-qSm;eQ?iS@dhL@0nY*BJ&e#^)k53b$gUn?LMz1|uKY4iXkfrT!M{IaO zA+cXWz4`Yks-4i>{_d@|FK^g6(HNEyo2_=-C)@oz>o5PQ+pi1H9@m_Vr6k{h) zF_TTUeO2gA5861JZPu5MR#CcDq#m&K@zezbjZQ6l^r`Qw8g>Ai&&502HdCAYf~c{X zr~4#GAtlgvKg!ki`)dW1po=_RS)cm#)S(?v5T0|y_TynD81zb3*x9E>Ybohv3=iA% z1G{xJ2MJl%S2g1MkPKXn4y4(hPF>g1=9CS4WmQNyC9rVPFWA;gC!eRe#&g4E`4-tW zWMEr~H_LWVRX0m>jUt|v*`pPeO1&=Bc4T|`G|jH%MV(At3|=Dv`tp@<+nk3#P14ML zGbUyEXi+6SZINpmen~J!bDch9CC|U0v?M_yp(e#P=6Q^Y)-%0)RGyF4RYugz2yA_* z5enKIr7>Nu-rZef3q2!EXV?yt!{xLdLGdlQJyKc;&>JVSZ3n`_`)F6S`~kVTKxSbJ zDb7#i+JWS^ExBL0A;eqBzB{`Vl>rMVBzJnycJxsZn-((EcT34bOD-KY%qncZy{=->TqU{zrG2h2 z*#dB{(~Q`@)a+-_&a56bQQ0F^mk_^bQDb}h+D|lE2qn`+WuFtUN(7QiR~BqPJX8hH z28W)-sz9Xh68J3DX>G%|d-~JtwCg3Rs#~kYL`XySUbP)vyy;7$${DX!_DJm|uu|c> zZad&epulIdd`+d^>Fq>>KKTZ0B1JR_LE1*y=e=qPT7D_Eq7zAjjURMyDO3nYU1bsV z#tgV@8fr!Q&f(-L^?mBob7~$^feF3X%iTr_TTp7LSCecS)%HaSz8N%^7;Q@$-4?M( zos(bssLn@=FneV24x|$`;~J`Y{0eD|*Oa)~46r?!dXV(8I&qe29+et6R)y4I0#AwA zhe+Y(x@oGlNF^S_)rHoW0?bLtVN!bE`6;UFBBy2yL`yNBsM_5~r$6tSq+XSdjE{lE zT$U+xUDxYL3NLCMqf+^Ni-~-+8dK(`2qHxk#;BOOz=w~E!4>SsIT}VZj%zUEOYy5x_}hySkg-!?G`6a;#@>} zJk)!ElR@&@!s({kO&%zp%ttCSf#LqxY|^h`J3Fb>8hO{GWUsUy4{di&%_UK-7uu;; zXL9wEJhV77s77>&G=8L2N;Q(ZI88|^rc>~c!-@7n(r>cTR_b!>r;}4Wq&^eM+#o9< zMGU03P%BmCMN^Uo@gzK?8&+K>CA+gERHK#fwkaG^q6y@7PM48RZ}$*Wy=HB+Q&ma6 z3KOV7uB|2|B<~hclf@&ur|r=y%^baV4XN42Dw}#|;$ir7)spV22_W*J)seoT5;Cbq zs`PWy`ADHAaIu{8jP#8H5K=EjyEjhTvuGhE0Lvo2fi$QzhKn|Z7PHe;Xsu?=O<@ly zBxFM>^-iFQJOkbqTbn?v74y6Q|k*xW> z-2h!xsfI{LL-r+7FR}$sW^hQwCh)XtW|$On#V4NXIu$%QgNxRbZh)L+t18lQmxws( zohfIVSsYTd2{nE6nvtq5E6)q@(2hJZjHOBT-Y; z)x8D)Z)^HClb17MxztyEbrcN`sosRlkB?c9PrBXWP_6qOrfWdAxPAaAThLgOLoz4X z)X|C3+ZubMfD_=~2W`l|k@vHyd;G5rYt#g8832$(G%`7uDhj03-p0`sS z1VGi{y+_ESj1fAuIkQ+c&qFFXf$SW$2YI00hfb}Wzq(-&{4tRX0J7T}8riG(9*ue? zs?=q%3N7YLeg`th@0B+2KU=F47I`9;&KS_`r-zWks?P^f@5pM)7VXh`PR`jVHaSwc zA&|PU_j%VMkHj4|hG?^?5#*yqPyn@_|3<%vLrXfdPqy;O{dF4xsLhK*PFh}C%L`-R zxk{Es4q=@4r+S5ciq`Vbs?IkFlS1+U#|EzXWUUr!c|TIhjiD61Hj5mlxeHf#P7_~i zaY$h&5MJSzOa7qp^rOzv$vUlsIdKuL&pgh)M1B*h^riOrfBn@8R@+Hkk1r$-dWd|f z8Ql!-O1@T|W(+mF5S5U>sUZ7O=Z5%&D`b2-@W#>#R^iFsIbThVDcnb)8hJn6y^3oRP>tbx0^T*`Q*S1`sTmY`_$pT9 z`DlVsNB)?7)0=88T0FO^p7nP%1|D|BJ|lZQjP|CEMiHA@ut2yLG?)hP4e z!m7jsbTo!+YCC$!)Ces|OufV46$VGOXM(HE4Xm9(;3oN zJy3jEIBw*J-I>o0!+ z@J>BOdq<6I=8W8^xLwJ(Lp`{!=5QX#e{r7si+kHI>-sOgd8!CJdUM~UCrekpoG@M1 zUwAobSNJ?P;EPL7)|mLED>%@>2!3!37H&Bc;6L~Q{Pb1qUe{$9y*Xs&d5-#V=}KJe zIqBanJ@I+_o90egt?uMEPg?@LqdiCUO{f7$j|8@L5t>e%gIwC9@B=OORD9fi+zCtqH9+4TPNTw&B;M@8LVGDS8%h;)b zAHYxHf5I=pZ-C#1oh1)=_7Jk9kGgIDAnbm$-q?_wI z*0NuEA$O_A$=+{PT7;b0|IR+~V7q2KK|@n^3aZivGTgFeKA7!ZX?s`rZ029N|BjsW z|55$^qU<5MIaR{78lcc*~QLmisG5#YuS zUh+=-uiH&UHZOHYCXBRjsCd3u{9;%S@8C<_^FH_YQ?oDUy`nwmU;f~L}=Tr;Xn`AQndJ* ziUfs4`s{ZZUrwC%+`4%trvizN8)5H41~vtDSypgWr`M1$u|F`p;P^e#B|Pqb&qU*8 z;q`jf<)nlYe_wi;UXBLNvll+So8$a%?xWSb|Aa;HsLvi5@Iid}2d5#scXYS<6Q>Zq%U*>zYV6EIc!`rdi78iAUbY?$=IxMZ3@4 z{o?Y%aEa+)1J(=RC-Z!sqcQvLu<7^L{Igxn15Mr4qw+e}+%IIxZ$}8PlF{BVu}IOp z7Yk&sB0|r>s2S&rNoQ^3dG3xD%pVkupFIfgKmCq$BJr|bFXBElx?|Sx>E++|9=uBb zy{&Wf!ERUQA3RG_8u0I#mxm6FYEEg}y~tR|H($n|UYpW5R=4^lO7o&^88%-uoL*w% zb1Kk2C}vly-A^TG9{he7|C+jK$ib`6XKzd7*-Z+QA8b4`Z1BuEIM|y5y>ld9Ie$fy zSXG0kxc4v7*!%c4TtufNrzWDB+TJpzv}0h%r)fJV3Om;79tQz=wlnFnoaUVPbiV9C(Kzo8bn5n+3~hxS`l^z2~)u`U|h$=pT0&X`AS#KKc&b=m$9JPpm7Fz+t%mom*3=?OU5_ z$=|Pb(EsbUXaBC;m4CJVSAnNyUxGGX`wcQOep=WP7v%=0QP8IwLvTLXcz&x|^}8vD zGi*e0F#Bc&+_Qf>=soQd?S3#gsDC>f+R;E}Ei|>XLrOR=Q=T7L*@+CSzdlKg{mJKu zDmM3FybR9WhSMiX|K@$W7|!OL;wwpTTC;Q7F19Ep<#3`WWZm$EM-e&nDfQn|&){7| zXM;KMO1Lv1T?k?N`?l|Oepd9^Ly2(68rbnv!{1H?ter3F7zBS zD+qH55(JR{c3}8dn_s)({!gZSx9QQ;OdH%I&Mj^A?y@9n#>W+!(DTt{Veek`9OlEl z=CjGL+LhKWE!>X|U;DcPom8C2ZJ+t-C#&??)~9%yBlCb^{f7g`;86LXzjAF|4+GQR zu9$t+D>^-^_4{QyI~~?3zV0#e(f@18!*%t7&+6#7XVxS(0P&zg>`s@r7rT-~*v+ll7rm@?Jb)U^T({EK{$|LUu`>ke2 z8yXZ(e#l)qEi?UgutWDXHS|Ksv>gTOQ@hGc7n)MJUq@<9$y0W9M_@4<_2YNxroTS< zYs+D~-V^sHMy;@pHr>a9Si45Za`}RB$gBIT@zAKw zaUkN0m9~U*!f#f8lR8HR-tCe_uI)$r>6df@9Bivjl^yZ7`zj~zq0{M?j)YgTFoBaf z<*yfdE2aOAcHSB0gy6^5H3tVXe}%g3%KqEZ{fEOpN6z7w#LxH#m#6*CcG{i9dUT&& z{1W@u#RHY>xbhA=+nwkH^7lvhFqgNY13l7LFWW0$SD`bTH%0h&oBrZ|pk7m;l^P{g zkjP)KbAHLhk1J>j$H-6-(D{@L{z>Nse=(qb04)Wm}spe$btK9gIJbd(F@B zjQVHeR-@h6fbii6zK!|clMW8v6mg5uy)BmrUpNIsI^kcFHgz~yNBNkwjPAxpi4V5; zm?gN!3X!zbNW5;IL+7+_tnqIf;I7MD^_K)mr6o3W^b_%)3gMw3WL51Xi7Y56H~sZ^oBQetOl9x!gId+wpL$!Xw^$vKf3tgImoK$oSV=%oZH*aJarafQQF?`0!IS$kZC1 zo-VlH;fRHY&6FlnxUDjtnl8EHn;)BgOU1)M8xw?wrvq@q&RsIh;nPC#aNNRU?=Q)w z@L3oSGYy6TF$~kWR6HEI{pomkrU0L^FehXh56^Tn#CSM*;Q_p7iz$45L)HnuU&a5n zOgLVOhXXjh0uN6j;9wXZL?-|6CBVCa4fE|em+!w;R#u`g+OPosJ2f>en9|}Jj9snK#9tIu>9t<829ugiE9vB{*IKRM)!0W(E!K=Xw z!fV3I!Yjjz!|TH!z)-+|z_1V}9T+GWE*LZzIv7A0Mi@*OQW#hmUKnH;Y8Y@BcH&F~ z69Ll!lLAu%69m%)lLb=-69>}=lL%7@6Dplnbb5k`hUtb$hpC4EfG~hyfRKQ|fbf7I zflz^ffv_RYSrAANP7qWOS`c6mW)N%;au9eBeh`EZiV%poP$d0ERGz zV1|%}z=rUKAcs(gfQPVOd~By21&*zA661?=lEZr?0;gAyw%aA1f-y+pizPy5$mOQ$ zzG7-VRteet$&A@fNb@z;Z>M}N{dpA<{}YkVn5^{NcXZsxW|1cB35!R4GSM+0MrgL~ z+!xp`Dh50BlXUtRz?SkUy`qi!d`Xk|OIA#i4+T!Z!_{*2$vzh~ z#d=>tDup!{rH6;N#X?r>HQ1Ue^2%?(9(8gyJ33YrTLrt;Hf)?mqoCl zz4R2Wg`KTJb*Vcw^6IjQ3Jo16VKX{%SXd`(USB?*Z=-IaatHRqD94}lV?_#L*dg!F zys&|-^7oKcqnFZdHV>Ib`StH!4oCBetM}Vpc;9*j`|8u$vJ!Mqxm;YfIl=k+!8H4v zOQ&G(9eY0&IMk9L-&Pcb4wrp2d=}ft%l-S+X^o#Y2?@H1$?<%7qy+nG~@Wd}- z@?CViX%s#mTc6O{v-FS7UHf65cU-xw-X!tH&2`B)AATsGaA1s=^ggUFoAZ6&y;JjXYw4b#)%80s zfZUi1`@Nu~{;{ZFBKoi3@0&r1^j!PPqa^U@WM0*+Fx&l~&{1lS!3oN<5rI|ekMSjr zpkKbF|Iuyy@L6?MSmuxFB||%;r>uRs=hdFyOE0Yb!_PAcRFB@5+s;e!cb!ZNYyF?e z4l)R$z8`CsUvfM5S0#{94f67S-<)0sJo>uQ z-)Z|jP*`1SGK{vjz7!|6t{)vgrv&1wg1Mygm}6?(U65nt{Wn0D9pa9L7S*JRZ%k|D zx*JhIvXvYNx7cQo7I4kZW{vnsG^n{R_Ro6Hrl-|iv~t=|y;z72dw68urgX-cAO6)I zhK`^Ct%8?WF20l=dg?^j4zY&-odb1yw~VnfBlF|9l^z>GIexmjBYcaM`czQF4b0sj z8XXaHO?scJGXhNSgo}FWL2rJ$x1r;RY=(_j)XUVOy}Ln*8op-wKeFKlANl;|nZxNQ zP^o*~Ez!?^m~qwlWQN zaA(w%f9Tgl(ClH;OI-IP3p6(!pSf!A^9PXg2WHm@e=89vts9+0}zbKcg}G0{~cUP?>s_^6CGp)~c>sh`FN z>NP!tt+C9A1Ec}ot zV2o1IT%5-Z@uBt(X1|!%qzx3k#tdujR#)nlL7Bj;=49;pct9q_sP@?WOi8&m)F@*& zJu5lG_NFU4m8}S!JG_Rn_X^jYqo_M{q(ga(J$4FrZX1)MOoY2lu~Yg>)7xY&&07x> z7;L2_IBrt~Yp7^69;i9CGhsvFxp(8RqZCY?~klNID?+tevF7kl!DCS`*( zYi}&IrtA&5%X~v6{95*%Yn!rb2$>vlhwQCB>Y>)xQWD(g;>a|2$Hq!NULiNoH|VIr zhTT%H+)8NYuOZ~Gt5O?TXPy7$*S9;-PdZ`~pX{8;6-%G_vlJx*k888*2|@kMn(Q*Q z%#6ip8BnOrHcS3shP}_M(-&-6O}P)&#etG zi`RSBklR0ebW`mc`Q}xr{g9B}AD5A{)4uAcnwNg-DR-efTgAukK6aTV>D@Wm!8G*v z>|UN7Na%6LA0+Q9f8;P5B*%J6v+EzPVdH{(Azw%zLzcNQ7dQUmRjRB>i1Z&-q=-Yy z^qCDlPsfX8Za#EW!64&hkPcbh?qwJn7@aD18QXxAd;V+EH}!vt8An_CmKVqDf6%f8 zi@eZ&m*ldZ;m6qO|KxFzb|N9?58WhvT)xki5pQr-uPAA3eac3BP4sLWsbZ=_OwVpQ z(^k-~M`--nFw*DNUwY|rK95fo$XruOQ^IZpx&)GZt8>cf%DRho7PPmlL8eciGs*Dn zPvP{VwU27^vNtD)S72=(H3vy0cPicKz8**Z%uDhnP6J=9Nqa8SE$N)8KiPRPX*X{z z#}yCRSdfkfZquP>7j+ABV?@`HXEdxy`e^@BNKwRH)3QO&WDAfX}2N%jxqv(ut{* zU|M`5R-4n#qOMznD`>WZ$ZDZ^(d3Rjc$|~uL!2-Uts?bQ7`oApivD*hN9OsG5m{_x zm-b3h&}$h7+LOGW59efe|AHK=eF~&K@#dDaLd&oEIoj)fnOT6Rt&fl=<%e@jXbl}Y zyK`J@h_i^*GSXh>Yy(<@&reD@E|+8-7hr)3R!d2r4)p1QA*D>ok;x|xC=qg`IaZ1e zEv2|!HYZ6e^}qgIkmGU_^xENt3v!fM+Hxcrxdj+kf-PNu2hHKj7GST$`0@pK%PhWf z0k&!uTeASS5@G8XU~v=hnONpH&RKwq#&E3#7;_A>UVtAGVvY;&+e28u0<3Nji&y}O z0=vEd)B29zTY$kq{M`cVO%GnZ0Q=F6wJpHbbz?INFvz7VW$_zr7)utbZp9DCVv-ij zPZsC4U~#f|NHdlqi>1|L6|&fmI&4H1hm5*xA?{F%t1iTD)!?=ZvAS|RY#}aRj^A5| zS(IVb3-PE@Y;qx%S%R%wgiRMtVNHuL@a^ScT0dbt66Pzw zgGgB72ka#YgY4Q&!j!TwMKbP~iCdAeJL%YEG7g9S4`h7J8%#{b9nx?v1-tVa52N7C z$@qH;rj(4$P_RQUFik3!_#BU(=97 zFhs4#W=~_S>v7A|7=I(4@F$kC5gR*&E!%|gPT>KYup7~M{U)p}3fI_-bE5EjoAE1= znEV#3B?1fCg0my=mM!>|VBB&i-V%hr--)RQ;q+bD^+4>_E_@^aTe=(53cy2mV+lUk z=x%J%8+TN}t-bL&6+G1ovrxsBdEuF=xbF#!!@?>&u-7bXy$42D!=jI1_tda9cYF;S zGk3>tvGJ6{n4%`O>@apy6AN(0SMV?}Gj8&5&LM227M9?I#cSbnj`%7*cG3|~;A3^R z*g8F2!xm4`!xL>VRecP6j&yxI%o@|&i;Y;}6?<`8E8N-uhs@k$fV0dnzy0`KQ(Uwk zTVaYvnZQ5N{}4(4Q2JN!1@L7b%%tA}-&i625cnwgK=@eraQG4MgQP!BL;9oP0i=%s z4+B|x&Ji9@`j9KNqz`;k`tZ_M2ym9Z4!o42CcGfLru1dumD}8$epACFAe); zXbA`srOP4WZ&(`A1=1>AEYc+d3&$EQB3MeWpaf`f!4gY+E?sW0=wRt7NtdB?F+x^{ zg~_GBB9$)HHE7v3y@4eR3mKL(ENWQVu)w9uyfsU@`n9NmG_IaY6cm zB$k2_8l*KyZjkCFEz)#X-3qA>5+I~ONQTms2#FEWs(jNUO`Bo8(iE!oU7AiI zsX}Un1RH>oEu>sXyw=hr45?U}kU1zhLyC@@fOIWQ+H0f<9MZTnnJ-6)eVH`LL#mf1 zd`SBTRxep_2Fd5b4vC;})vKBecP=N#{a^qS2flOUv< zs&bw`7xdbBSI1^vTq!nfDJ)kRM2^DI(qyIBjM+n@GQ}#U$XYmCkQ_yInmsWkmq$%T z9>dujpEl~OC`2+DSx&tyH5**Rsd`Iqi9Ra43pNWtuEWy6*uR%DMW5H$`z6;P`{9ayEm{1k@5L50{cX^A63ifYq)a%HQPzMptyRAj1!td6I-6t4t@?;!M|hi)Rz!y@!jeu8sv zYt}7)({W^eG*CUGwhGt(Prc zNRTgb%Xny4;^i*Wv0M5SM`Vy(mXJ>;RhUd%n2sNP9k&=F-NzpxPv~INz5*5B=Mmz6 zDu4Zs%%L9zpGhy(|E*Zcjo!BlxkUbf|CrF1K5kWb=J~5PvWq%hw_l>yKLjSpe%}k^ z8|^ULUiLEK@2^V6`o9h#1IcaXDVgb<*gy{-G1`TkB)qq1e=6->KI?qTFDHOv-yv1k7r)A2@^In(u=5^|fC*#4rKw(|N( zt4`x%Hpq5L)j1cCRv9~Oi;JFILjIHS;FEvT!Y+k4Ea^O&kBq3?`mJ3o#>L@7;iZLQ z?YH>_X2XZu38%Wt==5adVAEsBOO^>mf|C2?}B}z?migV z+l{=gneK(N>dU-sQgoXhQIP3%wS4m?Zo-MKSJk;k*vS2o4}E09WqKS>v-Eo8jBK#; zuBQVt-n;ju=UN{*gZ!{jqlI@f%nv)h-ym^+fs8TZQ2-+94&`V1_jcDHhb*B@p4U;V?an8m3$_69nf9QchCwL zdDgaJ9Wvq0S7SQ`^_oLJJPRJMkQ-+lzJV{WWFH#a;Dhf+wjAb`Yc2S|`ZV5ToqGuR zbE!rTJOt{h?Gw28_W)$nS&sZ1$$!0jOtho>k3W%PH&g%dqCjca++=R?*Xzi-yBhuV zF8}71xhV(#j}MWDx54B0=K{ISW77lnKc^uxFV*DtOn&Ib_8EVj{|S(*#~r_)FBn<( zadxKnekro|GMZd#1olb?#o^^Y)e}Tju4{{+a^*MiOkhqMGW;@*b#x2VS9s6)J9YFT z=Z|TTGsu6r{M+1s?tg{I0(>Tt8Rsj4Y3DZJGJ(9nHEl^EK{WZJq`ESRFa^)&C5Z(S zxP;o>BiX>5k<9%H0;Wn*9yN)|#$P^xya5$%Zs%@2qBkob_h$CAav(^r`F_DY~W0L4?kcKzbS!Qpy?b|tTikm ztjFeFJdnW6a6hf=@!026Nws{d9^8VcXJhtSN9X;>%r1Pj1Zp-1X3tA_eZu5!k<15y zY8yT>2j!gOGxEJW?Rk^(ZVR3^2bG?%=?A4e-+q;KqV`0=ie@}`4wb{XfxHmHx#Y*y zVQhjXs&?SHbO>$6wW9^oHQ1OKN=GL|;krDs~ z#cai>n%-2YsXXs-I+WnEvrvo5AH5o?d9;*THqySEU!RYq%tD#!zz<7T&9eo__!L;? zVHUGc!KxbGV5AAEi8LZ3$*K_GZ6Z{RpAm9h!jT)ArS$<17a_o@sh%qrXl5YHF5zWm z;tC=tiM2N6Mswr6kVVSVOvmm{AW|>GgFERiJUys=Eh{4pV-U6cTr)1m8TqC>&DZ$r zaj3Cb)fJ00%x%pI2DGiSvR+`UaVWnXs2OO}&^AM6Y8L-FmO2KNIm0UXA`LkMAJTx# z@~o=I__{HK0_gf_ycH7;tIZ=UUWk~h^Y$8?ac|RpmlAH%-)Lh*WPV-QCiy zy*p#YP5jz-C@V(2%y3tKl8X#lZpw9RxECsp-|jh>shfvVHg~tzztgyetM@`N()7-f zDNchI;o8<+!Y=n946L|=!*Lt(>C}!^_rHkMbwdd=;zmL-C&U(6x#~+VV5_Mmy1jhik>cmL~k-uyHCl=oZHPNWZ^G2MbqbAf27Xf=D8n0=Ea_Nb% zXQOPzUS#^RuSMZ=El^3d3T|p)r!+o4+@|fA&W^;@S`Y@Yp@^+qikx6}OEB)(jL05U>|qu+5Pww%RogH2;YNTcUZl1pMW>DVU{$q< zqF6Jo7H^F_V^*R!He3U>-jV~wZE7wCgD0A_DJ%yse5M@Az|&d@#cFa>gpsUx0#_)9 z3h^Osc(7W0e@Q`O`weFO5lpEJK^XpSYBEj8T~58}jxkFSEQgz^nHTTe*%+ggYJV74 zD}j=8>-y9gR!lfyIV(BioC3s$j`p(RJa=BISHAah#0lpR42NYcE5-r&&@bOQ;%a$N z!)}nz&0(1vUl^~IQF<9?i!nbzc^hydAC}AvVNM^k!IT70`SzZfc3{Q#KRZ+_b0e8$ zjVpXW2*^hxmSQ7vtX1T#@Yzhn@BL4t>RaGhTCGe@9x=s7(xH?d)_S{JH6;+)*t@Ds z@R~P3^y+`Sk5(s&-A=cUH|(SmQQ4JnkVvYfqLk zx0-$4RNlV%sjVh%^*7>Iu0%3jW(dFhARAwq2!;Q-u+Lu1nBH@bOO-D?c2>h1?g9s} zCuqAf^K3O?q6e`s_>BQIq&eUJnK476x2cq7_dbkL#cXaO{AG_0)6kP})^Dj`@;3k) z=(Cv~VJx;S$}Dcz-}GiT_Td^Z1XUJaIvFWCgxy}d3k!(_Dk4O)s+M6mcyxbp(!C86 zJ8{}2M9=&vU}V=59=zgCy#4|J2V-;?^RWiTk`Qc;p%24?eHG;>C#ZB8>Tf z%^2e};0RFub!EJ@?F%eY-v8UZO<3(I1mC=}Wc29}ZhhlMEI1lq1@GBV1IFY)0kufx zZsLlKm|_%^LWUy{h+tSeC#_@RI~x+8f1ojrZnX!D^w<>jkv6tEx8h#dOGf^Im9Y6UAZmt(<)0I>Ky zB-DT&-{h{4mt?b2bvZuc2)u>w$)yrnMJ`c5xJSjKYyrQBax5CB$#}_@=PKX1zJ`h| zwIRTxL7K8PQB}B2!LC{%JoRNajU(>5l-u4czm|f_n*pm)q0!hv)9xlp4Jl;onh65B zx7E`)r5mkt+tJOJckgzLz0qn?E)G4CLIsL7atL%8$o`lKi z1LqO7?5AAXur5)Q5G}$Z`G9?#ob3yzWe+^~o|AopdUFvz%|qDJWFYNqHBqThUxWu~ zB4U>2M>7n^KIF95Tx?m0{ZIo&MDNR4PnwJyQNFmg5O-n$nhzL-2Q9^@^Lma8g{-v@ zYfwSd)LU1Y`3S0MoS%}#59|h@s!Xd_h_VyT2 zC-Gf?)hhtVQe%BqpGLFLQOMEW`KQGKTx&USEhqVJb!ksTsCa^@fdfYY%*9k)PM4rNvwG&+5`b%%tyPZp4Rj z5KWh_s85pPLN83WqcPAzQ%noElojFNV}R<;36xl(Jf5lyC8 z%iM_8o~s2znjJY$M{|s6F@9Qc#xxgCEf{}ocP%JPDLZS$nb2hHwT4Y-?G{=vS=q+_ zpQE;*ot5xHENDlkcrdeuqdcf?(FS>MEopMSysg%>;Wi$GK|`aoApqdvv(Oe$%9F9D zoh{%&%pA?(L5a*foEPIj;{@_#oM_5EJP0tEV^Y`{kmGn~T7?5|t1IoTH4mcki5U;- zY;i`sb~jpxE-%EL<|5XF&@~iEyK?|kHatdC9?HUr)q=TD0Z)zl88#rSK&3i9>Rgo>Ywho)~R&D>RUYdFo&K@*l6 z$9kR&_l>408)W_JMk&VAcS%QoVsbknkR=ehJJ{j@@n#*+bB#fZj}L0ZFrMv9Qu-mUR= zgx1%lkv&QqZqz6or%hJR6YPCcG`S*;$r+kru7;e1wlz{?s}7wLtf8$-*Y?w})2Ewz zYJ?cjUEJqc_iSVOS$mCk6MDR*#$pS4iirlzlAdj#;bKj1(9t++OCO$5e``-)ERyy} z0a&i=Oy>-!+qu%syVc{}=)P_074G!2jp}m8=ufKE%{}RbrRs5B^u7Wqhz>ZqvOj%m zr25f7x?!+-N-+JXpSnyqJe=P=1~Esun!b376H`m)jB@(w=|=~p&^w^-*)8VH?^qT&CFV_KYW^><}l$@E}{xm7(1) zZJ+@--_V26(8BIJ#@O1(j`L!KRI_P*jEYirgFi#Lh#eEm2+3vB!Wk8jQkWl*{fKCiB6vx$QLPm^GZE}P$+%Ih$fcAm-DMn$N+EFos)1;=Y!%V4FlhbD^ z165(bj4xIzG-fU?P)jmn#^lU*F1Xk-LxR<`9hk#@YFnL|zFul_Zp>j1wMloTubW!? zG3Kz7TA>#+#D2cV;YlDf#!M|fl)2bgEiRH7udf!9!&C+qBafLf!}2X+YKvIDrOb*E zY0Htc!J(Ep+{^N9WS;G0`L-|>+gQFG%#=n}NH^0QL~=i~ubdS($UIvtZHR!jh$rLB z>>SqHDW*##t5D2b9Lj3fQGMdin$%Y{_hBtIR-HV?+G?hnA(tcP<>*{ zN^(}Uvt(tvsmhtL+C5aWjaZAlR73PxG(T0E4vXl;I2)>(BvMU@RJ9vbZO>6v6spP< zs5bPg8WyX@cdLe!tGaZkrc|pcx6F5Kz<TEgXx1wgsFrHg=vKb7HDIEiH7NhNr$P20Dv%nV1ST-z<}_8Ac0VUfPt_f z`pF=WAel76L2>SQM}{V1d9gfyDw#1{Mx1A6P`Nlwd)@vLgCrV4=ZsgGC2R z4;COSLs*QkBw=B~@`ObSOBEI@EZh0cntthWhD8la8x}Y$b6D)Kq!&mskZK^|K-wX?bs!NzI)bDGsR-fkPUHWDY4E5<8@K zNb->CA>l*XC%TGYBY^DyHU-!kV1s~d0yYcSGGOC??E^Lu*h*kSfo+B8KZ1=0wj0=V zVC#Vm2(}^Ej9^QGjS03V*rZ^qf(;9{EuvEiHZs`GU{iyw4K_H~=3ujfEe|$6*#4xO z-~ieXVOvB!O|Vf)w@V|mb(%@HQP@nSTdD)vUR}{v>%o<7yQqs1He%^^9E!H)Xz4Z$ zn>B3NuyISb?@H-b4jVdb>qMUvZ1k|*!=?{gKL`Mj0U!oI5`ZuOc>p2-qyh*AkPY+w zk=9Z<0ipt=1qcj~86Y-5a)9sv`2ivXqzDKSkR?R#6$llOD(m<+#U<27kbbf)5OG2U!q#X!6ka-~XK=Og`1NjFc5Tqao zLOBa*lO6O|fKUXv2%-_BBM3;4ksu~PQi8Aqc?lvDq$UVXke&10qLETL3ZfLGDF{@M zsUTKCvVw30`3fRd8wpw&1F5(Li3>s(`-!i}koMuvN<8HylBkE!5Z57z4VaXqCW<8$hTvX* zmLxwMmdrexk$f$N*4PJ<^12?0uKJW@Oj?cimk1YuA^khuPU5o>mE}1SDi8l52~bs( z#9E{J{G?dL8~o{fc7-_kG%D1mh|Lo5MoEK4jJP}+QuPrg5HjfF^h?cL*p0=5!a&3e#1EKVI+r$A zcuRSp{1E~Np7g5-ez`eUcZb%WT#pEXx4qP{bHQ_6iJV>^(s^mW!P{=(#`Csw!>>5q zW<10cyzNZ3Uc#Q6OQUsCy%AiH)Zue_$%?ss?-V=A?;*K& zwg`LASBhN(6-{Of$Wrcr(-gb*;yv++s-#AtDgqE>8qG||;o_iLm-<+DL?UF@3M0w3 z;_L>+T9q3_Z$q`u3o=7o*i=|qo{!iBq$n5sujnf*GJRAlCeu!X*Y*nrrO*>56RLGlH}FZkvO|E5~Z4o|1#s$?OMp)bd* zHdb+VLcBF6b`TK_cG1bQm)k_Ma+f2^l@Quc6i!uJ`bMO^d@5AM6fq9Jg_<3?94^vZ zX#rJ01UrNV#@<+JAu?Qj-#_*Rq8^I;lJhSsi1sP<`;@mL{NWd$@`=lh6Q;CnUP21u zAap#1o8|9Lm@@5;3AGRq;paiMz3Mz+uJ&Jdst+O~Zn;s<$TKF))t|aj6A>cu(wUlm zb#&ZRGsj6-hFFOr2Vu|E*W;%ABKz_=1Wi=hmak9<9N&MS(mHuJq9-aXllLmH#tp5D zEMgBKjH1ZQCrDvzOwTUI#OxB{DP9_@JW@y<({^}jB+No!#Vv#KS_QwcX{U4g$%BZn z@YD5?y}52|%-2;%MG2u6MiL6^W|MHpf19{$KVmMH&RjaYGG5pdG$JyMK=4K7_)zRk zOJQ^9)6o}?5ry&J5pTgt$xu~9prjCA)I_<4kd1SVmmc4W8nj9cgL?OhDk(z<;`nd*CE0|Q?_(+Ir4%JZbBq*SQcZw@L+bs4Ap?YUSmb#- zCun@Hc=JYaV$rH2Ug_$hoSbItYMqnS^GO=}SGVH9|&H$lDE zO3?2&G>6cSb3VIQ+->QZt=#72y$dlQImgQMlrHyl)!2Is*&-NZ#BI0FU1m>7{W(|f z(})UL<$UR`QeF4SFHarHUL!n&Za-9TH@MrbCC8f5hBy%;%iR-7tGcJ#D$PvE(E55} z#NNbvW8%B6)*p>V-fTpU*c*78CfawwT{XIw+z~=zp>ru>{ZyOFWTp6GEMiHFX1pG) zk8hisekbzELQn~P+^Z&0t*u#nf5fC0(IrcTyXF#WTEpbR2FMBsGx^p#xaGcItCjqL z?outpo4oJ1XnlXKWk_Llo5=|Tp8V0YEBd#DmO{m^^@GwoT3puLt~3!K z6y--Lx$d{B<_V>J#m~PZCgpzxUXuHc&7W!0x#SfHPT809eCGyH{UPScOh|kDQM_Ue^(~@~hY2;|H(m4siD!^ZI}QmcQIfYaVFS zwevo^n)D))>8T4Pn=Y5zIsHfUZ!6+o z_KlW|Jya-fbv`cqz7QIm=Y0PfASpe*RpxT?pI(v*B4R#w?$qC+RQ5ICYuip+gvLxa z?eyA`Qu=%Fvc{d!h>_V|OS-;=S=t_|QAv7?V3~cT-!r$Ql^lvVSX5F*P&0Y|c0E=r zX^1+Rb72DEGhvbYN!#8Q9Ju^PC}|zR(FA^1dCDpHeDyEC3;G05Q@nEi!x#M+#+CY3%_%X7SY+~VI>1l=4o z**Uf??NeFeKBIqScSz|Q4Smwe9n4R&FaM)+VJE_HmWc;=J5mJ4Ur&pS%@B|Cb@bWc z9ZG__H=l(|f)JSV&w%lnXSY6Rzx%8A*&Rga9Pb=>{!HP+(Tsg=erTJ^QH3H#IxDVAA(n<#fzZpT(9!4qJg!$f-`NZ4(1K)LKF`pX9@rLNP0-k z&yh>45YF>Cc!~QihxCTJ+x|;}5ZCj&&%o*DHE$*wwtE)eM1ao^_hj~gHw==Z5#9h3c&_f9Mu|K|k4f<6nkN2+{zVKW&v@XtlW2W{`& zeow{x#gXZ%j(=VtQ0R}A?SkaA=K-_Z8~-Xt#86fBx3=Wf&$H*k%J+>Sbm%|De=VR# z|63xLoA+%wVu(C*wr_el`nYD*RI6jUxoHxBICTqLYf-j1H$Z+|5=qZtC|zm{M`=x#(2R-2jep<+fPFE9DkHy~m8d zb3{Y8VA~4>E@k9A%}N#Bw9#ZnZYxCu)3e}n%}gkBX>IWD??ounRWBn^>gyXr{3XYp zE@VlWrf|1@1(y7c28#iwZJQ9>WNCj)HO=>Wwq>s6Q$C`cm}W($tPj_YSSJ|uJ0aW& z)BnYbwdR_kt*2yb1md2mr?#G9g~WcdV~%gVfdHrk;ns&~HJ3ggoax{C8j(;A-HQcj zv`a@EGurw}5fZhgsj!(9adFQf|Jt*?h>fZ)KRS_Cf1%lVNAXr!xSyfW7tiaXrZX;_ z^lOSfyAIJ(JHof_N{>8a=#K{$vWbp9pQC%(4X3{Z?C@M{jrgf>_p{Dy#_1D5#m*AZA}+MxkM%OZy7|?RcEJxh^-ja6}_R&KvrGaJ?PfxK~?vS zJaRdq+CvF(SWTrKTi&Hd^juj}-8DG zg2M>WVtPIHes?Fx?xvfEM+9QE5?r^ORv!&4i!XL4h(*xWjJ3yIb@f2K+f0i^j}g7) zVEp*CdUQZg!g>8I9}vcsA$e4wUhC6yr*mp^E#kT4#~-z-LmlrPSGe#y0=wM$kBq-_ z_RdZ`-NQh&dL4J_#DP~EO7piM=1aGrN0rMv5tQnd zvrr4cUwHUt1MX#yFRvd2Zni=cSgy}zCvNSL>9o#cn~xwI40GS?lc9LTE`5#j!U)8L zG3|R!aUI=*-dbAbUqpbIr^)FX+{D8b?{I@7iHHE+mQ!yxoX6 zyXq#(<}J0Us7`RF4a1KUjoyaU!XHP0;c%@Bk3%vj%+ch#z-+!bjHF9GnLfBG+{}yB&FtBNU=C`o` zv1|`KPnGem?A2>|;NDe>ptb~Oy%t`j{!q(R`%_(rZaZ(;)ytF9Z)n9$HVP5u=5L@k z!Q<;iwR!3s79-xxZRWuOK_vh8VaxFcixBu`EX<|wGqvUiJ^H(rp)F;zo;fRRuws2S+<|F*btZsv~oGg4gUHqq)Jj9-`z@ z{Wcizf2b9XC3tq4AYAUeyPFlCrsg;v?u^+YZqCgfcM>?UzK>g4Vuukx$2Gx^@Do(S zCN>y!oypFC9zd>cMXlCkvfe5MV9Q_*1S5T=C6%YO=3bA#my}zFl9N29)nb7ga zX$0BfO&>1^qIWeja{;zT$!6`vlNeoY&DTV6LqbKa2jU6_I`C zLw{=%IIo-)d;05iA;izv%e7mOu;S3%4iDEJ#QI^buD$$vg{Zksdspe5KV@^dmO6uc zd4=Y=2PVG_A^I=e;3uI#Yx(4ygH8v50di-O#spzhbBX-83&8`94$4gM-%yT7s(Z5u zFz{K2wn#8S4wIa3Y9|OmH@N(SuSEVV(JgN$P{B1tE@FWl>5F70CmS(?X2LFs?z<_; ziHl&fq)Jpi3`u5o%t{V!M+hPH;)Eo1{hY+`3|KV%5}_^O*i3XH1E+j5;pcP#OV}Z}AC;6snaT}hHez?%aQ@xUZlLK!XmhI#NXRE*C` zw8yE#68}p6TjJ6$!RuLUS~4_3?UC%rxzuVcyD8#wp2qLkWl8oc>a5tmK&x;bdsO(2 zg-Co8sdZvzxE4o*?k(h7^x}skE_bND;!!WH_<0sGvIn!0nBSuA6{ost^^L|xOu_FZ zdDo4pOSErLzs&kuYjNfQ$?+~+NiuwedVH2?q!ls}E4?p`Pw&E)O1?%@r)Oqncq#ML zq*EI{Hdhrv&7a8-^4bT{eL?)&t$6+1r%iOFZy;*;WUmedN- z8WY}R3%bIH?^}b{iCfL7dqo2h&59WAvlHOV<_)><&i3>HT z-^UYrHC+g1ldo8YIf|p$RL61uCQZfKWam+3GrmnJ&K3u$QVYk7%QXutQM0<>Y6-SX ze1cB>F}fpH)3+R5H7BSnz?x?ducICuT@tJ*N6?)DNj{!7>$rxR{bS&mW>OKlRY}0h z$D?Mg6sccFs+}}x1oFv`$ir-BO%mH(`;PC?eifndQ#2AhbM;HC`X!f zBe`4WG17o^?DfQOGbP}Qt{>O#nDEVDQesx>8!UKYsF4!Y$aUw&%(JDVX}J2tP#vYP zfosow;wrQmOgfdtOv7fzhpQ=N^|~h9Y$pOZ4S0=bjt^H*s_Kk&xP|uUmcFdl$ynt0 zk1R?}t>w5zg*Bp3dE+lI-uT3ON==PJzeb@2arczk3w(BLI-OEc?b)J{Z9??<2S3L$ z#^zpAN~-WmjVDG_&4HMWS#STvL&x-9Q9e~(&C@umk9bwy^ka-Ywl9$qR*@R2;i4mK z?$?%Na3AAiLi0NmZ$Yt_##RDi&4_%2y%gHrqPTn*aMc(Vl|}Z)v~VjPVot)}Z%|CL zfk~d4#t9IyTdrZ9~aUOt4kAi+o|#oiwDr z7P@eI>ntf&_XdpBp9B+Jt=~1=s`r~YrRR>Wj(VS;x2UuIlX`kAKH0NJixQOZV4Smc z9=4smgx%>m$ffAr#s)Zc9^UsllWwS6Uc&f2Cpna|c(*prSyx2hzPoi1AL%Y(QS5FO zS96{?pi9Hlhc94PyL%Xv*6Z9NPPR3H<+3l}s@-}t%HeCzA~_Xi=!#eMs55wNmx~gm z=gJ0uPJRovX8)^L{As-0Om|=$upnJ>! z&&8u!zs-{KLybq+=H0~o4)3DyHLd2;}^Ysh}($bANCEzE9$=2kUt-EvtSz@LwC8RWd`7Ob%(0R-|Ti6unS!gQ_NZwfDhMJ z3CM=FGc!=rF70WGac2ej;K8+fv&cuRi$~N_EQ!l0s=e{Knuz!0Y|Cf8YKkVrwVHHq z{94VAbaI0Q-l7(wPteEFUij*o6KUijzGt;sh4_N>wzt%l6L?~I&nvQ?mSvHevIrr` zshTHn)pC~sfAm*A9Vj^LVQjtS%d4%1Vu zy{#m%F)5hoa0C~WHpi2*)tIhoa*YJMoZ*fiC_Qw8T%yXgRpV5WtQuu_%(d=#O-cJz zat*`SM9r=U5zWlV!?;b!k;~*SGzVQZ-yC!S-OE;IyuRRj6uEs}_!KK9l)#+LopHN@ zfC%!}wFyGjS-%Tu_1Yg^zB+_8=Ff(byH;m(v*L~+_L;752)E164<>(A9B5*lbuBqk zuN*}Wal#t%tOCjHE7nxALhK13y2%l<&HH+i{AIai5$mW0aU&_;5wHE^>r1X#b~T!1 zXhcxbskXS~r#*+rC2~!HEM*tZ=2Q{pRE!qrXa2Q%au+t7CSn+%d!BGy8bt=8-?fRqgT!@><>u zlh4fOlJnc92UKMuiEH}8P4S5IBkJT6En%Ii@%{vkJ!XPUyfI{x4>bMSq`K9UxK`N7 z1ap4#U6nl1aJy3Vtt(=*ch&938q%s56cT^3iTT8tz{Z1k*z1P}$4Pr`9Is|pSpS1=!xm{`D;_$I zl0IKOS}nXVtJf_f9sE+C!^6ncCe1bsnXL&)$t{B>f)zvpdtU3Ei*w zc&Qrhc=vNX>1zPPiD_6#py=0GSmvFET9V7jlh#b_f?rHa+o@YtvG6r_`l?B-&aX_E zv`B(azpILe-JGr@**X0TD2>0-@=_PULxw8Wc*DGcRCVxxgt7P-g4Q>^Rl(Uej(i~P zw|gaG^f_&-FOKovq@{vgzaEuI`e?mugpqBDNcM*{yRp%04ev>l2ma`1#2cY2A0ImG z#>}rxyd|A5|IxuXDltU2$&Bv8?#5cBliGPFn;5ilL+K6I8^U+tQP7R>HK$vx6%+QCppLo}k}e{bbfNFC_C`Cb(^u5f9l1Ph7|TVGhD0@ zM}PnQCT!+Z$PJQ}{4#sSq|sKVBA0c)*=@qCPJO>d3X}T=bdThW^eUXh@r~Hs=)+e@ z&Eg_sK$8~jENahRe{CZ^9@To8G&lWHpAp|r5ciCYm{!!mIFifcQwd$U4PA`6zG^*o zBeEfils$fbiXLB0VE8B3<1G<(k)+li_ebf9MUM*#vR5Q7TaU3KzJ!ye+g=XR&qg9P z|8D9!EF#z?jAYkR)JvcA+j6Hs`-778I;=XVCxmqJOGgLY#e)F$MN0UpAm3n8N&PRt zLOKw)FW*+eodc%>NnJJS^>msALGkmH@U(!!0McxwUnTvlK5ex#$BQ+afbaIZpvcJdmHwb%{sC|^?4JEI)>*-pg!C$z1^n!K!> zNfmFNhSMAB7kko4}2c+!&siOzt871-<%y@MpH1V0aY`!VABP|FpV`H>zw((mz8u5?9b zqFrEC0lVs6XiM_Fe$I(*Zb@9Wo~D4;9rm^%MO^vKo*rX>dI)YgE8wdR+gXzeql+x* zPiFG==e2jOTn*g@&U>s#RS}_P^adf(SWvqhzjtUDF4YX(W=x;#bVnCg$1TT3oLVhN zJwg5Ybmc~(+km$mV>(rslZO0X>d>`Ii5pF)sCaW-j>^5pb$vKMK&jSBy9(yaoX8qL|+1vimBUpYm)Tr=p(dvr@RljE+-U5 zDOi~GaW2WwdfOoFi3QQD@QQ-Jw>qvt+J9hMKP^T7;l12!l7c@4n=w0~PBP`wyJ>Hy zPzS?RCI#o3`Eua)NF$((2ldb;tK(!W!Ze6YGFNwPp=EcVwuYZmJ+#OZ*KPYrAx_JxIrJ2 zv`>j%PJ7}WWzEOTsz& zN9ZK&<@7vSj0w8;^|BfX3)ah~k!I!Y=g^Mo5Ump8Mc5mD^Lo;RST34oKAt;~qg)VM zvj`v4nqEg5o<0jecR%W%h`qB2XJ|RDBYhLeh0>H;a$9p;j>HBo!u)tqN+bX$0%H*|nDRWrX7ofD&Gi<02cYxCm=u?_W!*YHa|tJQ#IakVh9{YuG_+NQF%? zUbJ{mbQLZ6!$RCn?dxh%b_2(g7UE2_U))-V-C!M9O$w@=^q`qrqI>@@1}?;_RX?pF zov6xorzsnto{WnJ7Gg_PClyI9f;czYwx`KN5-lAOviPAbUlmAocg$^Rg+6;6b7a!aImu!Pn~y4x3U9es z)8gDvpT{qJS-5v*XgMkDMvNuR&UTMUjLXIQKVS{gd@oF#cu2V@1up7bYCyO@9*kQcWJNR z`^+EG^ToR!{7L@!-+q?J)8_iUok??^`1P$!eMY&+O}d`%i;U!ZA6|dgJn`Mjwh=Ps zdVZ+niG1&mYk$)!{^tBoFYM)xx}Kj1PyWLD{pz6y#k)`aq=|4Jxt~uzzqpp9(hp!n>jC>lX1hE9po^*~9*) z>-muaGtc|%BT9>S_flIZ`K^oO7U+52&JMF#G#A-#nt#MaY6Jf(&-+lD+AO}=YFj1? zT+grZ-4=_{(Pxr>b3Ok%?-RM+ z|5fpFqd1xQNm6+v*E{hVo1xAx%=JD~e#cgkbG`rPTUW;X@S$AqBR^>?_vd=yj{ zyDmG93m%1|zWu+Z%jg%5?{XI&raR+UFCreAJL$MD5+1la>KHI_JXrUc1}+_7yigp5;;bMt14m_Fv1HyCfpLymtVJ|L(>p$Lztpe)Aj0 z@39t$i7y<>2aCXO&N!~mXuEj-NyqrXiXk3#yq`}y#N5vu`v=R*|dK&s*VGL0GtwPdjeVr(I(262}n2DyMWio{-rs-oMDPg|H-w3mj*NdR#1Q zb<82GpHz$E58b^){QCBtM`iKAEEe0*8;(m9$A>1aI7Sgx=65eTUQy~PF?GSQi?EC* z&N+^e`Lw8>c1$Cz`O0y}HxieN_aAYrBWw_{gN}Rj@(S_py^eu|-K226;~{;$Qp{fC z*htuVCYL)-Qhbg0^;3?SgnerCamP>kbggJ~IhGPOHNC@emF{0JrqO7%zh$veeD}dS z41|_KGjxa7-p~pV)iF@tLRtqI%J>nnn-6V{_c5 zcMponImd8Hd?v zX^rDTy?IiURyan~%csQH(?30+z4YGR(_(CiV@D}x#8|iENXg@3Y>{J1-G5GuEpU9P z*cYPI>R40ac~NR{+$r&bcz>f~P~H8Fc>fQ-vOTK1zeTm+*i?646y-~fQzc#!de*)gQ#4FNm+3~j~+bfjYcOKQp1N(O^s_4Dp zxLol^E0R|nqwD3aigzzMUYFio@#zJ}?wWk8!aV0VUg8p{36ANdF0Cj$>G)phrz&Dc z9qY?_x+4CW<9>nX<%n_ORU|KpA&c7LWq?Q&ePH}_VgIvitca$ki$|Be6w4MILx@%4j_J(m8gqOiqr z$a0TVNR5t3rXHfdmR_;?#mVLu6K;IH?LHf zYwidl&_wRKUUAn-$4-0mMuoD>an$a*Rgqfim}>9dt{8pH@zw60GrO=WW?O6N=GjVz z4FtBsD+mS~?n^n+uzX>lZD`)q9=J;^wRkMwKjujVQJNvGTdW+xjR$8PBS?8zS-(=PGNY~{M++ueV0_NSK}>n`{5?AS%ey)&=O ze)oc7;H9t6&i%si@De}FPMmRUJo)x)>!jo4-94xBH^&?^k7}tLJ>>X#qYqTd2OUc< z-CFtSUdPo-&aadw&bUOBY(j(`OXOI}nNTjkh$VpnB)x#RE^K2|9{?U;PjlFIZF z$LITcX{Gp>WAzzNR}MYuxPAKa%2htdiVbH?RYrenrXWMUGrP1Oze=l#W zjL&h*zr^0kuW#KE%kJo92P%7S{A>Y!R!LoTTtM|mW$Mz;M&Pl^yT5h3z~7v#96Dd+ z*ny`j<#Rtfg5#B6pZ?ht{Gu{(@@HT0LS^AG#~OV3+sdIsjyssVgzM%Qgl}G{wDvk4 zVfuPya-(At>OWM*);Uh$=tx;pC;~I+dqrHzi z#-ZFEy}SF4zz2;_T@*DIIrd?)E1GC`9K`fvQE|RwA{tAgUq9&hh?7r6Q!S2_c-Qi% zJjZbp?_U|szJ2HOP>=}KHBtS>9ib3f;hXECiK~vS`02)I?6Tu5PVS9Pe(RWv;=$<9 z1;<}h4n=#va4g2u(Wo@;xQxc}X!dExXawLNZLbl30DsK38}T3FKeru6{Dt^yZPO9| zBmD1d-w{6mehk}s#1Doa&vqa21LMcG4M_YV_;qX#62BmRP1}aVFOFZ|b|P^>a9M0K z5*G@W%l0F20dW~^OA;3rm)CYBalvufZDSHQ0=L8VCUJvsn{0a$Hx9SYb|`T}aa(PZ z5;q#R+x96j05A+}s}chP!^3tfF<>xkY{L=*3B$?uEHS_^%xv58&cM6iIG1+@Ld`y4a>BNG7Wx+N( zu~1;Su>DRfAXr9h%M%L=mKWRg#Darm$2LB(5Mep8y-zGqSf*_I6AKrXFWUjdf`(Q*1!khHUE;8xyuC+dajGg>B0=P_dC=JF`7hY;f4-Y#S9DAGSZ+ zNyUbUZP7MUu~A~XwEa|Upx8!jOBEX{wpZI##fFP**EUwM5o0@sfDUgJ8#K0Q+g`=S zjqTfZSh1mFTenSCZ1mXfZJ!kd0LlQ{YDHmy@&H8wN(B@QC>w0U6@>)K3EOi;fq^o^ zwp~$pp!~3%R}>^DOKkHMg$l|Q+kZs?gEGdpU{Tnhys=$a6g((sR3vII&g(AvD+pk3di89i*Y*AREytG|g6r3nKZQ~Y&D9TaW zyG4PDGS#+kQMjUfwH;g(v?yzB6BmUp%3a&XMFEU5*tT*}7^6J4-CPvRD4T6V7lky+ zY1`99fsHcTwslc>qx`m=T@>Uf%WZQPg*wW0+uub2k22o2cv0A+ytiFm6#OXrZKD@K z0CB+fdJzy16KuN|!2$8Xc6<>i5G!oc7eNDY!}fgleWU@x*q25m*pgYy%iU z264vrfDv#Ib8H(J!3Xijc7hRz5Q}Ux7(oef$@YU0pb(>MOBlfl@yd3E5x5Y$Y-1Qf z3~|i%h7r&Z(`h>f;k zj39|PX?w;9n24FSZH(ZF_=%Txz&S=BMJ%<=V+2*iRog#CfJKbOt3L3;4+LApTfF=O zE;0fyVy|r^BM2i7+g>sPGGelACnGo`KI0`K%CS3v8nN0ol@YWNw{2e;0UR;hww4jh z5zlRR8G#+K-8PsJj~M|UG2gbC5&RMVZKoLx0kj2dvl)#7vVtdkPu-xgx|71&}@q+e??M$N~gSL!qPNPwS zc8%>%qk)4qj%`t+v4i%G?NX!RgSL-tRHG4uc988=qd|l=k!@F_afJ4f?O3Csgtn4x zTBFf~c9ZQ}qXC6Blx+IqIhjYc2ZeYVey1|Zsiw$+WsAlier+l_`H+J?5_jYcBciMHpB2IE~n z+umqAqWx$)-)KmpEoqzIXjGzIY5U)3V4{s_Ti|GHqP=Om;AnWF?P(j~XoR92iWd~Y z3rB+#ZBp9~N8=RjQ`-?oLltdR+Z0Em7425r7e@mYZCKkHM`QM`pWSgZY|*y04RSPc z(ayC!ax{3+=Cy5dG=9KG_KLUwjFddw9(eKO>{K6-~8D}M*|#faJ(9-_ov1<+T*sH zj)pnf=C+}ZM!JMn`A?oY8tiDZ+qOCy?|1#|tlJHFyoL(qIvVxaKl|%w;8Q~>?{ zetDJM@V{%vp9@|7yF^{5d4(2CI%sHb?*D&@Ywlf1UCQ!GG%v9}i3k!G&|L*O6V$W9 zI$NTXh|ZNNt}-R7Tw!M_WR}8JnXVc$tIlK_Os+-FYL(e}GS^{bbsOArb?J;+Nun9I zH>y)}4XVwgp0KDMg)UX;DxGdN=ysD{V$rKqrdnt2Gnj=Y^Q6VB)=7&&I!vKm$9$_h)> z2`;&pOA0dMCn>6QfI3gjkl1pmD&dj$dSpQ+Q8G%Ek5Lz?T8XQZY7&C6S1<(G_2$ewC4_S{8kw^EasHM)1!s33cNr1<$Ss6%E{ zuZ#&YKa+Gm|1EXKtWMMn?X4LSWM2sxc>V%)(X7(%?GN((hF(q;IG&>F2Eq=>}Cs+I+@r={PluvsyL48O%1?yh@7Bx?b9t4X%c)dF54D0Wk!p1=HzG6B z*c5e;DwBRod6G?@WP!=NMTV(w=%|!cB`r+wNnRySa29pX1htl~m+EyP8IfInwvgUO zwMZ+de7PxKE-+pFq?SHUw@dAbfXu6G8;8l$L$9J2OLclsj>uV2HbozzmP#wBkkJ$} z3e2oQGE85jS4ka-u)(Wb4`{m!F^98+G zZ%dSlyy||4Q<=&hW+lB{uhq-Mh@3NnO);NQJM`a5zf2dHIq#8S=39EFzA#aq=2g!# zoW4@wtW(&yy>VUpNs#FV1?*OSK7wF@9d!kC^Rlbw!A#3QfdYxXQ zMr2Y8)D)y z+D8g~77lCZ04WS86^Wx%kQ9cEiUjTgDHN=V#AUjar2Oja#6h~8qzcU0iEl7WQYCU_ zVi8?UQoLH3I7QcyRM4zU+@KmrDk4V{&2$Ti7aP&U68d2h#|TQ``jdD-tx6oHyGT4} zRwb@tD8(akb)uDCN=A!~>cleoSu*OgsuQ^KWHg}GB+k(5$!O55N!-FH8;!`Z#2or1 z5-T=hiO1>JNvuS^C$WIuN@8K-o&>Hoi3!#{i7WKmWXP}nD)AZpJ{c;oewDzbCPM-B z-o$BoHyH|=_a=Uz_K=~7T$^a250Ktsqc*XWK0q#|uan8J(U90k-zJk0RhBX|&-x22S;EC+{Q-5pbd(OW{-8Bq zx=fd`{l&(wrKjjwY=4QoKw3oCu>E0UfwY!xVEZF#o3xK^W!nm@Ht9Uw!M25sc4-ye z&9+6LTeFy^W0qtwqumdIvjL zY&{}R0GJ+;AlO=^54> zDVdODT{1Agy$0p-#Hb7{NEXHBHnF+W75W9XlX{F4OEHPdooUi9aDCJ{GEH)oO8p6U zZa`(`@@eWQRW1!CJh@?unJXAn#R#>6sg^o*KDS0EpO6XaGE*=0=|XOU?3(5-q#vML zq<>KP_~x2xnkPmdq~}Thq5{dLKytdmzQuOZPmxaPS5h!J(`4V``si=S5@}1KC>c<* zp5W8;DQcPYQ6iKKTUk#C27S*6y_Q)mE!M-y8lC%+Owc!&4blcZl5CK({q91hnSMz+ zN==o$Mz-G*WA@RnOP8fmdAj26XFHiCWI(z{DwAiL?tZS1IZxh}HYfgF4yZY8e405< zy)W%d{8A2EIcWLV!0Hhh8TT-IbgIFZeQ6oxy#ypI#dRYL{PSVqrD(Y#vgQTnVD(YLR zi==0o0J@u`>*Z?dQRYdK4yXWJhIF}!S5DK*NxDw1q1G|0@x3q=K>A2pFlwkDm<=Qy zu>j;nqE1s{R14M*HEP7D1I%kgEw%t;Gf}52_fSi*kf_zhJ=6teJ5ft40C}6JrOL0U z4tfVstBhY!Cz%h38nysrCsE6kd#N?_E~3`x_fprX-9(*b0_Z(NZIElJdCY!d`BeZp zM64NREp-HIj8!YwQOlVV#41n$YLMkbBg3(UQA18-7#~|$Yyn7sEu5~*$1)#e3#*O! ziE~T|TUcTNNGV%bs{A@JA1hN~mGSGuQD!Dv7`6cTEK^~bvH&|k4O>`aEJ$F|v4s%} zK$_XqG_@`90BL1YGt4&Z3G>)gt=yh?ido2}3RD2;VpB8C_QW?>y;Ak^!o(tGDVwS? z7A8(H&$6ko1t6=~RGHF|Sc~;6RbzA{Fwxjl!~&2P+4wXyo@geovhf*aJb_up#%tw8 zi6zWdHePKkN}Ol5v+)uOK;CBKrRpPzcJe+OFE<~--tr+Euah55U~;kXpb8+n+4wB; z(Zp4(g7F5qGttT%U`L}yXW|fZm>n&)0OUA3I$iBbEF)*w(V1o!_MdTfv|e7E=wdFg zqgBS@#2My$b~J1O$W?Z90+3QJR;vCsv4E6wv2ydb2}~I-Rwu7atYm7qSdFnVftkX^A{Kx& zb3@bAp2UNsl^dF2^&~JsxS{Fls>IWziyNA0uENgN%?;Jds}qkhOSz#cV|C&*vz!~M zlh-8HF>AP?8e>fYvw$0lSOD@O*E>z^O|+0#x!xI8Zvum#>z%HyO)MqbxZau8+62Zt z*ITOoXQG3=&-IpD|Czv0=X%T3b%`}(H`hDMT$jKI=Xx9DzQjD{05=&m`VvQ&Biv-I zygspnn{9wTA5f2Q?231ijmFI9gp%_rq@tsr%7 z|19ed(q+=(?vEP%(m`gPyT4Z6EIq|^xcjS(&C)kax4XYy-6Aa_%iR6t))ooF&)r|9 zZk5)O_3r*z)>i2TdCA=tHB!<(=5=>lt@=l43EAdun`!+~I!|`E+p3KJl8!SUy4&jH zKS`^YUGBCT<4@96W{4<$sza13~N9-L{7R#s*P>ZMP}SRQm<~8y2wTMNV&CL zIzz6zM{0~Wq+85w_eg`9mgW$_6RlPMEIm%bp6E>L&(as9%oD9L{vsV?W_hA@>R+Xm zq|OtaW&KsULRvh7QEO28jC6PgtBsw~w@kNZuwMO0dXy~p4A!Z;q;+JyXKd_*FRrrwp&unRd@&mS`eibYAE;H2 z>ratxexTkup`Rja_<&>#Tl; z+~&s`tjqcrWR_4{XI;^+kZxfZ9|O^UAbW(qhWnqMVFOw_7II4r@pB%jc;r?FAy0roZN zANtf}kK~?4+L(i`Ez)1~(&RI`dm7in9Avjk|I*8neR9rQc`4>8W{31&^e>YIM$TJ- zA?7Laq4ZC>Jn54?Z{?3N-!QwRztOXjC5Gp%;3V@6*(3c=V@0xA@jl@$CX2E@mljHu z$#&EG1Yb)QaU)W-RF!O1_$NG_Kxd>OLH4f>X}L2F1hSi+YPzgNoBGL+w4?3I2;@1^`~ z)GN)`0DP@iI@-98^5@6B(w}ueNcBo9qyv;c*z1)p>wrkVS1QsDQvL1$uk=UyGpe5* z^h%2~06*-NP9eYblM%0Uj|T9Jd8PlRk5K*GgjZUt0fd`g=|YU6r%X_W>L`grMT<0)z+FU3pSbU;y>m%0## zM*>5!opOBsj@Sw{$?n@00Sib5zt_?34b0hC`H% z`lJOKfUosQ#~RO5(fqhi`l}8IsXl2Xf_606>yxhNfJnbj3TfX^gYE&J^e40?2H8QM z^r!~lhkerN#*5To{-{s-yAB9hK4~4|`CxF;C;gxUB7Q*%YL}@Vcd;OCrLRyuY*dgs zGyq>KNGBVwQa$-`LE5DQ(AJRFNY|;JV6Pxu*8!1!L5gTMs0sIgAiY8VKuxfNg4C@6 z_+ddBZ@fiKwt*gujguwwAWqi*OQHNXm23q*9{#I zZu9G+)=Yanoqjz{x6od$$FHjzKoKjBe#JB9*N5nN^efziU+>ib!cD(E**KqmD6cS}_v?V7Xh3h%7SIpzwE=ykv7LS> zKOWErbwEfB=si*g{ZOzspik(4NPj>t))vv9y9WaL0R1TaIXf88J2e159MH!ayXepJ zM+5q>4hUHRy-(_$;xEvDp*=>=a2FTozi)h;o)L%@=>O0G;kE*OwzhwQ#2w4UCe@e^g_kxoJ`v2&Fh(D+oXe;Pv+{HnCOXEuVnLsS4pU?r}wxC|Et)idt zbO!a0=r!~+Tu)G6tpS9-pnk1!E&W|yDyVPQ0Y!sBeX+KV{*E6G>Sr6*)8FNf2KA41 zK*$Q}8>Ef&cfrY^{-X|v_=|PSZo1fAT&(}DaW7pQh!yKcbwIeSSg+9b(Z!z5V*SsJ z2k7EpZ?TR^O&3S{i*-zDdcZwUtpBm`GkPE}RIH!U0pXEi9dnr;@QfAfn9KA4H&Lu( zF4F_T&0-yMneNOh4C|Q7bZ1dCthZ~&=uW;itRHVYPIuApyRM91``Z@LE}`WuZu&^H4^ z5q(?-ghwKJgLaF)=^2aYdm3-kH-nQA9kY_Y8Szh3ax;xgR#9}CB5O#5eC;&FXl!P( z^5fH#v5&(rsv0}D}T`ep`PgqCK@wc=$o!!qA~B}4NO=5 ztMO^(oxsp^<)1nrJThJRm9~s|$1^rv`CH?1=AGc=bOrN^c_-p8QwlOGm?w*(Wy(Tr zCG#X-Tc(_7T*W+@A1_lr(gC5KG6mC$c~a;rQ!uTVukr@Ulb3RESDvvl<>SVU%vZt5G6l1V`6}X{q2y&UOhHj}hJr1UDd1~oDA*#Ig8a@I3MLU# z5bT|yU=lF}k^UJ>lp|4!QlwnTf4U{X}8c#DP z0z>5rHaq4-c%)pZ)6Os_JY(g`?#6NEL~ycP!AxOJMEoXc}=(( zH8JMN!+FJ3CdNE@I8a+B-~bOR%G^(l28vG?a$zI(vkjJ6N8p)FB+^h7iB&p z+ruNZ<~^B1WP50$*2GvP+l8C8CdMjRoL5|DVyu$If!aC~%R5<|-&tqwY&=O82m9(w z3{i46Z=lY^5G7{=!*wPWb8%yJ}w%pHy_P>OFj;d)SLHaE|QN!6ZPi0%q8-1#NS|I z9Fh%1(FU_4bA@aOw>6kGnX6<&sHeePlevzM&i6N%7=Gl(qQM5UJM#niF+9>>He_y* zA43xj=Ee-Z#26`_E29u{v4PsTGL~Eyo8LKCPB*r=*kIpW8H3IBV&1@98H3IBVqkc# zj0M*9V*c1%d8l!o>&4*Bx${=D5#fMNcS6!<@J@aJTZr7^Fz&sgyuIp-Gc%D3-x#hYVnwTf!F1xNqirb82 zW)91TJKGH0T$T^^wHa3C0ru6R!8RkFd60cIJl1C5p0ck7Z?+k@r)+CcZM!j&X=htQ zJ?#c=CEFSqXg9DAvWEi0?Z#N9i#-&YXg6>N*+Y@yg~snQkF(|B&V>eU99tgjTWH|M zvF{fRFEsv{S;oE}npkMyezEUIiaU%gnU(CaaA${s+r%yl_H`JzP3*;@;SS?$W<7f` zG|^$;?ywgl#hu3QGJDx@xUvGLc;1-33Su-N!h z=391mcxs+4YfuZsU#25A3b*Shuk!bDO;tseM8< zGR;|BsP73C7c=XnqTwgh(M)UBOQD-jsFRrmSuNqtCF)?NBdaAcutXimJeqYNJhns~ z&U9xTh}1r*{v)#_t1Q&_r24PS(^)&hV^6Ao%Ph~@5vg6Op2)1qS{mwGs$R>i%eoLA zTdIDX*_d@9Qu~a0G;<&;7#V&>J(W3>wKa6}8TCfySXM{4Z<%^Eb2{r}cx;)vJ2Rej zGE)1jdMtAxt0pr1ta>_gDQj1xcDZ^ob3JQKWO%tcp1GZMJ<``>S(yj9*TXk^tjSCV zH!m{0${Ndbb4Mb5tF3=!mUACQ`qo(2G8?%|k(+(ijm$VV9{E52=9%DJt|{5BJg=)l zq#7AsNdL+T{!IUyIv{H}&vdWxTZEc-sGFq4^yr1M1lNH|2r2(ljcZccyq^Opx@Qp~FQdRC&h5sbbNmlS<(wJ0}yUXN1 z3HOl|!k1F5bWiTF8d~=KB+GE4Mq4QI7Tk>H>I0W zL+&0^_#|?ZTozc8d_fVZ2V5LUexd^yo+RJGsmude0!e-;RZtJ$pf=gB0N5Z&j_3dq zCdok)z(q)MLY_?>%$`n?52*m}43eB-0CHxM$JoA*Rg(Uau0H&2B-!TDM^GNb5sfv0Ehq=iu3V`h($rCz& zbdlsoCV=ZE$!k(I9?DoklCLQM*HV%^tOJ;3B>8~}$XZU4-%B+(%3np2+Z6!2h9uAG z0J5GWKQ;l}Mw0wdj!}!UH<4ts3h=%{lBGDgS(Nh{NiM<3%_3hvNe1M5s73BAB-yS4 z_yLlv!V%6Q&vuerg(I9r!rLVIDvoeYxpt7`AsxWHPm=GOfUFNm@}hJvbqc4$$!$2x zImPZG$ul_1IYoApK$1sv0CSNfKg8+IjjT%~c}Z%Z zZqQdr@(l&RUMIELNVY0=!;Uo@M}Ye60M01@Hw}xnG%2 zHM2oh9?=0L%*ul%fQzv5g#2r2U-ooXenvdK>FE66n-CJ0>T?Oz1tXyRP zJlk1$l?4#qX609vN2uej9jtsv2Qcrm^7|$r>qAz)C_PFYr+2dQHU+@$V&yYB04GWE zZWF-mVdYzLC$%bjKP$JW0Pp9lTxI}r4zu!73*bA>%3GB#Y888ul~3vboGr<_OaM2| z%Gc$^)Ya^-Sb3fb@P5b2vkXAa_pH3!0{A9aIU;vcSKZfGxmyMBH(9yC0C;|6<&73V zU^(LjMWtF@9A|u@0~nq&-ZBAM0%v?Fub^7p1)R~Q0{CLih#CM-31{?J0HKsKUQvEa z9dea%#^*YKDd&v$OhDEw&iGDVNgZ-mb4I5M;A=Uf)&O|wIit@42+f?aN$H`Mxmq}5 zzYbtpIpZA@kTs7pzLHl_%iIe&W1$Mb^D0KQ0q`v5jMWxESi%{vDXXcAuBDuDSO+l6 zIO78okhPpMzL(ZecnE|uwkrU34QHIy0c1UAd~5=^jhykL+)H(3Z{mz*72th^GfE9W z&TE{p!~*y>bH-+6E!D+t<&5Jx07qQLP7}br!5LTO|D?`jzrz`=D!}_5XOtU&oDVo- znFa9eI2xy89Ez{|VEw@g5m;1<7>e@D%67r4bX6~Gs} z#i#-Bl(@wn3m}xb#aEQyQ+r)yZt-&+z?8ei_e?<6EVuZb{0C~UyV@;wssO&$E!G+U zPrY00vj9S~Tim4dQ;)k^+~R&6z_hx>cT7OmJh%9jyqS93z0fT#Q~`XaTf`w8^|)uT zTU>1cge7kAHDwF+g=?u>JgftlWp42U6OgssEq*U=rM_^lc8iNu0N>{p>kWWsgInBS z0fZOb;)_a(THt!gE$%Y_Ij_0JB^JQ9*)48X{zxrgx4OmSIsj*Q;!YF5z2O$G%Kt?j z%YMf#wyFT{dv3AZ0OWk&7MEE7-%huWb@vTRfrz zn2T=lLlcm7$t_-z-=MCzuerr;6~Nzgiwy?A^P^kbXaNM)lYT)-QxCd0Px=!BkmK{D zpRfSFfG6Fr{F!=?4SLceIsiwB=|K~~MLg*V`7hLG+0#Aghg5*K+>?IK1Z2(fq`#B@ zN`2<8_M|&i0AK4#*BSs%y(isg0fc5xdXq9pJ?(1or1u+uoQFN>CoO=l-ILy;?4+J% zJ3Q$VI)HR}(jS=suG^EoCVxbIoBgCG{jdt~KI2KxGyplzdeYBY0N*N4db_fV`j%be zNuSjLIJQiGYy!BAp7f9M5cO#GCQrIq1$bZeq~A6HS+9H2=jH#V9(8Z=q}x>hKj2AM z8350APkNOF5Z?BrUse7_op$Z;qz@T@oDV$dWfs7<)05t&{GB?@?((G1=m4_YliqCt zxILcqEqRz)m%ZPUZczc=L!R^w6OeVplfEGDrq;Pnc+wpzfFJXuYYc$rtS7z30tn|l z>DQHysUKVyJn16_Am@8edbtJgUG=2jQ1(ziu-855aUDQzdD44K0C(GyzAYP6OLi8o z&Q$?ko>$*80a*gCekmWNTHFP^+NJ{dFs}}p04~C-6Y@W(1KHDg^&u7DE$7wuOhDEw zUj0r!Mjdch^J=FG;A?rc)&O|wd9}|12+h2@Ng1J*x>|U3zX8a3m{*^)0KRr!-J%?) zma-kZdO`<~E?)h}1aRHFdQCn-UC4ftS07dZ-etV{feFZ3&a2AgXukJGdIj`~R5)0tl%&VJ~Q&b1Ll~<1&0MB+_U1b4; zw|Vtd<)73^*A8AiWB_tL;MHXoz_*iEw<)Kolk6^DJ);B2ZeHDO0=PZAdP^Ro)@1MJ z)fN@tJ;bX!OhDEVUcDfnq1L!h@M?z&;Lq^tE)&3w^Xhf^EOkBmD_)(a0=yS_^+OYo zb%|Fm$>Y>@_cdPaRssA?UTrV{o*#L2qXiIH!FoZlsCh0eA;V7;RJi#p;e6Rgh-K+a6Tdd33yssw95xk4RbYXob|0C?&J ztIq-m&4RT_nV^=tS_Esq0myk+u%5I4zIMUdqFkkxvmJtU!T@*{3)X52AS@BA*OY72 zCD&5HI&1)Po)xTTEr4&8U~N~fQs@uIqu-a7szfG`qngH$%!MZB{4>g|sj$pN_0Pp*P^}Y$n`cSYg z%0E!!?vDhkO9k+|1#7nn;PwdCE%_$3F?+vYwWt8^A;H>V0)&&_)f4WZyR)-4U z&j{8o6TpoN)^+(u>UQ>5f;CSCcrOaphbAEFl3-nuZ&SD3*95Cu1@N~7YmW)wZsYah zGR`5hxxB(p3_y-AukZ;A;0xpx_A7Z>BOA;s95Dc%lDxtm3m}x{6~3bULd&?y@(MpU z068=B3ZJn6zN);!0VQ9{ur+ywV+O!epI6vt0fgqf!cB@_d(736SGeB*SUA?MhHP z$F9jMJZk_v8}bS_SODS0yuugNLT$eH)x5&DO+eP`d4=cYB5l5VOI~5S3gEZp749?v z+#7j?SLJ`xj%L4;SJqXiJy{L~Aos6F82^HYex8X|Ch>Pxvo zd%#_gpK4P9d^kUahn{`xf*hAerl5%)t>UU=BE&EHN@Ne)K_wq_LO^Jerll#;JfluNVOVLZGP&`chQVvx?)((;sfK)-pF%#>)@C2bPaQA-IfwI8h^5+E-|_s^R-DqTWl!d(P8tBu z+58mJsD?C}pL$)b*KT+(=BE%sHH6Uo)Frt=yWzf;pXyct{H^>HvZsdZnV&-T)S9!o zK>QN}kmC!)5jizP&Op3hov$_X;XoWoQ$x}W#E~?$ec5G!_~!;7XJ#OdfT`{CRR!V$ zI1$>%)&$~X2EbDvh$B~O$d!TkCbdml;%yDY5hpdo$w2%oxm{c0UKofkQ~`WfAdVEN zAw>q_NRit4?4^PDVFQr!Y#@&CsGaw%3dFbLXy`n1VatMFc7~eKdK#f ze-wy!sQ`X=AdWn!ArA)P$b;Id>;r-L0Rxb8I1on+)DQy$@vUl?wu(O!h$H=JNPmGi z(w}xU`$8ao!~o=cABZFLX;*z$1MxR-RCSfT9*B<{0MCzsII^CGtQQ=8K~=R@FCQF5 z#M2P*f}@CdTB|!4933$Lo|51wlAVTR7aV;>{jGM$TOJ%mpwkfOf};p@+97vMaCFQ7 zcb+vZU zyDT`0u%;ob1xLS^*Ju~rtAnG9RRF&}IEsv>A)^IHk6p2hblf5H2ddL9ed=MN(5YrIEf}`8ib=nzzcW@N>OGEw& zjv{|)>$498M-Lc)oWsFU#4Zi7D>%AUU9YX@&jd%2wlt)z;3(3Tb}RcraP)`)$oW1v zijbutWCcgxP&aC~_*=nIWGfBXDmaR4rOnCaiejG_fE=MHhDfE&aR-ZHBL=`zQWQgy z(vYNzVy~#b*YN3;{~p>#iw^jTrz>eNha#NkeWbifvN+wa2}!MKQ!B z4RNU`hPb3X?(QgxoiG5N#YHisBn>I4DE6AVMf<|LtSE+Xq#+y?#So6PFWhU2VrLD2 zXG2j8nMgw>DvG_RrnCj#SBqkATL9nYqS$8jkJ|u4-FxDXpg$LhK7zC0MGW& z5E6%m#1R^LRsEZG+WUTJ=zR;|+Zh_#rv6BkAzx_77oj2K3vFHYfzZ$a1CVnh zG=x~8t#h9Y4V^Rqp0lALqzMgaA~f{6`my$d_hM-1Lkr-$8X9^--J|`$-wF*OJ7~xb zp&?`kttFca_kLmka)fX%B7@fA4u*S241gyR?nP424rG^wdp|b-IkUpO2ngB%cTKo= z%m8@m!@bA_8gfCncau7zE%mmBd*87DzV>kM7WKHcl7F0yWn0E?mcS&JR8EjsQES2{Ndgg)lsd(`)auNZ42Pr9PZt$p3*w_ZQADMj10{8-v$$s^+HjfWSCI>BmP#T$hMg5m{#9JPje9r>-sv?sE>J{w>Ul*Ai zwg5tNWO9=_p)L2eMke2}0KWFf&+W+MZ8f*4(aTTqzhwb@fhqoeHLt0W4^QzA zS^%MRivJb$7fl&&`4sLgqm*r$@7_Aaf7}3g-k9P?1>1Bqd&d<2Ap?-};S@g#*QTTHT~qvL41j0P6#p%? zxM_v=&=mg;3*b9G#lKYzH?82$O!4os0K)kx{@2x#rpw-oQ~V!V0N>Rq{x{S}(`EkF z6#pIzAaGOrKQY9n2Xcg|{U|}39&iVz_Kz3DZ5|BM0f?3vnsORa5M>pe8Je}@I|9iQ62Rjq4U%b%Irzsmv$7pC?f zG3uLc9~w=94!SlTvX%x`M;L`vHx)L%F4 z^Ol#ky=MV@Ri$kM>VhVG|E;ub*a8SGrEU9-wx%UH^Ge%L&NVG@ca*lBFaVzJ(za{r z!lv`yWua_pZ{mGX}u3r?l;s+S#O$CQa zC4^>>gBe7S8EPnGxWb5zyYvVW$G283cwmA}AFcS876N1{s?- zGVH&T%-(muBJp|kvsQPnXZ@cGO`7ghcYmq^mdWbw_r(pNN%Kr~_h}Wd>fM<$iWpj6 zVs&S3i`zoW%`SH)r2Gv|~@XiLcocjjBM zFSNzH-kmwF0@ls$%oob5p*tm8-5D&FLU#;1+?lTwz_`bqc_j9S)|=jTXO5_V<)Axr zRoNL@Z+zdKnG}B;x@r2@o%vV=EGOKVo64@xP2*{IW=4#LcAGA`Ge=dxGUm>VDZ4|v zjknyHDe<+?jOmU$^Qj70rreoZ%AU}S@v%GeSlkzCH(6`g+v0nncC)L7O{sv@UBg~b zeixEUJT>h1;_pMUIZ(rqHHENjV(4UvjsGy(_*Sx^JGTVNa`o zRbQJrqYj6jvN&o}8RgHRr;L@gsl51?(B~#kZR&gRuc6P)f!b7B1*~1QsdH*7^pa&w zZR(nGEcB9bLv8ATcs%qk)0W!QCn{jsQJeZoIT8AoaZhdPkvI~1*7R;|YFzvqqJ9t6 zrjDtA^=NJCqWbsHmzEQ?shi3_LSGtB*QRE~bZD39Qf+ENJQ><$zFC_(p#s*4+SDcW zROm;`RBh^(ays;*@o{bHv8aT)OxC){ZSiub%UoF($&3FCoi};vBHxQwLg&qax=30D ztX*}Hb805E*|MfCa!t7!+HBlV7kMCF3r(1|)o*6%rkY7(<)%qd-7*gHMGLw@Z>Yiy6qYnLZ~ zPR)n5TGn{-*VM_-R_kU@{tNX%=&oglC;yc)6}oHO zb*}uiWk-YaE7c)?ZGE@FIWCsVE6wjWI48ybk}sP+ZE)TZE9A@O(+$oU)hTbYj5RpN zRF}NXI?>>~q|TS`S*9ACx714cp4Hlzye&4!Pns(mlX>+C`7?{JF_~2x<U-k!ahkVDp$CrJi_RH%n z@B6Zo>Tl(nmeaoMj2e}9TWEe))Op z))xN{>OuJ%>-#PKN%fEN70c-s|BQM_-fq3y;=ilDFWArO6_j>!|&(}C!WdP|R+!^u{&lrHyy8>^OdT9J!oS( znK!x8(FHu3g=`C0rnBT7u2f#g-{uVo!&;_Sdk{@BZvIWhkf`ZrdQI4$IXwqhwY;p zGJkb%HHGxrFA~c~%)u4l@LIzqAXfDDL4s2NxKnD@KFa^Yc#x&55#vvm*h_K=H=MJLQ z5E_SS(LvNI1FblCWfgZ1-AeO-QNUFXgzjtDx@JHI0=$)bmYYON(pq2?aEU-@N|UPV z2V@|y&x+QjhZ@#81dIZe!$9aq4eJ>JWFV+m(Z4jKNm;K0qW}(7;dX@{Yf_$RKn8*~ z8x9ayN;*oN0BI*33s@iyH28t`PIAVu*2XzUfpQTDb&)eQ{eTR#By2cv;7QU^mITOC zq+?+Uhy#sjpnW+xW4vzT{G&jH3WQdWGquxz47A$pTqd@Xbl9B$Sw%XkSs)I0{Q&JD zXLQ|mE*k^vVSub5XR0GW9PmZ~x()}QB5mq>T*2p|KknYr9aoX?Rd%K~I8$t=tRaiFmPv~MHb=6MdT zXB2SN1EKAtyRI3Kfk3x|yBX^v8G9HYJ4mKF0>lAt6hIqcw?5(ECS!mj36Nc++noa9 zfG-Wu-6W&WIJlV@;K%}G56QUmKpgNDfc8w%C=Hq|r(GSJfPL1rZ<)G(>^+&&Vd@kdtc~1uBMt(C1`R?Fb+Ptr;hGDt3|FF3SRFNxHo- z55$4S0?>Y$Y%+j<=s1u9h_bc@{fOan3yw9V%Z#=a(zQYS#Z zA&~_v5CIk!8`jR}Do25G5eQ9?d`&+f11*X9I5^@v5-Cdp8jyijTO~IZyGJ5+CqV9#NHq(@0k0oupCozn+DdN2DBu!- z&=kqn^#d{xNK|rDv4@N*3;{QN4Gy4}rfH=?)1=?R^?8c!* ze8VVEF${!WV(hgefDE)|7V&?JZDFwC9w1wp{R{Iz9B3>6?b{f;d7hhJi9RU1s~!k# zXY6&&fD8n>-TVz4!m!^S2FMO(e{}?i1KudmzLT+AhuqlZ4^$2VpIEzi2O9jq;92HKX?QV@#Q<|;K?H~c z4N+k5Gp4#MxtRY8`JAapxk){X!&(0Y9dkNKTBR-po+yUelLX+Q>AZ65v# zPWAL?AT99INXGWFV06@b__=hu4t=$d8QI zodV*3FAcQMFvqOdJ?N$YDper#m^tQ|24o;OkL7LX!s;xq2SV+%v!)r4ftIx_`dB*Y z*>VvGbd6ITk3t(^vBpmm-X zJu@q5r>hTKfoljmvA0v3n^4Sry-mtHLmH}ROD z>D2`hAPzJ{fx#DPPg$~wKSo}nJquGn9B51fgInlTv~}^Am+94oc_0on7J$KRw8!rB z@mI-q+EdK}alq>b2K(q$dl;wplO6PGbp(h5-YC$%llEALe0<+1P&o{QcF`Ws2p|K& zjE~1GOs_h!0NF#Yy7NFB@D+f;QQBMT^z#RZOnVowKpbfB1A}Mjcxl+rWAdfr3nD-q zXov!XpV8j3q@O=dKBv74Q$QSOOap@#>3CVz&tuM|;|udZ9B3>6gO_Qq-5KDokt?*f z8f(vMalq>b2Cvd_dpN*js-@%A5g-nDqrl(|+UrOL_y^=m+UrgMaln@b+HcZv>-7MS z8J3P$;?y0?uyovmb4xJ8((&NDHr|02vcB?qAoLCGt7!&gpk-|vkBOB|mWx1Wf=(iS zlgGqLCtHTvcpsX|`YLdQkuUTw+E+UQ$Uy7$HXidSovgs&KbTMHWbHH{1FiFd{2H{G z^||VS(0$rh*9^!&U~Q1cG)gC3IA{pdD4ndsc`TSl>11Fih?b;>w6Ag)2>nR=JR^V% z1g{6tk~BjnD{*8HW=}flnFeGa=ok#=p-0v3n^4Sry-Q~PFVxI@6CseN-n1c(C- zQDE>%ZBbdWLl`DcX^R%7fH=^Y1_qaF-$a+1fVoop=E6J>2O0~&;7Vhyz|fFxaO( zU=Mc*nEtc}sv|%g@J4~bo!YsMWS4M{?9$G4r+_%%O9O+uwFey8E&;Qi_JBJN!~tIc zkVmzP%IlvNa0{$mRMQN|Kuh>(0h68f!vzr_4m3mo`7`aJis7dP-0*4_)s6r%(0cu8 z0dt)8!wMA$UDAG7I}OM{>%8RxZf~`VT=hWcigr<5Gav(jwaW!eZQ2iAA`lwWepuHJ z$UtCdxqzEl?V`$IAoQhnk!J*uf#CJ!0%kPrhm|T2x~2WlGY!Z<(D{t;d-9F8X#oqw zfd)Syk86*Yi_Zv{$h606`T-eeNj@XsMpfIiFa^Yc#xx+`(H^f*pAj&BX^+=V12WJ$ zZ-s!{Qf-r~9thpnHq|u)G7wn1Lcp}8J?;{L(3JLgT|XcLfuR)wZbG$9mBT>jM{Sd5 z1dxH?^%VkUEA8=06$m}n9`{TGG7xlj3%KRfEnC0>aiGBu$ep@#%6$4-EF`&e_8~ z0;VM0x#|cI2fR^WaGh?MBiSS1=25rIodV*3FAWT?*PU}@dj!lxx^wP45C?n(K;EcZ zU0%Ohz^$TgbxkuM11;gz0wy5cwFMC%4m3mo`9bgSJd zAP)G_z~FA(HAi-hfLTU&&7BA0fUf|^qq-N$>%#(W@pLcLGy^iw5)KQPTy)0YQ94hy)U)4fnT0?0sXHY{LH(S5rx55$4S0w7=3z2K@}FW~k~_d;DWAOnH$ zdI3|3?%V1J5C^lY=fSWbl3!V``27=eu3z$K4-&U$X=$7tV&om$dLFaP< zZq0PBE?|K;(BKE;aovM*@i_q#hwed5KOh4w$>#*zi0NKkm;&NJV;Yd}=pIz4&k2|> zbPsB$0U2m@ZV+(WrF*rS1>%6$56F|c2QG1gfN4VapspW~fk1MDfSWActL_vK2YhKj zp3yz1R5u8i9dr*o(|`;F>o?)GaC?!yrWufdmhdJKlS0v33nD-qXov#xlW52s-X!7% zsz_fu0?0sXc9V#?py;iIc_0on765r=k=|9`i?@rbiu85OfD8n}y&|T7qPMCeKpgN! z0eM}KzH+!%#LZEW-ZKKoKrq`YqVgBL<<0|fz*hk1^F@vY>Q+I=CS6 zf{21&bg&@`$S)Q-Du!PWapP0us2u@hpf&q~h&o?%aA6*Z1C0eh-d5yr)o&JYn^WYd zYX)Q>5Z)}JycZp;jsS7M8wKQ@Xb>IVj5mk7iX5I1Kn8-@%_6FL(Lr|}hy%U?K;uMa0cWk=vaD;(#v=$T!ge zt8T%I$6G~5J=1^;1nXbH8~$&Kd^OF0477-^h$z%WCu;fu8E6@P1@FE8RphH30c4<6 zeMLllE;>;=4ah*NbE}BkiXvY%3&a7hACM=DPPoLaBFb{niMoD31_H^gB5opzeC`ww z2YhKjoZCqE;`|v24o;uAHj};c70b(Gav&kVgxV$yY%O4`T-ee8IFj!LC|;A zjsP;ysz&f`e1-me?KB_*t@V8(ZU^*Tb$^N7fD8n)eIhEY{=7R6!~tIcpwH{qEMQ+1vDDYEY48JdvwmzrY~6F#oEEx~d;*EC6)7eoZyIL&P#(zsBna=nnl@b!3N#a;hKm zMge)Jeof`@4!nZirC;M20c0SU-65ii>c`x9AP)En0G0Kd7qC$gOLYC_20uX0>n9dO zq9Tf?exe}?(9iXo7p9^j7UcTPjcI^h(oZbRM@7_3{X}B{pjY&ptJ&Qmmf!l#UOzy` z^b^&Q-6Be*e!?3C=$HDL)7I-FRPpOF!Y624o;u{~ES|e52n{ z(+tQ!i})ILWK8I%YWe{gXc>M@#6nuXqjm(4fmZc35%o|%RXYvHKx_RT>?XLc-%-~L z$Us2cgIE1i`l-5pKn4QCdqgaf^*cNxfD8oHJtC@~e#$cq$Uu;NUBZ&M*wo+$Xjk#x z1(DY!6usiT4N-tTRcurJtD*mW;8jyk3`nM%4FN+t~ zH3Kpb5Z{(inujz{YF#NWJ#bohf&j=s`LG^736{+|m&om$dLG~R9OUGh=gCA(0 zC{EY(zaya#6{lNL??_lE7W*61K>OX|bnWyz66#NJy0!jY3CqG_e_b;m0|D_}31z1^ zUDprDKw$V?35&pDzh?xHfuQ=Xglbcq_DlmZ5M&b)mVAb%8~i|fm*GN9e?mf$FJ{evPL=H(P1%~gvQK0=x!^@tLLlPEChL?ltAqf@0@V#dmkbxk3 zSi;iC5N+@S?GuJVP5)sD7rvp;k~%D5A!LX)rh)dmhC=Q1VF}l}q0q{{FJakZhOI&r$M-?nHN?d`+Q3aQ0$sunPXx~-h@{Al+u&5|;1@lK0T$Lq12HJ0xeC(P2Siu!n@^P^F69rR$NuZ_w69pGq$;p}G=XrC%MS=axmg3GGpWFYmaf=Rq2;7bGTk4sK^ zrax71HIezw;R`c{U?;GgFB2jz0niO&Guc!^`4Ov3MNhC`e6Qqf~&xI(^min zW#g6xe_A;(c;0xYA(~bW4}Nak(wI&w#|JMN?=%+D%BjIC#w}j|N#)w$nDLG`dQ$mn z@Jr(sU;3o-p#7Hdj%WI$f)Q@K6Kp=EU}`h&Zs|XzU|<_(T1HMOn8=K~Tc=Mc7|+I; z*5=a+rY+;{K>uk4!`L_z7&)zAk}~cNPM=mVdW|zd|C!vr!7kG~4bd~Xp~0t2md5m% z-0slYGr5t$RVItqe>SHKt}(sijh@Y2AAHti@ukn^)WHp=cYKAjxoP=%)BKj` zx!fNHUoy>aOrOjBd2p-gaAVm-gxqERq9vWlrQ{XnFIxRqbD6<4<}bX_tGVppv*u@f>8rW?;0E&-zQWa9 zL4Mx+Tuby??vVVF`MK7@wcJU0yZO05bS!ssaF_WxUwSMzIk?CCm9H?Cn~`PnD=pD% z?y&s1`IXi}Hg`(CVtyqMy_x$;{?hzPaQbEre?jvPLH}2|gYty=QA_%(+zI)v`BAI? zR&Gq5GCvBWZ{?=s$L2@D=xudKe#&BPE!1Yh))P?Qmi4oXG)m7=4$qN{4r2~K~2*Pj>k*F^o8q(7+WCvy5RRX@cS z?-hy@VsTO_{wPDAuAa!D2DHIhOBBR@FgD!CAYa%`SbYiSm}+y!AESCsk&USo0=Vtflkf zqnfvHRQ^H)D-<8d70;!9W95**ut65(C)!|nrq?{k~e9Q%(rP|@S)}_u~G76Eu7^InvlQN zd@Hh2i_diQ1=Z2;W5jzSSyPCkLK&ySiYbs`NxdZ>Ag zCatOu$MPaX#iBOHCU9snzE%sq`2+Y8VoU5_nj}74X~8EvjE_=VVs|x1@Jm3N%6-kp zNL9%FihGEFpMEP2&bhBShJO)S@ok)-BUY&u|IbO}1La!rwNBG%D<6nWYA)f63IY6D z5UXO90-71kO?us`24asjUs2VnwgGqW;bIV&#J?a`<#Fu)ImfuPumA5krusP+Nu$-V z8pPFbBF_Du|5H$v4OiGWf}d7$dVX$>*pMhPPO7<*McfmTVV^}pdQb`n6)oX@BN_M2 z=V?lyEH1Cv?59=U63ehmIxN$TM6-Dw|Wu>oS6_n}*3G zuB{%w@4vLaV?Ao)uz%@zb`>ayYCS8i`B(HFR^dFZhVm zXsZ;=Jv7`tsmby^oUhxFGxzAD*njaY-z2ppaIN&}Qtdm*5B#fAYob!H_R(znjHbY^ z;er`Q&f2FhV7CQQf-F)!c2}9{yt%ph7!qCRy!m2748L}|)}}OIKhb=|{HXXBB!LWB zq$jYK>X($D&yWN%G+%6t;TKM?+muG^9%@6(kBWaGT^M2#i=9);={!e{ja?=y=)6kN zi@_2`N|55kUZLmcT9=A{!K=_AtJH+;QCnt1hMHnG@S1a|Qk=~MiXtUQ@nJ*IYZT!O z_!qL5I&Dg`gx@fABHTmznj~r1rnE@C2nPx~)fU9qd_`uOZP~YhV4o~>LXv#uuBa{ljM7vv@2~AevLHg zQrjdPy-TxpB`EDCk7(AV2BjHd*459=@w2fiy88LbN@R@8*CBOerDlTE>4xX#ey#Z& znLxwyamvzG(xy|LIA!TBqJZihI8*5g;x+0kl^$e_Jf}nINRMU;Q9#3v-0IoP6Wws7 zvPQFqyrxrK>KY`0yrW~C>RRp_a#$B}A_{1NeDa?tpi{cMQ+<}ZOTN&tE;Y>ECtv9z zE_FTj+5CW%AoV%!NAgIQcc~k=#{}UOvr!cj2&NdBj~I%(WW{VAMPF=+AX#EIN8<6o zg>#{Mn%V8P3rw#Y>T#x=nH!GLITls(x{=q+9zdsHyX{676Q_h8buKGFivEA0X z5C65AdoO0-|8E1oNMdX>r8&zi;aV^eF5#$6mqBT4;?_xKj8$sW=QU?(8@E%k@@!A0x+?Qp@*-Ko{kO)k&#CCDto;N@B;5aK%CUj9 zh&2q6x+K}cyv#Ln70TjV(N8&FOavvtrLclNrORTNMX@)rQt{{XDO|gE=<6KMEtpd+ z6rT}`&lu{N%bK^bYjEKlt6F@<$TKU+e(VCQp5qV=XUrmVnH<7qKKGnT)o{kTk9m?D z;bwDyBm2}e-bCvmo3rMxRhckBTGE5Ks|8ju3^?BwhJ@s=A5NERKY!v4obC&bm zVNK&cvtrtWD4>U$OWY}q7w4XtH(|%^PBO-QrSa{<>GPYc{q!NZ#XZurC^p^_F$~c_ z67fIZb3U$BsmodNG>!P5JStN_@e0ZdW1_YyrJh5s4CXl%QF8c`*=&3RLObSC8zO>`)#0Qzr_#XT%vWkdL=Zwds^}b+ ze}d~|7STCg@d>V%!8FM#PatDs3F79|C%AEjrQJ5Zk;9*xcFz?XxnAn0-A<*E!(W_s z&sQ4}ztKVYDxT%=N2h$X$Z{_-Pt)!yg+27ny~R+?n%0uCgzG>4zW)( z;Z(fbXB6}0eAUZ+hou$I@=e@wqzCa{Oq>`46qz4Q+(qUUnnCCoLOUWfW8;0?a{4OG z%oTkcMgq+^6(4tzzD6_iRUe1JK=)Pg&D=`nO&mriHgnsUy);p!G;^1cCuBno9(RvP zP#aFALtqK!REOAtoRTE9A)$=BOb=6ArP{*XqaVMiUM(!0n`2nn1uAhjVjj0-GF=fO4BEEUvKi z2p>|sVjG8HLo=+>#v%EJW-v)}7&$a!=Y!mO`haGTLd0S4&@O2(|4w-_aG9b?taTf(o5Vc6*A%@vVLL=kf5R940?Z2pr=RE46! z{~CMs7U^(7{W^wWgH$!;*D(y6*)X+VBmRfQhx4mq7&f}KbH!CL3>)2Ar?M)BVKbWy zv?@0KQ&N%8tyxF9bVG}zZp}*ib7YZb9Yti(60S$H4d>Jiu>vBC@H%y=8F?0Tsc(jf=kGv%*tm&Z_bwhQzux35Iq#N?^>th%ax*>?RXPJd&P^_0T)I>vg&3xZQ}2!!E83vGsIHciks# zh}|RzLki^n6Nbd0#e&i4oj5jLvkj?8&P9@lN@CVK7w1G=GFH8F3AZhVor`)WD{PBx zLr#*jN!liDWL$bDj)=y*U8#4ralg@QL|{_5O8AXt8+Gf$i=^M6+SKX8i*vuhW#!R_ zmvGxLki7aZD{RN_(5DYKN!z83G@uXrbK5c72le4LE`oy!JN3ybA)@JHy7b9KQUn!g zxjwl#7r~{sLZ4j1^y!RmAJzyP^vO2vRjj*v_1P-n zRZSn>;A9s`ucB&g(PtOuUd83PRi9nL?ZANS(`Q*>N30KTXR=Mw4yhL>*Jb^=9avc) zJFkuF$Dx{U=y4o=zh)=%mfq=>`cc{5);sHR{kVkR)jK`h&e)60e!bHx?2PSX4(gpg zX{Yoeb4c$D9am*kF*7++hqf}J<@La zSf35fo^Hn!8TcxkZudN6HXxdAAEp4Sp?(SX9!iQGhuR76;nYWmp}t8%SQO*JId!@B z@H?!;k#XGbG#eSDMlBM4hrheqATE-AhtE@I5Etiur`gPS4B`^*_ZXR8gUAZM$B^(D z#3t$Y7=8hR=+FHgzvrL6e!~>eG4B|Lg<$&fA^NJzKss}W8nTTPY zn?I;oL0>hjMIM!AD@EW#lX4I@Os^S|P3l1$$Mm{k4$BW=s(sVIv*HkP_4dvl=r^Rf z!n}iT9tYpuXA*`vULMEX(E|qFEB*nIdr3pRSNQ{uusdwXEK>iVxlcbZ%xU8Ph->FV z1K)%b@OCgb0j~)s;33csKRZsqn_^OiIX?bRnl<#ef%l1j((It=*|Y5ag!{Hr2GOVf zNi#*y80N8jQd&yS8P>955|MisW}~x`nlG6z3>j8UB62TdnCImWA)oJ>VXap@gfzaa zp}R>rgj3hPLOzyyNb@7TZJ5`@AI5e1tzm7Gcv!QC`Q8v_mBX4_%nybvs~*-oX7Yx4 zKK^~p2KvCT)+fHN*+UD4aFg=B<`#Wq$Tq3(YaUabv3@ZQ$GgnvjR+td#w?6fttH%_ z@h^5G%L;#vU1l6cwh4#hU15;3<**5MkINZ3}Snn79qPdLZt@?oU7c5}v zX8)hRV5asMBTLXKGfKS((-QuQvg|{cmh@K%M|{@h=s%QR?=wDBo?=4D2{PE6sK z`82+$lHz3gjIp~;O(CYM2fTwQ(#EA5}6C4JKaqVo%7Y2zj>{m{37$nAU0C8U!B*r;@egxnA zoA`v{2$oNK@pCC7_%_}#ihgy3!yqxv3Gjd8Fi2*<*5425GlKR65$W74nu zgTo*(CIjj}I1CcwJU^etFX|k=c`+@Wr5Er!RMPmlzA)xlHO*m=80Q7}lSnVSHv4m( zM0!~kzh31ehe2Y@H>oE%3=*S)EuOd>zBMWV@f7~<-y1W2rRdWyp!F<$rc zr#TD~Dk{ z6_od&xxbCi;2P{Qi%m)f|Z z-$AGwPRZLt@0zm#@m36(l;-JxdMk#j(pv8q)tF4J)`*|KhY{|u_WOT|d8@L{Y2*K` z`Gzh+jH~!>l%~a2TbuH4?i<8b*n;Z6F%DVlyf*A3|AsbM=LN<4vHR3-b+#$@B_uXj zok66;O<)IheL&2|uFw@$?BAWlwX??BA5bRo;j;m9+yl)x?X`++IQVXoZpO1E=>hI0 zwpb%=xd&J_Z?#5(+!Pjyeb)XqVJbFBcUb#_(v&og6Y!#KxhV;+L9K;0oPhTYeaqV1 zhV$+w=t2C?>O<*1Jz^bh6aEM1Gat1M2Xp^}_4B9JR1i=AZ!$~qk^-m+MoKW9$W|DMzFQw-kgisp4gvu2~#iex+891~Bp#3ygxEYcr~DFwXGRR3?&lahCr`E3>xj+saq2HIcvi&V=@5fQ zO7WSsHYDwKYP*oxRyLcby$E^QrGC;|)Xg<;c7Cy-vz3L(*`hGlf@fq%+D(*2$#~Hw zZY5XFvqF*0o+O?6m$`LZ1>ck_O4!q+S0CZFaW393=xvTHIjfIyJ2~Vm=gfGOB?tRaw zh7T%!zTy67tY%-gSW@L0W?m#8bN?Ny-M3mT`M{+xpOItSzhia#!lJRNQf0m)C%ONL zdGn#9ioXXucx1pi`<`Ljmk3Blq%=xMa@-A2wvrB(acte^p55x z_m7xQc}6v-D)u3KGSsQ5+*!{0PINs33+E`6Y{b#z@7%kMNNl3W5UA;z>x*WCC!=HguFrw za1?;02*xwaGoy>}mxR0scSVyiG@CisC{W&wV7%B>O?TNZ33(ZmCo~3*A@mLjc_+yY zO}8$AI6XYg?zSfZf?zyd3JH0!*{HlUKyD&eFM~L}7~seP1i^UvJQDI^fTIAAuaORD zvv3FS;C)l66ZlV5-r?9cn%kv*3)=U_@wM<0@o(9Mr%_e=u!acx~%1w?eK<;X8>+=@w5gvWtb`$_| zPh->DkeL@lcwVXV=kPpM&msm7PrUP`{@FadN&H-Ry>bE%F(YMo0day%Y4S9JJiHiC z76r&dMD8V!v=;;HNr3#4qIW9>*waYS!?WXvK7+_TJl~8svH(GNo<5HhJv<)II|=~0 z&b0N1LbdnB3w~Zf07`v`43?Nr;lObZ`M`B&% zjj|{}o+LU$!p19jZmY8=0rbGWp-b7&um;%E09j6S`izZNk<+eoWC5~*yn%o{bfp1~ z0zg)x{@|I%dw8UaW;6iJuvJFZ&i^5{itI1-1Ehy^7`yHKpV16!FAD=`hTUY0*!jQ3 z){y;WQGl!?I%C4lU&hm3yFCe@8FoJ+_xKwzz@7%kdSXX2EPoHpuy!=V^7qgTyI-HT zqh$Ty(cuL+ zvH;p+kLdGr`TvOljsk#}3R~B>)aT@^Jq?hHDE}EJ zkFrBL9a#XKvu6?JhbAe&Q2@wgVl&!Y{C>O%=qYsqJJ$&^#f!aEzjNa zdDI`$TNVb$1c{g<^Lf-CGF}!1@a)-cPR!>~e@L%A381OgYfjDQQGdv|Jq?gMq{p0@ z&!hg3UPl%ncQFU%=kusPWZY2z$US1S+A4X}AJSLq1khc}VkY5Hf5>F1AHaL5ZfkcX zkNQLU%EADdA`xq(l1Kd^lVwqWJS28&qLN4bA$|5FfHqq%rXU{mhfLbj0A5!0STmJ8 z>JRC2WC8M+^jY(jJn9dbbQA!xl+l*jssz*@rl=H;c~F0ts!|rAfJni9v(yiePNt)@ zyGlU)L6l$^K;!ME(nytn`h%FjC_vC}Tbe-JVC+ez$eskqQ)ul>AxbcYOhJ1ZAj_G~ z(oB_r`ok1CvH&`8drR}k6h!>=n~nlNRx;W$TeVO>u#%ZOn=**{!&H^A)dK1dbD-1@ zkRGO^th*X_ggvtNgR#xbhh1Q>oL9?wjpQ zl>_;Ozd?TC@lwBAK>cAl9Nli*>GsVA^#SPH-QJM`MvH%)*dmVW<7R7rI=2rm7C}S(NA>(jhbT$=o zG5Tm^hAm|m;~Zs~IalgmETH}{-KE`&1=JsA*=*_|>JJksjVu;Wf0%P+QGk4g-si+( z0rdx=l1Tu)z24H)VgdCBfstu|Tx5DmGm8b(AEZlW0dk4yE6pz!P=AmdSpdjo##Uyl z6B-8olZ;5NFl-rHhm(V^ApX#g_(O7)=`QQ86HtGc)n#FTj4_e22;vW8W6ZU(C_rv7 z_Ob->6w&Rw+MWc^?dvT|Av7^Io1T~k$W5lFEQ4Uh*iB}&BMXpQOkY_Z>4`{4zvd_a z1n*evh*4ZQ@HO*7sdI^d`opmHU-A^cE%h%!lgl{MZSP(p^bCw6crv_1K>cAN_Q(0K<+R-_RJCi^@n-Ekp;+Irq7;V zBB1^tld=GidyLIt^9b7p5WZOI^q_&|KEpa#kFb5(5A11x%rHHU3_=`ZGe~pH z0^~8%=g1?eF*Y07SOCaUsx7y%!oGo}RA1_3MbsZ!h3rP*cLVM8tx`W8m61-`QQpl8 ziGfb4FAK9G>JQyi9$|$816}m3vM7t5lqadKJb@1%c#`VvNmfMtq1EMhV1xQY-?FCx zvK;-$85Xy*%cOnNksjjn_Q75;o87vdbBLsB%=OM zoh#8KDg!T4w>{Z}?vj^iwJQY-yhM*8R8mC!K@WANNksjjZbuft`}tm1zDY#=p+_AB zfNY~$#7qk60G^$cI(;JQ53Q=RLc=%&hu zPk1n}gPtgh`b5+ps;f-+aD?Yh>a!<(=q1@jt1DAJ9N4*wo zI+W2uvWNCo=6xdS4?W>10A!Tf%58q(y@65Mg;r$|^@p;Es}yijFFjxC_lu}Mw7b09 zFYF&UOS{U#ei8MDM#>|8;o!h|dcG`*CtKt*YA;Xt#rFn2qh0o-Uqt<(UPM}ozaRLV zp0}s{=p4C7d&)C@Jgc}!yBt{nUCe#udB2GIL(e-30J%(U6}Euz=Yh*~4bm<}tbZt5 z!3KoC4qTyQrP!s8`a`=bx&y+ofva>4@-Icy9~!BM1cVaa9ox#J>)FNyiY4DWd++o{9`UeBdTsJN>$B5eYW zfTa^<(KZqFhuU3CET zbY7=Wj}TC2d3~o;zjT#$lfAi9Dj!{?O_uYW9J{nf8!27eDK#J#)L9{R3JpuwXe0Li zPN@a?pvj7ToqYY$b=pnkL!Ht}1cf?X!=3!_(r2}sTqB**IwXcBT?$e~m#)`F%CC1y z+YlY5s9ikUdA;waRMF;T(tnLU zul=Z;U&g(Mcu}@|?K0_!(O&K13UQh69&$##_WotkuSPd(KdRWbOxm~fMQwHY&@$=Q zNFH768eS&uTl$i=+BLFFnvEd+$fYck-dnmw>n*>&O!^J7NEcVC%f!Uety-^ZdYSZF zgpz(#Ij4(DEZv5mYhD+h7~Q7zm)Ca*iJxLho4drs=yq+oobTfHBd;`4zP3wh9PQKk zE5!eorZ*33>e{=<%e_g??OO+wAt2`@nx)(9TAa2BnTlOgb)$Y3IZ|&guriapXc|FPt-#=!`^FuK5MPB zm+#?x5L_y;%J6O6N61LEc4vGAI~Z~j&$iIFF%(3Zx?&(D<~AdX#KWq68>2v`X)UJq z6*w|-ND|v#-$oG#H+7|h6pM0(f+WG_eH*ht$|;ES_V?Tc;v-gBp#F_{AntS{6}-Wn zM>LVzZG-*6V`?TjT15CaI)VUHe>;KyVMj&_$&s4j-{?xTklO9?{5|g~TS@*_MgENd zWJp%F<^G=Um2ISUkd4|1K!ya0Qh(2TN)^eUI^f?3Kn7%^&iH$R?TSh5AT6~Ka66=U z3!4D&D>_NhAU4%gs_Y_#+qwoc7Be&?u|-5cqZ4?`JK9bh;3-pTNdp$y0gZKxK2p40 zVL&4byyYE@-3|!8!{{f))2jm-IUrhf07R>LRw;F)XzD;fBR~=o3uLT%8kKs|0Cg## z5g-W(tc-!+oFEIG7@(n53swl7#API?TTOJtvUip?Z2C`Zk0g`|b-W?cx zPdQFXrVa!)0wf`^ZD#_5rXC=s^VA`QUjQ~kVK6Z{l!BXWk z$=A*`s1YCu2_S6{xUN}nInhCl07*#UAERECM$(c+c2FZg67ZPvf`TiR3#4qTqM$~A zBqXX`c~J12a*?zIQeYbal7O4p9TZ%pG?B8Y13`@dNl0v%3k#5hv_xGBY6M6^US(wy z=6R4{kXPG+7s&yVkR7aC!_)vt$Rui5SffD6ByXffgX~yfW*}3=VTS>dkR4!%EI<K5`Usv`^Jnun5|VFoRzTY&E(jMXSqvdE6qXqFn(Eo3XIn01(~ zWRsn!*(^1vTfiCSu?}AVaTcq=d+2IVw~$xcm9s!C5k)SBfwiD+AzM?sSzz_#kTLK= zx*F6iWGZ!r1=b5L43TB2LEScP54)pABHK<$26f7@Xa88*>-h>r}t3lmDMzHd5 zShtWjW3?a?7Z^Dx^zLx5Qm25p+yM}m3mhE?eI^{NO&}$gx)iPkbqg7+gY3pm5NzUz zp=>p%TgXJvv4W zaD&XGi(}L?%26_po*knGxIy;8@?r!4H^58%MKNlC8)RRsJO)&ZZ^?YDHbxC_gX}}^ zjsZ2&ICz(TAVv*vgX~M6i2+r?1bLjg6r%>XK@P(#xs4hSRwKqxt{UJ5nT0uW)f(kA zS%SHOd}6a%GN?efji3S{i|NrIy4cJ}X3@o5^{moJme8|7bg|h2*cc&?D*(7bmSRO* zHNXur8!P7u0B(?FSS?o#aDyC9@8${sZjhz)0j?V02ANHt;lc`pETb=R)hh&pvc}dm zeh8oh1++Qw!Jy5d_}E6r4*`^*_}hx()p<(akLk!m03|3}Y>VQD)Qkg^Em(DY@H657 z>C}p+X9^TMxkg{B28_e@8XRs(ty9nOla)L#n*$H@!AmN@8V3)xY+yMd1 zC3a_co_86Mlw{jN-r>7MB!!0E<^|tnL{XBlYF_Yrkj-3zsd=823=SpRwwHIflHgEi z^hsWDC4)=J#^!m!b0D_4gl?YTsb<7dHexmj!D=Fw;(+Z)IIL#GQ8r=JOen<1hkU|^SkZbC%iW5Ik+4MRc^V-fsd4G5NYw-fLWYZx+$ z6wBZXG=z*&Z+C{@*v*iGyHUtjcN21oI|eooxXZ|*q+r#2!F!NGU5}~xjT%M{xEsBE zwT8%{xYH;3f=Y&hl7r2|q-q7Fo{lDihtdS1uY;4pLurN&B^f-FW=f!)AQ?QA7Whz- z1?3>b`l(%Ba^qd1l@f@RCpQ8ppg3W*$wL4NDDigP$&COCC<%50$wL4NC_KBFBg1*-Zhs znmPn#HwC44r-G9or(pDf)FCjt!O)sXRfE}0kzq@zLtu7Oyy=!{o^#463X_he1B*N1VQLKB#o1dP-HcI zk7Q_)2n9bCEb*LSun;CaLUMS9V1X?T1d_%X1{(>WXGn%-2sYwEKO^yc&xk}E=!KHQ z?}@EpNG=)gbTILF{3x!96q669JS zDRfKe;a+7dasor8L%n8k$Ysn?dic3A4!MrGN{5~UnfMVFCOtf$or@o*`>oCS=Gv`Wad9JVTD)^g@|>o{%G_!Ilfb9~fE4HF~v7{ej2=ze_C( zUSi}RE%aWQdWpzEJb-sTc$uL9n;y)|)XRhdX`q8G7v2Lo1T19P!86Kc#D^Z8EtoND zLHz0BY_O2EAc6GkY{49(4cSJo%^vz-rb6QB-Pyr&N)-ZDtL#ZIJ&|PkO!g3%p5U&6 zkoYA6Y{EbXTP_$G{YWakHfLy=p+|D*mbsoEKIX^Uzz+uG3VjFoz!-+to*Vpu7zQUF zoC~gf1Zk&7BghzCkPEJ26j9MLa)XzNQDmH+m)rP(c!S)at8))8G2VhZ)}1@F z1QMTK(Fbyemdz%>CC}teE`fxTQM#o9e9r_(ueX68rjQZ(4)B374G!L;0ADx_jtNs7 zHYtH8kRGi7;9x{%=wij;6{QhbqGv0HRu~K5G>R1JWyT`7^8@f-OyIv}zz6$^JD%od z4096k2?_j(tkbJjhC{($%Z^U;tPJiZF$DWNFTV4@s0?#7W>U9sYr+p4X2)hOH;r46 z2m`JXuXGE^48h^hbv+)L+2F8wb=CB(dOkQTq9bcbq(Di8S#i?(m0TUd5PaE}QP&W< z)yo`J-_0MNNbUoN_4-bKnD*UEB8UdR+sn}fYZ-!H;wCS9{JfQEjt=Fgji$|U){&6= zj}jLSv__K%d(s=X<*{6pA-FxuKH(9Q<7QaBj0ax#>048T?Y23)>?K2{K0qqRE;G z)vyH#|KQv)^Vhz0WP(w_q?&?jd>8^jQmMN~9y8P&eRxQ(n&>cElJGl~%xRI4O(x22 zj+8GQDU4#J6lwLrb7WY%ZCDcvpMNt+M!c5GcG{%^p0N*xhoN=4!jx$HU%aLTQU7KV}!gqTo z4K8vsC^ z1V?6j8{FiUB6BppYirtRy%$D8GQuPK-v$X0!fbU%&D@`&mkhxZeaJPp^vzl3X!{D1 z7-SVhC*gA=9erMlGvF|ah&?x7LG}{-FmnG?UDwGbbF}B+tJolil$|7Gm&~`d#KD&@8U;M+t=!MlaRe@6g?t|-D;vN%ye#6JRRgEsBS6d z)D3!T&C%eM64pddoHGe`yEfask6FK(FjNfhm>n3*_7Z%VNM*WR$Tygy+47vA?b)V1 zBs|(Dr7NyYvW74#ZL6OdpkDJ5sFTiask`Si4j$GL+plBp%{~%RIXc`q?7M3%QAU+S z&!p$}cnN;#T3lP_)-i53~UoB6}zB|EZkr4Vj zzq&SNz7?U|W^|hHb`&!OzsaH>KiJXEU57sIdphwnbvS~A=UyQme8!Pj6H3RCu6N#N zuQLTtyn1guaGgzAhe{i6ym`7s6b&wcyHIl=@eq|zj&}*)J+gbn6bzX{^B+{FHsH~I=D`ReR_=bcpR&_{!OUEr1gF$q~38?C$) z7m5+~mu1D{GxPDjg4bSd@%tY5>(-&qZG5$BQrH9m^&r6l0q=+dPYKfwSBxMWALwi3!TKmDuZd;9}}C!D+GHMFDp_2|38_@p&5-x?C$zls0zKEtJL zgxQ2&&a0ktbq55mRlAC6I##a8VPyY9;GMYtYf};=ifNF5{#>H zvoBwSI0OkkZ~Df$rqjQ}0{yn|@quk4A+sdhv0QP^LRIcYlwC_Z|8gMYSdiegrWX6= zLd>WI+AX}seA*JVNW!0u8qe6p?eQSWbU8a;N^@U6mOY~89;PegGG#VKRX^+kJ(wO@bW$%oOgPCWrP{C`NzRD%NPGyOv zyE~5G@bz^fBUW4bGreZ30thqbke>&il;WX+BYH_`)k63iOSDmEHJU=3-bY59@YL>pEA(JPLsx)z zl8}sRtQ2C45lu7^yE@21_lh0H7I;onxK(ZF?zcjHY_6)tw~S6kWkph_&J`4rRzNg4w;ydg6g>Et6Uc1 zBdyURA!&rlJG79DJYRmp4V9lyAPlJo2DQs~9z_aXcSvR`rs#5O^y#kfELE!SZ8Gwp zHZG=1e>R!056H^aK0oIjB``Ov8>rB3?XyNb-P|Nwql2o+`1_-}XbWRrDq&VXzCjyb z+8iaY(Uvqg)f`{2Mn9a{n6{Oaq$VS0*zBn3#Ue2gtBR}X=`s3p1oI--Yfk64MN!cY z%ShttguY%fe%G}#^1xJ$lrZG#*gY?@Iyi#g7N4K02zAJzqS;jwu_?!*rpUN_%YlgL zuKsL7IT$&o$=w&t71*R@N}Y@edMdi~voVD^Iyv6Ao`RfnTnWn>aXUsZ8aTT(xg1HX;BWc|%!6eqWU5w{`odBL%-Fo(|ca(shzB zyO}2F2K5R!tjpZWX?N~~4f;rs8kHKM4kuUF+L}%Hey?26)$>9ft6y%~u zoZIidlSKsMDbKaTJA=yyi}-TOJyV^*7JWo_n_7jw$)q3)mv#I8-4#(v5Tz^6pY~3f z_<|j+6}Rr$ruuC_!v{9Ky?&xEkAhoUNA9&d&~t?-qHq{Ve?chaZeIdk6I~qM_2Z3Kwny{=(dPwt0}l~bCAog za{Wz0sf(*peXn?#B#4kTB<#)(^s+;rE5^0{Bit@cMPZ50S zml?R*%_+qW{io|dXY#U6M?su&nU24QptVG-cyXrv;X$y|osHhfdH1CRtsNS?x25ew zecC()zwbfp>hJHYC(JnG=i7T1b>Oh9_t?F2uNWxWF0X7}<-oE)@Mv4Z+CPuRQM6T$TuK2Ta!PJU=SJ(Da?slrhp9E`m!f$2a_YqGEus_ZcmDynH5F zCfLKfd-=|XQ3Hk^QYY}Y98bwY5RrSL?XQW2dcr<@+U@a@#7Zv6P)Sbg)H;XI(8hc0 z*b|qT1qgCJXN3CKHpMH#aLY^A{3x$OE;!=1SG?1zTR}r#^!rDxVo%*gkXco%bzEoG zIKkjXW;g#aa4JjiN&gD_&Yh|W8k!mD&KkQsQI8-s+x3?J&dHu341AGY^Aaa02P_{w z9(M}IxpdSUa}3&Y3^YfG>1ve4*Foougi_Oa|It$$D}|u3sburco|H~H>glq{uYHbZ zM3AZNee1q%E?Oq+ug^w4N?p<_1igCM+MR4yuN~;C0@Q1jNoTPNKmU|vzFYr*+{BpR zEHnh$UM9e>JZQXS&64au-+QbzwVg`bu?n$NbS*SRzczI^lH@pi|QsGaCcfobAx^g`q+ z#Fo~v_#Gph+C)@HwDpJbkQTuaufChNU96~k|KM1g;5SiL!gk}fiCySn%Ry~Yn5ljh zaw(`~_M!fgV-r!{!m5jQj8O^x_BJN)*4Y@|ZuHqwPv>U^s)1EVOdfM4-EW<1lk#-r zZ0)0Nmkz;xRjBiJyMg}Q=>FjDwgVjDhgJC8Xw&-_Az2fr8rji69y*|YUdU5*p_RP#vmnTsiXHIlKB82EnlE~m+`nrT^;QFBEr`0{{x{f+W+ zqZ9hQwjt#}xZ><;{Ql_5^FNxycun>fjQ1+%q^J4>Uq+d(+{m64I-@U~8~Fcu6?%I$ zp7mJKcaX7|++3`7ufJkoUN?f z5f}7Bb_46{txVHuqYvx__qA2uzBTyWY{s)p)70rEGbT@5 zK5)ThL~vwygY}K~H#py*sXJP{ey4Gx)*#Pb5$gAv?t&)6DZ;Wm`<&ybAcMba`S*Rx z{okOyn9B6$31j9O+$F`U;a|SBrC??am)|;EzU_@5Lb&hM?-oT-d(p?)9mYSxhkss! ztY6h!_adnJT2om{0PEKK#jS4z^NjGPzlSUO_o7m_o~b``4fod|f(B;o!-?u!;9=#= z-aIVdHZBO}q*wmFd@E`nIw;V+{Re6KIXLWe%l+rP`l_Zf?Nt8FFw}8Eu*d66$?w!{ zx_#)<+{=;gbgacS$j)rPnm>fS4Nc0P6z7}SGtN_jUnHf+zs{cJxS~%c$Mk=$QrWG= zJ9FBq4u%?An(Wt1_1y4&?>;T~L8eaq-GyRsMVH-X`~E!1a$SoEiUKPC-nyZ?iE&&m zyU}auKP$NHHy!@9V|<(&`h3OI^*`LH=(V_AcSrg2&VvI@N*_O)8{bEV8wFpcQ9Zuq zAfs+*m^0GxN3K3+Exz6%^xi+x6fc{U;ri<9?Y+DO!4tmQ=CA9FiSFp@PRrK+8Pk`n zMM6(&?mX0~$C?avS&`Qt$)$^eAB^I)Uwb%BxTC>cw5IV3o)NeY|&T&L8=aQ)_Xz+WwM%35BMnvKxMvu07dPx*||>`}AMs z;S1m}8&}!?c66?{L>y(@;=gFr?nt4XqN2HOz$yBwR%@*{;;p6y}<2=~9`vi>WS@I%oT+>+3LV2Oj4$PQvHBjRjBY6FqOWdshBMEd7+N+$Jl{z zD z1*Pf-nRY-d##ue==c5MBZ-PxD{EYHYBb|eOU?1-*ojD5 z$-_KTtnhD9JiazFdwq9+5vQ`8n!BQL{mz7Z!hiP{J4P$hyGVe4jZLi3UgB=t}erI%|!; zXXc1^rJTRgtSnVo6u*96o+$i*Snq!Ay(@7D&CP6A`LRPPtPv+`rsy0a|7LUS&8CW? zLwU1F!p5dEn>MquL!!|64Pz~S5?-G*;z3afzmL3B)lA$X*hPn9T+)QEo6Og4?q4y0 z!}hLf`fov-$r^XFW(jspS3GKtz16Z%@FF5tDC})IXLQKz5JjW&&$r}!+U&K9iUj-U zV*X!%M%7$auDMk3SoByVJko6a(qYdilYN)}nTYX`en0+FO(+^rIzZQSlziMAV;NJ6|>{2mK~51TJvo!oPJnRyY*CONv4N z*^r;~sc+)! z@O9Ke>Xq)iL;?CJ6p3m**+EB<4OXo1Or87c7RCjQJij;hYLoDF>qWN1GxmxA9aC&) zeTsw8j>l}#hwVx#wr+`)a?t!i$#S!>n(69&MV_D$qCFnbL0_e+GEuxBJ~8w=k@?1! z*u3%jJZToYRk){fa?6#j+N5+e^ZYTt|GM#SqsX(m>5%VdWm{W_iDqHmlhTSd;r^5Y zt1I`zy+o)w>XujQL`V;cV6KYb|CyS0ZZRw3TjtG8S#}83QNhb!xVZL%!>Vg9lVyuHFcq|5pLwd_9LQg*8O;z`ejR|erPEtj}o zcx>&=K#wS#w72<@8R&cAp?RkSEeqFheAsHqqi7N%Js zU(9c7&O+1ITN1zVO>;Cv+@YoaF}kmz#h@L1j(axcKFV@5=(C2$Sct_r44bqXt z_FWlE0?>8hCNlYP&^%R-nf zXHl^wne`-SM79yva5R=5B)Rlf#yif2W9`e{e(4!0eIE83N)peZPX>H~USC(--iTBW z@GSnquH4$H98}aFUE0%eAU#9&ev5sGTflkn=ui6XFHY*)h}$TP>+VOrcWE`d^)~&e zIy)vP{mFvKs;r(ibsl{k-tG08mbl&lu?lE4|G(y4KCQ9*SnAOueeXij_ZaO~=-ED+ zJalM*x-z<5w$A~%?;f?n2$hAk5^D?(6tCTnveJJ`a~-1#%jNm#i+%A6zE3q`2gEsg z++^#ej%h8so+wa^)mlfUzvgi@rIL8=1@s7ZV;Y%ZmN?+~#fqgnp;$^Q!`o!3I23v_ zDqY>5S5H3|&MZJ*mCTLv3tF@e_#7p4@oaOhtkquESCgxr^5LfM>8ia(FFp0P09C8K z-}u%?uG@r5ibfW8vS*I9#^w?+x$g>I#->jmFy_(AS9KMl(dSPOx5SwCZbEj3%jehm z#^kjsw~H)tdsqrydas{jF8yM={37aU9MrW)r^K6ZC)WUDP3S;LYnd^nI_LEUdSZID zO2jV>e;WHUDp=aq$0r#}HsQB@46|i9F*jOc2SuEmZ;cH}=|}o1!b-cX4qQTC+*5Sn zrUQMOkkTBvMdb^U~5AQ$Dzrekhr~g#KabR{6$@EH)z^I=^YZVa4NC z2CA>he%7^0lzv7PvYFnQqANmUK5S~mx4m`Sj2H3rQw#kxO{;yb7bp91SGgqpw)~PM zou1oLjE4Q3)8rc?%GiwbSW70~jCbl=%c!FD*^PZ1dHUqE(S(^ggeB;Jt~-Z6e&F6_ z+&JT>zsQ!qYqg(wTP6E`7RgEfd?kDo3*~c4QGsTQ9O;?WZAR!zdYw}1XabL3$dUbF zU8P7j@2~DIHhTEoMjM64M59(yHd_$+7TJJp$_&zGCRWim2v$xSl zcL!6DYm1><5R2mh{e7%z>ozmTzG~^q474Tvhk(3m#k4xZ9dxYFHE}b}R%}5&tQzU_ z3q9k|X2#5kk%reiZB74W)Rc!QI30J&BQ9f55`d!j%RQ*P`^KN66|!Yovg6=?iQWhma9#Mz4M?QZH`5ZZFu zVsquzl5edlwdp^0uDBOxNc<|%L*Zyp%Xs9)t%!I#r;AvzN^WCZ@2VBY$R+*hL(>Hxqf{ANX=Hfuy(f7tlwJJR>oRL7po_o^y$II9oAU=i8oc~v&ENQd@X<7 zHoQBnL;V$Dcf76aSfZ_X=8(~lUf5r^aw*%`SB-u+qgSg#Bs*$bXVJI&}dQlf{(-~--c-8z3OdKLN2!{PbEpy_Op+@N&j2tO3$U9 z3pw}E*N)iiE19ek99E*MCDPJwwHYKPG%dY1U_8BXxct$j;Fh!p=)v2^CnzPp)7y{` zME>Bbtb+QsvZcPR)Q3^jsq`Q9(Kj#cbd9S8ef`Xv1l=foI}%zFdcUbE`H@$xi& z%Ux9gqQl&KtL!p*+PctZI-GbcOZ(u6aO)RJ{Un**D*KAq8UEm*3n8Mz z2lD4^ZBI0HqyA?|Ig~-}9w&TYMq3i1G6t#2+NUn@vnM4i(f$efOIuk^mIfrZ=g27A zW2H{GK3rC8&}VQ}_K#Je{26h0q-e5D`1E4NO;Hcfc8x-xWMR1zo;SuSiXAtls?2Uk z783@IE*y~!FS^#&ik_lH#X4!qRlM`P6K=dQ`?KHlgsyJ4V%@EPO5VvH=Ujsa7KDB81p+5ch#w4>n23JfzZckqTtrtaNE7)kO6cc zhQzw6)7iNoQT}~-#KK^^D)urL<-M+~5Q%<^yX0-#IetKgKCi=rC^P+sTyUptS?85m zr2{InlK$@a!`4@2q9Lyb+iV3^euHTA;MxO}_BR(@aIrV%oN`<=rczeK<-`vP3$jGj zZ*}O!Wf@bC_Na@Po4CGxE{G$(a>hQ$bxB2x8=c}mRG(Cc=KC!W+jE%LB$_VS#Gu>~ z*|_40WrL!XW3#HmEU<5ot6n_XBs#2houy8hRwmKc1Lcbj6%%Y%B=2f-t|4-s+F_<^ zF5pVP$!QVY)@A4m)?+JE==W4_Ba@$1;EHe*i8-x|fz2IeC5m9~{&Z=Z==M~ViaH=Q zy+^~Wx~G~Sv$U?b^~r$jH(gyW9cD$%3o+68;tr7w>q8YaY^`Y;9qWl7XR21L-H^JL zsm!vV2Hy^YMte8r>D{z0(QhptR||q)EzSVf#M~%Tyb$h&WV>kPk)nEbM_ITrDrTl8 zO)L5`pfHbGZCsp14_2KR=2b8X+z`P)Rz_k@C%+@sA7K) z(De?dBDHRK-pyFqHz~cc4l`zdJ?GmBsb2KD^I%Frsm5eP4?d{sb68SP-Epf*U+Gtj zX}m*O%gx{%D$E%c{iZ2rQoVg5`g(S}gDJ@>bjPzf8qrk8?&}?9Egk*Q342bx75&IN=Sa02@mfUxa6Qp> zMHbZOj{I!OO8==fvbMv(&&rQ}GE*=i+A(XQ6t#3Qas<|YMLdMDrLp;(qbK%cz68-J5uNz)TvBvea{-) z!(xf|3`a<8$qA+F9 z-J_lM;w%f+Q*C*yIAWy0J-^bw!v^y?Xc@!|i*)tD#n-u^I~QH@JIfTE^A`5>El zrS`4b2jBN{A^2ieOl2oS!*dEdba7*vSirDC@@o>_+F|?mIx>#ACRzC6l}|@K%z{=P zcN#X1Oa`@o@E3`HBW7nU_MMtVvE=-*MbaxH%NJqIXxz(^?rA$2?!uy=Mt7-9oFVCZ zX%Y2q1;ZNat{9J2b`|;}y91itIzytyIvGH34N{9QXNe1S>W=fv#}Cl4nP=Q-?`t{z zzIc>Q3zy^eF(jJRUBrpe zhQMIyN|X4<&YBzNFYw}aVy~rxZyxnz3H@=K%b|PiCkkj?hE;E~0{;wlY!z2?9~4=z zuBGk5zO^nL*|@5++#l)c@%qN_mb1N!5HGp}rZ4eS;!hIQxP_}j&Tj18`FI1#ciQNW zJ2Gco`jT9{yUbc5X9BVdi#o+NnQ?$L?3eE~?s=!kPjiY2Qnc1_Fe zfC0;Hjrf=G8Q%GbW~~$U3Vo-Ie`?4Lz~NuZz`u790Sr#SE5WLMaWMEP3;5$$`<}|K z_|<980&w`{w&1sP5qPU@fS`z}7x#j5I1f(-6F4e5Ub_2P2O{uP&A>T#*|%_e{QavG z!{Q-uMHcYVu!rUWZ5!jKA_Eb4;Wgk6bd{}Pp7(!xyM9#sIk-RP;f=zQ>!>Y9Q%8#f zad;bl0{64ajA!)lZ_ikMEA|9;`#ikuSVYt)v61_BDiDVcqV@muQ zJiD{-A!6d^RXN_vNA{hRlLn$PgAh1i zcR2b69$d2`{sfHD zvv9a#PwqJjk6!k&2*crE7J^Z&Da-eJ?-Rxe_mUKXF@F{ge(aA2Yf>&(wz0zyI5q6S z9MP2B=q&Yl99HTp`5erkvv4Y5>dH~R_o>*TFdR-aGce;c_C`L(=L2>8fMf@lk7wb; z#JuyH8Amk`MhV0N#A(;Pd$`w6q1TJB4Ez_8J$7OkZP!uR6ufa;U7A|=p zh4<#{zu$?_Xap{ZaAnk%ok-m4@x;a0B&i1L=4rTqVrqKn)L*Yi(xY*>yux)>tMpea zxaU@~TO~h&Rd_92j7vx_pOS_VNd%~@ z*1{4C^Dao!G^u!s7#tR0uoBZ6wk$fk#pG;#EBOV~X=`D@hIKEcbvC62^u&M-mQ2&I zuG11MKcj17WYL7=HK_Vd!}1SHj+UsrSPYDdz~T^AiCX1GewFLc0x~Ok0&2*$u$aWe zm9o|^qzo|^hovU0Ikm9`T{2fSCwoCM1S-`)R-fE&>ZzSix$|>Vm+& zfqCO5K=awGIt>e5Y;rG7e8J|gk4In`4C~>(veW(ZE(5t-FX`u?T3!vyX6(HrFDGub zDl#62MK!Fh`wW<@#wB83kFWFxPn1{gj z0rnAngd1N6yu=n#%Q~tVESHQzzGXlGkKC_;jI_LQM+9+u-=vh|7 z<^_9aK9cxvcZHsZ!`24&IDH1U(Ol=u5SLh~8gxJ>VJn2qSuOFtkfgXIAh1z_9aEoD z#tnAs|$u^d8P1pE}1RSb0dJvqA0`P)M0BBAmb`$jb_Dd>D0wuG=Z>|+G+^PRpQ zaFs}hK<9W8wvgE5`ULjyD!q)4zy=d`ntcSqWjpnKIs>gfg|UjaGf+5FcqIc$^sK#EMEBgs@bI!1QHsM+2}8eXJ>8i;ZldCp8($DGf047%jZUu z1_?K8pd`QV|9H()VjR+OkeAchhs9=XeO15@k*G7V74!8H1QL&sfz-vmjnJee;`qb?caC3?Jq&1Z=?vMF(IF+i_L#q zv?aKElqcH{*v`)&?TMvl%(gr{)p}kE%>RZvkR8=APPGWPc%DC*B1U8c#RT^~ zn!nxhRwujs9_j*g zG6a&zkX6>173uOfXYSlg$X)}^`BO+fV=tX>*|%5vT(S{JO+$`aAL|+rwfTihce89B zFxWpqDjR!!cTn`=O4_+>1QOkl@z&eBsdj9BG?mjP+Yk8gPa!dmc{{rZ|8F2jmyJW( z9P;P-*vp)`P08+CI%UCtUH=r)>{xF@UdrFy7hQ62NWw!lUT-g6d9dk~n^q(H1#tC0 zLDC-c5lkfhrDft=4h|`O$nEQuH>b{S%G4k4msJDi|0gH`V9C@V{$Iosx*P-w1W+l^ z$8u)bo91`97-Z%^NB9&93fP$DR@_6Ug*~|llpCP#pf}|D(KfxJj*ZB609E2AC{JK7 zFIj<2+XM1)5hz|j6+>@^rOh}zD=vO3I|DS1pP<-*N#)s`@82cra}g+oKuttn<}`BO z!Mi(XN_HD4CO<*x1oPy+jQm$m=UxR4g%zl<=!vIEXC40dnPQaL0R81tD8yhdqXXD~ zulKs3K%h(m^%}kMomYgzn0fu8%oC_NpF&v&TTZVE{Y!~rN&$=?nmnlf=#>}7X%13r zDI?<-pbdQrMIzd}vztQxmCAHGibDwrYDoq&)#&WT$@|-UGpd2o^b?evXx%jz0{*o{ zQ+O1Cf)rGy48-Z_+KsPGqX#lR0lL+vP{5)+{eT?!S9{9LQ3T3cQ0FobV?lWvUk+Xg z$(RQ!*iTRnqb-Hy`hM#h5_}AYVi{D;3}%BlQ5yxEcy@*j(A0i{;u`Hk^{m&o#imQg za45Y&4bEVnV%oJaTu$d?^a6$MCn(j?{&Y@d{Jmk?cnpU^9#r%Uj3U(s`p`~&Y{qS% z_k9%pXs_x=O=UNxLysd+7KHksfsvB-jNU6P%0#w(J@+&Q~CvC!hGh^Ke1d6jzr5&~}iR!1lu8}lld;&Dwk76!uNpE}`>n7)% zK%mqMHQ!+(Z{!|rUg6f7@gq=#KT5;22&-dnV#gM0P9RV?h6?hqy$_L1%g~cMGKPS@ z{84D8rG~E^Rc>jvK8Zsa8tT!*X0<6S+NAbrSB3zn)gNVR+VguQ!^%0f=p+I~ZK!S! zo6RKAXn#f&^=149wC|51I4uL)ZZP=FHk`zvL=Ls`Vf&dZBlb?1pwIXODCr+1b=u2& zk979d{pcwi3hq#OA2zGksj)}voklWV16}^3Ku=o=XZIVTTji$^DBnXJe^|*5`5BY$ zCB4m11C{@y+)q11ozN=R5#dZbWCJ?4m<-N%>%UOGq{G>M(jR~ zLu&!_7z~@ON^!%2-7n8(L;wfEM=JuYSMShef2;M(X#^S-pkrZJxrs%^zO$7qW~hOm z;iIvECYXQJVRo`#cN&4V2k3toj->}pp^b7MhWu~99r4j7L0h8nRAzOvd-HH;qJVCS z5#>_k19T|{^_3q6UW<>W3)*uLrLD{*vM>*amJI047>TWt<)c1*?+(cK1Lwv^3kU5* z&-IpAQ`2l74hG=$Iu5n;{5vhiR(0_`HuH!`9e=kG=1 zBa7Mc4B#sHXfL4+%r6lPSIO;s9GXv{3uVMCdC?Mm5+mWr3xP+449zMupX#d$<<$jK zJ`Sxc(9<$vrq%1I&*uW-iY+SBKcw9?KuWbKD4F5WRU!!7Z)JV0tCH4BeAZ0SL%0Bb#nP{z$rwA zmLXcO>qe3Cmg-pn0u4pbSu|pQYJ^Ii%PdmJcL3kfF=#-dW!4O&DQ`9HC_q z&0a~St(P`rH_HzL7ZVxUnP_3`3n|K5L~aoR%}&tuG+M@tY_NW{H@Z!J26&>#&>Te* zTd|T1*IEXOaA=)^9;#90&45eR^L?(J@*TiobqrdqXwn(WM6-O&-eLqAv7jSs)P567 zX1#30wDLmW*E$A`TQtFphNtAeEiA^Ntqc0QMj1C#Laj6NR{G^TfcuLKZD6!qtD-o9 z?q@8qlB_jrT1n`m_gQhat9Ge?!Uzx%xL7?Rfde26cCf1VGymR}g zTmYPD$Dl=x_U^%Eu06f~UI`8jY|zOzO0gVvYg z&<+QEaig*2EfrQ{br+`Pp8(g~F=(HoeYemMSr*oYIn+>*lzkb8HbUqpe4{L9Zm@co>2@IV32+-8 zgSJE3du&Yz184SMMxZGXx)a|p*iA;uv9Qe{nM1(4NQNdx+OxB-0_>MZzPW-!izD

    |8aEZVM(S7AIEcAoOw^>zU7uvW}U`d5y>s4%yG;V6>&pk%*<2fiin8hG>vtp z&0TZLDJxHzDpF84JlxOk`?&+Ty8fkl zxQq#~guDVLk!Hz;FMNc%he~SH;b0OjCl|79q)oc3!Q1c|TfnaJ3LIFPof)n47BXnE z8g)3oggeXycI?7!-M?r{#Ee&9rMVr>HO>C0%JRydPYHgI1IL_j)wz((TZ+_;FcrjR zq=U`pb~yeti)tWvqVFd$9;m}~Qrd!h~} zwQyUzh%S$1YRt43_hvi?%iFDRf@}7CQzXA+=9~LO9S(HiQg@Mk9bcqzPI_Y?;~?1a zUWS8SvyU>q>{v|7@)LD9_l3LPMT?g)B#mx|fK~8iI1e^k)*F)ERSG=n)Zus- zu80@2ec3@8XG+MtjJ;rEycLd(&1OP+CGP}*RdqRViVQc&i|GAJ4jO-HCh#--!D9I` zoGzQa8SW5Y+BvjYrw)hBaN)ej_DayvILALboAC4a8_;hy=}ed-7a3|(;PUuhU@D^wW9ga-7~|urHtobo4pl| zw9P&lJY9_9MVr>=zzH|paxYqR3z~Mn9S@RbyaP+`t#I;fb^-BpA?md(vpxq7!r?M} zQSIbn-tJZR(~68sU^jjl4#>^kq}R`1;*U(%=fHV6+?g-3t-Moqe=ixD7%0v-Q|z+2&KLP>;0{8#%~rt67Y}diQ5@DaO4ly{vx#m z^7yXJRd)jQIcNd4!YIJ(T-Van-BU}(t?DotfbD>&n%T?T^-1L(L-hw`!DW~jn4NRq zKDGCvgxZ<|0|Zzih=>XECA)s2c$}xc0-eHT7%Z5bks3_yO;b*_=D?f*b`7HJ;_!@J zGyW%%s8OJL*b4IpvqX*!?_GqiD^nfD5wMaFSs-S^cYPpvlBwrFL$MXc6lT*Cr?{8& zqsy5fAo4`O=0b$_q`B=nxqgyHJqU`7tuW0n%g~GET&kjNFx6qW0Sk^Li@`YaU7yEK z^-$k|zT+|sJGR#KI#`GQzUE1c^ z*`W@j5?Gfkp-<5yJJ(CFL)1jjo@|8?ido|1>%qN)38tMnFj0Z6$`adimb>#5HG)fh z4oa4-FljM6$z2-Qa#Bd^RENO}EMJx^y2IOc{?JC4q8 zXDf_%%+@9n`nKE*tm?{vX%B3Cma07|i8~|0$s+0xpa9wmQy{Z9g;l-B=##20br=f4 zVrYrTr3LQ%dxkEdUIIPQWf&HjEoY4N9NUae?9PGNks;`hmMlJTop%27?7Ez)3F@S+ zFjF%7ShAyMuSzo9odY8#STilD6{7d-T%9RYQEfr%bQwlZ=EDLvc2ris-3xV?M8P&n zEPP2<{_8wVUtF!5@>xffXDVicmTD`%WIv#?!dH7hW+fJ0$B3gzEl0%FuHG}8gl7n` z1<0~=NW-pTi?a&eK2U6l*#ha9VsiSVSZz%_lCN0t6$?S(wHPB05)(g)+P8xEOI-b0 z)W*T-6U5n`ON>B)$yu=)h{b+OaEKS9w@a(egN{s$J|!<#Ec%nhYTv0!9VT&E;%v~J zZ5@idB4#su3#cGT6SE1p+~2sFx5U{Gh*=Kv`9)$2kg%OcmsN41DsY%w_Gz=FAys$?g;=zsD)|ONa?xivKBezLR8w2=V&`6B`Np!K8E#=o2Lt-+4;*@ZH@c z)hCmd17>k2Bt+0N{!g6fB|-c7PW>CCjuN69ZDKSwA1kSTA>!r@l}AX>AdUQwib0U5 zRdI&HKs70`So7-oNj4EL$$n33&!DfQN-RKSndi4bmZ%lSw>|>_rUZ?fZ~mvx{8tIP zh1jT_gt{TA2Epc0Vqk$phzu_e2*npmh%u9;{|O~mN{HWu7XOEsTO+ByK3Nc!RQ5zd z{53q!9%P}CY*PV!Wxl&rqINo(mgo1jQ=$ekQf>O`3ki{)kp3S~m`c#U$Vho9MnjTn zGj>Xz++kco1V!pkeeQfowG}V&Gl*3s#G>ekFnP+ngk32P&ERK!l4OIp^{4()sYFP} zU_XQIRl<G182t0WdfB>ynV+Z|F1(8+4|NOwuu*99&aAfc5Che)WO7mZD%>=s|- zQ6Hqa)B+^8|4j-wAhqyf+h>3pSIXW9xBUuBag?f^lAC@zQh=1IfqM7Pzy^0|HIroY ze<19Y3VB$A(FEQpsjyI{`>nUcPnr!v;FbB!V5xA1rg;=J!cw)1(VJ>Crx>ZQ$a@2w z6mUUG1WmE{d}M-@-8m^U0=cnN$n23;lZ$9lwqJszv=6)_C4xLz%#Sp-?3)S`F(?V$mk3aJ_>ofj15_oeLn1LJZKK}(4=`dFwWe)uRNhjdxlcK3Do-Xw&-vb|7FBIZ*`Nxq z_Uzm)L%W9-u|O~`tL734Ci`R>G7I&%yl*7B2C`}pn4_cZ&14oQXjv4{p3ADe`ZF7` z`0r$B5jSIjmti9lf>zy#SmGqB-XTpxgN$89%t)%Flwb=|6G=w2 z;s&YFhBIYE5aT!Y&(LMn^L`i$(CN$67zrMs(mgk2)g~ium_Ssaj0n1ZH8QSTM(n~K zjRVQQEPI3Eob6liK&HkOIAPdLb>RPn7ouN2+#<`4NN`vHdVs82ebTO0q3n{WVUOEt z^_sktRRe86E6`<7R^2saQVSpg8GFXtc#@XRlc6gpMy27!Gcq**7YLP%IhlnhT%QF@ z16g*~kggU-vLr)4J+33}(U!^3z(DY(+HK0J|6Wo-9zjl=kKH^+ChU|GuM0O0(y}z< zY``h__f_c2vuk*AC@sj@rr7ll3sd{$Xh+W4562Z&atk0cd`;YABPTj?BoJ+oTbN;2 zZZCwm$CX6&ec0*pRo78xY7BD8S4#G5C z8cOEL)d16RbuMp4Zt;X$0R;~^yPHxTh39;f3rU1BTe@UP&IaPg#H@}?ZqX_#ff$I~ z;smdFm=d&I!M?yQGF(jEsZaxW$U#D(hC)~vS^!-U1+mC0{}pE3RFRF4JBX1{iqvw2 zMNJ$&N>Ny)U<3YTLfQI2Vc|y$hcb);t=k{A*H_-6ut?>Ge!%VNP_Tg{V;Jkwt+3cB z!9iq3fj)5@cXxm`sIV}=1}_UM#}q<<(v&E=c?xs|H3)h&itJM(f$vD#?-kia^8uH5 z4k85+_%>0BpjCyMdMF0cHwvP4ivN0l@us5s)1b#)Y1?*XHK1~?f{zp>(bwDkE>Luo z7W1)gA3RMBl-cI}u2EEXGbJ0SJ6}r(mP+9TUl$1SDA}~6qtEA?Y?Rs7Jk+b0F(;)3 z0DP9`q;AUWm>6eh`zYC>L1enf`IJ(qD|ebm3G-75fdzC-Nexz3BS#RB2~whwD#wG^ z?lVd@H{S8?>~gHq09YPyeH+Uvf86} zS0#3QO+8BkF_}6rW&Stjb(q^)0(}cz>tBM;FO}J;{f{kEoMj+dtP7bBrZNK6hZ7A9CzK^_?He~9f z@l{3BG0Wy>8q-$c>eKlD`NEd9k&exr=9sN57h)9Vrx`ncbc$S}uah(&7p5-ji*j2M(;qEe z)1bMi)H5nwBpO=+!25*V5mQT(#=^xeJYXw$K(uPA&#D zbfS5U)#od_TrY(GInKUp8Qq*AS-(B_x&Bz%iD1V*>!7DvE~43g#f+Q??$J|7+~nN6 z*V9UD214Yp=Rh$wKHmC`WV`Py*+EoXJG5u+Ea$>IdkvRxQCY-C>1qA*^GfvFef+gj za+7j;{Fj%;2==?}?5_(Z&3A%7Z~po(d$wqtkF!|_*|}*@nXC%#yL&72#|~NUPVmR| zoNT^-%XQyHYp?d5KNNSo=VuOX+3@_BgI(PT{F zgAbfzq4=@OfC76Sq`+%jsOq{b*tY2Q4me=vl2YY+!Kap?V&&syfPh_MV1_mRY58=S zhfnc%1O%{&(Lz-e_~`qZoW6ZK;J?_kSbN9kDYZeN>4(@uE#a;o-SN2ZvGrfPpx95xw z;c=IM@%2xp2LXPk+?_Z3Wjg@A&}UR8`Y%RLs1tV1hm-)_%Rk~w4E$nR$2FC22ecP) z)rYP>oOUH=(k&tE5(Z~BZFc26{C0bUMKG=a)Jxcc6YHN2>C4f&APe6ML@)obnk4uY z*~VuMUICn!u!0z9u$s2}n~9rrd=#*}mcw6?;rFcb66*aG0D1lKFpgnxj1zp#^r;uY z7ARgB-1jv2Wo_HnzIg=@UO&A_Ffh3gasS$A?@(eo@VioN)qCML{@qzugB*Z&eYJx` zFy2j+*Nbk41w8|mF_hHpFQ@@ zxt+=ZVAo&Q$!?~JP$}~dy{z~haOYosC5yyO) z14ypRvQVUXfEo6d3P>xOz~j2;v0VnA3=MIP0(F3KML+Q^HP7r|Xp~v;vJ8R5wU)Ra ze8}bzu|LB{ZV45C4RBj$evQksd~esS({PM>05GG69sWW8xv=&*0%-IDo(T4IsAWkW1JD zW0?De&E@^2w!qgqw=9bN8T^7krEniy1GrWVd60SFM2mj0i!P>26UbWsw5ccj1b&9p z(p1dzKQOgUhFOw+2ft-7i%q<10MxRqL{qK)W2$a6&Bs^1 z0-9D9$DR5+_(61tVz~MZptKHePxyPGu2*G_G4)}!0V8WUWohm!_?x$Pvhp_oWF73|79W|Yw6@6A8+n!vbgXqZ zb0ul0V?DZ&A_EZve$Q_-lWd%}Vm= zd$Q@NPFo;iCA&Gv|AIf^v8(g{0vy)CR%N@*O2yy%1P_VbuYiU1Pm-_d4{#39)bGFT z27s^*=_Z&u{-B#_nGrib+yE4;ze3JBIB*7c4JU6ZVR-ljb;Y^I5=S0 zLs_Qn0@T&NV-|FrzQ^4WuDt zzC8$ptBC38xM*Q&I@qkPVWW$I_YZv)FWV9T`>5Run(LU{S!U%auG&fr$qRwMNszn(deuq$6GNB5L7aqWctQiv zRlb9C6Svd1HyO?3+0{$HtvcJ~$DIYIdDMj9h+6=yvQ8k=+C|iR?*%n|`tr}ev9tY=&|H5`(*8ot}#TlH3d!n9A zyTM?*JPIgP7bY_2?}5WfhXwilTY#wAU5;*WKmGYrdtZ1%U$G9I zw*XFcOE#zJ5n$Nj*1eIkaS+H<^BmYXscYiBDoI+3e;hGdsWF!RdQpJUtI=GdRkg(uY|N+)ct z+uD@fCA>>SZt|}ScE?gY2)XMfGP_|~;8`tr=%7@(Zy1|#JvW)bvEREuyOq0fQE2~;kXxh$kK#iH3o3Fk zQic7crQ-Wq8=c+`{$6DdwG3|H9EJlcpXS0-{dH_3Lo325#i7l&r9-P&m+i1u(b}zL zCmnRy%V)jRf-eZ#QaT2;NWBXV?^Io5T4oHHLkW9?r-gjz>G)2@naPFk8XiTD&uNh> ziH_?%6CbrIs}dYvO}<^qb$m#3G@P4W(}J%p-p$S^b0d2v9rsQyZ_C%7o_8E(uc+(j zNu`b-2&=pE;Tw=diBwMq=@f{FqRLG2gCmKE2HCoK{@C>dL{!}813K_!%DEwhwa)bF z0OHlchNDi}ktxI_xe}=pd2s=8Rk`V&58um7NL8LXp-NAu^jS^c{D@Onr;uK)p#08? zXs7gWZCpNl#WOLd9jSB2kL{EmqZ6Z}*D~bv!=%oI{26_L(+_Cf1ReOcC>^hxrgLXW z;WQDiOVi1W@j*tV=>DS9!4E}#9j}{{4_`bDhw9$U58{)N4GNvY{PHt>$c7P}a-D!r zT;%g`ohlvp4(r3D_JjNuT`AJgSGz7hsqVP5e~MO1KJ`?f^X)-RWiN>z`+WG4t^_X?fasKEB-_iYgo4-(xzN2?r6>ek= z94(paI8q4TLVm4cAqo{&7LOkEVY=#V+*BOh;?v@x7gFita#h)IvJk$|Y?!U^E!2E{ z-sLLoPEaBKJ=JB4PccqUPkqqEa3DXj5WX9IrKHE`k#Hgx&6vy!g(f*lmt|Udf}VM& zFL>-vP1A#~S)&FL!AG8Bg6lh4#4maX65aJfbV!a~ZpV;ox-#%);g>hI{T_vd$Y8PS z*WNDWdU;RKZd<5MReDa_1HrZIb`SL6%U^$)Nu6G1Z;D$9+OVb2)VkO02bDIn(6E^6 z7PX}8)PwJlCq~v^=qYG2w}x0rU*XD{m;1yL|BaqQTBv)}`3bHbd?oEXIXbP^K&QG# z$vXsk)4K-Uhkcmu^^z+2?pHC5BE2tfx4p|&_0ry`+@DJdWO~wgjED0`)@EU+MzqHV zLi%@sR4ZFZYy-!Z{Y6bL&`#t5!) z?j9eFEmd-HA?ci!i>0LU^C6D{i%F%nG4zmwy_C#SIP6)U3#XS7w$F!X%H4i7l1$4( z5*6k*j99I{p@vIZ1*LE!v_2v!GBTl&LifgUD@s{0{h{fLt@leyg7~3d6H6W%!MV|J zFXd^eshelm^Qka~5yvSsY(nVLVf4%?K5Xx#Nq6a&OBW0M$mWXN$Awrqyajl9}d6j8Pu zu^5@INFf-*8QVbu=4@F*43=;^$t>QOuoOpVOHm{kN6_hnl9-9KGPsC4=*6IwX+2#a zMDcQeDPx^d5&UtHImQj5z{GdGM{kzFah>6uexWhaou0TXUMVi)i8zT-OI?-5tyK$& ziBorKjNwktkev3!*hC+j|VZRfhTo0_79tk*3-}R!hXJyO|o{hDfi%hfkQo(Pjv?#H(VwS3%mVB%C&- zZ3|5MnuEleMta7j9mJ_{rf~mxu75nzw42LKd$mvzQ{iqYO7oYeUI15H949YJT@otb zl=P8MJIz%7T@pE+I+bnH6Q+9?CmuWS6P?g(=F_Ifvc~!|f8v-k$Y7Q#iZ5?c4drG}x1v@u4@DThaEB zoM9-!PMZc64Q1>_?-7{71@Ag~X3kV4Q)WC8H+}^F>9EwjL!_mO^o#M-s8H0JDI5cz z8`;>XsFY4oon_ssip(wv^|^Qc4)fd_KAB(p1n;VXyW+3qhPqW|PSnijoGE>CrUobT zAimPnJZ)(q^B5;_f7O?XvdrGfoZJ~oJLe^}u4;RjL`(N%I-1*-_tOrF(~;(I?VQ+u z)V=CA>wr;d!^J;4*x@2UAq#eo=Y5VN$cAYNQ^U`)aDY z$~;{bpL=W|>p>M9nQO+n)tP$~3UY0i6!levd0FnG=x43w%^sM%#NPBybGTQ(%5i#8 z)x62hdpEfH(mXL{D(@1hY0%u`jU+G1J8{e$PT#NgoAS&_Ph;}mN#F9T+AZn%>3$`D zn>$wV@{eJ$^Hp#~@9d@hsfv2vyC7<=Yt=mLyXb<31ggwDtd&}Da2~n&MPYj7y}GTs zds`b(6fNs{*V!lfdvZ3DNM)E&8qFTi3;!1j#^r{ z)O!|9aHUq&FcUDGYB*vsY(OcB8VE;NxNt{`9>warR*x4f7A-FgyIa8Gz&3{JS&cU% z6rbxwoU#c0NG>iJ5uCP2?HDO;>o3Jxz)MT#e(gAMf zi7B{V?b0hMeMED;W%2B#*B!&2)xv66XZ(;-US6#=O}%r>FR04m?L7C+I#*FsJ*-^1 zbI!Z@Ni_^TN@Bz6E!J#e%F>gxS}hPk^fJxZwvOuDw8=7mFG6=UY(|c8^j=!z4*Hf) zcz3+6cHE3D*Njgds$O;MDPN`-j$6R|Br&CH+Tz(0S@~65s-U`CgsE_zH+o+!c8sq` zCw70dfF+B6U+R(tWl&hrz%yE_o-tEa+~ss_SR|(5Dh;VADhn9JX!;uNxW}*=ti0Xd zvGX3KaK7@YI8ozXh!S13eq2v~AM9)1p;;#TLU`n=V~Y{y`_g?mRho3o1NWMGMOFUF z=I`#oRA)H`XLAqtBD!G<^)uq$$VqxbBBjFh9(HK5;aIf4$30m6B+6Di_mzM0Yg{H3 zp1Oy>0?(k3e)~{neT`eBlh}J-0wM`D;+{#QveBP!O1S5^J+vt*sXOK#_GwDf^QFWK z`(TR{6{?-EZ*=!!)3Nww(mm6cp3NcWgEH^s(uvItfzqsfFl%z2FTAoZf7@j9at3`n9a{(+c;&LdtnczkDAK+1GNH-CB9iL1VV%U|?{~Jp+-lWq45f za374VN+bnO_vzP@7>UOn8TZVKM;KAcQRY4WDTXk%vbj9 z+aR!8pL@H#xrdA7w=QF+#_qucY_Bhcch4>c%k&rN&+Kc6PhzH%TmIhXm^sKSS;Wlm zgEd)$w?K3cU**}>hRIyLr`TkshZ_+&yESUkzWmr_|l2Z*cwt5z2nt_YeY@QPJYL5_;_TEUZ%VwolJD&P4PcQcWIS)cJ_rM7I2r7A_vmvc#W_?OIok{$j~p>gn2| z2+OH)rwhAE1S>acV5RsxKBJ%p@g%%EeNL;mrpc4i-R4rxzUi|PY;#hr+dCtJ_)uFIfOW01f%`JCW+O2wyM9rmj*EH5ekE9Q7 z^jg}-vq#qPd9Q0=hWU@gJ zxyMy9dJI22UsI@u;Y1AteX3Ed#&afw6RVam4!tXkkXZ^k7dUPG%gUOdhhAfv;i=mm zGK*ry+BmWuRLtBvm4;8hb~^htamKR^u~E<89&FLy&jsK9N^gwIG%p!n6SXRN@N5*L{xfBtP2phhhLgpuU5R3@s8dJ zO`OviE0z1~M1ybZS*sZudNM?Wh_`}y@7E+o!b942`efUzW15xQY5wFnMRVpuS-E1; zP+?Dh2utDT!p18PT~1KmMkU!^x8j!b-Y(Bq-n0slN#7=VnHD~T(eXRqvf_uXT`5z? zl!ld76$dy|mpDcDtz-paaNel<&D#@V^;YFegVX+0YOB?=c+vC+ z+>W6Rqs^>ajk40#?IXC z8|GO}xcAMp$z5h1lBefp!0!F;hcM9o0c$`1Fw-#b;;i7d zXWp6>Y`wpZ+1Rk^ctc!vKA)y~IDLh^{B`K^_Cu@g!sSb%(4B{1Hhwpbv%7Yziy)2~ zbk#dld4(>1&SMzV;!g|2&-=~HYGHxyA6>lvP=yG;l0K_CP;2snwqom(Zha`PoWF7@ zblK(*jM@GDLYxj6~AYCF=`9|;PoYW2?w*56^) zYYst*=8k1GZcfW5=k~#tY>?@bj6qP&R1uTkAZ;6%jv2#f7{$ zq;l=~>>T&(Wv$W8+0V!POa>1@Bq4o@HdH&~7NeN(6>w`y%6k=2vHsJC?3HthuVWi$ zYN59v_MeEhBXH9d6gw|$}Bm53S zF~cst4SU!@+M|8VOE=>2ICD;$)klatjQzz+r&09m%;PWkhFmZ9Fvn~_$7nGz{_yDP zqE0ruJ>hZLYhT@3rC!=&=y;S85;GrnUK!E#j(wK(ST9zh%L>%J@)&sU!hk^3oUxQxf8En8`D&N80sPz$>++)Au0j*Ujl0myQt6x z)wHsQhu5~n7@(<=y2D?f61+>x<1xJjgSff8*2C-jeGG+|w;hiqh*yAsJil}k?aEnN8l*E@!9}Y0U?}z<=GuUhx%J!A(PnBs6iaJUMz7gOe7lvkqv?W~n549>gKAA&w1=a0 z#ag_%o%FU--Dd2Fx%c@|*E$D*#Jsjw$Dlm8=Cjii#UqcU0HczMrNSV+~mzZ(&euo$U#Z zy?j1D-nwf>YCpmmO{g=WhdPWzYo%F#5q>5;GObMp1rFX~T2@_W+HpsgsQnjf^8>Mt z=pp&9br1_$2t?kncDN~Wtfi&ivgV$|AhHJ<3$2mcNr*VwOmQ7_hlqj3m362b1tM+` zcOU#mAUIJL^B>fqOnRJhMcq%Vp+VHhQ9P|fba*32@JL48$jx}9d?1-=Z4x_z)Dl#5 z)()#uenloDD_S%{w5;&v$(%)D^eaHgaG*)-JHx?yVPL0XcsM1|f2k9XpCVkHOSq1qh#;0+<&ah(YXzBc<$l9S&1+JRcUaf=f z5PRV0T3u#cpG!3-dBeJ$x#;3ezq47#=<{>!_Z{6<578kbwtR<8=PJ+Dj%T~8esY)6 z)o28#Vbk~>(TyFSrDp@hA-km(1DnKz1vg^glxaP#*w-BsuC?Dr{vyd;%?o+J26970 zZp3#s;g$;bY_Gf{^?M=+9vD2+u|B_`$72LL?NtAT#*%2d+2rl^^%o`~JZubtlKkVi zp`JF_Vy=Jb6wRw1LPK%AjlT77j}S1E7_OgF7E43eovUb>U2*)EsmqvFs?(vL^EDfFB-Kh25P^3IYs%uvY z5TR?>P5s8<%}@erUfe_cxG9(;s3jpS?VuoJ6z5@O_iQLB5q%tI-MBf( zOU$N6+SsiODibI9Bt#?RgS-WqNV|gZ{v- zZuM%k*O*N*wh=r_rf4NiA^F}_i^ikRvx zml7IXUiPI1i*(iBUY?9sm180JeQp^5sbb}LyzQmMcwtr3zzlPZ(U z#<5TFX>zn}R->6UH|?8w`(NyI;}vQ0^N3#?p%>K8L0)gHlJ=1)eALZGtossKt>2}f zvG6)JorrZSvV&MqX^(q(;s^s7{Ul7Q9b|%tV*d`ic0?$((bvDL5mQ5_vVA;W*d^-E zQ*#&HUN%A_$SB_RwHq0lGj!F-N@_;TW5XitF0d z+&(jyYs7BTa2UTS&Amox(rbn&PEO>kwdr1d^shQ zTi$Gl^sBIoZ>?;G@{a|v_vB#uURA z2c7-ss%-CdhC^#mTvZaC$7~+^b)@PVx3$v&nm??8!tUl&8L>etIMk0NB%%Sw8sPT}DHSUmDfNfIqE1qtK+RuV#>P&Nl z<9yR3-~VriykGsAC$XmQn{8*v&BA#3d^4ne7Gk&}hi8S}En;QEQge$msYQ*PDQz~u zO|^V8gp)Nx=SQp0UfGO15YNyeC^sEeZ*dvl@Oax8hAJgPE^6GtfXL6}9Cat7ZEmQw zmWJJpaKy`7t|ze5COvRMmpjYk+II~Q5C^mIoAw0%${4<|+ng7&P|Ti=zj zJ~;Pmfi~^NSc&y(mya}gARz2B*_-ak(0w9o&kl9>Lb#sx>5vcT_%e9Xln#_&;xq!P zKIoB(AjDB$ug-6xlY<$W%7o5Rj#C8U=+ntg;p}HT0+K!poGt=_XA^Rt1#Abo2izqaVXF$&fGcE zjj`%3A3o&MN=J}1qDL%9KoE?0D`#Ig=4lL?0K(O)vkYvy?t7}wVb?o-Ufg4g;W1JXT*1kFPT*TK07VIYBv z$e8h))Qa1~L+DB=leOX8X#{k8SR(oi!sUS9TNL5w--rqDBi$%rbq+CnX7+6ozH%M` z(Vjz#I1!@p33&>Q(^^85jtQswiO*IM(@LM|TA!3P1QdG~IK~@@#0cT^H3dhBXuRRW zzlP3KAzWUN_>*%E+mVp#$&Q=afs8=-%w!Mb?L@95kY+{(P`jDK^@177`DG0zw0hze ziu90VBuP-~>1KfRpbG?cXo(3k^0oJ}+Ej@d5<)#jf$sZ}g=YoJNpTWOWEs<2tVJlX zVy^EZi5JAK)=a4Md=n!+!YuCcULleS9g&kJq!n>A3Wz+Jf)$o{8i|BN4-0?WjhXC0 zl89p+Jdo251d>q9_z7g0hR^B(Fa0DE`aF%wJ*SxK9|fz`=uTf|5#C42nvL{hQie!U zd9)^$32`1`Ph&9Bq}yk0fgTXSoN^_vm4-|3%o(O|jmXU>Frm!jEpmuK4tJ7em|4zQ zX2pqFSrR$r0%iL+KD1Zhn9cB=Eu@w-aHCWbT*!nP4@R_7gbedq+)(SyDrZKnVU@LVqe^De1GW<5%cw#^if2LT zQNvu{9lDt``1S$w^UI!1u{`w&(&d+>O`@l&4hbC|wy2~YnZDVp`i5lBV5W47Rp`m~ zR%XQ&yyj0+K^;hl@ccyOcOswZNi~0zle(GZ0)o~a?B)w($I}6=KYWW`GNHip0(q1|5I)uzn$+fldoG~QicfMK-9Y@+TM|A$gF7ueYBBjnxgfu>q zMUK-Q_1u_YLVM@`26F#KntG!3v_<;wkyY05djBkz%_AcWCiQ;qw-qrVyz|d-#wR3c zEkS<|9<|CGo}beHzrao@6FcK&@NCXs#)Rt5zj0$5%#Ak!gRmIfCem!s$M8qYTNN_> zHQ8`wGGaRl-n9{c7VKcPzVS2qk7v?O)*UX@$bNoh7pj#%Z`2f%s=Y_+e z`kp_jY5FL`?V>&43NQnfSTEH0zkOs=7Q6u@c~WM^+T$TM{@h32kD}o4CiYmFB}Gw*+rC3i}){Tb8gh03_d zx6KGe`J%qumZEAo&6562veRbU2C~Kt&>;X2@)Zj3vPt7QDmdTUzK@RFiJF!^OL(^sCPOccCXCX6yoV;OT*PTvj$ z(pfG}@yIaBvtL-$@=4_9<95HYzFblhU!21Vxsc+VoTR+Yl5z#kKL)1UL^Yo9Mg2T7 zaSH|SyV)ahD`cfu&ZD&Fnu=JI;=rTH6oYbB#cuY|Zx^W*EO>Q}yUlpUY3@nKi7yO^HkL(4L1Vcni;8L$oKT_H9G1cjA}pSfx1%*Z<82)T0VC;@rMc zwY0GAfD3*8C)RIebsRYE{yRIJiTcb)aQ{m**})1p$#?%rcC-rx?*_8xmvy5YFOogJ zCv3bxb#9;Y$fHHPL?u>Y{Qphq=tIG4hCYJ>F4XGyqQ7=8^$lw*Eff>ReLIBeHt56r z#YS;a@W!IweM-hzGiQhacaqk4ES+6L0e_|h@mZKwMZmuUn`T(>@}p;iDgjHX!3)fo zi{&&H2G3)E z_e_(b;FV1OjUHJ;iO1N%d3cizR#)Lt@H0u864ivmh>SIFwJKwdVy_LoZ`QFEHXFJq#w0)9xxyVcsLO;*3cDI{;nhIUPYwNa$yzmbD zoD`4dSi4MTaq!x`5l7mCFQ6lT9&EH}9}P~3 z{3mJ6(FF=QVUnXxE?rJOgg^Y~$ac5yD1>jlIj-%UX7hv$zP@`qq)1`X{A?fEndoLGg+Ag#&zZ^;XhqD&nZZ@Y% zOXfNzxIhnQG$}dNg}$Fp{=da4QhS4^Px>C!bXt37EG7N3$SAWNVmSY!1k>6lz?=Pl z3-hvE#sxi;pJ%)1E?v7Ml;7E(e`$vjPM!ksYkTH}p^P6fNjdES70Qf1(dE}&v_Vv; zJv4s91u{6_$~14aN4R-suE>uTw9823%>PcN7P?UD=Q95ZtSoB(a)sP^UU7Svbr0=r zxMoFrYrL42yx?5v^7$zt>kmv)RXYT5MzN*$T|!)yS$CwvHSI~ioTu+eT7Te@JUB`J zvCsU83)FAyDZzE^PG`xtM?GmzT|P7BZhxE5T;JZj1Dm@iWwNCm(l>u%RE%~WQ1ZSV zbY!+;b`bOaJsaB5-ViyI_ZKItvmLrOM~Tf{E~fhN`A7SC-7ZH-Q~7@lC|uc z&zrw=f#}V*a{+zr>&5d0&*Y@n?Jb+w!haS@23>;A_7*-PwZCbH;?2ML{GoOi*XW|7 z0@avH{)3UCzo>TOE}eSl;%}t^y!J2m33{haxAWHc#mTY)emnDmZ%HznG1Hzdpq6OQ za|JHYx;Z*8{oAE-D75r5Tkn0lwr_vwk8;O(7hIpL^jn{xk1i0p`L8TlzVa`8{`Sr<*EkMU?&xeh?NgOE z#Zq^Z%u%Yo?HSwIsg<=*^>0tXu1<*CG!f;y-Nst_8h#Je(REArlQn3IOu$<3Q%vJZ zsFS`Mlx@C`_AqeEaP(~Y$}7aAGjlwp$-Xzy)GdrQ+ce5gH|vC~&D)XN=5B?9?B)Ps z$^OojG;y>2K$WH29!p|N#!%~lPH5WvJE7C6bB(TQ`F?@(om-h>0^<+mq_vyTdp_fL zk>H3M1a1BzOKm#oezeyA@;^JerK^j;Kd2C$&M(55D`Z_{C)8}3M24Yq%?5q@GX?RA3?4x!+V z&0nRF$N8QKy4UNxs4$9nPg>iK!_*Ue&lPdo-{~>o6dbYnE|M0_XOwW-zn`X2`HrU) z?e{}tWB4uZRK8DSERBK-Hh)pm68O$?4gX{Yjls8WP3icR7n4Zgmxwz4&Z08;8~LaD zs8f`j5H|WN<76tIyLSfto)K}H@4G2#V4IGTM#1fxZ5h62`S$PC1M#_DnS76D$%Es` z?&m00WrKsa70xU^4%hrM&>@>*nVL29+OVC&cRMjX^cSIZ4#n0lQuJ@b7A_xGYi0%z=U(OQ0)^=RtXN}0k4fL0n zDbXLoM}DKfxI)3b8Xw)gs}$-R)yV6N+t(=U4yNQxe%^IH%oj?&OTBP|f@3w`&BvAS zUHGigzlB7W^1V9bqYap68Q*}0kL?gSl=E?^rUA36;J*~8#{L#!sNgqxV8I{ zF)|8n(fmc^G)Z}N+_3illwlF+ z%SdMSBn%=DrWd~{+5z|`x(pl@65O*$}64R_^*B^ z6@Me!x?88B=u5gx-%&eO_*>;_(;vK2E&uhw6mwor{3-?aXFjDk>G(!rAa+uw)ltg! zaIpKT*G3ATtHAy(<(c?6J|jwwHuLp+dbf0U*E5a{0WR-Ka#dJG`#*Z7!U83 z=CePC2d*F67S-*s3HDpe-S$(8eiIxMvaqdR*0veObHJtQLINDQSzy^Fhl#MuS(9ac z+Ex-;BgnL}>>DD%8OH7kRsN1IOjUc)jrjG*_NC`L{9$%=rgOK>2S#7)lRHZ#Y8cLL4tMz}@@^1{ zr`~^?>K_c3eo(ulM6Lv*YDS7{l;qwKbWkaBHE8`qVE3Y6H@Zp_g6<%5-7>oF9!GCK zoO5$k`h~%T9&zr!sF%ZF{rf(5sj&0}y2>=TH->nHqa0m^M_`;Z9L_0`dE^HcMWecX z;hrhIPE=U`hUaOR8KR>3iE7V4X?6@+WK8yQ&9I@tTPs9fB2g<1we$$~wwJ^vz-3~N z_ZMt^0$R?Q^ZsS-9s{+piSt>|dMBdRt$jXH*;pccC&A#8!OUgCJadNcPq}udVEsdx zFOu1M3RZ`N`#}b3DjK8W`F)$bk_vlQsQom|+o$1sa0-R4cT9tG7Dbed41OAlmIeFU zbK}pVWiZ!2gRVP^N|bZ{QDb*9;quBjct+)T4n;%y;V-7!&cPg=0T!jxSZLXk%m`n> zCl>6SAdm3vE6heciII^ah8+if+0hmGg`j~0YLuEtk;pFxwcD3^(w8%mgX(1BlfEh@ z7mg?ki4q;wbKz}axlxenRsrhw`9f4Tdq*L<%%?}&iy8`HQ;s0|i+-OX__iAs{Ud#- z2<9!Zs6X|@@legvX=-TSG7la|i;6)~xtCz8eLXRuQqv_Eoz=$tGJoeXs(zeCyEC}` z3Y?cVM*AYF<_ek%@>wi1-M~V>GC%eg=Bumd>*vbY{sqc4)WBiJ^chfvg1WG#tqcHL=pc>^70M%CXGh73}%<0{$KUc4LofLnx)j!*SO#x(=wkesQdo|AeE>eb*J(P8U4G$Dfn?6=-e4i)-!luvw-`pZu}Yi zV9~@~7>s%j4+&To?L*q1qtxfq7rUd}nqc>`s9dT3K@)tQ+moA-YuSvhGIY5agL%#H zXi$3IOqaSDw%#wz>kf@=K`Y5&`Sz@i7H}mg%%4ejYeiqnRr&VVy;eB#eR4r}2G$BY zH;W3SS=sGqe06XkJ#wram0jf)M)gtn=qt{AVdK~fK0L{!7a`r&9Vq>Tpr|c4w*z*j zU_~j(6CE(TKa0nv!YJBgJHyjVzd+#&o2fUYAy#kD99_@NZ$on4phI)In+r0@8<;bZ ze#wx3EiP%AEzaeJ_0P zhWJjtKCc(0UJfZ`)5d$zn1b9=QJD98w34+@dPi3A9`;OQlywKMzK3m?!m`kiXaVX) zJ6!HN{XziOgtN=L+r_AKZ`%ESX0{mh z-8*(aFr`C`PV5PNV2|03z#V%EA4IXUM$qLwss{_a*CXi3y~&l*RInwb-7Bj6Rza7b zBm07@q=rTbytbcPC0#H`(Ab0XRe_wS3Gm(N)dunX33$X^P;EJ=o`5x;SoM!7UNV&8 zpH&kjERvxkK{GY^qG1_a6+*2yuy#zMFHZENpxqb z>_rCMUk+PkhBy4gzAcBnI9&~{sUvdqZlR{ZUbX!r>UJfyF)EVr5jNhCG|q(9e?-j{ z$C~=(pFW~~kMf(o2y<7U&Cirg4;8R&E2W%3efR;CE06VrIQjKDh+Sb z7#K>l=uuajVdlOP&1%uKMWsrVXkKq>yP?o>34T2xY1gpeCD?K47~j{Bw}igJ^7;LY zmL)XTTE%aSQ!l}Z`;t3ml=dq4B1P1ZLXS~_?;ebPn|xCRZ$HgN1F=^sw5DJl{e`1Z z!SN;Z0ea8Q71ZmoV1S-UU4ec0*uXE!!WA@eIBU>1q-6zenVT8>QZHLUoiOT9RJXMj z=G*iQ^(*|eaKS;t(4A?v7EL&kDf)?XUkfu6=!h$OU={Wu@kZ|SudKq6`_v=8g?2iW z8qyKqBB7gBEP(B{(I(MDa94vu}cFxsCY(ZP1_8Dn3j>via= zLO9kPY;S~de5Ntq&xjg#amHu*7)IF5Z*H8PRb+%O#>7eO)zwBA_h-I5h8oeB5|fmz zpERP&b*u@f+iXP3-%n4>%>_R@^v9L*PD zLuR;jbzajwCpE)j8^%gEU28_yJ%lSzy4eilBF&FswiukpWox7Q9Wi*gLZMBW_QYVf zcG_yA5XN8}r3t0cFxbXqSpAZlh@lm`SUO)82SXkFr*#jodZf6Z`b;rnL!L54biMy>ctq0 z>oom}F$_GaNeulNG7QdscFg!i|1t*03iFM3x-=NbwJqS9?R!iZYE?CFb`_d2bXx}<`!Nf{;H`22_N5ZT&=&z){^RhL z&$=u=1HVGpSL`i>qXrCP@gluHL+o@I*2c=Q?&|adfA*W>-Vi4{@bzGT*=!{YSYg?fu9Kv%J18pDpl$HSar@qZeyZ7d0=mW>!WO>FuMsV88VG_B%D*Y4*W z3_H&_7v=O0{4Zgz_AqNgP>~i357IF|hrAt3Sc=S%*;QPnHDgJ6rEyL_;4fGVEU_Uh z$rdpcMjQGZqJGq4QK2unUByq6%~(uX3)3k8y!NQNhiONc#U`;s)wyn)5KW8@`-v4% z+EqM}Yr;mWCQ_Y_;y=cTkooO|MU4<6lcnXGAe$l$meM!+v@75M&xoCBG-o*d1H2

    clW2~QZ-HbL!F6?R8_zNgEghGoEtn!jQ@{TaNu(8MsqPQs~e&oCC1PTvfz z%I2{^(VDDl$~|ly`=jwluG0YiK7IMV+kr6dSAiX}&F621nr#$VKJVsgSEo|EhRs%; z=y^1p7%=v#-0hCsT_}UTErfvH%}MG}n{qN?5xXf~jy&2Xa~zVh=(+gStBv`5J7( zZ)DJEH~37$ckAZd2uIrYVPss^L9FL`G2i5lgzn;fTs4-x#VB#A$1e}t9kM(K=La`o zWV7HU5xQN@!w@=^)4jWdA;-?}cgdaV@hi>bHN7Wc{q9H8^V0bpB&d9qgZ-$NUhl5m zsh+@$7hr{x7r1D_Bib%+!bcariS<0NkOaAI&cs4sv)>`nOqEIgw;&yFU$c z6&FdmcUNeJu_DW-I;RNysvN(Q;ZHbPFGS((}u-wwF-592W~sC+FEy#@S&sHP$E7yZUtehGiG{UBc*3b7xog% z^Kg%Jj==9=w-R~L1oO+&h7uv&&l*DIVzaNwGp1*%sk;gLH6n)QJOFNnaQwrN7{U_g zfT6@Dv)USREbKLZ8Q6ZVXR1t5k9`kw8P2ibzKZ(Dg)~Bvc1d4SoxjBfa_Z%pEyv)i zJpnbEO02-SGR1irzeQWw#>ElhJ)i4U%)SdYkf*~7dx$MPj~JFcYC-s zWICQO<$F&5JVrchgKX!}%)Ux$d(Un+aVd7BUdD2M2ObVQt%fy(&lUVGCJ{ zgU$JAqr*K(?P)i$BwsVf*$F&@z{YYlgYbLhN1dvD?yfD;qR^N$>G8^*-RI`=v7DC< zh0Ye>aRyCn&Ses^^%XkdPSv_Cf<2x#JNy_5N@c;WxVz zx{@23gq_gVT|yIbnBmYnMa#H=O~x?$oCENOEIUcx1;XmOS<52nI(8y_IN#JbDD&v8 zEoCvV)f}GCc@#Xap^lT0*@X3)XWEidozpJJ-8RkSJHrU?O)@fLv6I{yv2y_a=;tOI z;}AaCGPGoC-R)gSd`+auo-IoQw3X>3wi<&VOAbtXe(6{?lJe$0ZKR}H2Q|bHTMSOFkP@&2M3k&0REW#x=5c-m?&FS3mLK< zj!4CGu5qStM9^C+%-o5YxfHGQOYp>vO2fMf3C4+XH7jATz!AFloN2Vrh??y!PE5DK z&Q>QFoVVZ)@06X#c!WHiyPCDPd(siPa4N(&(}$XSlN=+rVCP$u{z9}1p-u&)nd5B9fex!)GLAy;jn{!t6oWN}O3M!ir8R+zu zLw!lXsTy;EZ*!Q-C^((KsHgl6VR;-=vaF9;?LpKvxd!__r{jIaPX;H<9|na{E_UFc z0~;i%WrXEz;)*gw;1 z{%~P$noB)6$-z4c4EG4x5y1kAA`U0*GytKPg65tQk&j2{YpmbxAg zjO8EZpRcNodl8$ZjP>rw__uvYrqFxlbsdB4@(!FY(OWUcst9La#LS1+^(XE_)RSTB zKc)>#_D!MPx6J3c++3Gf{HQ8#5>^vF@MLq(KPC_CLtb4{=|g4iy1veyxm@#TM=8%` z0GxVZkI!lKghglO+@Uh9^M2%}M5wpVx=-$}-K@_tUy#-HxI};hG)xVVy&xRFD_5vm zdhYB;;^Zv7hH2i{zx#$Z&Kw|m+wZal&f@4UUP>dOU?@>RJ`$zf4|!IG>lf0qg8DnD z@<{U$o_x?{4IJy?n@{JP2tk7rGtWI_F$a(xn^bFG2t*0}0Zfj!`N&qI#HARo5HNaK zErg{z)R{w)6=5g`o+ewR;%zYypBE*<`$As=uksE~V zNnd>sy46omdug!3RIvOu+|>dUv0z(f$}k~GdSER4)M0mb=>FBit0HcEw4k<7QE2+Z zRYr9k1@$mgubdMT@(OQASk)=z?nqwsn6`1Q;jCcydj;F{$x}slwZltm*x5`8VP!=r zW_|3^xkHVov$Uac>z4!;nW8vTg7LEy*EPIKXE&i9C5$>B7nkgn#d;u~A49ai^hHz& zEK)OJ)9ITw>8|yl;0N1!a>og)u8%~*Q<_&E$PTi6MXD=n7IZdg+)N{)eJs}>Km2pu^-1Z2`@uZ-|%FF@9%{VlAlBsctSfa zA6r@I*)=OjV)kOj?DDXD*G{~mNm~k(6XJ~zg{&He%oC!#muVKJnPx#PDq1oAVU^hB z`VKFS4sPySCd7hfrZ79j-w%<#$=&$z+uO@GyK=(gLK1FF9Lxcg2 zA&tfllh;MA??Ckzy{C%O5|Y4xu!7V+g45l#Y^WF+@SFRd!y$A})a8-|F zl`yK^)u*Zp8=^qB9vjp@vhQ<+0Vg#1#?FMNa@Q$PlZFeg(ANl^yH52UiZ;3ULvja! z`hiYdBJ4DWo;N1CwJKZ#KzSRs_mJxezuQ0R5k8!|^@W}Sn-E$?N=_(6neiAPE`ea8I7NWYS))|(cO}vFcNYK9J@;n zvZydJ!Ovd~EX%Hew*Wm71}tS^ zy7-H8Cf8296;R;5fDy9o%6LMn8Ji%e-6WAW0{3Vzsbk@j?!>@Kpj!mqRWM%4+)6as zy)R@@Iou$G_B2(#0O+H^S}bxzmoQ*D<`#fAD0m&JZN#Z%Q@+qTa5M;cP0&nAfqpb- z(VF^7cl_d}NVj*O*Fn)KvaEu8JVg2P4~4 z#j<|DAPojQRc7hl-g8KCy9k;&l-rX!J7SJcGpB?X9Df9{EMv;(KtdV}IKqk6O&Rv2 zyZsLOLKIF@?hayvos1nGp8NU;q|ce3FaQi`u$DRRp*v@JfaMkdT1=D%mcgF*k&k7S zWY6sgf#f9iL>uss1_MmTw(Hi=Lmanyyjulqja|fdzI(Dt&`U@bD26yj_ zGpw4OJo4Rc;*GD$I+FwO-K}62tCTGbL8J-Y(ixy44HomGWUEI#{JPxi@E+Q({X8e) zYR#$4=e$AR4#PghT~8}8Esq&cqGx(NYw4sNv|N0+j+c6 zNZonZo%mt$S(+;ISV93(&bJ3P&k`RW`z};fI6%*Mi&5;BmYX7oNoK1!p%sH34Xwp!#lHYK zNxU12%hl%HaXaQ70s7b!vo8N6@u<<7#wypmjz%mmbHrZ&JV~5%FgZ^9>(GI4_oJXC zPN@$vL=hu~t*M7ryLV8bm#Ny}M&KujlRl$*YyVcWm+HQTch~E)bE(8%bF89R`@{37 zkip0v?lS zqiwSLJJ9pz`(NS263_Z>3Rh{vy<;F=NR|izkdk$ut@yyFNGQ3q&Pxr&Tv+B^GQ)xLbhv2ns(t zBat{TDhxh!q^E@j)ug5jA^=qq2Q;yxSC;)0h3>Ur)PirRoMRHt#&!fg=S^EDASYL3 z10vumNs0+kt}A)sk#6^!U}}Ru6q1@noN9b>m=&YTPJkxe;|5#-tt7Epa|^;x{_qKmbR?QYiJj`|BTO)I5#n}iKi!XOy`=z zTp7rg$x!qs04zx?u7L}rQTtTvUW|{Wl=8sXFqQy+E2>nz z8?2PNuYm~{U+q&Wm-r!r`OwE9@5>5Oc0kb6O{9KZ!`pxaywEKB{SjMAh@FFo_ z+b-RQQUja_ojk@c&HNVQR#C-jbyFkjhf^zBOFq?ggfS z+U$=977|a4K2IROBA0rxW_UW!t$k>Q%i~OC~szNz+vvGkov6br4$HE zW4kwJxh$PXd`tB>iqCT~d*x-sk44v~Z$Jbyq ztj&iLS3x{eO_e{hnp3AD^6IWu18|tel8kJrGN`B|+2hY(%FMSF8@x-*uG}d**B%ma z8lr`1S`2_<8mkSLwkqGnand{j@PW0~bD{T%@wt;@2QMX8pGLUPQ(8noV;Uzs0Aw0V>Qyt9|1R@ndpO}^bW)|Ek~o1T zil0#nm8*Q9=#ol16D149$WB{!^%u)1MzopaQ{*eb?7X@ z-dWgy0G~-35Td%b_yfCC=y4uQFSdD`BAbXuP+jkhJvzrsr2X>z3j-jUq!x+6oW+rd zb7GGGd=T>D*}i7t+q+MDmXyN6OvLdC^~JY9X_9twk3}q=stl2Otl=}2;K$HHd;{j- z&Xp%iGohwSUG?7rs7boJ+OT7Bl=IcJ$M0Y~)0d;*yd=iUHg(;wUGO>wowrfe*aNFc zI`u)SohxWJDm-q2Nl)+9rG;0--(s5zKV)W2*8I% zUr3VMh}q2v`OmJ;XwD&bPj^*^0u%Y?##dwwZ}z#{`6vvq@8$9gK?j+q9R#{ z%bta*et|~+`)AKw&*X;h8K>{w(isXZevF$ksY?X316ktxWB*px;*`c=2 zQayKr5ovGjjm$A(j02Z>j+39014TslR-^#WNfywgl`2MWen|Jci%(lO>*V9akI|bM zq{~sF9LOnbq1+yjPO{ooRg>Z(zk=m?6d&A<^^ck$9%Yut-5|_3auJ<5to#m8on!$f zNueSy>lDYc7R-RZ8cghw5fd3-(QbT}UciO;nOPwIVNxOMEMmnd&J^s=_uK-;$-O@% z=q8Dsa9z}mRK+M4sVEbbW&qnsW^qL8p!i@?@jUIoWV&~yTlzBbgpGUT!ToXW1rRSs zd*=(lJISY_({&%0S6X^J?eHP@A;p-QcxtdUj1<;=s{p!hMY{t5;Yq%`t{?q49$DD$ zc@xabzoKP_YKVzhl+b5yW@H7>avJwG8z4{e&S+W1#}P|-&~pup-oM&4k-tJrSmlR2 zyK3+*L@pLcZXv*Vk_Uvzm>)W7^Mz@d(U+i@6?q;V5Kz;dbD|fMiNiG^UgyE0iRbu} zsBNS?EPOtbCaJjuiK7j<_CSG}uANQ0I63+$Cd|tM-&x5!-DOFNZfjQv&_Kf_#G6IU zbp;UAbTM7%y~M9(lzY=+E<=?etc(4?f|?FUU|3I{2M2nu z^Y|vs{WQ7_DSQ2jyzVmZ#bv~5vX^TKIH+k0NugBsu^^k_6@f41$m@D-Nd-lV((A(l z<7MRj!RefDfe1C-DHFVq1#I7w;uV4K_l);y>_{gK$Hy`WBH9%whN9<$0u*XG>70No z8?Y3odHs&B3NiW29i-9oPsMe61PxaZcvB?j0dS$Fr*7m^WQhrvGQDcS){$q{m418D zS#*;qY*(S_3Q}}EEjtj{Y~GSjc7r z9csF>L@_$?o3)JVl>~O7TG`df4y5nm+WVE4O$}EO2chUf6ab>80}7I>Cl)zZ3cb$b zYgInVen-;VQgUDBqQG<&s=q#;WeJR^narnn|eJMu$)-JI7=d|l5amFh#fAmV0*c}i+-AZs>( z^asF>nh8J!uSO=egekph!RDX)$e}`C(!U$|>2;TT^*0c-C@MZ5@KG~Uk3dy@_SKx) z>n2ztbgvwq@gqgtG^Yw^nNcOk%SS13UjjjDrj|M%ITGD=S?BdT*hg&UhJ^Z)I^+CP zGM|N1l|U0$*|CiPk(wzUmRXOSvvM?feGOI{n|({OVA85%Av3c`vRVQ~9q6WI07ptO z^;P$n_(*f>VejAZEy?j`k_ghUriFg}4Lzb1vbixB!v>U;qVqVdO8l`hJjA=e|7UWl0ccW+q!ZHf;#lcysP~`2?xwqUY6?is%-%;ma3fGtifFDY zqXPjbrI4dB#OerfYD?`?=f>}1eN-1ie^t>L&Vf;Ud&6+1m-Z+a-RPT zP^J_~G|pY&2mdsV_bAv2ZcO~Dn?cIkTBRc_O7kk9sQ!`F*|b;hR+h*NU`kCR_~ zxZrrD&pQC$pLVM2yFfZRx@Ufo!fvmGM5hk`^l;iQn(yL{~#E z38C&Yz@VC|B`x>}BH$}?-d^|yyjSM2Jkonk>)_KPk(JfZc2>AsBVefJikmUR`%^El z1@F7~GJSJvT0UtY^1a|Gb6Qgk#gs?6(q-A`TxUrz|NZHc1!`{#d@p}o+*3eGa-QfT zoKE$vfi4!&T)qSt)ttpsL*e_6&MR7P3$Wtf_yCI0 z(q}XN@6W(#25&E*1-MQW9OjWO8dtiG+Z-FOK?chhPG10$YOc5@7wi3SUxs-H;PAlB z&zUz#AJ$BTgqAethtSR*rsGdQrJC=&dU&8W)-^oX=Ou0!6fp8{k=}u2Q^LOdiw}{8 z=46K`08`B;p}9AEC)dzpK2A7?(0EC5oAd!Aa0#co(1%b_TFR~{U{lRogv~_sF0LO6 z_lW?q!V7(l<__tIJ(*27n{V?7dNrA{a{=&F^A?9pTY96tYodJW0n*?c+Mo3m*Mj>pd*~?_w`heX6wmmPbX#TkDwTF%JyG?Q#Eh#l`7)xzr8;u`&`5o zihQd4F6q+>F@=DpMm|Q+m=rsEKvm7}uI%6Pb}9OJ8ps(2pv8Ka{vK%+-psrfGF|Z) z(N`tg(t%brZ*du@!Ef(B&+r)qHiq+7>!=5$*aj?aKPPj1?|*P36w0iC&(&?VVw`ms(A}E1n$WZaXCJAK1g|={`wjltoS_n8>Sn$SdRoU(1g_9&) zRp<-S-^$S7rx+*UDZ-2i-%1C5)k4zgEZ;XPH&=UoVsYI>!%Jx(4aGe>e71@F!prN z=OQpyp5NLu*hKo?@v?qlGK*4&ytsjxTmWRX5TImp(ciXyF7X)ve9QBEqoSEK>y)YO zZ&|oq2et9^#=is0Y9T2>>5jhjNs#&s;1Y~oyP<`&<`=F0WtBEshh%$d4EF(NwXi#z zw$d?l@0r}^YaEsF4UT+C`h)GE{IP<&>lrfIys-W&5UmyhJlPE$qYj@bd@kZX&3HxX zE7EMU?fft0eHWe~TXi$~lbZGFg@7jB`HnMV(epkRaq4EgHTN|s+R`}lg;LP^4BCN7 z*ZvM%tA$QQp+iUftA|RTm$;ha@JP@`N-B`Z7fO1J&ybxTM7np z1F$P)QV^5Uew^|!%-0Dgl$!PmM5H6bH`zq@T%A9=*qy`OvKY)FuoI2DmYdPa6OYt3Gcs3t+xsyId zT3qSK_(hw`Y=Oqi{o{WF{FQR5F8qE=yv91+_XmJr)wTMkkCR4+ucU>xU=1zE$XwxA z0}!y376*G{TCyc!nZ7>*Ppb~y&y|wahr?1r3%IK-&`Kq1^sfNHQcks~wzUjMFJ<{2 z1vJ-z;mPg^Qot&ix!^bL-3n1`k|lou4wfI&&2>W`f{r`yGc+RkR6X8?|++O6#!e4Z1n75T;j^6gv4YfLTaqUEaoObqKh zADKKD*YO+hu~fAJZNc;NaXZI+&jUT~7gzuERZ>9yQ|%vwu?9Y}wIi561c)rv)GXEO zxiQaQ=KDK<=zfW7&C-$nut`+^^{QrtkH9vXw!Z@wb=UdF918VY=zdi||ELHJpX7#hlZI=|jKjS>!pt(y=8gB2HJM+eLu>*_(~_-(ULW+EN8KPNu(bcTl_`MuhvzXCT)mGtni zTV0}Yv%xn4sD$6%YML>VCMK;1|G7DC5QQXl^2Seq&Qc|naVMXikT_tzUyA^6D5cF~ zq`a~A{(pYX+x7<0Gx{372YQxz$|%44bfnEK&~L*^yw{`LLLM>m1r_6Bj>4#UrA$~Z!`0Wd=dMkN~`dRmXy^)o^LAHKHuipU-Ep?}VsOibT05#MP z7aYG?o6~M19~pgEc!nzxzkwV)0&BhpjFx)$zOdFO=a)}~`)y#5*$?%W$y+;ClppR&eq(enS&=7mPv6nj2SVa4KjobCWUF2cQ^00r($6|Y-yPspXeE_nh?%Y4!{P2C;HLl+VeQKIL>_8qK z-E-_;XEX2iLY0ftxBdd0Ewu#`d?J>tF6aAgD5{Q|4m*;67;g&vuMoAQ7aFbZx#BG;4Asf43dR(z8=--$QX#dQ?sgzHJ$9#UcU_{wth+HOg;)zwf|WyFMAK& zEmIc!9hh61+8mB^O+aK!zu$&93)^U2$T6NW)&Gyo1HiX5oi+JB)h96ri62htK4II;xs%UdJUji(+qhc-I;7X7MEuC@r;Jb2vgO(--cv- z?@Esk`CO@@_n2||w$1U_F|G-b|{w7Ac`T_AR zWSxHvL@rIh*}<)qZ|oRqKipT|bN2wpkNks|r0|fnkjdUZAAgRXz;@U={@IrX)yVmw;$^pKc-BGtUXPoZv#4)X7|-d zwEPVX#r$xK`qBBqun6+`fx7hh*4`b%i0-q%_;CPqX{T&bbIQ*!%K|AItaXg)a3uNs z1BcXfw`tliqCX&yZ2(4>)?zVZSNZ##(;<`%A$$8CT_kzx^$liv&3x%FvSWWf?N5N| z(sq8HK3w))p+_hM$F?6;+boJlj zdu37N#L=_V`H+6|FtV#s9X$@PE^X%_%(*P7To_5&Aiz<-!Dw=XWF&mPAjMaVc##BA z-vO^n8*pN5wDjM1E2$J*6My8L*-a&z?Sn%9r(}UEhDNAqC;tFsmp0%-8n1Nm?x{Em z4wLV3WpHB139V0q|L1Z_lNj2@IvjZts9oCHmIe3H_40iT3T~V~xl@K9qxun{If^+F#p5)SE$!CYShCl13ca9*h960`e0(O^nN;Y`A zBrpFNh(ZGL`cE;BLgL6rqb9XqpPP>zftbx8y{WfGATGM|7B6Nm`?uC^7-7m8+79cBG06G{|Pu=+TufW-y18Ynk>qO zQopUIJAwQmH+A}F$7xRq(J7 z6+){CNDTM8{2j1fx&Y(hyVs@~zUroIgahy^XU>x^MjY(@y{-$MK;YLLc?z36QF(~_`&+hr0;;*1 zyz4a}zH|XieUz(45Fkj|2vV?44a+7EWM9tunK`pv260xj_6?>T$8`af)1R*V5Wx~r z@JxpP^%W2ir7Napd0+aj>bR1!k@Zo^&&VfV*hV@0kIX3b zByyo>220MD@pYXYN*V8DkxWIwV?$~p72yTs54SEEHhaeHkVDZ_j`1&wQqn2rkg4XbuEe+E5j%oX)RWdOg!>C z>9D*vjcxrAy6f4eiv%Q?KA@%%1YW>K1lfp#qW(#^8ZR|Gw|)-X8Hs$c-5C z=@#I@^tIW!IR$S$ZNvTXker&RN3uKQ@BBCQ^wuy;ACdY~;$?3jgy}8zh3zPK>s=b@ zkLT^|vJ>Z*lH=WA-dw7}O#woxQ{?{vkT89*pM=j1aw1UuH==tC{J1i5(M@h{2#cPe zfVMqU%W{DercY|3WpRIBFQWP5DL}ilOkElIRMd0!9G`bb0l8e`P5d{Y!t}e#g;w05 zS1WP;c%V?#WQVAne57zTbMtZ0YXxL=B20PK*jO9@!}J0C6nf6PPs2?AjhLgdC!u%ACq2Vc=EyyEvq))L+US1(GfbaE z>@sr_65tenJTa-t&3*1J`OM2pjLk<<;8}=?YDTsLHcTI&5WV08y?UDJkB2Yqs^E6r zBgZ#1)2=>Mab}SVTAtV$$YJ{3$K}zS*)^+l|BW1{mK^$ha*XwCWG^M7au#X-JZyLx z;9>gC;Avg@VZD(|57K6zYi9k$sh8k&U)RwP6J2mCO7l5FgL_76^znf`dX z)UL^D!2@!vS8&Kx*}~c^!sn+9Is-yXUu@G)$sVwUv-~%Ls>XU#E6K<8tiw+}svYK_ z`cxIF2a1@!)0nl)3Q||I{WmhNsCA}F@{(J%;mYQ@=s75IF}LG?0VJj`E{VLuI$dev z_~Y?e5Bx&JRpd|7UhU)KeR*?8M_4fb)&D2y&ZC+-_q~C0UaC*;y1E~16{!WxxwqBc zwVZ01R7gT)&?*8#2*{A2B6<{o%pnu^BJQ4b94jDNhMf1R?Yov*tV|9-EFw~5k|7|3 zgdze5Lx>Opgk-_rR?wgsii!G%)lemgXPnW^hjycn& zI|&uzd488Vo^&BjY?1q3*nhUO<`)^=8R|v98(a=wlzL5_cOU2-cdfaX@c8dY6kE)# zv*nyUz8&1q zJ}>BA*MkIPjM-cSruZ{6-TF2K5Hn^|3v5g2 zFXA?L(Vby&z8`TaVVItwSn1vBX>^UYkCgorNnT{n znmO_N=O!)PnT8ivA6X3r*=48S>86T}d2Z*WH%}pQ%x1VZ_``|L&$kWIoxyta41G0J z&OJ?g!Rmf$%rkoj-{?Z>m`!6;`JDJ|DP2c*Lm7QH%pDmuP`TL0c~_G@W6V2T(tQ2@ zA$ZKDK9t;-_Fe5QJsrpWeHJintAP_&<`T}YoceUy_3(T|(N$!R*$i5NM>`9&ze)tD!pduI7ae%*`lgp=7^(lSNK2F@)T9Y=1i-{DxShbKQwcYk@#`r4G& z>(?f@fqXI>cU8vvzpai<{r)e3nI#FF8;@AllIN_ zQFLbzs9YZ22@lq;Zpjx%xXqnga3-C`tkQPDL)AXwQ1PgA&b9h{a`Hgem5p|8gLn@+)??Ft1`bVK_i#DkH5B>K zv&Vu4Z_c^S59<^E3yEcR8nSZZqLzFPFmV3rx}kF2YuFPMemcKe^<>V~>UHeM2tv#3 zF7F5AaYt+D5eyvVTG(&rbVKfk$h0PX^w3$tf&U$R5LRX4S5V9qWPbCFwCM&L#v|^7`TF*kA;hq0_n?v4fp-S!~Z67Mp<+bPJc$$9mzvz7dA6sAnlGt}%j) z=>JBHnVnXh#)#!zk4<3UFy6wR6={9&s7M?fI%F-em%d54<#~i zuJ1LkxY0g%f~~NH6g5A!xGtZ~r2idZW_GT2L=`!$3`=IT;ySP@d(R{y&Fsv!(9T0= z>$aydaB%UbPx2G{VNUhA$#a=a8|Gb~`kHs`LaLcv6Be0uX!_L5GUNk4H@eO=4t89JSo?JQaT68CK z=M99M*)_wXs)NVhZ7yp*8OtpYip7Me>@okgz2dk^jKh1pr;b(T2HoH9ZJO2VH!x?E_bUk|* zo@j_*9j|XLx4Q1yI=c1|^3UuVh14^Ymvdak!0G5~hKk09;XEre^47M-r&d??s?<;a z8xd%B1Hv0aq6)Vw7&vJCsv|r>50|b5?ti=P^nf*w)vH@$MhcqUg^`*V5-YYV7&x>2 z>f-%YJ$x_SzW1X{%3^hmxsd4Ag&;ILjnlTo$lCEj#c;;IKWet?;ddUJ?Ee|`?33j@ z&ej1(KeEs$4Y1r`^i*7Y#&Ag!>*4OA%o!NP@wEP@*yO$J`uHi!-j6smN)y7~#hA86 zHZo>f8O@i)?jti$!XeCizc{dGIWNnv+m?$&G)l8h*iZkkZe0_@W6=GV%P9w=%~12% zM^nfAW7x~C*UBQ7)CfhRH0RsI^vxm{yF4EB`)Iby)^g*?vl@TEGKLiz?Z69FIa&uSSo!P2o z*Nx|c=K>IrMsdH`I~RDo>}e~bb8NvE%Gwt{z~}U*t;gyUyOv#33KSm#y4-vz+Lxi% z0w;br+s266`^XF0ebZ;*a^T&jQNQe=W!LVDi!)rrq*2_t;GKcIpp6}jpJOB|E;|l& z&%&IA>#DtwZ(Yt)h=lr+NJ^s&g|<%rnY#84MrVSb@uI?uLZ@Yu(!8+LPwcKGhs?UK z5SB)9*O@u~@oN=b3=Mm>XSJ~O)Evwy7V$^5HcxvV-92L{9(ie$Ml|B^JF;U_HzPi> z{ORiM_U<`2uSm=I-xrPkcGqid<8S&AnMP@v+DiT2uW9c_H=`rBRu@#+=iuLV#-@+1 zUW~T8-V_`|we>q#6m6wA(9cmT=w)P?KfSQJG9ujq4;Rr>8}BA0+4HUfy|xp(n4kum&#GjtE_c{$Hpx}p%GM$s;X#`!GR z$U%l$EFvzt)lZ?*%zeRoe@d>iyZ*Q;v@-xXYLwbrJGcE1Z=;Sequ4P2@4EbGD?B>b zVEYfB);_yye5k6v6H#guO?&Lx_CuvrI)iM19P9imv;g+!G z-aiUfQC7%HQcV7zBHbE?YgCEx(LQ9VQ7#N?%C^5P9Uo!1^*$*0_s>dG7hsMcZS+45 zDmFM=i%QaK-4LrrsmD;d)W6u%=(JYgwAmOUC}$X0Kc8}(f;4_&-OU--Ut$_+z_rtxqNYY_f`kTj!v^H!vCGE z2w#LbM?AZJTRk4*aP^4jeK>=BHHzD;@86=_pc-SiM0~#e9|3*oi*Wfm)pE4Yn&`+I zbdUUX2O`!eW^c53OY9A5jPX)fezEaBYVj|F*t-d=VZNw<(Tp8<9EkejtO(0}!-E8T^e8H}`#59%pEDy0O1gMEDZ? zLA6Z8yMY|G9YjS4ZAA?2Mb8NL-_GSL&6U&eU_J z7y+#}O8)J^1^p6K&ZeaOPe=13him=NSn*c~U87vqQl6V~=6a?WQR1)9{XP4+Z3!;) zhj9K+WLKvn?{O^WdOvd4C{1pL)7if^aMrllK)-Ob!UM9wj zvB&T4>k8X!u&>B?;M*^)bB?^7@|GVe5Wq&M3r7pTFl6PM7__}dy#Jn9Fm8jd``SbQ zCqLWfaMiyEzM6#$Hi|iC`Q{6`@D{`nvy5VyyDa);_`J(+{4a{^U6gB0O`?>GNH&UlKXbSDJf~?E zX@PPQBzjqwVc*8}BhR3fPPy)A?-pM{DjVgpQrPeHc7vCN(Vu8POVZ~L*x`#L>%c$z z(qk#t*r5ZWmk4H~Xc1zOS7&jig|Sbkh$GFaR6A^!XuE^kni442!^4e&31qWT22#A% zOEmBtJ=&l>h&)^`w8JxknzmF^M+%jUwiu-QCX5{vHW!6cg~HJbNA@bnC0+4f&n1F%Ec0wk|U@Ojk(d z9bv^J<|3_)(io#_-Lq~e7a7I6**hy(HOCwXq>w| zs9}*Y)0A~-g`%DBfFbi8segH8E~Q*^ri0^ik=aJ6+fgHQT%}`?@s)i@n9nY1bikKx zza%~j*FT_Kk5o$IY7yH;<+@K^T_?~5+8AH$O-Rn~Cc4pcqb{XW;@a;#-r5-I&?j)kT)E8whs2xq{)3-Wl+`4l|r5RK;ER_Lg_!*@;xOd!#X%Jo0ySp7c8XlMLv5y|rc zMG6WU;#j)eTVs04b-{nsPlHf5ihDl1Wc7P*NHIEtXE?59LroMsRJ>iwZCW%_u0zcU zz8=VRqg+ru@alc9w^NL)+4ykR>!vmezI(m8%Vm{qmde{0*Y%A7(QXuXxJ|oC;~$9- zv(~O{dG0m66g+7iZ{Z5YEtIQyIDF^FNOz-X)=c55C{6|f%?|8#clF$^qhOT#i$ZtB6?V4Vf7p-pcpTkQcRf1V&t|4zMor* z{Is7tHjJfo-=Y{y#A@}6e?k&kZ(ko4w-^(>l^x$+tE3nUy+6E~S*)fQSCG&(6NhNE zif(#6#=98tU7j^ZyPME|wJrV9^P!nya1r(uztBc8G>z4_u>;1?C<@A6_ac6cE9PB) zi7+jO8o6QZiHKkOKHd8wCdC*YoaOwen{Uwrvfe0PZg@vAq7XqAdvzTBbgEE*butFm z!q0fKV;VjA$>j^%g)Bo86&rGFqS8sM?X~fDB{BrD|)REjP~2k zWppG#$iQYABlkc|U|a#x)@b$}zVY4;^n8%9cBTw{@v(kr6Xw?#Q5}yrhGnBKzE>4{ zzIuqhs6|}c(INCjKPQ&Jk{e?tawqzSqFiXmd!v}o#j_NNVK?~Q!dbg&2V&tUT4?%X zii}3z22*Bqiz+74<0#Dw)>R5%+YKuF4_Z}Rgv_CverP;Ju1iA)7dmTZRacNjN4fOP zdQ&9k-C*aVO=i_gMAlL2=q4#iM=D4aBIeAp>w(KJgfRQ)&?G-fbr6Ep_()4k@c7TFS=-|I{B1Tlj zNZq53_r?cwW-h!F!hF}PDNT( z6r%p9++kgko$MEwDL9n0qF3dDL_kVi-}a3iVC@aYCJMS#8UzPYntO_+WwP@NBL#mu zqHj}OLB1fxy_?as3^4cx|8?Y0t4e|xLdvBkxp)}_<&RS^E~&0rbrNZX=v9i~ECX!5 z!S<9bO{y$}8lpiv<+}{%MI#hE!n^)V<@{)iRDPE~kX%LY}xs>a>t)PcJ zi2iU2p-koctQl5y-L#TeeuMIYw_?>v1TIp!7oTNY!Ax5>x^$FA3RTVzulh@VfE8f; z4gOYBpRLM5d?TgqJx0xgALd#qc)WXSrs~hDK^d!Wp2Yqe?3^h%tui1ClA@`?OXtCp z=0*y>qQEJY^YWrrEb`H}BLWU`cZkzeFA*(CxfD(9o(Hl>83p?eze`p9dA)k2TQd)^ z0te?sERO0c1XWT7udaRz*-d6ssNm#zqUz5J;HAcL3&0Q@{H}LV6B9fD0{u27@9PmwwMlZ-Rd%x<>Yrb(&GDl(&4(3ERhpK)?z$cY^$i$c< zd*ebW_(!qdUe%vBk-ky)Ir0cX;h^%vZA!^RTqwmnKcF*_pR8PTz<&BVyYkPwQc6dq z31AlvN=nZyDk~5^N^w(~WF~SbZOQ@PIZPI%^ERg;Wrs}w({ONt$C*_wAj*_d4`+X7 z0xXfv0bdNXo0QJ`rkZnVW*T4}4i!bvjmiK7qf#`OS~Crj{W}~`)NpT7`R9$;$W~4R z48)jCcv^~fLjUwV zh&f@mLqpGsR^^|gqtL-??@8>%L0*BdS@}J}ZYgGx_Q-n>6**-`J4a)UO6PEkd$;@R z_ar9cpun5;OesNhE~WPES{MhG_gXugUwE!iI>&FC<+g@#fYmq{ul12B7ZAitxj0&j z#zCxqlN}y?bW5!Kb3jOMO&%vP90!k-uN5l4LaZ;vtZVTZCzJiM?eM!B=dzW~5hhLE zVtWU$9S0YR<}#EX2nnWW;+EI%fX}-`JDe^)ep=}qvKp#eZoDHg9|vd3deW5>h#aQW z{;gT>z@xBm^q7xA(v*LWfgP>>?*JC$phjDns;oeOF{NJF;TR+BZ1XZqTKa;cbPlT3 ztghZMfDt)({OiI*b&ZWQ_dOdvF>4k+dQ~`R6FTNy{Gt*pWlU7($lv3c{5s z+W6q^F`z4GT!u%?W6?_Iu%F8sSu;jrN)8_19T%ybKvXl8yK21uEh&3?dKrFO{NjMp zIgg;NHkQ8ySd)V}OMd&6Y6L%{(eJG2Eif0vEW@7cpF)++iHUk{G3YIcK{@zFw|=j( zA2HHY?ojZ$w_u~U4NZAcE>H^ROh*F`4Cw(j<>2$VWt*ZFq12S2DCVIa1SE~vphT6i zsBli5xM!1d^Z>JRP_%K_qKHStHKi^}kJgi3;mzpVdk)PioO3beTE2&##IhXxm$Jd6 zFd#6SQtK7^Vbc8Wv<>p;K1PLea>rcJT0IOfE(f`v-kwyPMEo|TR%jK&WRZWg4Qh1j z#ud)lA~Rwvb{Jq^4#w4;A60lD%$v#;%zi#hdIwpS;F({odc~j9&$-}P9l*pK+*f)= zr*K0wI7NFE{a6QnG-;P0uT(dn_;WsMPra%GSeb*ohljL^lL#88xEJ{GIzYwAmf+!r zhHiy(g3J{*ZPbw%nuC2aK3xjr72cs->a_DiWKw4G5)AXW)249FxYeAgx*-xc?*(_Y0S4Uw3egY#y4qaq8D>XhNqsc(jWy;{Er zPex}xQ~bFmP_0_hl31LB)75VkiU|a?Q)-5`OAA=gm&a`pBh1*#eZ;m{Jwag&MAR>nD9A2I$~KX&Ogy1u^+(>^eEnOWvd> zEWqWP+C;^lD?d&9AM^rj&_QN-M1o=;LiZ^{;Au%OI8tJXp#wM^Bl9qxcfu}rF2@;!wnrT#Ch1_DV`x$Cfuav6LuT4l zbg)l05BncPTIJ65J?6WP_zr+oI`}pTl>mK(f)vo`!nUykRB%}H@cG6dv)s90$fTdL zwgU{)!R5tYjPjElDTmU0p{;Kxb)`lNj2qfCC3mhss`EpO+DUBF!6SX8G8_IYEuvQ1Z^V`-a zpFqJK=rNzUzao=5nrC60?Y2tpT)0+4O4m#dBCrn5^?NDgohSx`V)`hmn!)2+iL(%8 zRY>K|m2ktbP2NmmvktzjUoDnbjF)VuH0u?y&EUpn(+BvfFP|@WF0HHe_RpIEX6xW{ zt36ZRi6TlUjo-7`7vwVM*$2q`T9hGouGOo(LZ7@Kv0Mj_3Z_rV<5A!VWhiL*;RR`p zi1`4Ibe>3;I~V^oC6Py70F2kcqrUoM@+&Alg);bRzkUIf#!Q0gxyJDWfyU5IuT1`j66o!b*k1;4n7#DpE3D{NxRCs4Et<#LAN zG?DVqP&3R)ptI!8{SM}ItA7)~iXBw?)kMoBC@2Qqxe}@8q|M$v14F!gBIV8v6lTL@ z-*XZ}b}-)S-T}E9#m-PJP*(OF_?|g71DXDt_RF0+GR)-zqUR*G?9gRVHvH;^UX1H*9cy4@tJ#k*R}^UbsMBzEm!Qli)>JBh-7?CRBNPwL6ntJ8*| zA;2;z>vwLG`R~0}Ph#2*zS@yFE^|XsLUtM$JyH+e+&Agq+nvTy84d5dF_n!w>q)HJ zK^`N0MCOKqiR^}ww52*yyF#XeIifM0tlznj$Jzh7j>Nzn+*ivPlwCnFM|O3)@kSk4 zPlW0qckQrN#>6{=ns?pVbpRW8@SrTIS2lq{lkBv+vR!qcvaM|hzFzL@l3j6bHLg!v zQwK0}2N#N29kM7C!DOfTMh-j$KhoJla9>nUtBi*CF4gwM3ba0nq&v9plgJj?$0*Rr z&J~WMMavs$`a$@uzO6|{!<(UolHRDNB*yOGQs2Qw85hMv*>eR=9#6>!^mMd;I?$|= z_2b=D&9ZQ&24L?FhAo6CWW^|K%FgsVRa*lF+Kg}D$**5XWyN^AmicA!l^PP0cW^=& zDwfTl=qfw+MRa@(@PWcNu)X&=U#52M|9bD=Q3J4g2Zy6VvSqa>2+OYC61-3iYF63? z;8ATuhAaSYD66Lz8>&eR-@&6&#%Wmqiq*2K&seTk15u@F0RG|EkS?phJJg0UGpCxw z_8pw2=xOMnf1#ar$>fjDTpKz7f1j&Ql`)-L-hL*k3Sj;YI_Bsc*+~@nW!IFLv{mGj zO)dQ}c1=T~ECBDJGwaOdRR9ZkFlrYgK~|Ain{VfqSj1IiqUk_CT#`4iWD|H(UgKwD zRFN3LgF{1%7+F7xBeSauf<3CpgtHx5m=pgzQg#LJ@~iLfpQ!}c!GlRNArUef3OBRU zF2>YWl26K`weVm;(|+0axNJbZg>$76UYZ@7&kk&2^GqMDp7AQd?D|!=Fps#5+Ci&{)NOQ9(>amYso1_p>TEsNZ9oYxmL;Q zg`%K7a}Lv4dNGu_<`;ljJUHCRHsyGrh&ek`*fMaRr2Ko)!7SZW&R5P_j-k;9_W_pi zV2&4OGUs~~SZ6n^3eLF?e!Lsg1DEIDj^za4;t=L4&f)t2<9P6-?!;&g6UE=zbNdt< z?vq0uUET2I%zJ%K1+F&X3iKcD0qo_D-Bc3QcmrstUOF2GhE9DZ`WDaQ?k5TfVV9dsAm^bPKUQQh8+ITg4Bh}ID5ewV~t z9{R-HR^`x8q@i6Smk$2~9+k>E;fvi{6*;-Mricqo)jt6&=D{~NE2TLa6p(0Vs?t)cy>-Pu6j~i zyBF?|*v*6g^6ALPaY5mYwp?9w*B!91ezG2hY+#?x`QBMZRo->u4#0FCO!CsF=j=mK zkT!Kl4DSxPF)6hkO6cinIRUsXOY@?4_Z@)sJotygl$w);f+cN+t%;xB0piGkI+!vj z=H$5GLNBgpWbih?fF7KS`zSfbfMP0bnp>wTZ3-bJ#D$lj9s@ta?ki<_@-DFoU;R0-!c0_KePY=HIO{b(D zC@j>*^&ELqPA0(Q$B=8Dvq?K~IUhGd@vt0tB2o`dJW(!6vrzP?O`VY_FDL6(bUlK9 zt6F81y5RaiwZax#PGVILzOO5qm41(cOl<~*&Z``h|FZWHU#d>O#7 z9^4oBi&6R##iH8OvFQzET)ryMOFMCm zCDZ-cU@5@D9?U7A2Bj0-^BFeU)9lJpFn7MS8cM1!4M4kIt^!rdqc@fU?ChbNRA861ABEG}Tvi@fC;<=aPgg-@ z&Z{66SMKG|btNPQ_uza^iAqXCF}yY|JTQD6 zEYFD_!i6_m6w-KSC2c`;&2k_YA2hp#_euY`K2(eU+OLNgc zBH=oT***B-$uM8qi6Vk+E}Qr}uY>FCm|tOkNoKax1K0YhtDf14NG$K6+1W>#(p(fc zY@;3Q?kNIuWvU18Zw-Z~r5?C=*f2I$T0~-e4<22!`IK}9#TTOjTAQedN(PrxV%|&r};x6zyu$B znIcS<)}ms`1{9aQn5#7Yco}TCApQ}4xVdUk@-wcAS8qxeUIy6ZgHiNb z7Rk>je0!1mZiIfB99XHp3EzJbI4d#WGJ9q{$Kx`(l9h2-sG2@F|g~k68Sg-9UhDRT?jYwQ@XMuWNwdN9ujXpS?eL^pZN1^45 zhD#BH7s0QAmh13rEH#J@qE-b>n6C07z)T0mN+h_$g*JUGhy>W~gY(^A@+CW1)-M;C!>sirsgj5P0ROi9JX4Z| zTV~YD`_1P`%=f_;?Bf}ds2J<|MVGMPC+Eqh>FHNtPcL;!GJ$(=xb=$#=K&V{V85t5 zU9w~R!|Fx#2}{a(GHz}275HX1BTW*8n|d_#*?{u^BYx2EwLVp{FZvB-%?+RZ*Rk&9Ldj=X3Bonyp$WRb` zH6LKg4`wAFXG3!M<%UMDd5YYutz?%}0Ve+7>(bIGu^XG6Z#5j8 zEmMN^r8Y6_>zFYr(Oso;=MOMvrU5pYG5-c%Hx^{UKJ$cli z$GpJuR+1R{g9|(TN5olT{uwKGbJv0b_|h{(kZB#(iTB}-Kkn+tZUw;BAI#C89~2iS z&L&$8ejTL>(y_To2(y;A4T!n8g^+ePTB0B^_XpnvX?w-BqbZSAb(;3D0&M6R6u>_o zs=CD$xF=DQ&hb)^Sp0)$=v%tP@zH%DR+pMds~o8OX8EY2u%}I2i<=xZk>b~K5~F|c zxmnRFo;kM5&&u=*FO`Eh_I^H0S=!Vh{up;n($WXSauU0L@DKl>)k{ob0}y6ew`YrAraqptFgFPoL_o04oC2T!I-DW? z3b(Rq&ZTyX0A>Io^V-GJVvo$ZF^h{|beV{py}dUDzAp|uC9ZY$#?tpnMI@F0VN}m} zn%M2s2CXHx%o-yCbPFpP&iGtECjJUH+p6PC-XaoXfH3w+SgM#79r(&ZOP^d60tJmrcc^0l9L&*ade$ z(>7%)1OVHB@JQkIa4}6N&bKgijqw8V*W)%ed~JKXSKN;%FT zZHHO3(E4eF#pQDMCz+slj2{aRSDZJA`f*!2vnP1?EQzT=_Co;uOJXn(_HovaimpWSHdO?0k z-fJx`5$yU5@(p_|3jR^V7!>v6)_$6C@J0rS*+6)7*{T(Ntkr&Gaj_p0W&m@qi2;X; zD|(lQjD89)zhbaYML)Fqn0_yDavV&M$Hcp&^u zqHh!Rv%KET=H43VK11qOhteUhtE5#lfi(l}dvW<0fc-$IiH>U#ePw;rH_N;eEjt6| znFHur{eF< z((1;qrh`~j`fk`hqY#T|ScEXt^d_eRYze}nLxDn(B%!xtR4pg3K3g2bXA+D!C2 zE$WYWsF-zmmww{}u-Ik(P@XfNE^@;N2D2?)cmiNl5dN#6I!%ExO3GxTW zGe3A(D>^3HfmIEzd$!jJ61#$EPv1XP6p)^sGV7v{E~b$^4b8rAV%D0Bes{!lFpoF& zrU6U~!sGQ%6GcBaK0Y*?`>Ojv8rdD%<^yLs6$zppPWPjFOpyk#E{NzGI$OjwQuJBo zm$rm7^2UX(Z{SeMM=TK&Ln0dY7{4@tfk7Cy)D$h6ITpQrR(&bslQa-j(7O}9sUssr zxmYIQUThsc4zMu@4UZxsL@raxjkCGX`1m-ONgDVXCJn;_qFhX!(7d9rA15(0h#JlM z!bKj>YT>NmzLs=EhFyQ^4RyW1qwKeaDSLE(UFw zrtGIFB!&lJiel@OaA7dI(yaETicKrIA&T?x<@kD zMhKPF>=xm^@S6;?rpGEv0-k{bpTejko12CEFsx)4nvG8)F-Qns`db@?xvG@y zX0>Y8HwpL%dOv{^^yX(m4=gn?n=GFs0c;Y&CDnD6&@FM}MzhNuo1RVb&vvbW-`dg@ z!tXKt#M~5G$0jjL2*WA@Wy0cwA;PQj%m!E{M0c)X zp|CzVx^GiyP`ZM{8+cH&ZN#) zdB>55m6*EZY(ir{8$AnvgRYS?Ahn{3tJfFB*<2GXR`q23W=<*NtQ56 zT27i=F2yidJ4@`_?Gr3?!7iNo-t1x|zof;rvLgXD42kEzp0fx% zy4cXf742n20{-gm8Dj1=XI9{WDLg}*)iaXBj3LqI%`gl0sct%qnrY+GA+UREv!3`+ zW6Z7Yz8*pL$wSdW&uOiIi@`%$oLPttBG`}^THDhr$ZdU4 zXk=DJ$3~EE!ma(ptAOfm!2}i*X+6T75nvA4hJ?Jhq)Sk1d~(jn{YB>%L9W%0Yl$o$ zRfoXENis(6*B=C!Hzat=X>Eee?$?>d++W0X2T2uuppW>?EuvMh4;zonUK9dFV&RbZ zSCmhSKtlDV7;~R;at?yH6D_^OnY#7O0trSV)oBCq2LVP7i6{}(C^%{EjWD|OviuK{ z*W#o-M111-GeHzqC=DyM>kg9GIV9TU9V)@T)2{=KYARta9K=8b=L+7`C6_UySS?zD6ujP>sV9DaLSzUGmMhNGu-`JXK$spjNuBeM<9Q9~(w0 zO|qv%NMX}4!Os{kRd4P7CXB@RA<>R1$IzSh&C@9tddnwaWZbq>Pl&_pTO0xEj;x$w zdN-Ow0rn4x{!a^%1!~2QKTT=cRh^;a5kJ;r;;3DfD2T$mswVPTIXZ|4L}KY1L4x3C zMMBY(`gW5t6jX!YN5mi3kFy0YvDd13)s`Fzu!2Yo2e4QIBpByUahnDLLrKA%sTyM5 zJuF60i~(4~<;l-ONem$pXLtHX3v!=5$(+jdu`h*?4+w2FaZrXzcXF{PtNtnGO$fji zA`!dBJ3>&~%t@J2TQVMnkdlyRRm7_vctEfN6SW%mw&D;HbBO4YzGAOaN2pzsSJcdlkVBt8U;1q&uH zqRU)8wJwCjC?YYjafspvQ2v{y3?~vT3=nk7{($&fY=@m6k5yiEX-5x(#4aN7TlEVY zKg(LbcFJ%syplmOR*Y8=ytw*BelBKyHP;)jF-S}!5=@_JE5D-SFg2;>?Bz2+oKHtN zk;S-g;d?mU;FZmX835~u#P7v-X8AAocN-@S=OcD9fPAaCjOg50ZsvDlh}iH>yM{qx zAdz@EciqJ2rbi7;(l(`=>7;Hhxs=GU78v;wr)(U$*hvT2NF+`KT$$5p&@4Ln;N8?s;yaFNj34i` zmsR5oI>1sQaimx=%KuqkP(G>tsBI&i+_SFx2Jssu9^rdn%-N7Gq=Ep(5{YlCkl0wu zwp^SvRHo{K$SguyO#D$S)bW3I>dl1%rGS*zTd$cBvuoN z_Fpr*`8%3sV<&UzcJCm-Y9B8kdX_W0_!CYLyLT@Y2r!&TBozue_{Ew2j7fE5q&^Tl zakO3|&Lj!j_)$(_yC%6I5MVoz*vAvK@^>7NY@I|wPUV55za#SpLQpMk;YVSzTOHD@ z3Iv!>Bt*Lu&3ug(QO3FHBb-3c_bTQpN~TaY@zvM>XUZu?Ai#nm(P=x^$p1KDX2m2; zoVp{Be0ajDB4&1z&-foZQSsx=Zh-(Jio{awMHOGuwtj9rH_$xePrm6GP!b=61q%K? ztdy&%`!7%k(j8i;`5_qvg&b{aoIQiAmKfg zL%h+|W%DbXF#Dn4wf-aq6^Wsk7n%Ir?xI`c%%583{6Np;5h?Lup*@3dz|uR{!s_({ z*ix#zB;+$f7C&J2iaYw<^$cHN*Hc-9!JZ$(SL1*H=E~6>z9fbg ziQywf(R{Z=!AIk?-pMt-vHAACq`EfS9Ing~9(HFkQ8n-<#R z1A0~{Qizi?uMY6DaD)MOb3&C5z}zCDr-%3RCqj1)jd9;+7Wt45I}(zK-}_DBd;`uz zP~UA8`;b^%Bwkjo2nO(M3Y(xot21 zWopkaW14Z{=RRb=uKNffuMG+2YjA3V;ir-1?Id;=iQfv?6t6b)M(LRPO!V+}lI@+H zK=f6dwevJM27b=|y5`Ip4-}u^l1Dm=;^bc2d7RBAyW3C|%^`;#>($o%o0C zB-R&+??hErURLl1;h4(>D}OsEb)SqQ96z*Kc%3+?0u`FFwv!lOB;rs=0&AFL8*^5VXT)J=Xe;PFI}(KAW>`8N4dtm;uWLpP&1r(Iz&|8r4-x;g zua{Sh6Ie7M{J5;u%`|E{(dZnqA%k7$+J7h=ZRT@8AVEGh!Md^;-ZI8i_DRej6|TbgX=oOJ;X% z0X=?+{=~jLcUyT}96F=nXdi9?7-=Np9<{gdxY-S9qqK@^_bDb%fhiZ201%u3&2z(5f_x+$jg$J z299zoyEbkC6+dylAyU>{e#V=@IXeb>z7B=HQ}nwKvtT%k$CG%ig{5uT1Z3cvp18NZ6scFR|?Tf_u0D`xn=M=MraqQ&o+~#&s#l-5I_HH9utQj zsq4p=Hvx<{qJV?6OrBeM?b8wF>R$aOa{c<$&x!tqf(%}9I!aTb37cMTBC+2{d~0nu z&0FZT-5$xEmsV{8b0L<`5JYb}#oLGDnbd{+;!Pwb9Ephy8&C0mRs>!iF&xU4Z30nY zEgr;~^^xhkuW;TIa}z&h6Zroe-C0xfr(nr&u40PkUzYFhx z?+N}!&OnZyi?uV^1^3EYbEcFT8sBf=3AM%BbLN(JcEM{VkxF@Y`tw#fV>x;b0<^Q> zhQ@e!is$1nY?UWx^mus|msMmI?8spvu5R-+TVaNC^gNpQS9-y{T%WKhBVFQ><7+!d7t0QNGdBRnV4L@cQ3Wuf~MkTjh-Fh@KoPF{?m(Z#FZBoypnV!{*;qnuk{hIT{;E3)DWO5<+K7)@vUj9cKWhXx8A zepVA(aDmAk<0Zvux4?|5Q3w#UJfH1YE)`)_2CTh*p7PEJYoM9f(p^@zo1y7Ab7mdQZ7XK~s&AYSL(SJhD zh8M7z>^4)Ue&ZIHksi^PWA|xMyhtlHDqTIRLGrZS%WLRd=R74!Wy0Wix#H0vn86;= z;k9ol(MgRa%P4f7Y7c^Md3IaT!=e)ok<0?p<&>?*nu6qv_lRZ%-m!~DyE~$cyoT(m zAi4Er+$#EW;G9iFFmZLfhsR2Tpp@hOi3F(0_gFvE6@Ixt4d^!EV zpls>K1L2U{h40XJPa390LMG)<85a{AC}$iJQ;#XlcOq zDbYbDHc=6nLIubf3KIRftHdZujcAe@SV_~%0rIxm0X;hNEfo zn#NIsDBfOl#-NCcei0zAn@k%)oyjTVqLWOpBQJ2eF+k3MkZ7s*pJSp8+EBiM7t~!9 z01wf#!{||8i(ZuaZ&GCPv9bU;V?v_GGdOw?k+9A&$X-Tg1;Bh-q($F>zY!FjWTGd9 zIo2ZqFvCKk%E1w>D7B>Z8-tKD8yX-_G*B9J)VNhE68@WId1%Z(K+edJ=*c5ujYy#? zzzn?KHJbw9iR!*VlvsbM7VTidF_ox#%^zlPNOW!43lydP&T;lj^|(Lm|2@A8bq3}P zh@LWOn~J}5gZ?n%L!z%6Tlz(&W5YA!GM%x-U%s=^*nz$)^ynA8&Ij?GreMoWe>p=$ zqQ&JYeWDAtvVn2-K=>7Z`B$r_+R^`w-0u|~Wb#0T4QXP3Iip0PlVX=j1luR^I6m2`!xslVeQWXZ>cKWJ%RxHusCDSF`P9jWMBCIZ!z6KVC8Gh8G}P?SXU zw9t`1uGE*m^M${h*R-O4*YG8xZ<$P0-bGuNubdGh(Z35Hl#4F()?|z;N=jOM<-4oW zTTr6Py{&pttC9<`Q;WK8O-vOS^L zSI)SRXh8#3B6>a6`u(`<&*^kuIQZAR$Eb7ij97Hz-x%4qWeL7=hK@vE6}~DIu?+is z#uXv_P+$1SfVByY58F~G`u5*kTH}hpubj~%K}c(*Nc63t(>11iqyGZ5v`QM$bI&I7 zMSe`st#VDe?gKM`Br41b%@=Jn;PYd$oV-aN_y`r*fF`BXr7a% zusJ8yN6ui9=*+v291-CNx-_N?E8+UUSB=MRpq1Wr$3*K)`mh2X2=ieYVo6h5W zaJ(}a(@}*9-f~8mM9)1LNED5hznj;a+9URO%l80}8eN|VNffq``3+w3J?ODg^!QLiwCI8u1b_2fr>ec=3^<8a)ObdV z;?+mRdUo!q3NN@Ic)l3@yRkA#G|D82n*#F+y;B(|i+21Qo<5uH!sHA-iN4;`NE6>ml6>^6 zSK#l+qJlPwWioP9eUnYe8gha;@NPIy*fk=r~tcENTOOn!j9lJN1 z_Jk8&^(LdknJpILH6_i_nSPD2dCD1-5>4_Cwh*kC+7z9z);{SeAH5sRM}OY&&`dN< z*T?D<^v4lU1TG~iEc?L>S~lZ4R@Zc=r~GkIe(<)OE1)lQN&^R3ZXSrpTxRGf! zYZVozQ#@hDs6;1fLT8Cl@w!oKvi9PhFx8;uphvEDOcPHt9XhS7_d}>B%rKQ`yat~p zQgu|XR%wmf;VEA>$AzKql3z^`qvLc3m}j}4C(KBd=;F@UDI&gbQ?pi>@o}T4T(d>< z6FPKc*hst|`{It4S1qukFoRWsr27;j(WKd2r)6&gamnxtJYg?-L~Jw=gK1uuwL+hi zcc`54D$%9lTmvC2^g6F)3C4y{x#55&6g}B#87E3Jy^6IwcaZKaXUIzQTzbVgad2$& z8BjDoLFFkS2_fi7uKPGKI{QVImMxIfp>js8MAwQhj}fV=O-Wj|Z(=nn5B1apqsOhj zW5lSHj?}WhH%m}C16QJ@&;HaCGCLKjmDTqbqu_l=+>a=s-L5B2svX~Jd1vwkD9qTE z=#d{gbwrbGJxHslPDw@K))MtjbYk?7jv!X7-dgZigbApe;VaRkVZD|Z?EVC66>he@ zD0~f#+ku|*&eam8=}Af>J7C_0${E2D9jaT?5U0w(#V8*dTUk-<= z3AUrPOQYNxxrE5a_xFE|5@T-$h;{y>7LD+B$`}GZ4S)Cw%?QpKAmXEL-PN$9`9lc2 zUK#xrdO&CCCsGHh>NU!a{uf|DK(jKZrXnrqog9_nmgn{FQ2+XjS;7$PUB?v)al!on|mWjZd8^XQN zx7Cd*Vl>5@qhZC4CxZn6*%D1QgsO=3dB+bL)>?Kv0{a$8zd)DH4fhc5<34TC2;D4u z5jlffqW{av>mihJqdpp5k@QDIzB!oMh%Vgl=pmZsI}nW`k{^J;jBkm?e|fu`c%AaZ zrB;H!ZbsxjlVvnAXykMg-)7%fRV%&K8xc7}Tq0+kdMU9KE}2s+yb3?VaD1_iLe2<^ zr36nRno!Hc>8mizD3{1vKW{0qL&JZoR)j@Q!f=L z;>?Vb5JY4^tD3d8tbyf^@|Hg%hd(ct6Rg~g_tnA$^9vYetV`toCN7r~P1!5;YFVVE z1(y2`0RP&2zUl!g7YYL|#^@%ZS0;r@yLM-_d`-@DAUPHss*ZqB7z_ z;ms1YvL&_(mNVid;uL#>mT47vYT2FaN?2}>%UwhMJK9=8ydKXKs1>fVQdrKQmx$|1 zMhS7l&gH9Fo%#Y;{-k7j6*+vxB_`rmf}+&Q8G8l{Gwvlas=F;F{Q5R>)a?B16d2CD zELcG%L{Va5qhsj@H7{o%0frg+5;>Z*SV$a<)@)I;yQqCIyt`_A8A+8?7ZOV=O+ISn z`N9wwX7o#B$Qf2h_(`rHYS~}r9kBeTUsINlw?i``;{BAsH6Yxd_cbhM08HeN@uG+* zo#n0$2)WDNFkGLbokZe~>;^4W0doV2+hrSJm@zPsQHLR)NR4#r2ZZ@i4iC6ucboxv z@AxgBc%S`lU_g0i%<3U$7)<2vBf&$!*A$j>RipC%5beLg?Hs?BTlkTV`8QY7Y{CYIDA#RJOb>_;AQ=YV4b zIrq+d3XJ5(X9i56>2)4*hQvgYYwAxCH;k281H$jpYCYti50&VU|GN=;iWn?8nlvE% zE&ZAY%&3^i=rWZ{5X-v{46qI#lX$>E?aNw3dG)Vc;zrD-umPboztjU}U`%9xsQ|Q$ zn)rS|5!hV_I`5k|gq&#c$|W|;wgwF-w&}Ax*{#J%3#R6ls!kN1F$ceA^YPxUj$z$KDi>{nhi z?(={dAro7i~z6y z-t@B$*#kV}S{J_^i7)KPCf2i)I{OuE;x9d5#>qq~GsW42$>!hOZ>qL=feqrVd5(-W ze4kCc7Fqx7H%&@5dcX{oiI_s>1cXxEQQL2-iFUff2>~(BkP+`j0r6f_eW_pBF=lgz z87&j3+?OFBPL^d#`;~F|EAH@4A5|NY{M1_j2H0+KzbPr&=q_i#Oyqy%>P&FecAn^G ztLERi%M;w_7DQNcDU;YRpv~x4-tHf9mosK2GGdF$Bv^en5BDoAg+uOgjj^N|`MJp> zlX!nhw7;MCS9Yg6%&?ir@kUiTF}fTY($AYneC`epHZMO${9q@#5itex5YyvAaB@Fn!?UBnVgfijit3HK?wHT~B zP&ARbl%y2m-gIDlAFnE<&|SXIE8!Nhzu6~+c%QlQpig*NDsY!GkS206#lR;N-EED1 zO6SLPclndLzCV!Rgxh>#eW1L$PpQvLb%z;C6FGQ2mrt-%xV%reO_$^ji+v+*Ag{jO z&L>RAHcR>x^Kl98a)#4H;vGPkY%-6W?Nj(`IPP%M#qt_tZf92_aU=WY@jhWE|0j2t z5jBz5jdIXZnwi|k(iubC<%O#&Rmi!bq(pE6+;ON+mXZIXyPQEak#ko95{aeq6;2uMk#AbJS`at|w#8y|07?v<^j>)hZnRY@uGpC)cBkt)qN-^+VYHsl5~*e0^S(JPjag$ES( zDs|&sZt@PDr5MSu&czUZg@)W-VNpbf8_al{$jG&h7~-I|KC{=nADw19aUjD^Vmh2SyZM%NJ1E6mqCc9S#e zCi0(6zA=PMRR3MCA}OKCP2Q$UDL|HXS)xIgG6Q?r_jUDdFavKQ&Rf0F;9k++tJfqJ z+;Wo-sni5=CgXNAabsoNy_el;zTpNl_9pUkktCXU&%b3;DbIA*xXA5MHMIh@)XMeH&2+f^p@>2fzYgK#46_T7mhh;pyLRICG; zWp45@PX1A3u=v*~!jBqiR4IQ*EOC=F4kxm@ejLnGbhTO~yc{cbg9XTZD)Mq@e-yEC z4412v$72iKV20vElq*}Jhe3*2Bv<3wJ5 zJr_wRBF`OHvHv`k=_cP*rQ;)ScJ)RQd#KQ46+2Fn?gld;C$j(Q{Yb*^gEdOUF4CpB z$s1y0laPgw%aKHC-xH3CjjW`&!Hmg?><=!ABsK~}+f{5|ezKc9*-do>d0UzsNu2x; zxK+igFHdrV8I}`y?Zt^CWTz&4RI-}+BTSbZ@yMDjD3TzIe;_IroR;7wXJk%fWEUJs z2xC%QJ&MHv9E{}5LLB+0c`m?M2c48 zK$kLu>UxyAykIxDYa(th@@a_^L0qtpU+NLg$NlIgXP{2x{qFA~2-Cm~X^*hTwi677 z{N7L`X~-vnkfrm*J*J}R9d0mVbt3C6?h(X|sZF^(EVDMq4g5}k-i;(JuZ9zQvO6<+ zWD|XXZZN}jBL5W5gcGu{bBB8rxGKO64*gvmjI48p!@=D}=>8sFpvvD(&WN3eQt%QK zOICLG$cp;D2FH=Vc^9G_Z4M_ChQ@6@Laz2JH+UJKoyg}Ke};pH`t*PvlXU7!u$s4z z?L?NEYQhO#njhA~;#mFMV8-o4vNm1}C;ak<-FlRHv3_pyvTfr*$iX&AIKj}J-8`Su zzHai21#2L3rX?Tr6X>1Y!jJ(UH~HuL34zEVM-G@L06e?dZ&$qC;GN!+3VHax`9U@ILeO*GT-c1K|WCd3LjoM}Z>vpz{?n8O9AK z7|gR(L&6Eh^X%rS!a=ckTgjKm*`Iy@>kdfIZrQfpFWls%a~eOS zp!plHMnLuKR=6jCBL7dbFR~;K3MUxYvs;#8+yqY4f@oi4q0%2L2-u$8?5%~H+~6C5 zIX=hn=37}RmPk>qC7glUwK|cWf6S6Tx=oz3<;-w|4G|dL4EDb&128w?JP7+@_ zy#(k@u>?$BJwpLZbRRHK-)qLfM!gV?{5dpeXuG(aJ8Me?s*>M&O%% zJq~om@Yi8d;@qnX%nQ3ya4l zX|j+iqp{H=a}>Uz9LUYAYKwt}nvzOD=WpsMoZXuOCKpG5;#xx?=u+n!hKTkDMSuPT zaLs4(!A9=tpz!z0#x4*U^_gHFodb^b zlKq*LW(D+((B$&%G%(Mq;}m`}?wpkt2KDIxR^7-4YjiFN6m@$+%LUaiK)9Rj`YLTI?$**|V`VHTPHd-eKWi%}_LdFNP-|8+Sof453V%INv`Vv$`5Kzk?FPE) z(MC_urLmo>w4(jkAWedE6EMiNlmj13oU{P*kKYA0vI?l)N3;tLd`I|AQ0$E8q@h0~ zHUN}1>K(YB0HD2!IZHcDI=@>1OnB-m2Y$m$FVbw?*bAC0RhwN{lA+lFH05WD;OOXn zPD8FUA6&qCY;@o}LE$3JqH>HJ|c z0L(tLf|IJ-I1pRQa~!yAtlUg1Y^oM8lEnaLP36V`vs}Fkw3KEy(~xEo;H(Q1VGi76 z9bKS#Z|#q1sDs-N6xft+0D?YHxj?gw>PIv=RILK*-iSHy3;G`yXg2uK0XVdcQlPC5 zIqmqE9$rfqm@$4zNL^B~e8u8t;~ zF9OE(Q*xUfADq_!v9)9DCJp&LJmtdvZ@kftr_LA7(Y!O+K!vYv_K%whmTv)}v@9g+!Pt^>~10U9C$h#AOuWMr%Q0G7F0^n;y+7%jd)YB9; znq;%#ita%pEqkD@1jQmdP+)IO+He388)=?2LIO?#I8b2YyHqwDd=8qVg}&l)8VYqY zQ`k)BeH+fRR8N9~Yq|^^hV8Rp@|(Zg@YI-{pjZ@+KT%X z2I^_HXLtrU{rcxq*h^ir6=XLxgP4Nkw4>leT>%i;|Hju?ab^BbBfx9VPo>ETwSdA- z)|OatR#}%0c(Fan;2aW=2D~oG4KWWep(~2LakI-at zZ8Rv>1X}Sc_M^kJDb5m4Lk+LODQtvGui&Y@1~r(coeS;+%yuVsQfpiRF1tWYv*ze9 za8mVHabo`sez}5=T9@8}en#%2p)Y(tf`kXrZII-k7lB}h%Tar2@^Rp_6N?ADBL4ov z@&Hgvqe5viGXNO02Smp~F;6rALK`A?)8sBp>%>m{xF1Y@Z0QXx9Hw`Hc{)M3#F8dx z1?=~%H#9HMz5^WE`ffnjhSAITX!b-e4KUF_8rt-*%!#?KPcGxc>9k(ju`}@N+PUL;v4vx)fql-+=lsK@nPZ!Mig%47Y zM)I)G;3DfA3LV(+Qbk_neq3%U$@Y#RioAgJwFiZz>0Q%2NvY0Xr|fuIT{yP zmI7GZ%0f3YK58^Pq}eU=onV6q?4Pk!$;3x+R^&XSS)IA=Ne#kO7t5=7NrL#sOig2VpPqQ%4^F9(AnQ1ziu&e8w^o zLqFm?$x~qEHpGE^82zdW#Kqk5{D{Br^S)0jf9+{x@>>9)dvI{}1AZ{N;4Zkcvevnv z=JTS@*nUm>2mGYH;||UH^Vm%n6voK~p)?J@gO=%E-l1g%b*&3pT1fwl9ZEd`f@{o$ zf6|HxdzFi9{5|0_mOL5u0q3oB09Cgk`hD11qn6vHJ z(uxEWRfE;EQlFFTf&#xu0ZAG|hr#3@H-lnOVIr7katzRaD}rW0Bvf)0I5s8mU@S?1 z)VscUbs9g|f8%$W<*wqmAphExb*!l5AY}95s)xW3RQxr*U>%`Xz7&I1ucDsE6uxEUP_u8gDY(yhxk8`**s?iaR~)*vYGI z)A&Z?m>e83sz4X%S5>l(&FvbV0vp*+PAej%U%Q~8qSNcxyQW4^wDN)J`=eEs=K8PT40g)f1y%Saf|fhEQ{Z&bb)HscrhfqzWQbe`ARIb{v-=%V5Fi#! zQ{>GWyA30}CXDz=YX~SFwmB$Lcff4Jei^)D#4l(>m9#Q{YMlak(MB8gE>&#AOO3ZA z;NGUsN|99;wKk9fjT`ZU0v$-ELh?URkY8Z04V!SGMv&y-DgieV2_U`d*_|>QcGiEw zfS)W3DWR2}%i|PO`VAm~i+%1G@YhjU#URl|JxY;D^#U8VHj!@tF(%bw5TJBg2O`Me zL>oY-I0kSOzbFDbE^>$>lg~uju%omGXqms954hR!PKvB}XBHmr>wcenT2`0; z0%T`lAebxTdi^*~r0qLPv(AS^ z*Ur$&KQkXuB>u8{4Fs4w$8hE8mR#CooUf-K=X@b>f(KuY;pO^KP${QqU84%8IRT66ZC__wn|DYU{pEsG+rSBtIK+*iFi z{6d*AnPwyXM=4U20TS5G?!2tSO;QJ+7LM4HDKg6^%?d^cA53od;nT7+xrr2Xt~c6> zEoyyrc>-qnJ$iRZKW~TZ=0O_=mwgtzZvD zmi}p2#gYPYwRqD&RxB9FJ;4;TX}fwAd&T`86zSqaw2+?O0fx;(=_*znX3>DhfyyYF zw?86)BCl){tz!T4Y0=;cd-VaD6)X6XB1>wrSFyJp#TxuVcU>f{2q^QWph{=LDz+XU zrooBw$NOnst9la!HS;4@F;f$z#^dMPa9ZgzOF5y>e*(|=Aov9uzC+c{rP;X64#Eu0 z(<|7bRjS4}=mt5oVtdq@6S8j8tzb#x`_;IKKO6?0CK5k6p<9`%73_1JyBdEQtq19R zX9SZVz3?~j3ijW9uLkfd`C}opvN`vi6H3050~XZ!+W=00Kf3|f;=BnZ#2&R?wq9G@Atv7N7OWg?%;Clupw$nmxVW*QEePjKE{k^ldA7__O{6Mqrik>@3 zTs8X%JL~$bAKy4W{ylBd=e0T^eY5TpHraNdAK#Go4sgiBxsRQYv{v;Ad&}_vEk&c- zKs=zJ!AYiw#h)-Lh)> z8DGLCR#JNKjdp*OW{Xe#=p+YA`j)WK-QGPo&%6l%$zzT{Ct3Hh1h~sdZ@cj;iEAD- zFLK~3CwbE?cM1D1E(g(<<}r7gossSX5-&sfOW3NXHLWtcKC%; ziu1&cG$l0VQ#O$M1Tf}L`&y*9U(Qv4F~`}~ZIG?eXvDJq$dlq5dkZLvb*X&S1~ok# zFk(sCtx|kXdZLq3T&9dRvb?q22n;ZT1eaz1Xrow}l5rbU)_lr{O}1A{@TIXY)+pAh zeZ)ro@n?z=E2@ZNka(wVZ@&YA%5Y=RZO5T=F zVy|q5GLUPuYn}m#Z-FlTc4`v)-w?<+_4`Q8QYNqI8XMWLI|W$I=c3B+4JD&9l*t-% z%|_bv5tG=@G*yD{5I;6jipD~TjVu%|8?XaJa|wQBwtSKj`izy@$ju%`1NN@|L<#taO2m>_Ey4*gp+##b7Y3j!>-NvZFR~v@*wl z{ZepVjHhP5&{4v-TD}ePT>y!%rC)@I!OO<0!<1>aIo<}HJ48=_ho<>LyeTh5P4P}d zgxScAw?9r`uSV-YqO8>aEu|Esgxbg#i+WIW#TMclBA4G#yl+nJvO%|kRTEh1CR&6m z9L>Fysih0S1F{b&U*m z4UB`Bi>>)M+l;qUin~T5Q!E?D-dc5exKc&8QnD_?yEQ1ynlp|Scl?@%Kh<=$0IqvX zw+1~iCX8dBM7#6w^*Bj0#fsAou0gw0)H`hd%FJny`MK{QrF_-*VhtLp|L_j`C%)=5 zej#W50kDUqt!q$Ip6(rXq8vYs4?3CwFYP0Gv<5W>cfZ5VU0XZ_?yN+2DfXhEZVeh< zJpB%YHrJoRPj>s?p=7_u)~=C(w^QB$H2Tmf@Mx*OO|f0Jt6=g&5$~|i+O=Gq&|Gb# zgdtNEYY>0IJchmJ{Fw`uzOR8|h4q4< zj4uW{^-B(Y41e15_;*UVJ)5%zIVUWm*#Bwo9|J=%^)kh>*+SRI$&m3;?3a+_WB7(} z*G0-CAK0}<+T^NHY)(vP|P>xB49ihWpX zvyxQRm>%r7I01gpv0OpP{!II1g?2vZ(PJYWwoH6a%JmYk;|w6tx}rT#j~!iW%*5}_ z{#Z=0S_OJ5>FP|;V-=35Oz=KgTST$)jT$TTtUVkQ4VH9#DZMbCVy&fv-0WKqK8|1+ zb+zgEV8j<^DOQxe)e5y#>qfANP&^&~R?u{YVx5S4WF^;%q$8NKaV`ztVCLjfro*aR zR+5N1HG+L!y_ANZG{4KCSRVFjEBS?YBADD~cN%^$yW}{#i3+Mc2SpRCBK$r>#)z2XZd(jb_SmkB925Ww2a2+uwOd7`1oLyHI5P{XL7-U zs#k`wiqY0YaHf?Urj%zTd#sSJw_zA78q7$*#3?4 zakxUj!7289nPZh)cg}0E_0WrP_~1tk2gHE)TUW_cT%*N?!oH8g?|tM0TDx-GxJrJi zDAQs|fp24RKfzcCrKIM^R>{@}*;=e};%qGbZDhu7N*T4HU4>e7(O~itEEXTloZJq~ zen-bDWLRAu!rmAk#^5_X3Vxv2QQ=QlNgN&@!U}?unBvlR;FKQmc$J*+>KVd#$*a-$ zV5Vpr#gatUtwPP-0O2l8s*1+hk;_{tQ*T5KSUQ?GgiQnmM}saATPWcyEnOv7oOTWN zk6s;xD?d&KQi=h4*(#K7oYG)(8TnE8Msr30r8vJ_xC*)2`!v{_PR}UZFZ-P@CG(ii zT!khsi8NRi^&k@LqZA*Cl`@vH3R(GSfa~^)jl_A!v|f~~xG!Oq-0Kav?n9dvBETaX z7o(KNOZKgj&wA$vv6CaeMSw@(XDDR~IJSEg5{uP?SV8I52#|Ldj8LpQF+r9DU{*^h19ZAdz$M@vKwm{THj`BqwqZ`={JVb2m^@+QIfJ zb6UupHGwO!akVKB{I5(}ITp1^9fkVy~Ay0FwA-%{L- z*&}NXS%-SeLRR@^gUP#cDek%?%<3@BG?C(A96ju@V*y0cxsdfwbe8Aj^ zy{v3-aM^s{MF;Dyrqx0=`RY2cbB{tDT;V|Zf`i39)?^{I8ReZomL0ZpOUsON4)*Qk zKP=>r)!CgGuQJch6^lSkGWmG3N6zXB;vh!Mu4&g|Y!~)%#H+NvEoeef_ zQ=#vqLv}}9Y#|@J>pHOEouM|apQUud!8*VvEYP}7c?Wi|KyBp;4Pzq?Q?owJ0+k14 zcVGq5Tr0Pf-m7!)EGdZ=C@d(d0~;!%SGc9RKhzEur3MzjZyaoCe~<oLZk}oXK^~({UCB16lDq;j(4tB~1$0GEM|KSDZ^tou^uBW`{a0t0+)|0J2^vcoH@9m>O*NnqVQVxED(K+jq3M$ypk4%y2*&LY`< z!15G(mD)JX-N5&`>=1fLLKexoO6^ndQZyJ8^U^K?D7`NTw0r=l@`K|qr??yKw|;Xd zTpB+x&*Ai^*n)sR#r@W9IPYM!jBQ#ZyUcVOHmsR7a^v%Yqz*->@w1tH?3!-F{@z$@ zHnlOL@1> zIF!ZxO=jro?ebRaRr>H4SE(L7;b1pQ|1d*%k)RdCKMKaU_j0^*9HtJ@HBbzVXvKc6 zU(|D-<|hao!n*8oFu8TM1v_(9qUSD|D>5CzsJJ3C>3z4a1v4E%^xXKVHo%|v+B3|M zAug{4aPl=e?r78}zJupG%{P;4mbez|pNy?K?uF^ElN{`@KCT%`l&>^nl`Rjo+>IrtjJ5SA3YWH#+!Vv1y z0<`mx>IwFL6>9_B_nCrF2isdaz5t0Ea-U$XLFoYZU}n{Bhv{X`@B&25M?b;-{)7y0 zP3ouH9g0X>`vN)oo%u1AyjCOX9nI+|-<1-#FN<<24H)#}$z3pB>x#xEoF{@{67J#ptTIajDPZM^*4xXp}s|9G&@5h?3!#?@l+zk@k-C@d$*}MRy2_l=Y;UjBO zZc};&Z8tSY9P{MbH}j1cks*t$hY?aC-y$UK?4sI9|Ro!bk!N-F-1orgvT%|m;G#dX*u-7Mm6uqC~+n{KE0&Xb}% zejWDSxLd>>JeK;>uJFvE=g3d>)>~LoeQQ3K9hKf`7aq>H%|Y!d-7NqThvswFGc()m z!s8{zIcRc5dxj}d>_WwqUHbI@K7{Y~t%PuOYhQXFyLE_6v7=b%QH_$KCDX*s(9XJT=S7uB=g1PB^$%=mcUvy^X<|l$UHE-|$sF|Vq3#cC=4MDP zcZV*m&Tg7LCYU2@eii?L@eD0F+=KneHFi@`O3EBmf0+LV_R<-g0|vv9Dm%Cq<8vhC zX}y6J*dHF_3iaH}cA2LwWDa`vN_zvmj{X4@&3iA}c>&Xbb7ZHu@CNpu@(0=6jb*{V z*%hvSpE)wnKk){(N^i^No-7MIZ&$Pyx@O6-mgQRPP{ADmm$3L&+GUYxYqO;BfTk9E z`)G>*932}g?5w*E!z>xE&acHPI~y{&R~+kNyU;kUnr2PI-kOgKUSG(H+7l|XUPhA zZ#8DhqWIkRWd$jA*03af7OH!dQ;oezF6MI&MkVv@y!M#HS<;UJ8vQ?CTN1gY(R<_U z$`bugv*hwO(^c5H&fG-q$?mUX?LyD&U9*tyq3$Y7$(>2y?#QPO*m)K6U(P}|j|-}> z^;;PU+}E1P{dQT$=}ogF_jbV1y ztGuNdD6zQgIyN)>GM0OTstmF7E`8L`ko(R6w|=!YES7u4mKkhkf0x@e16|`=uVEE? znq#;`ZrBfYVS}-C1_}+)f@15o81A>RUwvn1FYp^?$i1E-fZ1}I?XRAJ zZW&^)VgLN(6U~jcYlG|x52|8@JbL@%RV+2(QWRH7HwM^w`qM=-P+3;rRgAaWEsD#Q zl>6Eh(y{azat#B*{qeQpNbbq}WN*8Yj!2v#huR~qV*9Jj5!`$F9he>D#{V<}xiY4% zfbfdr5!_MnXT&a)Yj@5-f2>NbV9ui>;apa~*2B*F-R3s~U6-a`!IG{W3g>>yuXD32 z&ZcdgAr0!}kNGwZOVl-C6Gl!EMrg#dTmrrqzp`>YHC$BSu(xZ2&GA)DzN{o+AN&X-jptzX_optNfs1Omt!;9Tnp#kicg14 z8S7Y{gp4Po<=DZidNYTm*R|S&m#w3dQ0L+Fa%}DEC^P4R<$AMCao5~6Nz&ij&ViRC ztsgmiqzQl7WcAanlTfpp_8eCHZtF*mpUQRD#yg|0pM=`q0(AeNtLg)1NBE0BZ6>U* zY7z>qiav*(r0IE%Y^Au-#;YnRpCr9wXUo7B#pfpaD9X|auUwq(>m?g~jO!GwFc z2F{IRSw$c%A+F0n22`&UV}Cc@9p@~WH=nifl4n~CQ04qUF?P=CHO{$bd75hzdX?1~ zprqZWim`)!m1CTzxtTdO*8S+~2Ixw0L@{>OZ(h&&Hf58*#ts-OGeEl@8pPO{wKzRz zy}UUC@ccQ10s6^UBF27x+^*w%o0gJnV^vv_4dhdgL@`#hZ>x^;`m{aHW~wgX7|8mq z<|3@}@g*(iwe@DKjVGplG?4bt-XiR{&8p$-vF(ep$$sem$^f-TW*1>IUuJ1I?EH!S zVAO?gGLY5-jza9`uU@G+L}mpS=>FZd39<&)6=F`?_iE0bp0C4frt_3xf^68HUxuavb^_wo@C&d{UvKZ@5G6rdY`ppC$_c14#UjGa_}BDu z_T;_@u$eN;iYA~?uRam>`mpoFv3Ghwl^Bkk>avYPskg&j;eU(QjC7nrRe0qBVy z0zaD0!j5;%mT_38*XOK?L%DzGA<@J1EbNSTR2gT3NHSxU`Ik%ekd#`<#Ip9@FX1T7 znIHw-#(z^D+ps$r}YhUyLns2Y=rYS1mMd zi^w1h1TG1JR_6SA9qeu4-^XFFn=zeGm69mHy5fs22U{M#Fb;Ql2-69t(p&R_QgZy; z9eiH+_&6wVjY%i`#&XRI>eM`c=8#Sv=^BURAI)imk?(@DL0?3^PaH(@#*1+vw20CO z@%0#){>9Mrz=6wi)Q*h|0bMCCKP=Ta)XG; zLB$SPW!?u3@T=QOBK$F-Ne`MxZ#(ahMnrdN0Mk{QM2PJ=oF4R-&g-0my*$^X0ppkQ zk_g{L*HVN0RDb6HL<>K4AKwA z#S@ZVctm2O_Zwda|6FS27_|AC;|QffK|~OyhI%_>!J2|G;Dw6f2%q*S!h?vbLU)JB z5uH2+bk?{yLPoPsc+gKa4FPK-Icp5;Qkr84Q=7%1LBy;;1nb^w{$pUWNfb*s7ogGey$X0{CIk~!HhouFKP7xL+D$jql`6Q~Yz`v4 z^C@w%_~HPAU9w>-P{EhEq9APi9Com9IpyPaEafO!1$&KgL4;E)-dJJ3T4k43=CM?; zAjZrh6ulJKS;ta6m3H=Zg+F%o_6inZf+4rDR&p~3?CeWMcNIu>i(?TIU%1;?INPgU zyNv5tdk^pA&N8v+_gpLMv~8u!F7t@Ay$3~YWlX}jXSaprcQ&Zq&hJPae-Fl%qnU)s zU8se1ntSt^UBWu^&wKdhFa|iRuVl`%e!@IPyQHP~`FlV+DP$1J+n>#`T=F~~*oj}o zweJDzY7~PIAOFJ~t9mfC#V&ClEPoFs9vbO{V_k`6)?d84jds&M74JP*>nWrY(p>M) zvKI0tYwbAi(Zu(#O&LKayk|IOSQ8Gf8+M{&l>Q#9sPr^KW=Qx9i|x#~YG*$i^?46J zC>NyG$VR+%2n$>CE5n*TllC?_-<3Fd82uFQ`)U0f__Ooe5X)1ra=^|uIG+!LHfmV_;azb1Agi<3XP;fts;V6Zj@qaILgK3} z1LmS0Yjn_bp8m@o`O zN<#fH)xp@$`Vyx`?RMB^z4UHC?w5IjI%%}=o#ILb&;^;j2}dz`h;=$<4I?QoujMPi%DyshLVQ(QF>6A! zYusj9FXSj-`}Qbr!o>P|AB;49VIN9=E!15G{dTcVo5$6EZQPg`d5y(xz;z!@^u$i<1 z{QzvYWbGk*!MqsOqvY0B8?i^}XWm6P&N`pVIu^0kXfvI3wY*CbjjbQXkHg@g&jsf^#V9kTDT+z#8r3gZ*Y|;mz%W|->SLs2x zu=E3uRlSucw8`$Jew2fcm0S-(Df1|owGx?DWRqOU>yg7$g~grld%=}-)?cd3JR2@q z{8A1p5wSbrbn$R1D^_*kl#NYL)yZLeM2tHjF$KX2Be69pl2(1W9CTG;AjbP$Cz4rz zZIou%q`~G)In>=3xDh5^U+1uJc@-R+tj!uP2X_ayTnQIwssvUiM|8qwa&uDT(8Z{7 z#a8bV5?Ig6sv>Me-r8E#5A%M6(_xR2{YvTb*y-0&E4~&xFLp zm?##_PGHicS;pM0xA11;)((sgejmX)%`5e>@d?I~ zw=nL!Y6rGo28m#KrWSkH_|Md7Z(#?Ow*#ALIv&pQQx>?|h(Z0qZ^78Q#f9*n7gs`A zk1Dtbc9jYO-h%ozkqhBe^}rEU!)(eno6L>p{ub^y743pe-~V`owKABnWtEj*RD0@7oFcX zt8A>Itrxb94Q`@I+T~TI-`QPet3<`U-3#lm<)Y|?DbgwvC*1ML%I?~z>;-<~<_$D| z?7WkivavF0W#7=}_ks(@g&Sz>?Z*x#d&{V?@)L!Ly)dIad;=Y4eYP_@E5_ejO*xtTu8qkzzj$kv?iFqIfZ_q$8v0v)os}uo z)OB0gjWPBfNG9~Hp{6R8g(=x8Z@2O{jN?7ppILFyf;T--bH(r- z9ZLzHXA;BXA6wa1)|z@?TgTb&=(n9!bIcVZ>AscSD!8g z(ZxNmc2lv6esZ_YG9L}D)LF3=FDX5+&MkQreVe&|mRYUU-LT4flUY45{+HPmG`5yE z!}QB~bJdEwr}OOr|LiMVL5J_uPcs{G?p(661*toGfbo|jE9mzsm66#wTUKS29@H*( zgI;%)6HSWWZe&WQQ-oHWHtu6L$oPTlL{BXR8&G~dE`Ym=;{$JqkPR19g|qGm}8Zk7uIw`@s03h^tkJ+mU%3vH`8hwn-g|}9T}qz z^qapIRycGw*(%$Yp56^Zys{kVJD(U0lb9z=v=Yw^26sc&m}Ln~`+jMZX&Q{jFpx%v ze>ZfBE0)kttzBxSB-{I_mEX;C>xTLJBbU(A!B!R1rOX*@l_(V}U9e$5V@E%??^Q7+ zk!q@yt+eR7pqQI&NBNt{O6C{N9g-D`;_K~#2d|9-BW|X|` zW2S5|wppbY)oxwDpp9HWC)ylh=E(fkrbV(ev(f=;f6*Gzw6T3+CZ99rw3red`VO#l zGTVs$SDh?k;@0YyERy@7y&ZtjWSv6uo3BeV^txub0|=0VRHoj9iSH)BO29nLd(~r+c;z|U;oU=OJ$m9LDquEbV-$(RocpmIj(BnP=s;3%9`mPJ zzgCOnVODoLe7$M@h@SYEcb3^XJ@wck$w+lHj?7AZ*?I2b-gcY_pbC?Zsw|a}o$DYy-GFZtv^lQt$9HyjLeZyjE zoMyJeAjk9snj+?8Gv7^DUbSE$+&=Aa>3-e>`Z4HY7W4EF^^%31SGJ=a7MxhsqDA_r zJmzVeO@v_}Sxar8?OVSVJ(XhMGDk-5mRO|QBeiX?=W+~IvvoU{*vkA;&+5eoduv`17ZNMv6J%&!K zqX|rtpdi9Ryq~_i4eI3SV`xbsD~`Fc>K2z63Lu6lJ0BaXKa}!hZ zXF$hsbfe!$%}&Nhc%xySuiCiq3{D;tccWjb zf6$3LTq^??b-QbxSZAGj3P#L%|DYceE?O8eLtxuH?p~_;DX?F6zCjZwAIvlO*6t_s z{QG^cp2DSreQ(fXiOM;~7g6$qd499G_9+;98~Fw;x@$EvQic|q=ZQC>N}s}8F{7{1 zj1O*R#&b?t{k%k&m-ZC;j;Fsy|1&|IW#D)_YUZWMO4d`*m^j;s{?WsoVX$W#uFgvj z&-*+DD+76*=zsnePctNQzL(~uTj4vN0utHTf%0khjf~E-?V@>!f@gmML+ZsHsH|(i zz*srUDw&sD5o(@5rXvEY>GxI7V9%@1&r3s;9Z#TVgt{GlTZrfx)#jw!d29#J?I%!E zliH5{S$9asSjf`z<|U1`iYK6Ge7X%CZ-~({`02cid4AB5%qL)paJCJ7S6-xHd{HkZ z&P&ImW1m1yxV;r+vuZ~f)r#WidF+iuz!Px$d_^m|IoYOWq^vo^=cUV8u1{dkjnG!~ z9d}&CU|Y(9=Ov;u%a7ss4v+K(GXR6c%E2X z@a8dS8PLB(|La8^Vz`v8@5ee=LE~ePugHFhPV7k@WOQa%{xXkCEU0=6T;I;W!2aG# z6bz|y&1>G&o|pd^HntYNKreLG$r-ZZa<_S@`1X-lV zpiI>N9DP5k>0^xam28`r$dlb4gC0)Qb95YgDav>^Yu}ucx<;=&1S@+-ThJn|tC-<3 znD3k;a<_C3p~tt>7W8x3VG-l`+@y8R)NK9dA@F*N7M zXPVLBxV$pPih19Eo?&L8y*xhWYe9R zIawt);UO&JZZ)FoKg$al$3y||=hzQI$q#|IL*9t~hifcg(6o04<|In9`$O1qF}e}` zRKFo$GzeUK=Oo_-R~|q*UUL`y^uj~HC{5u1#L90U0QwC|;0j+nRc=04cbYp6p@{DRXN)iG}8=GZxk#`|Dl zHoFe}+L4sX;B$p1=ZG0GRrleWALeV(SYJUhV`6S1V@?*W;oXN~kAhnCkCH1K#!pT< zXO0-08h0PY?sL|l{HB%!#!BCv=sD>@eZYONaX?gqo@nie!+PEK@Hw1Uy6b(|wm-B6 z{dz|g!}uvREqIRqqt0;;ruhv1jaC+zqZzd9*OWQjw*>7yu#S)U8)bWLMKNd=kHd2^ zjk5C|yki}`g_bnCM`33#-ajYn3cqs?P~NBBLjUV}D3b9=JnB0~Y^kWY2Yc=rZ=%1Y zhet4e9rW{>!(Fmw+=CoJ&P_D!W=1$e5+`zGS16`JpuT%~s&srqa-J;ZD5}wNqyPWx22grgo@A=*fZ~o%FxdzFIT!lsTmZOayU6Xr|D^K`+$_Rb~_R z(^^1sm#z}c;2yNo^Rs#tX4&4HJuN_c2xDzB14C@|(lhRF&A4rS>&+mgX{G}GLzif! zH`p?|%#zPKLo@uim0N+H=bf_9->trEHS-m=o@T(yw3MSVjc}gs*}wAGY2Ieytd#|_#_%%&gbv`sK`f1D7Vc)iU)HzfoL%uvq}Gyk*gb`!K8Pc1>0sQY#FQcEk(ESa>IH-YXLLovz^p=#;0 zwWVaUNo7xOf_G136{99fgob`>kd$ba4BJ>uP#iv6gqCb3jnX@{g~!d30gHDNC?lOI zLjPz#rKU@AUxt~91Ln;}Q08GNL{9~msOZmy3oJ8HVKg?vs$~J@=d@o^(%F$dWV1=B z8EFJ}mG%qh=4QV3(99kme9;Jd8ig0o57c{u^yilPy=KX$id&6fmA6)azKwmR zpjRIm^EOMC&K5QTrmwgF9i#Wi=?j`IH#2`*dSWA}9Y0cl{aGJRiF0XaZ2hUTbeDpb$FtHcb#oo) zZakZYF0=~HV68cNZs2jyp%5jZ=lr`;=_$&koLP3*%-^+Ob9jq`ehqk=OmFCS&75UVWfj%JvVlGh`ajoU z4t-=TFliQd(U@2ZT)RR!=t-?Afxh5~KQSvgm`<(*J@@5_XhyjM$Gxi>>IBEjnt?FG_2hcMbEdt zJ~S)c?`W@q<3B3nQMP_5lKx0IzHe5tkyBp-1BRpHQQ3ksg8oIc=rb$D{P`N#a#n;LjTDcv^68WcVzVjbR5)#pr;buwrF3($<7(}lU&^m;4SBbph-<0 z8?=?teCv#?XXy0}C`{A_qhsUVYc%%RGV=`1V7ZMQu1yX`O$)xOw9fvU#u?%dG37Tv zeX=eHE!XdL((-w?wKHr|UfKDMZggr!E|{(;jg< z6f?4CGrMoVqKD}$^xW1V8;#c2-HQn}Yu~TKj_n2}COwj@G?(1bju~7=g8n-2JIQ6D z10Ts28e28-YzEsx{?B#T-D+T<@w=$=w0C8OhcnWH6?d8p56y7-F>ivh8ulpo;FSP-?;)y9jQJj zo4db^R;|2ioW@Vw$}y-*p9gEpxokcd;G?v}$8o@3iz&*6L+wIH}o#{?F+u zpf%*hbxcdA)+Vq*MZzBR_i8kc)*z-no0fbX?Ys=U_mA#IPp@u2OZ$uC{cxJU?QFwk z&{!3>8-1Jb;~APn@N>&Fk+dbe4AbAMc403tH*;wD(aySQ+51|ima=H2oZidRM0cC}W#Dxq$`c(ZvG8cs;+Iv^(u<ORzKIh#NW( z@HT<=PO~08%`ce=yaaZg5WAx1XkBr%FNVqRX*M@|=Oxg6d}Ald_ic@#9Xs0zj- zFJ1&~Ar(8(lg@|Hv@aER$tiZ&8gesEeM)V{a~3*3c2qf?Cf zNZQKTl)clE2QfD<0_w7T2b$7;J%UymMe&|CeU|56gmLc+FgNMv%i*+Rf}L*DeAZgX zMew58>Vgi(ib84mSOoGkza`K2B23E7b3xznijL4$`n!IZHhCB~#UTE`{1!6F%iW@W zDQ;Ld;vPg#i9yY5?iTWUT;>LqW+_}U%EBwU#n7vMb`u%f$yuYWn1jC>iO$(ZF<1}F z-b6|}B37xtp4s)u$nS}%6oWvsaRaGj1v{w|d3LQ)S}#l&!xxvh8_3j4l7os{?NS+K zmkkUtDD~2>BmD3C?9@jQbqb^D%8}h-Q2#J_9SIBcwoxbanZ1|@l)YL7HxFsnkl%v{ zR%(NT(qWVh_fJ%T-luVEND1vn3-!zB=2N2tKhseKC-$knBS+nwbJP*1=7CX`xm8yM zne|Z^(DdP}nHoFY*lgr0QcJ4f%9dgkNxLvLOU;idtTRfF7ILb9OHTMI^4~?(43!p2 zzi#AD4w9!wQ|4FBvjw7gv)ZyBEt4?8>EhKSiVLq z4573V7<<(=B!2A&1$9Kb=58czDw8V#wLRK~m`0ZRsqZjx*NB@Pq*Q{bdxHzeH{qu~ zYG+>AHlxhg?^X#MSHc&Na+fhN^-&*n(;!){SQ5gF+&&{RP~Iz|O4A(<1AlMfs1T4s zHl~oI@uwA3X~j#6fqyRdC03JAHiewt*<40_p2eFrNEN9!h1gx&Za~-p*M!s&8oY27`D1qU}jYJeJ=GUb!D*uS3jsOhUH?%IFes*Bc19Q7no;| zWM)4v#^z82;}{E8nMy59)}1s+Le{E^A;tx3kP+^wWa_cmstf}zSj{a4y!spsa=JZ+ zLoHnmOf;~Qa#+P+>a%eSnOI;YP>Gs}2m^)`?JkC+htkK8FY9~bs5EC~h=DkX;pV_! zpczHRzN0Z%XYivMOyS8BMbPmuW)#W%=!~L{#Ecy>NR%_}MKHiH_yPI%?x#rV=?LLI z179GhEdmqU!apD%gOw3fiF}ujfq!_lum~(45UY`YN87@wzYhK5W{@C#@kLM+u{whA z8O@>8)5%=KK-?DLUj(W$3rCR2TbGYe*=IL@Fc7_mwghk`&(e#qyU%Y?I>TGm_0kj3 zQvzTp&h15x>ykDoBe@|=&<+Tb-xb)3Jho8S=9)IW z6rEO|hhuFRPW7(w#yo{B_kW`2pGbIq9@s^KH;C+Y$sEOHzWbhD@}$4&JP-%Zy++P= za?KQ(HnmYtJYdf_4;!3WuaWrMVY8HD(T*E>;sB3%9{N4ecOnbv`)4S!$m%P4lXz&? zc|c9(bRz${pwkpj)o!t#&2>2QK-Y${1IeskGEh$ITTArVF4yrqIMNu=fqdH<*Haz| zg3s&ug8tS#m`LhtN2=1gb(Akd+8jOqvH4aW!1iCXA;;@mv=m}NK3C8FF{&UBOdc<6 zL#Em;X(*=+D~Wo3)!C3dU{lVwBKhsPqm)i{^>Mw_W5_!XltkpTB1dmWt0|r_o?&{n z#=Le8h`J50kpFTIt0;dZv{3czXQ3a@0k;FmuaE&(HzkEQO+KubKH+wr1HLy@FOmGF zBT(Mv3LzjN@#r-J9mfJWR$N#QO0pqJbT-^zt)`{rAauM;Q5 z6dbQ;UB^%27;-`V$?O*7WKXz=vM}qk>iEIg|Kx&{dVMqUwRT?xTn_Dxqioc zos=>dm&FEYcFf;$9b2i~kqa3?j=Me#%|?o(hqdiD-7OpQKEi8Z)B*2ywQ@16q7$GLZqF~5UnD1Q~!wCLCu z)n%t(Q<&y9@_h}TOJR@htk+2t%H&gUk`#R#>xy5qDWw&+uIo&sLGmfk>Md_TPNfcK zQT!|}mv!v7eLGJ9*R8dBf{|9GlompgiJCozR)Q9h4l9+B;Z-tanVsQLx*_Q62y3v}+FRE;>?! zq?LEaP>%JF1?glt%EgnQ$EWOX#i=**A68ONVxzaR8jbvGJadMM*b;c?5h@cRng1?ZO+^(n?6T`Eb~wYneB8}X=M-9R32#YR#qY( z)Mgv`i=c8q%TLaAtQ$rM`KrH6?MIGvRtzZaMNUE^&@Lvg&EdO}x>#IwA#2YUn@j1Hd<8Vd~ETqmZ&f+WPlD* z0an;4RFdBnz9DI4NNP_8aC(_9Ad8%sA#$m~^MDptrM{a14IAkfkUz%v4U((XCB9mz zM`T$BDA%eBkU#00a`I1cWA0jRf_VF;f}|B z>U{(CCtu4zI+gi$(}JE*ELeAW-1xH_~8r>`81LwBA;F*FKPHsL%Wi} z%Wgv^B71SLf=mBZ*ykhZg)({^W3zML)k0=!}IUeMYPY)K?YNS&eu}P3rXG=jwK2&Ct z8?0SdHN^0ApCstmmYafHxOF0nTs^(>qDD4eyv6~AMfzkUjQk6ae2kYS)JW=86CBVq z9+Qmx`^J$@9?5-vUL(0;ZsWijvOEd<4+Tpx_uFvfXk-sIZgBwp+A0Srs%lIopXM^T z8i^w=p9Ak2@;Jz3S00BfiL6f4m;^aN9N4vQCK1^*1SgOsePaF*cX(yfL(ch1!YM1EsMSV*|DOV396m#lA2 zfH<;_ft+~ZK2Pej`9B<$o}aBh0e$h&4CK$%Su^P!HgJy;ujz_Tzzn@rO%BDKfXsV#8geS(;ta`@FmZL1ohkA;0m^f7X~rsClTOc-pC6TaM6^XiESjBy40LzsNbd&Qaz>@O zwwuwgjIwX!dPc)nKg5s_*fUD$K@4806&$;8~OLv<6_b~o=~G^D{Qe5z}3sjoKn*wB3t4XuE+DOFdwbQ=@PzM2VNs)I4|1w`yMUB(#@?>RB~6bX1&gYP zJ;?86V`oVX3iqdKTyx&5qcG@)irvU5YSS6gyF&VXwbXl6d=v@~T6Q6u6FIr0FP8L1 zHBQr)aTMH);q5{aGY{pERvZ|K#(o<~KMKmvt38p=fBSjAr zNbItLXf;lt@e7AGG&xUlAhZ??o~@_%Wj1MnzwTY@;2mJ1j#dZ z#Y@dTWX%kN6W?-oAm48#hLdEuZmw$f582Ex!0pz#Aiurw2qkqo{kN<61dT@+9KRUl zf=rD~Z3V7yf-zAoIeaMulEXz?_!Fb|Hv+3e(;O;^p>QMwly6!#@kwpx)&eEs;;$-c z<8)&PXl>CYZv0hwm^P=?^~5=Hexdvj2xX^!?QcWt%0SHx(=00nfEam zHW@f;`14!aErFiA#iuH%Roxy83iA}-G0N%vTp%rU?Y>GHvzA_C4m1_- zY*Lvl99}Tc3D2zJkMfdc1BqpOYE+VeVrDQbTh^`M|C8i36Sxu?ctyoGjCuqE*Yi;; zm>o1_3_N|7QKd2+ve|<`!&HS6<2YIjfq$jP6{~Q)Q6oXv{$k5A{>$Gv`oLrQqVtOU>Q+4@M*PQHGy9gg-I%@aWpXqCY{>C>^1w7 z(ZC5uX|#%%JLeY!UUdkT@JA^Eb)dv93R6iAZmhGw`j69g{OJsmDsV-7i>hKDj?u9| zd~}>0Us>W93Zxn84ylMmyfzl7z1Fvge_PZ(7??76XRnICly{Q_H3K#q{%w*_5f~fZ z?4=^MR&ZIsbtB7$uk>Qc1AmQsK~V9r=}Q)jJutd}KR>?S7x-oV)ixFTo7#;9DicE& z@M%Te;=qRF_6;R2Pj92b_ToY#K5SVe3Zx};E-5AZXS%6y;l|7q{%d-0Mc|0xwOMJ} zJ9nE3c0Wv*!hdMn6b9z6zL`?;Pg;tpAhDz0fG@ZA6bE|F{-aUijMg|Rta@vo#Gi5# z7Y4rLbqy;`O*U^Td^36%2Pagk zCnW^N7FI_napDb6GQ9gKW(w)R=8?dZe(DcONr`stFh~y3 z_u? zvqOC2YSm$=9>9Rd^7<3b0Cx0>c1Ze3n|2uN3Qq3Ee=5K`0$k1--w#O+6jKhvil_1} ze5v1nEucDeTs}nXi23<2SoLuHgD)KwTLWaeuC5{J)j7if?^_7HSZj$oP`rPUlT5?a+X#C~YWKLj;b1+VcL z6?wA(E>VRwL%7;L)*&#WHFV+=TldWb{5q3-Wr#hH;CTp6_(peP!UJU3+87JBy}Y#UE*$Ny1- z>H>~AmNSR=Nve{Akh)#bhA&M}XaY>LI?j;Hk{W*yPLLd}_!Ca?Xh8m%uH!>E<&5`1 zcsn_}6_W{B>HwU$Ib?{vbawRss1T}O;aAp{lmQJn)s!JA&pvhlR@Q92#K&@<3d} zz&=ycAJFOCi4IAYwgL`-#a`ia{GVIb`T|B)?LQ3R-itT)!^R%WojdIe7YDegMlpA8 zX+yUkl(#3e;1@?XDgq>qm){22yo$E{pyFX)GyeD5wz2>}>&@9gqKC73KX_hiZNe`w z@`M4N75S5cM6ZI3{cxp{+k`)@`K37Em@Zf~NIW%1-49B*LyhdiiAFpS>8j|6R= z2{;zr`e0BZ7S-+pJ02dngD>H=sxHKzg3wHh!u2Tu#7W+Kie(ehiPb z4@@2~G~iDZ_+|&hmeH;Z;vOqK_FaC+nK%t8LPwW7U% zQX{U#Us!m|33#``&S!BgK-~z0UNXSTlf;Ms;B@--^&AoCQ4z`FQ6lXe$R8nMs=S;cpAnwL!=`YaloAM^UoccLD;Iua0eGo^nC;S3;o?ENN|KZgb8c@pH zjSS)r#QFXL1B|)V_;pA0k$~6=+m?cum-pQlR>f$<_<`1~4gZBRoy!WzhC<^DJi3pF z@kdkI*8KUFDvN?>n11dHCw&D~`0|BQtNxEdIiD0n{91)CSP<()_%B>9r~im$w^kv& z9GC110t^u%{P7W`-QRR}L8*`><_7qJmxqfh@#j3mHvb7x+gpY7e8q+jC=gFq;0F>& zR{wX|qaVdUy&y#8Y z)6v{Z3M}--#Ru&9Ixoa8YPd#!$-Hl+0z+r?-mu9vRfx|lMh*Tl?&5ic0X0-jCVsMKv&`R5`0}%y-;-PG0n^-% zWa3jOPlf)n$b5sGXyoR50NS^+T>SDaZn6JFf%ljkmz2Qt0Nh?}27Yl2U+AAN_&6Xp z`9!#T0R6Sl41D5xhrqw#NPV}Q7`(dR4qkEu>G%oH+&ur-GYPG7$+NkC+`$KTeH#Al zZuhhP(wwaaa*0q^=MGt#@HG7R4tcJBgY!)j=J+WJ+`*1(1*!P>DM60EAFreaBj$=( z?!YhDkb?i-;*;%Pn(lu^ZhFu2a0hq3Mx@}6Co6gWr53$NF0n)|xM8!W&8E@Zg5i-nS}o|IhO2inpx$_B@ulW+<-2( zn1e5;i#h%aQLhr^(w>|kH|VlqOvDdV98B<^h|GwPi<(j#df(w z-0$fMN^+xP@t=M+ZuylO{5SiFtz0_+)T)Fb_z%yoZuotPoLIu-H)l5ixRGaq@xPHM zYkv9BRpx%SkE($H9XFzb@ym@yr=N>CaH?OHoKr}Ew6DS-{Drlv4!?IC-B`cOvKmAH zhM*Z1zBGhl_mieqDf;=7N>2h3ONm|@_LHIe82julos?3Z@+HK4E}DVl+o)lZrIR^oh{`=w}HAqxF&2%B0cnxzMw!pTFO+ zi2NT#XC9SgzW?#&qS@T5kD3cvF3nui<~LeaE>x~5k}2YbUZiq9WPWQUB zxU{%5Go~~5rq$%qVlFA7DdGmWArc~*3vRe0KkmQp!#RA;^PJE3*}k9Gi}uurY^Of_ zIEQcbXzhJ+XV@I&qXuo$NocDcXLWAUYRmQjN{BUYy=zOYW`I38F7lu_kjtsYs- zW?P+&UU%qhmj$h>)3#qET~hCFCbO*mSbSjJ>CBsKQKwD5quV zm#Nbr4N7I-r#2?j>iYJuQRhsFurA?(@`i`Vu!^T9>UN&43$j-SIX<7XQvaL< z?zFNJXsdO$D>(Y z2Q}JT{J63D+&v(}Dy)}3*O7f5TB-&b_Hs2=?>^o{wi;w)@H>vkGvd`iD08L9FEAZV zvN{rCFxruQX5)$)4W-~1s&jcNNmlcWrtXd-b$C5B5NA|zO5Od`3!>GtUjL6B&x$4m zM`^89(Wlg70}uqOv$)ll9nU!M_eVjtu_GtdyOOq|t$yKU)^yCIP>YY!PM(t+sB=>* z@K)`@6BQlL$WqKv8f6)0pgx`ChO?UKY$)nDJ%zq}l!mEcpHTl2APKjcmpkQloG$Ov zQT|crE?E7`sY0yP3}P~~<4DUkUzJv&9syRL9wzk0DpaPY&ehFAS-s^v3GE0&$wF0WSLJAB_|Oz-^_FRk=*Zpymb+W5$VaPX#o{S=3ff97n;dZW6Q&JDzp3f>mf& zQAlm&5+rxEI-Bqq=r~=!Y^_4O!lh`bM{=uNtRV0UsvXa^JQa$qD-{X^He^$A%W6e_ zzB+nb;iuyyCw?TiDtb1G_SyA}H;PX~v%4=dM;KG1 zX>RVK6koI~WC#e^D`dpS8w5iUliD9F5n`w?!Wu&g{fVr3lj6;oz&b z4$M6fs*sNYuLlj zp|xVf(fxqK_>FCm6ytj3aGLBT(k%8gIppq^3)vO^x`zR2%K}Y$$gUi-t5<3#eSDC3=-$gNSj##{y;#O1wrnIFTn~^iq;0aB z2e*^#7>Md^hl7B7d7VV`?!|-tC%g`#bQgRu`j>eJ0<(XxedjHidcJ0VZM**ilfv%a z=Wb`UfGNbT{SVvDAMhFM(*0N4-p$Qv2>1Px?WI?0X)gzM)3<{kp~#xnyV&iUlv)xZ zZaZLm7Q3DFGDmiK`pWMKj@ zvZ#Oesw^r9?_Y#kvywRvHTkmJBzm%V+oQfks)D`Ey-M8Jm9tw6nUn4!Wxmp(Gfij5g_k zQ`P>tJVJvsG=61wg^YbH4a{=b^>+8olFmQQ-#)kEk|gze!;{q$H&D`>@6BWb10s9r zRx4?vP>pjz8aLFnHekfimwp=3TpQ3J?XScsCvXdS5vwa(@9UNavivzKHyaKX2NW*v zR|22H7UM8$l`AXM(uI{$!NZkXiDC&*$4XsE^s|->lxLtWNT zAA4ar%KzigN|}fLvbR8O$Q!z`w=|j>$R6mQ6fEs2s#)jGF-Di1OQd~q1j4(eIk02j zKrXU!DfUr*uXmRG!P2lkk6Diplb0qQoME0*^o1^cIv_IY^OJm+ZX~yMp4$wvT}mET z?_9BQIknVokLsvLN)(dVis#fq1Zz`rurH+)nkS7*;%+)p>iMWvN&8><%Ha(8Uy_tP zZd%-wuuS6Pb0+QF8D^%W{~?jQ0*gtId>#Er0^o>1$&!vb$=hP%ie%U)Flj){F_DC3 zR3{Wt(sU)C->eV|r6Id>Q{(o;0Vf52Zd9I2@QxD>&&8Q=V$P8}o99*?O=99OxbnGx zP(t*I3GDt{7`Yi=-zFi=`P@^*uQ>Du&&`!0aD}DOPII@ORz$?HJgn!sDkR|p(+el& z1}bdA3kQ1T;>@R1>_B<+x;UVWh4zMe@Wg}n!05Ps$p`Tk85go*$$TZghJG2eLco=X zx18jtxO~@i@vs#P6_+E#hyxYH$dyp4zxdPSv_GJUhKR=+E&S`Nb`8Z`N{a6Qz|$6I z`gb7;LzA{+=@dX1|s__w*((8)mlxq#kjW5sznA6!sqH`sI|_ z!MC}vdgyrKY$$dVT3?ZbnjO_Kh8B*(?Ps?d@vgv}#A?8oK{YW& z5c*DIo0SC%5$xEYWE%DYC&8yz)f*b4Bx}LmiIufwcesH7L$zD0D;LS96F2BmI4f;^ zIuSXr%$h>*rf)TZm*GtDhv{oNxW&5Sj91eGnEHifd*702ueaM0c$YYBI#uB&A(&-g zriTLx#dUau|MYYjPqdsTvYVb5GZk6pkqxK2z;Qx2&Qp6jETCCXXDpEMSF%(D%S{Or z{McqVAASz1)I0D?Cs}mf8~&d5>^QtB`VrsD+Hzcjxu41R2}t3wnpg>Zx5PG1T@E&o zzeG{z)SX|u!tX9ajx4uynDG6oDu>`_{B`-m4HEWptY~M-?X5Mtt|*B=b>|(0RZ!wO zJk|BKyN{JJ(lj;PsM!a%i+DOU{3^6}nY%`v>UsQ}xs2>co=Uv8!mw;W1Woyn>=<>+ ze21woD0*kXQNqQkI}lb!-QlkO)V#ia2b{~?=Y?)ys2T;xRbJP}S_<4mG|Kx@vYD}5 zOnk@N3313+7VlT^u36=#!S#C|@Z8o1$d+bEGVkCum`uK;GZvaAh(y+^%z+GZ^oPuH$;Na=ONILs-b6zc`6L?4W`M zR2e6<5geo;Ep{&kfyBhF@4j<1G& z#&J#$kA<*oRBVj~8|x!90LJ9#d?E(E?Cvo*n%G$5S%76Vj2_5VJTyXmACG>rw(}rZ zb10+N6R5DdB~;>Q|5GNEMV|~D^&ZuPE+3$;jt=ahT$clcCZpaa)h?|32;I?s-z7zV zwRnepBhgy%q8!g>_uR{r=O-@=vE3`W_Ps1(n%G^<>iZU5i%;0s1_O32>tidE{rR5nSYOL*C-Y;O6|A{{tnpY2*9WY51%ub$Pf1~|zSZEx!gqsN zhgc*hzdp%{<)>FMvLs!;#EN}8JJhcieS$R*WHF>}C_3oh+#$024@1`aBTJiEOAHRD zf9!&yFP4CK-yitFy)R$Jtn8ogE9_OrxIFB?J;q_G*QSyC4?>KXFGklw`p5W@j2F|< zKK)tf7oA=#p>==kq_iWpJjkFw5@pw6;WZ>@Mh<0B^QU0z%(%VFc z2IW_>iW#e+E2#c$c`Bnn!3L#nOGGnLlQWTCNP#aSnbhW=k3`rq+LMp^_uEoWGNuyX zz83Q3!>$|XVnl2;dZVi+kOyC~VDY*F9gX2$rTZVcwl3j3mu911bq~K)Yitd|wkr;M)V1GqPDDk0fSs+YD!U`$wmZ z)V7}c*4r^FXmnfmRkCa`tJk+}V&mh+e>XR5+MJ)LZ1lmfC)=_dVQZfB-osX(wF2pn zl^g4=JNHMIJuA?>)-5aW@*;)#q4h>9dU4Tdt*SL8sCvOu5>(u}wLT~LM{;Ls>tMR2 z#B+Kvv^7gh`Lfay>D$^>+$8!DOR;I4)t3wVNMeK5;4&v+9|3;&aZWE+5CbEve;iP5 zqWG_x_Q zC^#*jO;lO`u)w`4=>4&B`9VwO9-G-$=Syzsx}wkgv5FMZGM^gC zh)EfDYPsE5+39&+eyPQMb++Rl1K1NS&IaZk|Mu=YXb$utQ2QFhtIeNDFDd_!!#K^M zk7XJEFpqiHeB*;%#-c5&qWR_|CGFo9()-PycH785s`#cfd+8q~_kBzVZr-%=BK?RS zacthZT$uFl>5Yrc7~j!^MJN*7JR7K=@M95azo{oUlo->>5j6FORucZpmW?#6hR((; zb|c?5C5M>B{8&vYZ@PIiHaZ5&xz`lxUyuK;{ANl@4P1mh$asQSjI5e#q zWJdf0I&`6FPyR9dzbR6^rpQ$VHl`51*SJ0i#d_w)OgGL{<)J-edxsm>Gg%@3J|}5v zjJl~A(#Lgu+IVmQ85DyHr#5cwmZE;-Qj!`22B(n!u$)9Sjusmue~j9`+L(@t^#5;; zzg6R>6E(h`c|_gD6`lm~Be8F%p}oWs5#u14YDn=V!WWlah8mQXCeJ=Py0O8hVasDt zPJZ056>RSjgC3zYIBR9WJgb%y8sguyLI2H!1vXqqszQHM4y`x1$=qFkWM&vQ+DJ3H?==_^I8 z#>>L)hj zk{fLcY4sESPLlsLDA4t8f6>MN%18Ruj~y~Z{|Ae)tse_g6a8z6da~Z_jhFC0$(+Nw ziM;~B9l^qS-Lwyz|92Y&x6Z3Xmw$uc|DkT4hn&2VkFTm*JyAA(r!eDTUDVAf-rvb= za^3Y(Bi?`9MIm*8!x+xrJrBby+szp*#BM6Ln*uWSXJ8xvNFT9vd|Jd<$N}%_`{oWzU8@pB)mO)OtUFrF~WD$f_Nq`lI|GFjcd2A7AM@mdg|0>nQ(|V3WBz4QndAn|Ek1K*37O2 z626v_+G@H7%VPeM()p%lqGBrgUk*cMHIZpX(Vr#!jG9~i82k;&BDQAqR2A;;TigCM z>Fa`s{|kgdYPij&5&x?2HLSUvfeXLWkJhS*cc{VsYdU)K`I3AN{gp==dp^@*j{e-z z(enIydThx5<*;5opSP(C`fNR3{QOX`jQYwHr9SU}bPjdLT^jm)(20n=^J3TMxtHvv z|5ur-&GW-Yo4#Mo5C+fhT($AN;S?mV_MRdkZa77(Ro}^If`2w6aHr0a z@j|tiyw&B7U_-AuE=!^CknHbP-8gho^m1LNtHLtr@^9-shpSe1y7s>%_%~G@CaLcK zsTuO5s+$kp{kAeZtLif{cl!t3=)|fUE#0zjlL?TZ2q5)F1!loi@N-~hprzg!q5SecWYo(70zYF<9BU6A6A65jq>i+ z!^suSTe`fvfNw~}ty4%&Z=kHAh6+g$!>ORw*TW+mryQ-SP_b_=JLe+8E^7aGHp$|H@r zj6XFaP~~Bl^ci=Rkfrh&+rZ90sSzgSbCA-GA4(&1%Hu9`slCM!+hv&$eQGZ`VzSKJ zHjwfIETXL}>SAd|?_v0xvTH`%wBE|_va(2h{j_h*!!ydf4w2+P%dpt8^vx1d?n4C{1A%dl?K}D5qi!2 zKa?h)K*sb65LKl&H;SX9>F|f8-7TZ|yBeP4(#&FA{0~%ENaQw4%RUGlH zsmrC(!3}n}2STo2I^U=n{w;oYucSW(fxU~DO_%K06rt}1tPPheZ488Xm@PMz#J&PT z?#@b{l%#}s2i?sRWtDj86{3Cs3ld9WKeCX~MdN`bfyo-kyPG3dO8O1p{y#XfjZ6AJ z=KKDc+{vg=p(y1MdE=NH=|T}k(X@8#d5m5WH+f5XRvNxrI5TD= zy>1r7FHFBmTE6X$7%H4AZ&>U>KpP8Z52Ooc-S;0ACWo9~_~Xo4X5r}DILY-DaeQHq zZoT+e^<+SyH+ETc%xMTx81>ddbe735EcDZg72dYb&?+1ZtQE|c5;qIJJe}kJF&!~h z&?7j*|3fpVrNH?jZnDP`{;I$`se1gnjZ1OC>R$rhF*6ytAZpE+cUEN~q#)54!@13z zbSm%*t{6F+$-Y$3T|6~(R=*QmF#Hib^h*V4KR;0x$i5Cl3-Z67DrH@d4H?Pb^5OLL zyo5LBPbBO1^~@@s}rd2u0bls{JFAM*|qs2RU_OJCfMffq;h)(X-(<$tA zn~v?A>*-+ZZ4KgN&R$?3`Z_zLEvM&VNyu5M$D5qZ19ni4;dW`xAqNP$T`fw_nX7=K z=Jkd!IdRGP$m=AEU(V=drvD$U(Y85ba#jC%8S-RK=AfJJS+MIN-T46(aobF~MvwGs zh5unP$)VrYmV3@0Wxk_(wb^-|ol2;n4`!rz+%7}kr*GLd!g}01Nc4kMDfH~r#!dQ{ z$LFAbpau5ySYLwcbylwxox5G@a?G5hOaD?nr(nimcc_CtXB5n8k11-{239WV+GwMW z7FX_@v-xkRU#UzM;5TO^>TJaLOp7C5GcL6-oxh zYcG(e<`jXY#G*?$uvia&N=h4h#GI~t*M8dy7|F}!oTOa4=QWf^&N$5Mp3Y^fp~BZQ zH?J~Surn}jrq?4ypW5`|yG-v5hrYa=p^D5s`^?^2F!_Gwa7hyrUmilr4B&4vm})LT zneCU(Gt{EQ_L*a;gidCCuT|z$OKk^!j-Z>_uMkt2>i#?AC|^^m#AthxymB8yVH!=g zk#7x^XW-STZ^&H=ZW>b@UPd0a*Gp5Yhh~ubQxRly+yaK2{HB0puEz2s-{3QoBxOWf z@_^paq&%?yNwTwpTY`D6Or8>!ltru+@YYh|9<>nEEHXGLu`OFMFf96A%1wcFOl^*$ zJY`dh7|kpd-b-n}P={A5>r75L@R8tPxwxAtQP?v$d?d_1O(n!qPXH@OJ3`Sayg(mcN)q*jmp7wOI>GYCc^mXfA+RfEjC z5b30AhfXN9Ln)dRteu54Hy`pPMd`HoHZMNLkbDudw*2uaDqqZ}yn zC>)hM`#}?$M_jy;{Pi{5wU*gyoIGEe=VGoIeLQ(0ouQb6!nTO*XocJ?Ry)u-G;;&Il!bEsK)PO`&{xfFtJD9aP4S4aX)Ts8Y!JKgA3wj8jF_AiWY|BzGSc#k4hL#aZ^5O zi&5typ2Q94LKmWN3QAliFH3SXe=;HN*5ekjStS`6cP)5JbhO(4a@>@GwJ5hlY8aO| zMiADd^l8O8m(~iRVE9c!zn_>tr{+3F@HsT*o3-#i5Qg7|P96JBr}PuDm6(=5!YZW4(jw`nrM6hS)nhbG^9-A&+9aOHF&-xw4GdnM)XEcNH5O zyVF+2a7Rb`$NGeDI=hd$+Qml6fKIdO$&;~}SzaBvmZU@cuzoJJy9U0FpRZ`6nCVJ5 z_=8LGj9dcs9p3MqZN^*^ssex8Avw)`Q+f~IK3GTYHtJ2rkNHVR?snlf@#`a|q&c_U zt9Y*fOj3>GkO}_wNLfOzMYImS$CpFQJ?gR@HQx#lYesn!QC?TQ2y=zR)~Lj$oR}yF z*k4f-R&CKor}!mN?oSTzb5W$UsFdTj_&KpBI%+%-Xqs-`w3AkCzM`Uhb2NE~Y}M!D=k1DUrj) zM`7J~|KP~$V@}YhGKoWE&q<1FO>@SDNT1Lqm)w4YUgVc@sY1*W?O-#fEEM84@)Y*g zsVI3)jprcNFQ{stK@q&cdX-M?GN4IiSf63NUECow1B;P+Z$Gac$6!b_El5%3UP3@HEEE zT&4=48hmX`EUHD2Gfj}8uP4j+jB3RgdYyWXFGdZupqHNDC&h~JSLn@#>Tz-T#zVAs zE1#!o&LE>xxcWTR8e|B1g^%E<>PsBaDVzBts$}v-wD%rk=nM;{kKQ>vWJ7hwcSARi z+p}?A(frUuJravS+8qoHJyG9xrnt8uwBJC|`@AaXQK;8R(_U!xLPqF}0h*~=nuZPS zI#J3HXL|UDX6my$RgvSip*=e4olxWGlc948w+_bCwj6bHpF+*aXRe{9WK9&DC5nSe z5lAzhw~61PZjD)FsKQ9)sK`NF8l%ni9xA=LlFYCiQGNuf;E_}d!cnNmhq@#NUwQ?# zLxv|kH=!D%zJ%l^sG{J$${xqLp%;g3<(?yMdDPg<&J?qmkT4Ho4pqUr*)a(;^!#+ zz%GFTs|pwIBD>z%V;SNEK62^-39Xu}7({x7)Q5BesFTP$0w>=bHL*P4&g)DB)HGo& zpgXYC;(6wL@7sWZbu&-ZGsyCQ^w(GqhPsd%(1k6BLFZzU0=^n@pt#2E zz<}6s4Ja<9^Gd*t5_eaqCBits-G}NT=8S6xVD_37k;yn2V)V_Xyjo>#3~@WuQr?xD z(SiupjoP0*guX)D{!qEw6+840!A;<8SNDgI5s_fs?MP!uFv7dVOQsT);D`v0&)KN1 z+P{GKq|>_Q#%AatyqdP8U7Ltq?=94MX{7q(l=tBdepy8yH|Tx)arGiyPx{7t9l~F5 zQ%fuJp5o~(%&xd(c+Zo(CDr|X81Dd`JTbi->E}IL)h3F>2yDDZuO0~L`q2j7b6jg- zb=TSfJUD?MP{C!a!dLg6^Xc2Jqwx8M)03ujRx{k)5Im`(Kt6?cy+MqdCJVCQQCISL zkvx1NJZ`XyGi$wugpVQRBh~b@%kZcPn~~~n7bEyhOx)1yVXqcEZmot*xAfoi`kEwQ zMS4&2y!vHeRy8i-gV%6MK%X0NvC`{sE5Fy2nDoGFFonU4#O;&3x@B^Pig#y_*KCq4 z!_?B#!Rz)~Txa#+kd<=jt?i(92kCl6O$ey7bm2B^25CsGwuzpEwGReRRQS?XSkIM$ zjII>&U$C7wj5HNBMG0)!@Q~b9z(|7)7u%9e)!=B@!G0V`g*@T|8%V58isT1b!#!P0pThE;zQ_W zMn2ZmGG-kbI^Km=kxMzyRU3IoR~zXabp5`K^5J8@92&+a1ko)!?m-XiYEadq&}69F zgK1=STptRWIjN7ND-c(qVb%zLw>*w9G%+*JcUCpzICOKQ4G~Ee$lPX69>8Y>5#w&x z60G5FP75t=gH5rX)g^>iZUg((9<$SH58d{h_%KrwGTCkHp&pDLxF75`%Y{R`=u}6y zTL!tVrZuh?-Mm9uUFfY$J-4{>Ed^-6bJyu$>YRc$%ARsMG{nn61O9_f@u5}wovpk# zP61DOyT8|jlsVlQ*W9J$2-2N4^}V)#M@3+qR{ZHQk*UPjDfC{;Mua%p#_8b0<{GGC z`Gk|tw#AwVmT=%Wb^#|9EpG@MheIlsUGvBzj@L>!i=E*8Cdavt8jHVIQJy#+$e;_I z#x7ZopN+C4|19ZDaQqz5Bo-Y)k&ez8D_-GOxnnOBs1wq!Du8;q$wfynmYUlO5(K zBpi_q_NGJoz6l59AiU}@a4K}|bD}@m&%H_R1Jx|PvhNvu(JLYlitT5oW|=fgDcL@K$B_B^EHT9XvksC$D_V23 z4=^t16xk$Qw7&^qbbv-T^z6C5a%v}zv}-pQY(sUG%BJkxlL(ZEWb&ZhR{Hadh~@1! zcDEkz(?FQCGP@g(_0l4`chl|Ss^Dar@>95-Ph$=#B5U8*F82M$q<;=%*x0$Z$r66o zlb^7|j94askdy=4buNzRnlBgFx=mCNT$?E)wxd&=n14>on{2;KYs7%$lqa@vtI+69 zO$Eg^b&Z0LFs3HhUR!U(iA)s%wl~(7BO*+w5ZmFkGZ7*^#VOl~Rdl$k3RPhfCMdyn z&dJwpqWCQIKN%FR&B}x-`u8&VJDV+@L&!g)C>1tYqoknUE#&uXdIsxIu9A#o8^0DY z5>&a5vcWVPAv?V?uG)Nkjr9Lrx@&B+_q4$G_rkQ}HvJ_Ggy_t!%z7qE4*zE(dE7dM zYyAa$ky>Tda&QsH-fFXr{GXmLV~09 zC+!^9e|AeRSg&ujxVVCede(E3n~L8emv_#G4O%E})x=Dl$23IBZ_O^Wou4Ty-~Xf^ z{pS4F^wHg)p_0<`sc7}x-(qp;=LekJw!hC8q0isGkSY6Hb-3^O&&L}!t~Cg(&nIq3 z*8cVped7Ggh{@Wu*2(>IV{cH>FU>)MbAbC%I+Ejz4#8qDK5Tb$GzJ^`>djEl#i9xjPT_McJiKWk05Z z_p=Qt$&zcw;r!gS7HAo(rNO!8MZ97;Fy=P$TmPbwW%{ekp)b&AZOct^1N%#}c++Cf zaf$VtS;Uw{zn&@U`$EA7i?5TYzFR9HRTkXWMZLel#vfStkeSTiDv&7_f%XceO4BSk7_!;uZQVcWQI;tf1d5!Hvep*PyM3lGHD*Q4ySx? zPiZwDZpg{Fh2DK_K9KS;?PoHn#QY{iM*dW|mTI23YeD`}NeDH^ypJUP?ZtwV`B-{+ z(zSZrCG*)UqX|FP3H8lC?WrYvd5+#QTYcw5yjC{OH(N<16F%i2hs@gTUdG%a3^ka2 zk7T_k{~1XChOkLVk0=HJ@?6pc`^)PVnSR<|HiW z=lqbYGd(3#)E9GJ!kODn&B&j-e356ScUJs=3uHmge0^=^|2HMs@66|5jPGx8oeI-y zI;DtDoAPy2?++~awd6Fe>3X6n{Mz!yJJZ;c4xYbZi4~@qL&+Yu*bDbeBU4|%ezV6V zo6Z`~La$W`P^Jf?VCc_^psS`|(-E%UqdCT=iB@?ow;B+~O$RxxitkkWWF|f7Tk>!O zblfD^--jhqdDI}#CA6nT+TuP*&#NZgs`6g0eU=-hCH}tDz zklb+ZCXwwT8(KAtlGU)jY4#j7jK4qK_iGBH*)Y^dzc1Wc@x*ZcExgxdiJWB^7?8vK zu5vBGFiOzE_|7{9X&9Kk+4dsSu6EBxhzmp&~z_B9( zl#ZNUF4!G?l=7>w>w9qbmP5v`jTsf-c`7MQ=q|elUO7=m4(Ae*!Q9ugq;Dc6C~)Kz zL((@(*sI{tVMLNk+_*9LYj9pd$BOT9@Z5SU@h3u`Ous9Ai}355>$v`npNyp2PlB<+`KX_+^Cs^&n$X@6H1x+9g2Nsy7BM2nknCvFWXpcLja3 zEiTbpx^RH{&K;YkH{5g{)ltL?)w^{SkNjo|;iEUvR_QM^?!BaU;Lr7SiF5($T_4l% zb*WC<(>(}*B81axe5GP16aJlH%#dzmQiJDr0ip)ou`P+muel+Qba&EBJcP|78M@B$ zK$uYCiPhbr7D9j0XZY!Ik9WHYo#ZyUw@TzL-^7v(bSJl+^VLx zVYU1Shd-j}RmoFo-;vFlTPB)H3px9VQqb(ad)ge9rRjGmYkS6#s#Ih)G|IA(+X0$$ zHp?3`QA)?zW|QfLGg)#hNx-!aQ{p2Hc$6~H6`y`7xB|?+hbak}C}SY& z3RM_Z!`23_zi$#`SHff(-IrJRGbPk9jm@`b{zn-5py3BWPtH(?N{mu-@pyKe(+LhY&(R5#_V5lBQvHRTu2eMhSKuhp+VZtk6-BTLkMz*6QB94l4byTpu{?FJ21 zKRk|MIGa$MloJFconi6~<;=oif5!|rMqfQJQ>8=6ciqj92oBocaz66-Auq8bgZ?8= zS7P6|UA@jBd_kBn`a^`z>7;Wjix8x!-O;I-|j zN30BSaAiCFqkHB-U1cF|u~0GmGvD^*APN6pg;&RCGwI{t&h=_%EZ00(jla;sj~~WY zmfpMxeO}4f?t!!H);)vsgMAx*@^BIbcjuJ64AUge$@EAHLS079>cr}0Cc7{c+n2xF-SqN1;A)qhL%ZclwBC)s za!lTYYE~_g|EbR7oy`cf9++zQM`O*%&jpOi)Q}TqUq(&tzKfLp3Xn*z_D8_0ErmHP z#-8Ct5%x2aRE@m``9Wfta-sW$V4>yato1h+$RmZC5<+=kqD2Sssm@wJsNx$J$T7Jx ze75qxu;G7U%Aqn$-BpIpv;PY-sMoZBVfnW%ka?N8itq@xN*Vh7FI+iLhCUn0u$^h5 zO;=(h+_Zoj2ih)>b}39nnEmm8p&-j$3y9n|aDiAY<5h&GUsfr@rmX8)K>OZzE|6yn zdCHQiO^(w+l&l+Cz|`&!%913t%92V=bm*XfEH5o!XXk>lB#}f#7?xk93{PcwYXN>c zF3OSwYO2B`Syj4p&^pCW3y9nHaDm+9!&HUsL=!za=p7|c3;4Vhq%3JXPgR(WGtsBh zsFYwWU``gTEQ!Zd6*{9#z)Dmn1)~Kx%hHr3ji?BLl6oaag{RF-4C$Z;neke{ z>RPX|BqmHviT^Y)qJx4mQ8w=~W@}U#(?M35nOZ>9>aL5DRjj5ibXFKy z(LvkfPg=kyfwn8;4+>sgctmD&kq&Al_hc~A@3<9oP5 zvdJ(FVfIvo4V@N3=4t_f{BT#uYErF+(0Rbfj!wHsp4I{qr+#vUge8~*!XsTqm+7?K zlsP54bn;hM$RME>5I$=(x=N=FrmScI^AjDeN=~!6rZBA5$bn8POWD#=D!+uTkXQIx zP2uT^3MV=Zlj5umgpGd-g`CF0bd}iG3STI-Mk zMj=W>D=A(Zh~@M`AwEbLSojQKgrU6D3eaRn!KqEj1G>&AgeC5Cc@K4ji_|m@nq-Yz=4;<#xg-S+)mPe}Gc)Hz{T_0#usT1MQ; zabWaauP0&Vfon{c{r2}}sb%8?$1@1~> zF69(gNz5gL>Hv3|e}Y4<^WANQ*~C*5bkJKutParA)B%UQ9e1}AhT%?4(?L{1rVcRM z_zgnYT<-S5)2LJH%H|>r=m5@*9tdS~MJds|@TXhK<|0h%0GNim2*`<{C`Vzt|92ELFZeKl^vFtevRIkbnde!9Tc>u_I4 zJhNNL05&{zB8L_n8>I{Qz5Y`P2JW157ajqg8s>m5#-{25@vqi=AvZgYdI@I~k1yoV zcJZHef&MBNf5;%k9WHE_8Q3bZ#Q14l;A`bwf5`QWTyJ5v#NcWU=n;NZ7r0(I?hkoO zu0aUH_y#^XG%Vgt4~VSz0SU1p%>@XZ*#^iQnl1jO9`LC=4GH-p!7NaCgkcbz13HX~ z)dOap_97vtiBTxwOp^gNhsKHeqz4>6F+f4?#dL=X+g}(c`NtJeTs@fXuh~rePF40JOtw7-%S*@!yXSR>C3q5VBkja zWi+G*;Z71hb9l_np{+)Sfq^>@AEP18@a`00_W8$CIiRh(90UV%_k*wy2&|hT3+E@%q<=_GKI{6h@n2w$~Mm>mah$^|u`r%wW@ zDUV|y+2i7RVRj_=V=gEYeQ**OBYjJN9N|505M~FKjpl*^&^J#3JIOy0AlV~1O-eR7 zcuGm~MyH$tZYJ+4e>sF}5oSArH*!Inp`)jO)T9KW@^S`k6=qwP?d5`6Lf20LV~Gny zWyhWA5@wr~9nS+5hx!--I|<Id8X9j1+)NNAK#nj}`-Ry+*@Zli zb?AU0kQyJ91j+6YvxLu7$}Z>84p1wGzyx+Y337}oR+7=TN__KZqbP49U=I^Sg8Y&p z<_MoHl?3I{%29DfKrm*U1UZ%_<|!fQB?);T5^6w6TSo_xA@@jPzOa3?BrOl*fLbvE zrb5Tb5UnJ!K-k`0az78Ghw?TC4nxAzAdiTubIPSs?@1nw9~f^8U_yjxkP`&eCE-kc z$zOS(rodripglM`1M)2T%!=^H^OE;@psc`6V_+s|Edz2E58V`=F4G%PV%-A+On_@a zX%xsG5x6bk>4K8UJdjCXstFKz^BXF}Dm>>v=u9oy%mZyBCrp5D)Lkm%Et+vC3?u2u z^Js0z0~26A(4a#}nis2xvhgK)`JhM0Fe~7C;IAE!9@H5%(KB?3aXu{`*<}UXL0;%o zUgMw|qIN`yT|VeCa?T3q59m;G?tODKMKjP6pM07&^2P;V#ow0!2}3aSL`UpPg7QHV z0dW@qKYt+uGVgiDP;~lSNn$?juYl1DK(b!~6B6%%GZs0U>SgBBG6Hrk09@a1dm%f} z=cb};eZ7)=P(T3YBCzB0xEJz^tE#!^nR-cOKFu)T(?uZE=RzMu%LQjCYCqI@n@^J= z<}U(SPQ87QNAj-oq9Zb$p?pv)!ut~N#W9=(IlFIaD>^OFnal^3A(AfvZjQSw$lGnY zy(o7?_(1iD(HQ;wOdIU1R=;keQu77y70QAOt#~Mhv@+}9Fy3iFM z%C3HhEC6MChuHuVm;dA_?<(C;qW02<*a8sJyT=ANgm~~Ek3?N)(UF3OX$2r-?^UH9 z0kXz}oE1h!ikzuB#RZ^kc(5(dZFheh@|HgvCCVo0R2F~+;h$`QueO&bA=fAA1W`Nw z;oAbxWB8IS;B7lT37O%UCM*30IwJ+NM0lVbkZAK09}>^0P7yf=JmeS9uEN{xlnTlp z1WLnz8%6ZYU1z6&rVIaS2OL})5 zyc%|uikyLm=t9sXuTPhi;;9P~WpC0eMA<42QwwPau$9Zel!;IR(W1Ili)Oa&KP&`s zVZm2`YbN&>Ab(`2)QX&!?^hNoRWRLGfZN6{ixB6ut~${(f%f}CP#SFg3NT=_y9gO0 zRX2!^aJ4ywN(XP)RbbQb&t*t_Qe?9ztpEOMA;=Kce-#Ke43|QVCAhUJl_2-`3u!X< zy{ka`DT6i09|Ti|$hlD)Tm))!ziki9og7+I-hZm}i=NfqzgPr%?9R0ZyieZUfQ;d1 z2Si6I?>iRJ65ZV#fMf#~86*|g#Svu}Yb!k=_U_3Jz{H7N8AL0hdP+2td!JMU(s%#j z09-rqZX5CnTP+ZUQSRR_0H*I|76H7xp3ZLG)#j zbCkAHUGfmR>Im%Ut?fg8LD4rw?V;M7A{rVR<^+W4y_Q4HBI!!=2||0Vh-L>JbOL&G z6BLjh-|9nAJM_N1h^7s_<^!ziTz0v98&Rz)cDBE7P)wV2OY{M5>g+0QD)4G`ar?RZ zHpR3?w^<*c{dkA#SG{w$mwEc={RJQa=%RJa&fxj2YgYCd0d7lTrI@e+nNo< zv@xeCKfp`zhv((7{fP78BP&IN#k9YieEgM@?R6N7d1ebs=I^78X5)a0FFUPO_(@PxIrDiR@wj4$dNQOD3>%Aq*&3o}VbVv_J|dRR!t2 z1f<&NO{vZza=Qpf7vg}O-@WUC3WACx0s>0!olpZ(Lk}dGC;xZfoV>`3IiF9?nRA`% zYnulQl5HG8vx8Vi0)6SZ(_HC4IIldolKkBf4BfYICIl@4UIMWX?rt6sPu_O~M>z7X z1PRtf|2a%SW?>%eM853=nsC-#3G^BG=3Hq;W>p@bMV@s6L-*+JgrKRJkU672alLr} zm*nCMj_ekC5+o)s#>`=2aO-*S0I927bV2J4C2433C3MLHwJpOtP zb2byn2i_Cg+`#CKpc{k-t?=79x>}~do|H&5aR+DF7Pkoc%|P{Bsa)o0KHy4>cL#0Q zr$Pt|4RD>{xo2|nVQu1;I~cz%8b&B(08Mi$!kKafFsgUc1N=3)9!9W9dfqmN;bL_Q z;1Ruf5723{D}o@JaJ+AhzKV4y0IK!8Ji*3^iYP)&T!TOoHJ1@y0B7qBd4lWXg)xNC zn2VEh$zvH=1+a&nofqgeo*YLw6*V(INA1KS1%STZ4==E7EaYUG(g*1RyO$?$hLs$Z z@xBD;)|m(g*9R(o5<=Y@&askjX7rQ*G#$4H&}*Qyop8z()?-nNlcs!9sKb*m(0kYZ`VnLHGqbUL%J#?SN+{uC}gmly}Sebr|Xj_{ zjSokk0{pXycfr}u`fCKEwdpz*^W(zUtSLPUVvvqlLZzt(7*@P>74fR#*p=z#!XXTK$Y;k6dKgxI-b6M`A? zFdhNOXH62p*%})T!D8mZJd2rjgoXf$XTK+cE;TL(1oQ+kl?eHST{~`1O zPSWVe0)JH{Uo@Z&9>OhBJ&xGCfIT$qvO#Lq15*S2{$p~BrB{!Hy#Nd}`m({k4~6Ci zqP@P5z)@ZAe|>&)0=kp8~nvO!Jz^tr`Sork?I zfSxnd9B}6y-O3>A=ON-EM&seu3m7>wn*-i_*LBq(sMXhGk*fAk<|UADCMXx2u4LL8 z7&Sk;vdENssQVIjJF}b%x>T;)8^||JJ1iREj<~#p_0NRnfn61%&Iap@W1fqphmXX) z1Z2gF5k(1vGz?)B9ybXfl?j?&L%fc%_6c zPz=>}TAg0~3g|nr{6w(V-n(H?apO?cV(CBW zL$82$C&G)t=qC~(23f(rb&EzX#r9vpSts_3!Sy1|FoW2@>83?`iI~!B*z3f%67YJF zO@sl}-?w9tnkzexIuk@pT!;$Lo72vl#qXq-I<1ugv<0MA< z8@5+Bs|2~Z)Fy)p`)9ICrB>TP-rY|QUjFBvJNlmCG|)U2yO-|Xam z0}In*&Py2S^ss*bqS{au$jo{(XrO;_+Ixv9B9{9Pps40o4SHk^4I5}0-@Ca)M@0l$ z;C*Pd8XQRy9XB|I8b>W9?~0841B^g-J_?lGnv(`9JfXxT>RKB2AGj7Ws}X3xsZ$2> z2aNkmMyxdGEl>pY)PN3&Su+L_oRrKZmB}=_w{R@vRSWhcw9E<2rN$*oDuW`?Z($ec zS1o9hu*WjMYzw_y!gPuhy#@54=uco*{HY}a%;wZTOPFSnFK+>P$mBC<9q+PYu(wuL zy~O;QHu)CbRqpx>cHGTcGuT^6sTX*C(|-RK9#r=F0$Sf~VH@l%8MiGl--_t|3x8Bz z{sMN~+1oVOTU6~|V!ldq{TD7!j;#Z&?`ZA{bh|!QR}| z{1P)?q~c%LS-I~kXdPF2V1SuptSw z2W?^{j15JnQiNAjl0+0gzE?#=qsD40)IgE^4{#CwW)J8Rjk#>7GHk4|lI$(==>vQR&+P$6 zqKvEzPYoLDu9P~9ObG1U__kiqBP#Z)p=Q4-WrcY)O|l9$#Jlx@%*YyBL!(~RD=U~Q zX*yM~68={o=o`5waF}v!P3;s@DpYn3hllOekF%0D~f8 zT@8cUjRRLowME`l0rg5_1K@Hv-Q6(ur*Y&8^Nh$q6;Ppca}W#-$9Nk)Xf;k)QBfD! zuL81_xP#zUn30cRVYAQu6)HYWxf%#p>KFp=ggv-s_@>DxbA>LGW>*c^D|ror{Ln6c zLwZ9>$qEJ(iK&LQmDY#BZ=n*whFy%5mn+o6X~osBjMBFe&?403hT%d|+1r&;erkO+ zuq)><3U+vx-ZI1_F+Q$fc7?a9;UT&CQP9DAA;eH3VXAS3x|S;c5%?q*I|hz;X+{{H zx+~PNLT9CtKElOvm&QS=S8SA_MV#v3ips2T_(vc?ZfqQ!_oT-dQe%8(S4ziH^FIRK za0!YgRt%Dal))|IfA*$Fl>ep1l7hp$re;xecZq#JBe#=;Pjai-Q^#vG` z?O=m;?pe)-61P&IHR`{@IbVQJvc4POh}%%Bq2>+7nKkBX;hHaSiR{h>=;wB--Owdi zNOvvyh4A z#umtTQRz3NUt>6~89hq%sDpK6zi)#rE}?^l3qC^LYxMi6*>!-ttj7*$=RzMgl<@Jn zxkgP&t*(RjW!85DqB;3-Lw)bEs5MNy@K_x%F4MRR1~>;z8d5!llGf;v!jfO%dKtGp zaNUVMWtin|{MQ=wR;un-;GN9+9vJT=F=trgT9&t_5+LmH708om5GQ)&OU#yB|E29e}&y-*7rd#NBI>)BS%KnnvsL>*jJb&^Zfw) z# zU+`05yDLnS!n{)l;P!;aq7y37m=Df-#Y4E`(*%l~W0g zK&&)Z0@q_}VM>%YPmyJp$_aZn!tT;Nk~peusX5WcbP8f8OAF^U0u<@%zvI?zFqes0 z7kxC?RIKplM)gBT_hP8~RnEsIiX;n~DN*P4GT0 zTpBkme0TZ}OGPs+RWJh8$kLt`e+6E$;fF9hm430}?Iuq#!Oh2~K zDp1q}RO9Z*;@ZeY?nKN1^)}mx1=KgePjC)$I4|-WPof0}6VIm3!rM)7B5qj@w@MQA zAwJkmPGwWaVa4xoIIdA1mq-e_M(o;F$z&%F0CwL2XPlP;&W6?Jc5aPlb zwT{jF3LJa~IN${(+>l{V7*TT#)67=+0&6wH1sfvRSp1pK9p^D_`lAO;E6T5RSE zc(fVF1{)#V9w9V|Si@35*(y(g-`jv_kgADmBMdQ!qAa@jCba+{v;pqmz9x=JFlr*Y z%q7cimgWi?QUM#VPYbuBU(rl_GozxmiFpL%w*fj}=y}`^efgh6%nV&)(mfm_N@r^){w~0xFkG2EbSh_auhaR<;h?!(s zZ&G6b+je*a>!E|A>b3L}UB*j2HtCT-Y&+bA-P6JC=;{v>D@Kh1HdSr|NIOuC?a{?` z=)M^xijHC;Hu~O7bt(g%~%HmP2K zb_WoHo!7_p=HBqu%7|P3je3y#s)-Lx#9W?V2^>n{I03CdLeq>x5;n zkwn}T?NggX(QcKF&14Ep?t~A-ZAiG;KT5ZWp`FaZO%)Ox)d_5fuaaJ3atBF$^Ik*K!7c{DTmZK0#&> z&VC<8+SAh-gcBknNHuz-K{)P@C{nDR>mVGp8Aa09iyDN({x62KSCusgd)|m6)l`)X z!mj_hONy1>`u3nY|d?s0h`Y{N%H3;#^FidZqks3(l{`7td~@(p+61`P4|+h z8V=)d-?M(wDUHx^xXX8twEmy?aiH$lFsbH0h2wDT^e`#*KOe?{>Sv=QqyKb`!|!~@ zNuvK58;74BnlEv$i2_WM95=r7@?F8(-u|#63_fG&G?^Z~Ho4gaS zOZXZoRGl{gJDz8g^j|7W0(SdslITmFNr3WclhpOxb`mzcvrQ^}?l}qQ8|;#Tj^CLC zbPjPy`p5GpVXbc*66W~3Nm%*b0jW#%>m;mriAO3d8<_;;{|6-nmF-LdGCxp~e%bG{ z!0(TZ$)c*zEX=pPNbZ6RXW;`$Q*tTfJPYp)o03D32*LkCkvZ82Nt%T>TrJ5GP{}Mj zsd|~r#MjKiV^f#O75Khcc(~k(oJ?Dvg$IJKl5J?*S-AJKEm;MxFb8+BZOQA3I&*Mc zr9GKmY&!>hj&mdz7JJSCH3VmJkm8*=pi0PVB;D?UdXx2f=Q}z zWV%!#3ox9DBbVlUV8Qz3cge9iT`WL1EP-qzHO>OGwUWrEayTqli<3mgTG8CdX#tSKu9^Ua||$UWngkxxD3tiqLhYh=+Q zvTHCxXOq{{&#wVbA8eAl#3*Y(iOn{-Cf$7vej>k1E=-SE1B#ft>ehf`fU z77#CQN~sZX*@EvdO(~^mky}8VK%nJ&cKW@X$UG9|7)ZT5tsnC<+BD}s0I8wbS7OC8A zz~Ph+MPFE92ew=Hp{S(l?7+4)*C-Ogb~~_5vOfir>a_z?ECMMDz}+2yED=l@f(v#4 z(ois^1^BQ75KC`RYT&LNz%b|*lT!F=6Q?H0gIi2dm62{ra>o`< z#efHkIH1hneoAQMHclym2M2herJO+u)nx}KU&4c5-bAU{qZAwN4lcK!2hT>MDyowd z3+65^i_ZhpQc-5b6h;609`2DIAAmDay3rg(^EwCjzoC5i8x1Y(Vo_AA_i>_6_`spR z(d3{diu}et?r<+3Hm*jgdn*))p#$7+Ts~0Nh#Ea$QzYWKxI;Q9%F2|jkA0DT+9bnz&d4iuNnK>-Zrs0z@A$pV&?ylWWlWN&!?Bul>X}0|qI<_IGU&R$u_Y!h4&j7Me> z^$Y(EK5hP5>HVGgUqTuWs!GTH_s4%8pKGmuB~yI+uVa7wPse}B?;YCTRoxh?h-ui< zxJdYC{zJv+*rUQ-f68vfCy3B@ttgt;uHO_}!_U5Rv1B6oHc<_44 z3&8!sB1Z0xL5pw|7|D1Gc*(Dn%6S>C3r~P_A;FIO&6<(Y1)_?G9PWp4GaRA6iNVhk zEktZ^11bGLbjvm!|AQ1O5{H{+OagH(oMh$OWgi5oAXh5*fwq8*_oA zSQMkSLlI4vk~X_{IQ22+B13J&#weXAZTC$&H80DwOg+&yG(Ae1dI%COQnBX|tEy)f?ByRy$ozO_D*V31R!F1G!R81-W@!4V z@JAQNDUDFa2S>tX<_~$Lep+yzI&0_Da->*h=fcg@ud(iob30C$j9wWNI9j*| zaW=}}$~ZLqDLjzn!_bL$NzTxb^_zJlJfh;y(51T4v0k!~$7#Y7Zvq*5CTQa5ZWg#M*#WpJb%%ayiuA+%LFS(9{PTL@1Dh}*%1w{1Of9*+nRa1xC_>1@ z##3I>P43cfW2sWXF{-8;p49Beavmo0!t(4mAF~0kH`$-%Zu@PeDy1Z(n8$jrOK!>0 zU+`14#295)+(M0{@JfA+;v%})4O3S9&<8n=N(-~HB8IBXLRXn#LsE%K`)cYUHs!6x z4&TC0$$V6@Ha#cesMVh07!VPgH>u?7PZDusbTXVQB3oqS@zJT~BHot0svf^0oCLXb~Ih?9ezjnwn);{sF0scjC|1u{>q8?wA|oH zAODS*Pz5PvU$grnPuNq8fPvVSB17fafZQ}BZqE2-Y@CW>q;kjory^BCi)FW5?j#qN zD9^w8TjX>4l2FM0ogu}3W$yRtv@XfDl*qBW`ZS)h1FJD@polFLofuz8(}8Y^bf%4| zZl}ah6ZY_4P@~9r+D7@VP{M^o7bG7VJIPAhJAIInIGb1l)k9n6+iCntp6Z{CNh+$l zkaZ9$B4c3uEHxl2xeQVZ2*Rc-e!6%e%_4h2)kTf|KrUVDg8B4c3)wcuv(!c&sEg_U zu)O!^$K#skHELUL&WTYjSsly0o}=<|UCp|jlepM%#XRin?-eZP z?y1|VH?}&8Mf5lxqjls3y^d37E_$cOxw%e1y`A6nx>B9Hcq=_?#QoU|>jIIj6Mka#f1Zlf zO$UDa*XD_+#*-75j{Ke8=X>K`)%ufUjh+)hN2Ov>?+>V*gx_8NTb-MZ`g&p z(2~$|=TFWa=}6z7jyP6tLt8lKcJhMwSo-hRVh%N})2LdHPg2F_#g5Lz9cu1+%GCOF zk}kfLu5~S8x-ArO`D5ecgg8H)Fq$;oVO=rwUf~RXS>&Oud&6|!YK2Rc?HR8XnTM{U zP16G%l|xl=XA)PShhgrm(_=T^x#(4%nP}H|7}xuAdg99aA-&-<{C2&E+4h~&v&-+P zwGtZCx28v){Omr&`tgBTtFJ+Sd-dVF%l*ezf~tZHLp7!!c|QEqFnD3jqI%u1P{Sl7 z@JO%W=!Nb1>e#w^jewA-hohgz@9j2z#87rMTCOHN-1=kc8PBigLA~g!^ z4ZTn+&q&UDC?y_R>%vGbIU+C4tSx0EBM+6ttv@X=sIQJ_i6?&2Y@q&gL`Qu2Q*49L zhld1lkI(c5qmM_(;y*r%HmQ7lXeYk^*``UQ>4>v<(3ip{Oxr_&A><2F;PH4EDsEPH zs+rk;BuYHGF0`3G_ApL-wvOIRpFEN%ZueERwRHZWz?SpXrZsuxVS)Ji*TUB1ts^Dk zUiAyD)ZK>_;vMyxKaKbgtHinW$v=&Rv7f}RceVUf5y#exk90}2V{jS0;uhW1c7YgZ zNIbf`qMfOP9T%VN-fO2r*bQ;J9*fS>6WCqxZ#`K83tYy5_<9esld7E|f%WRu?565v z$Y9%gle>+`8Aq}EyoWGStD{|$ zMvYhr&~5D0lu9#J25cEip2Bow90hmAx&*mCtS0C;erl$45UT@rjVI3}Ph=Q?{PCd~ zqdBY%cyr>^oXT>BBRDjXJcn7sx`SquLv!@)3_&DdQj=B6#YTh7$p@@tG~+I4z0PDA z9mZt~LJ|6lD%i|?aA7@bk%_~VfKKd%MY?QeCHRePw3Lj;eG~-sO9h8nnO{JUjlCt6 zlbJo>j}4m@%vszJxU=zQg|3}B4*G41u9fQHHo>k<>Kc`dtZx$sssTob~SBHZ?jk92dXqva6Di8H-!kt=VNJWhUYr_T)LG_cL>G^u1UP zH6!y0Zh5bZW0Z@lz`1cW4=@F|YTS2D)&ZS{`-0oxtRE!5!1ds~_HB4ZuW>`TANv(N z%v;<9Zf9QtrGLO};d~E5(d3Vr`?!vSA=Kz=riAqVfxfX&Q>LtR0JqSX(VVFy-NW58 zPU*-zFU{w=Tr}>-5u~s4=of{?a1`kQo{A}B0_P}wfuC%eGMDKteVe~vYP_5oCOyQr zFrQk*#Y&r?73O8zxJ2ntRKn7So0%&;hQ?Z|qM1*mEhL65r-UUdrNbqRFQw(^0p*QKxgZhTesgygPt^zUU?8E3M2 z($l|-*`}P6l$NoU3bR$!%|0pZC8MapZby=Dm$%c9GFs{9V2^1sWMRY zdv>C1w32Etqb)m6mZ_8-?9-WDB6|tX2^JcVd@mb`557@0CizKr0zZ7icv7-k)@XkUobz%wHGLw4#B&I81Df3tWnhkt9ADEo%2+1HSuRMcGKwK5mnv~%9cQU3_OYpf^rNv`FO;k#2L zscyNAKWr0xOmc?g+_V`9LgrHIa*f)Aq!cTuUAblL@+4J<9KM{Bjw++fDMv;rQKyKZ z>M2-LE$E0fFuZfLm27lV8jJ&^h)S`#oCd~CDSM@9-LNK~kQ_IqOL~hyS{QjjyC)J7<4SA8lqp|nF#=q!7Yv#sQ1P}HgVT8gLi-9WOt?4KNI{Hj5Ex9W!+ zCA^cNP;Xhalr}!mFr`l)UK&s$wQR~90=?(YZ-Zn>%d?OIm&24zK+J5KF%`w&<{t(Cc{(423mJC z-d6R?bAgG-R8AJ5}FSh-?)jWIkeXkV9Z(A}R>R#Sr&WCpMBGntcvz>i;c?s$Z-U_bIP?>!7 zE1~7C)4~N$)k8zI+>ePDd{iF@t#!W#%5|ylhgy1mlP(xi_X_LwJS11ZR{s{}>U{w( zx34}Qw&;Bil9M`dDLl;Qn|gubiQC~q*AAU2&_2-%bL`g`q>Z35%MJDsVq}J4PtxP<%n;$)1?(m*V*i^dw)J9Pi{poC4z+A}4$1(w%a~x0iCzncH`a8>U|u=%4Aj)7^0BZGr8XjXOR~ z7d{lYopHF!Y4WX>i#!v3H@*3uz`1i~=&nNRv#$mDXZG(_wtj0YK+br?8~>dCE?0Yo z9zXo^P`g~u8D@NN`?1b~(K8nkggP(u7i^vJODO8}9hBpr`JQmP``);moW?=|qx;!p zfu_c#MBCnHb8-e6Hxn0ozp)DJH98Vg`lnY4JTz7l6$TG&$wh0}B$W>y+9^oV2v0H| zKE{qm?JYG)r;vt7_$@MS|E~4rA#MNum2JGsku1 z7^P_B?1fy;$}v-gt+T$l*=xtl75Hbr<(_6AvnrB1H=WzcK6X_>>)eGrpUq>A3Wn!= z^EjKwoQoXJeakD_KIW<5b#6LOYxkJ9LhQK<`Q5w6{EM>B`Q`_6js+GKpZk_Cba3pJ zLe;tH{IY{%Aqs*VV}UX6P*l;-IcmW$?@&yUAnsTYhaO5$;0oF`B#nV21zBx=L8bA` zp9-4VUWK+7XYLmfv=a*#FV6f`WUoC|m~PtesK`^BTX@>MA-5<-+oOnK{ybkHTl-Ft zkLB|cg<|cIBB9IhQ-vz+y`r+q@C$`{ZKo&3R@YuB2s%QZOj%v~TVYeX?@8R%i*Jj# z+FMT)Y>$5^lGU**uC)cKi!^mYi(T!3FGU7A9mO2`nYtneoz>zZ$ATqesJ`J-)3c7Aj7k!@37yY3d`!xO9wdEp`?!eR2{uft^oOQRJ zcKaXSQV7?zLBaxo9ff$^+lXZF%&tPdZW~e?{G3-*q5BJQy#b>|pLESCID(k2V!y6m zMcJ+6B8q}cZ-sFPfGy_ePE;(0G=RlYdfbZiu;%6cx9S`pV|74`L^D~BU4Diz!5 z^;E`10m{W5daISHF*A^2jNX-ZjF{)@#o2m6?}Fp5oh&ZaYkVhp_o9Ykwchl*%Dce1 z;x0Y@JJ*B;?cxzVxA#Ixu&&~^UiAC&q>IF2RIl&7CF3|*@hEZqJ)1E@Db^-l`B2pG z+_acX4EkW)bj@7RmDu=UvFW%~aRib1A-j3TS}~Ex{h-zQ+@ZLT=u$P@dd*4kJu$K> z<>zsa;yPkS)#>&IPsJhPud42L*t>XxXjUE9dC^~yNA#^$?FIsi2uiHwW?w++3&Lg8~CUwM@jtL z%6|Su@jPYVbKK^|uf;^l`e)VcnfhX9%B3&e+i+8HIK}Tv+%C|pm_SMVa+>qJO|g(N z^ktZHv7`7MW&KOa!Axgy9pzG;7Vlbr@eswYZi)vC7H?AG>(bHZV~TvrKpnwYZ9-AO zWVLS5xN%ZZ+r;8)(M6LvMT!aatEK7eyrP@Qov&Lb}=RjY{}_(}C`CPc>!yy6I}StM>~Ce_(3XBj%%~j+Zs_ z>Z$d4aRRSp7TptkP3;VgXx7%F>i?$(&Dm_Wr`!LxbF^?XZcj>}pEfPQ%&wOZJgb8* zGP~Zp80@Eq|6oS%ExOT2#Mhe*_1fMtA=5_8R(pj)UQlS;W@derA!?>H33HFW;IKc< z@Ji;9eF_n?=6F5xAAQ{sepWO)b7o&kRHHS`(|o6o5ToXRk2AOKUyS+F5ua=B*IyR* zn==hDzteAf*Uy9Y$-JvyETPd8KVUxJUz?!jjc1#4`@@p{^rLaj?FLjCv;KJbD?tOp z43j|oA6F6wvKwC9q>-=m4H!55b{p?@<<|hG$u9&Sb;W3~viU_ME$fQYpik>>(fE=p zHwP7d`o-V{HTR`daeu%rnLW-h@ZH!JV@yDOQLbE7!9#I8~>z9TR9DtcmH-D zuW5C2$fwsYjV8DrH6+>p;xD|j)xc0||8I|I;a0zfQU*;j@rhRaA;R#BTzrw0!!Ubz zHjnnfDrmTTRILEtWtBMWGyZ1@ZPcn~SYfh}hTpZCAMT#~4Wa#Ry+52jW%2^AY;7}Q zJoDlujbQCJ!kL+Ug?F@$9jTmC`qxZk$%~qMf>WI zIALj(x{M!j=$R0@`f>%o<1jl>d$nnmCh52{5obHMM#DQ=OlsK+Z{rD$Zj)?#^&PyU zZnwsEk(4D`gwi!F4+Ulyu%y3MY=;V*}DJJH#3w^Egmai=aeAtV)# zaGaQIPDrXUB;&lnt_*t#L0ZliHo_uaszD@YyA7?Vm+FwKv+o8w>g5S2$~kmfvhkpA`ZT2yArFtPP1WX95)|vf+?;AoB}2I$>zie* z{uHRfgTLwX)87R8;$gj|+U{=(4S9HMO|_evL0cX-x5_$A%^?ZTJ6k^8>Q^8o&mUW= zy~0+IzUR=^RIji# zpPrlZMqIp1b{6NF{E$d5yPa~@OMfKGi@FoM=pTTTc-`L7S~3lUYQ5rj*h}g+p?=Jf`qoF(A0lPw+rf4YNJA9YHc^Lz}^QP~n9QemWU%lIQEqUq*$cXpIt{5tui0pXJ z@3x{%iOBDM8@t)Y_md#VkH2esG2lN0w(Wc-q~mYGskDEUi`e?xaN-=z@(@pdHx9x1a6WX$KY%0T`aK`Y_YdbVT<;e^ z@BHIA>Fy^=kgxtN981sn5@f``k0a@Qp9byvPjI@u&7LAs0lzp!KJ!lzC}4}@dhHbg z2`=*QtNNS0fLsDD?N9k1eu+c|IP8}PzIusd1yJ|Hg3Vq*w1DgTS~m{AhH3*M_c=FS zy+-;268CFwnf(oI1pL@f37P*J;s^BY8;9Nh2RRxzu`d>J;w_>ZxUkH}05XmcE8ufn`%b9pZL#qSh1jP{qxi1D~I->LEdg1NU^hStBxZ(}KI${=E^}zG=g)>@;ga zByYKKL?0@3$j;x5l{T%`}QTCEo;6HxqI7=r?42%4;9{a<4rBj_d_3U`|`?{ z%m$FI+d;grmG1-4`0Y?0Va;q1;oOeqan@c9Lb4%=JO=y37;-+Ok(a)Ce+;68wDD}W z504}6Aw4{W-GFf$_-)i$~k5eNJYpzFYMse1XLHY$|LX&Pa?x1TRb5& zU=rF1+2^&Q^OK06T7;i&Y(5J?k!FHW)9YEpF!Bmt!Bk`pa*4F#PnibJA(4?T{BrZ= zIVd~Qiyvlravq^Y`tb>u)8?U1k=OY`R;+nsATpHSYITwYZAM1(v#+MH5HvEL?`q3p zK}u0{zN)?XGNK>#oxfK73qzdn7f<~phx^Lhu0 zjk$>~#;|sf+?Y_bGVbIqR2dVA#@!9vg}%nbqQ(hnyU0k)9aJo-c^BG^NkqGoM7W4l zJRL1!oa929@r|fYLm(F+#(zgoH>GhQ*Z3bOr>U8XLY(9Ay4Cb zP}`s8Jm_p^}6J^z`U!K2)3V3uTWs^O639Wwdsj z#fLT$R?(D679Zg!tfQ7wA}FMoxPdCnoJ0{l0Zh%9qmV=57Fs@Mjv}FnJ7^dy5QP#1 zKv+yep(lxZsL)axic|}rb?G$<^(OA4*(OR^n z0K{GZMUD?pC^U5s^>KcHBJl#yat%VEqSPIfBLJi-bqi&U^RKZ6Sh2-zAqEkK#d_-FS<=0yHknR0tG|c}EAMw2Z3pEaWz=!VKUqHozgZN1P z{du%I*n$tezb|;mH%{@PuKUxd&n;0tGIpPdo(@^yL7e*&C_AKuhsdOjptWIdc+mN@ zAv7i80S_Uk4WPDB7Cgv3O)$k`PVtbKv@UciMwAETrgfm@aV=b=BCQP#yZeTV)TOnc zgoGe2G?Mlm6-u(;B0Fi{(AFeTE+q9A9nEGe>>|)#@u+LVn_YU44e86+=a-K^ScBLZJN&T8Q)>t>W1UZ!T3CgSK3e7r z&AeGfbRRA7TW2g*A^S(u{Omc=RmA(z1mBhQW(A6WG{je33|c`7ANBDU7e!Z~sz)9C z%B7ZNr2A0|KW-&x8Jc+1$TwaST}JjFCGy4CEi6bbGnU`Y4q_pHWQOyLHbq&GP3CRB z&-R;n#3M6^e|pzq9*WEK<+FDe=8(Kh4}LA@!5s81(}|yQaB2?unrXwgpU&f#RV`x@Gw|@-%mVXLI4JphI0dGS)5%lnbUJSU!|>*;=|IM13V z>0aK4e9SZB4ZBI^ zxmErh8p{vho(?(v9oftG;x2}iH$gH54&2Hxu_i>T;0iY`BE1nI6_{|1qr@5^w}Slx zp_t%$B)VYZpfzUkEAqHt;UGKC@+*WCFb`bsme(Pl3kD7p5>D4aLj@fNQwix`psj+& zgYqP?FNj3Ror7RT@J9$=5_+K3&{_=D7?hsAf;KX3Q8=w zbYR&U`~i7VVss$(v-Mx-W692b_s`S+LcJx+`$g^PZ;{E8>3!GE#eX1f$*$?Ztd<}WhLie=>%U?lpG{1e$px7%UkLI>tJ8bz9 zdPlR_PZ=$L0ez*J>>H1Zy+B52`y8=JO9a`Wt#Vo?%b!A0|35|d8P`O*H+-BuvmVz) zW@Hf&5n=B=XO9iRf+mCz>?laDO0!|1_g?m%qb!RMkuC<veL5;(<)3}vSmK!|4yyIy&RSOc(E_V#QSBpY~kYfDJC&5lVrbNJ{)iI^ksh!rr4O7AhnuKhew7|~xf*6- z_=+VJx;zXuv3xZwt#kV=#Kh&*M`@%--#rt*S20qo*Vmwm#8*L5h1d5%6@{;^OIv*o z->s;7@p~JS@;8&Bv&rA% zP2Ruh6@8QXy|oGRO_JzC>ftjcn{OV9en~%kxuw{i|)m4$=!{JjEmQ`Du zt)C8?SGZJt-AwE{e5}H+YI+mfbJ(mRv8r!V(R27{MPXIaX5Ht*|1qhndbkJuId%xgOTqKRP3*w6doG;-nar&-78!j z`+k3gdv%=fcgEK}CK1&^!kZIc^-WT$J%v9`_3bt(slFhbn(Bip>Z`>Yr89kC1-1Ii zhR@vJIu$e3qZ_*OzwI=URns;`=6~C6qE{2Pk+<;hpA`pc{5P&FUfot5(wgph#cH37)L0WUU$@pLH6E$CH6O|Q zTVgy{<2-*z@SB(!#>1kAx%lvJ53(xg;-g9BWF_ zw`?3uzQf9s{mn5>Cp)vQD1KWaJtu4Cb|@dt8#j^H=RPP8vy4Z{({qXH@8?K!f+iUDY{{N zHt%x(fN^@m$Jr}avU^C+8^UIH*xPp-H#PXqey~5%MH*?an@zm7MkUQPh-Z#Fz3nho zG_Yq@oq{Q(-HoW!=wjb)Y|)rBbJI<`nS^T$nlbd~Z#4F5be$RT$ZjA-HEO1_yzI%w zX^mf}&-!TBkV+ew(+Z#dD&xk+kJEKGvfq$~8^fj__}RZUo^8B7{bPXkE0V17!t_+Y z+X`d7rj4n*o5AIz15LA2S8g968Cx~=PJMHCt(fG|6gAa)H@nCoE8Z*xiYP3+0M;NTo%YE$3jl~C;`#?wu4li!5*KPE|<0w-I;gC7~| zHako{h}8ZsX@9eH;>YOzG-FINdtxd&IMvv#d0-+hRy&2{-yAn_Hoia3IH@^sLJ=Pv zYh2iTb)qg&JBC!-EM?wIej8@o-ppnirrL)ZPc-*2M^e}Bkv5xSn7^cF2N`Rc{h8Pg zNA4OMw%aj9r?`F);E6EabYBJ zH5)P}b*v8`TGIxNTRKp~axHtO@mNR7a28K{yD_Wd&M;OGyvEj@sREQ~8!#)Rf97&<1F&WJXwi$jx< zVV7sys!LUa(Wx%g`#iUu$0>i_xERg zLSB}Yc5Sr^eFn;n8oF4mr8ka}jHq3mt!Mq76qikPJ!}>F4HO!QyPR7~1CACLAzk8@ zvp1jQmKkb<<~Qfv+nH9D z);->Q_CZLBQE7KV^Xh}DxU%|g-{!pFov}t8-RGLIp$_3jQ{Br=3!zU!%S7FMO4NsGgw4 z#P}y3MyWkl8-Gk3a55_H;WvCv-04_W*E8A>ne5-7dP8gKzy%{= zkEZ@+`c6Wbvgb?vjt>s_GW~(h`nnGxXN)Wc?$`T#sOX2+HagH* z_sd|2fzjMRP~DI8C;DZwfva_&=>t%i?%;augOPO|qy2-!wYp57EjLyq(RZ;-SE@Qo+&2Qq9o0GOW;nT)LOO z^n66uai8fFpQwDl)A{ONQO!DzEYvAs@SlA z{;)JlF;G}aqC1oxQVtMHo9XK%pOphSr6csAk_YPbOv4#^SjjiY`XfUL-Ld3%WIf#w zp>GtQJ*$&ex_5lIcMojv8Agnc7G1e) z7E+ox9#yn@*(}H~f84d`mn&v>3`yggg+JPx`Ik10j~2GtoB5Uwk4F`{Tr=}DoE~>A z6kaoPFBOe%7Gyb@IhLx&M+<&*F>^39U_=#sa51}7YQbc;@57Gc$b77jv-N zr4)wavmgBiO$;X(>v^qygT|#BjDb9tfNBH7EylyV)qraKQa$F?ykBnSK!(Q5m8XWc zb94+(Gkc%b-45MeN?-;(y>d5no1qW$!qbJj*O1~U=2Cj(y=!WN6z0eD9S_W8#f8kk z^xq%oNQ%puw&~bl9^asa$x8bi%v&!WVWy{oHm|}k#c2~?laD8&h*t4LZ}Nx4(B|UWiJ)YkL;B71GiazLSh#$$G~@XAYn&Ob*4e=?8Ai8o}5PpX|tCS4t>6sZcseC6yN&UEY+ZP zmKJ}dpBHD)I_n=l)jt?xFgm*x_h2w5%wT4g8K)Qw4KWbU#>8dO&4LWnv(9mbBfJ2E zJ#*`^e~k?K8JNuV#bU>Dyo%4v1;u_CyXH~sJa;bEg`wkA>@&xTSzz!SilgRIVj?H1 zFBv4yp-kyS=mmpl$nhIX>K^$aE5oLxN$Bd`uCY?%i@kXyXfO{q2|RCb4yXJ zb7p1+8*{X%EAzac4HR>}Q6ux!|0>pHpKOR3K1LXFb+#ABviu`{=MH{boW|M;IJBCh(Jy8(16o(FsR}Dt z5dmk{coO{2y4aPg%`>zoML_SpW^9o^*34m{x=1KONCpkEx%PkC`(^& ze%vooXf|7DG#}yjjcAaee`@}!-!GyZdZG3FvhNOw*`U71Jk2*x!s{;do4@XBD9h>8 zkDHg?_+56bqcClL_{Jdxueq>jKIBH7BBxQmV*b(%tkR5J*gVg^-m2tP=?~8*T|cYN zd96P=?|J>R+U#ZF#{7nl3o=O3SIqbMj37D1`npSZe0@|u{7y@ z^L*G7eXFGy?}hW=<3iUZNACw0Ytjp^FRgg3UUW>=k6!xd6?vH-r=Ps!>m|IL8&jCS zB=tHEZd!g_YN9va4cFd;Gnlt3K z!(-@7p*!ckTb75TWuY(UoZAjB{;|Rs&a`WmSMJfm6i$rm4j=I6!UB$iYnD%qN#P65 zvdfMe$BYXbI5d~68$){v+d1AY-}pK1)*t6=IRE0u*U_Kl^f`YM5Vl=k%(>sCTzC?(;eN3Q-TV~k^Z`Z8rxh==pMBY8N zoPT}U*=F_b(0qQ>@(TXJJ;yn{q-7d@;ocBa@7c09-sgeicz)^fhV|5gp~3vR(Oz$iFy8UMdimUqGY=ALQuCj4yU#2n9*fVfpJV?&8}SQe4q80 zlT%&bX}!qxt0%AYgqiCltbaMt+QUDZpT3@O;`nFBpYw~>olpG!xyB@)w7z^?xBr;2 zUgLVo@vQ#bJ$miy?#I!~Oh|8R{j0@;!7v@Y>2;dL)ZoxR^@Qu57B2K--{#BL`6eIe zx#~P*z0>6Q2w$4Fhj-oN_mMDho-uFZfbQ53FYgqu`@k<_$GCYop6>zSSng7uD^F~E zlfj?M^WpUwk1!l3@*+?n2s=?Tt{cyj?*D579MVnW4eU3Z3hU7=ALfW4I(*1@p;$zK?VyPFjhB`uiA5k8y1!q&mZ1X#~r$tm&Om;W4H?5 z)h*<2>Ho4C=AT!_AJ$)8t?|-*#}Cr?Spz-tTKHSLKdd=A>Qec`yASbdF6S}$cXsFT z_!o3%`CEDlo}*3PCVxoJN056)SHZuf_d&ovm51=nrHpC6pZ7o);zX z-{m9A{WdQ_u!*$DhSX5Hpc^q&fHJ61a2?4|IEsm70={NNk;^022|6`)O8zp`BJkGK zDvvEe9fEcBE_K)(G%ld2Bh)oa;UR>_eX zD)9&LlG6LEpdB(4Cn#xW&6^+-v4hg|d^j1h6tlN7&XcPkoH%BSeO~YiauM5Y*m@%cW24&BLH}@ql#0KKveWL>wr!yGFi4oDgqH{=7!_ zBhHDtC0b7CVGxn{x+Kua$sJON*TpSP;n#>7F-^SJg?x#)TjDN`a1juoeUfF-unUAI znn;pF2i=@b6D=hUq71iia|kD4Z%(?Aj}o0FQJWYK`oAGh$)(Mw9*_y-E149oc$n`e zMoL12wqD`-P`pI5QQ}1ei7ArNjSVmQcIcVp&W4?j;9Fv`L_S~X18HJlkCQCt zoy2$E`9`={r$w^C`tt^nmqU?!WNG=)mvcrX?ksOV!Mx6-WM!__51PxFlO)Y)1voKv zL=uNN?|^W+j$Fc?tqmX!vGo3o)@}2~ zoD)*jwD)bN8Xb&uX!_6F;cs;C(!go?ZQ{!uSLx=I^IbYA$4lBdRe4uXl;bP)oRZ&# z3Us2RE0cEj%yV?&rAd<|_naQ*PsU6SfbCDLF;bh>52U$gw$P(sL8+ z2lTLAHy`%$t!1E>dS}-4R_C(lJduC zp~P!BEGcb#S2*#Kj!5b{?j24h=*Xo@V}FLzt##DWxG{OSz*6T2*`+bNNXT5rKsGu0 zG}8QNj*;x)C_B>p-#HdC)rdv3(*Yez+0aNrbhv?zmCS#nH=4LxhalS+-W5yM$#Ii? z91e^n|5L|X=05yqEFIDIlPyu@u>w_gqzpAX;{}rJIGG*w*LX;voh+N|n2a}H&rX*; z>@ZC<=V%wmRFwOPPAu(G*#M?Rql{b@3>Tf0r> z+CG^~re;%RU)oGl$?e+XvY58}sr1I|N!f+A+EjXt_MD9Qej`=zCR->AdXGsLywsM; zD0LasFVQlWm|%YAN~P^f=o{<3iCRJSB zul)l3?Dwimb-n!pFuOy=to?3KuswTJ6;x|CDEKy;sghRwItXd9rd7Qay@QY}i>30e z(4s@)9h<6^a$7pY+aXmYlxNVPVy)D z3Fz^TShZcr{Ru%@R)U&YTsa|#-;tsYDxRDW#AKzbrA51@1fe_f)!jvQQ-YwZBDH5x z#*`o+t5m&Q*fJ&X-9b{v6mCq>J+f-m7Ya>h=#D!Y)Z+!-GxW<@&FVV^PiN>Cvfiu3 z`Mon_{EiOw$9(w=`SgwvwQIh`9N9c;T>a%);2inrj!AXYvywUDzjw^2ZJ+hd5f5as z)WdmN^F)Izp*k?nVxG7=ORVNUy+0qWvqP>rMm?J@pLbGa z>S{vLEf$<4ndtd66o7Q%XBuew(w;7ub2Im8uBXu!%$G8aHD6OV7NEII3r#|*=_16; zJgKoubzX$%nWr_(l#E3|PbO9qlv2AW=*+a%NR!!%bV?>c)0w=BLvPA-)wn0ya>(TE z9-1#n_c`RM?cSQGq!JGC)%F`2+oWC&v3$F~W;k(!LoCjW(D)~sa>ENUqc!{lXKr}T z_Bc&SLIT(6@%BWGL&Be2^YqMQ&2;=Q*E}UNP4h5bYZZ#!o~Mz=9bARNwijr6%~I^2t90M(FElZ+!>e?U%yP}SSotd1aeJL+H0IzM@p5LpCNRc% zjd)>ulV&42VJ#e=*`i5{u3QU0y}eE25Z$}xWS&XU%tmdjnIGLgta%uQvBb8>_Z&CowKGJCJ z`)LUw#x`9<>T4<#3~tjyx_xbh^zLo?h`aB7A({Hf0Qqv`S0TCmks%Us<4+;6@sSZ? zd!ttvUbF20GIV`I==5fr1>$>smk8z4Pat1?EJWs{ZKsd~A7>F%wCyxv=My0k6dgeAOvyeHRLi^F{u68nWvq!N=lIwk$`On+p5j)om8Dz38 z5n;NN$OHzDl8`$tEi(G=;f-K>mD#)|Ib0iA?r-I0U%Me>U zO%Xl>zd(kpClyXTKsn-Ty`eDgge#D*I4vba0qT$h++L-i5qO7O!dWQkHE=yLZe^<^ zqw*Yb%gS3xd9C!Q;6@$ z61CGQU>f;);!m}?89a?7oTybpKf^P~r4ux@-~c>}j2|CX(+%J`Tn%k9!ay1tDXJ{Y#^M?yDM8#r)l{3?2sAW_{8TSR!m89%{b+}?KN+i$jY|l%aS9RKlOp-0YQ*6&LQPpfh<awPny25HMe z5O?%tx*Rb-ph1pgB1psnLiLa%0!V}8!w53KMyTrubr~U`e<%=i1=U|P$e5adzM%v` z7l;3E5fx%I$ay8Y7|#8_#p3^4L=_ngQZGXn&FB7a5d~%t1eInq$WIbzEP z34sXl43eQHOsZfBg6cu&SF4}kj5wJgrfMn*3yBd_7#c=UY6ycsCJ0SMja3061XYOo z5R@Y_MaZa2q@r4@U?GA^MV$yr7JY}Hc9E8fs;5G~-r{*E4T(-CY^cpu>Pi_EwT@Vb z^E{M*EG82s)dHT{Rz^TcBs4I~f+7(6L_&+2Jg=rn38~YMOw68c`hxe-e^w zZrmnJD(RVOQvtzHiVm5plMr9iA3&&85>wTcd;%&?y+?v3pd}5?kMOI~DO|0^C!jD@ zGxCuMO>5rz5CWCZU3DOjfcjO92#Wy?YmB@I7$x0POIplLf#IH+*aQ3oy&OvLD@ zzcmcmYL1*G$Yth;vT~k)x?kuo0u>Ug%hWbBnLw;$vj`LcoP^AFK+9@vrOi_rd0DB& zBA|*`JR+w+)9PfIjkhd(R%tp%y&(W%5O)eRpcaX2Ol9UnO50iL2>~2|M6^R`>f1t_ z6^VeV44j}U_<$cW)CNVU$9Oii5_+RDgGoh&GauyZd+3(>{F+UIg!o2T$snK*ng?>} zJ>;rx(P9$aJI05z2T##F>&;|7oj*XVYoT#MH2`H*SiwI%rz?w#&v_V6Lw;rBG4aPNZSiLcPkLKH2O-FO-y8?Nt4Bn5EHFKyk{lbQ>Cv zu2RsN2q={|t?{iui3ROcn*<)2ugGYmHgExkCZQa1R>Fxk2YJLLMPLIpnF|bSm?TKG zrHr+iTytV7OvwZ{F3_eCzkpV@f}?GIT{9n0u&b&2xImM}`2{q-wHR)LS%W$hl~shz zWuQ(IRtBYQ-3YZ=Srw2Kwr>cn%fJiGz;o!~*4P7^r>pc=3a!`Fr^|4W=4&bBzGZ*U z#&(rhtf+iRK#9RTja?~ZyY=a|%?f(Gs<5q~q7q@UCa47Zs=(c}dCGN4SFlM06fBI> zbQVM7ino3?7_ND&BI5-WbqymlUy7g<#ZM@6$bs%DOp6I9e~4Zf7ePUaKfG)*I09cq zWg!(+5#inRpu4eoxq>+iv+OX%H{;4ocr=o16QoWfDEz5!te8#-F zN>-Ulot}rqYS(mVK(?sF@12MEGOZK>icqrD5ou7A?6v}5I|nVv0^9|^-A4%`0r-uWEt%qp_K)Y)B{OSn6!TtUou60CDRI`qTFVY`fDQODz)e0EvCrD zGTS>;Zx)=czM2S0CH;$d+5|aAmf=seV8IFMhY8TM#C`$qJV8vC(R>N=IXF_?8xN&P zKF#4L8N^tbmKR}o4!EvfiGyxQ&d=cQGl=(OrXGZnIlxVA7l+CvpC<6~F`}O=(20P; zqB!;aSZGCjo`KI8BRa`4t`Sgw^pv_c2I>=k8o_@zM!YDiyiC|N2N*vX9B9g7O5QkAlJ>YX#By?^g)T~zX&K6o2Cl!g*Jt5k@(6U0ac=PfQp*3 zajKyk(6DeO6mQxiXq0H}r;adTKNbHv6eheKj4$besw8ZE0xHycsGP4u4#LHI_+4F4 zxr7E$QOFjrit>ThH-hitfBj@$AgR=$S~1~Ms!?yKcSCd&ul334u_R+V%HqOCDzO(7 zv=JSEPxug?BC*|u>bZcP%F_$Fu%WzxUr8s1NlX!Z0|VZYC3r&YdDsUZm`=PSVXG)9 z3|J_e_JC66wY~7esbo(HO^Wwmz>_kSJ9K?M-wkh@N_UWCh$+Slm@4ykhomec7kq89 zz(!)r$4kdy^wy9YG|Kwp8s0S7+)~2kQaZ+AlI)8s6v8@UkAIrz^dCtj2VXo66v!^P zLUydS%XoQwxUnQ~o)Rz)B+Kr*Kwsw0U&P;!CqfdfISOVRj+FH|LuqsUXYs?a^lg$# zCSG?O@RqF;An&;wh&3iwAQRb+Q>N&s;OLBU$g_(|>&j?zzKA_Yc}E8l2uP8N&p^vl(eu_3_rg;|rXJ_Dsq0dv;9cgbO*j3P?I zAnYYgISsi_w|vu10t;$eCz;Bk)$1mzB2#lvd*{x z>5FVbDK-P}JIRs-l*T;y$$Ho)e7h(k2)}m#E|%C?K(5UGbZb)|x>`sJzzcie6iJu~ zw9L4jY8~NaE*5I}QQr5!k&^KPP!dC#Xif7VE(>iv@dZ7Ar)0|*a%AMkTYu*vm=y*( zQT%!UoFvc~Vviq*wf1&%q6;e>@Mn5p6G``eC}#Xqq;;hWxl2g9NZHi`@0P5iBK!Ca zRAhI8+J$Tz$}}pni`|W&$uUu|wS`l7jZn)P-_Q-uiIet056AK!SSMT)ycC*RQc}C& z5i#2kQjH$DXHBzrDi+!vqj+@#O=3GkXkfJew)J=R%5GQ>UxY%18G9(@U4WPP z&K}5l1m|PD>mo5gm;q4|x&WMbKp$cc&v;lnpNBk!89OPiU4V&Lyc>!bPIj|?dY0%Q z4BST9-v$35_T3F>s75Z8UsRs>F+pbxEhvZrc17&T)o$$0^{RhaG zdc)q@Rt+8%+KStrcLE&(_aC734&`O*3}x;Cp((E|rW39cr07CP9e-T3Zc!Z57iulz z2%SKoV0jm0*Kz*5^@glwyO7Po8Fs=60>@ntld_254$6*c1hk1Z5f$(iBp~1&O12v3 zEg2FED#zO>RG1)OYru{6BTC#;Q5aW{F^DUn!Y2e*HDFr%m<&e~^5+D$-EC1+V2>b1 z4PI?emf+-q+;M>xwauCeDEKTD$ZY#bggYoW)+1my;|!?4EdP=Uywlb%zs1c8rm?G*S2{$p2_x)3f((zgl6KgY=dcN?8WwwSEn|)c1X_-5(e3alUXl>(Y{>7z zO^$&2f{aUTHtp~p-tq?M&|v=wC#Mf>7ibZ1``Tgoy6XlwUaw5Y?V{Icc!6hdl4byX z4m%HCuYa40vltAM@Yv>U!_7eZ`Z^2z^6qvr&bi-loo9Qrt*jX)tzTz>_um;M;_iP2 z=Xtb$;o_U&^!463kYCpyi_7TYGkB)PZLZBgX zsH(+9;%YzDPfHboO~YJGSHq}7T-alIdMn|QYW#4*q|%erP7Empk^#aPm~gmprx$67q09VQYAHK-;LSqqp zUmmTq)xQxymV+1|zs%kPmk`c(;<4LXtr~%iW#%{-QKs#N%LwIP;+ZzK?rDUFmoJTj z;^+NNxTnErxIgcLCOleUYkULXw%j)Ys!C=q;%aXn+ry*fw7NCGCzrQ|!L*XH z^SG9qjygQ{BdkROthXF83~GvRpT*Gv9KYphrD0WMK+IuLL0a(<#ERw@CR@{rYn>zm z;~ZxyXj?2&TJ_$@<*%8BVH?RXx=Eu0{8-ecuJJw7uVZ}A@ZcbVoc&^|r&#KoctZ_}t20Ky%h?h3rgS7nQH7nY+nyNLe z)2*Z$V0`J$d(bxj{Hhhro?o%XHfv2n?}aW+wt{KT^te_nSB@30vH#ubRRiZQU2O$b zd5eoywU-@p*J#GAr)q#`R4)aS^6D3?{=As`XsuGeRksFqUD|90K#dsnKYyOICehEpYaXrV93(U#}Un;=v#PjJ^!yKM$)wHO2`VEXeQLX@O6OB@>S{APfR@phtEpLF6 ztl@GnDM6WN_2##%K^ZH{>Zp!>l{U|4)xtX0C? zAY+vl)9m^N?wFIm09WG5qOH7Vt_`jRhBRBe0Z4NZFTh)I$&psJQ-htW?0{y?Yan@U zr3{>ny%BD8aN=6~YKB+y>}%L(?p7J-9(z92>N^IHysG7NrtLL+W^Vd9I27|!u+_#$ z=$qBbOJ_=71AFG&pM#fTv>#XvqkYU(Q=8`a*MN9-pcG7tUb|~mJ6K(WcKptGz6M5T zFO`DQsIl8<$ImQx)zqx{)N26UZdU?^MYY|uiugSEXtnZRXY^hJX|r3!;Bw?20angE zp{c8;`e|V$eS_+t13P%Pe-a5;`;d3b2~x7lF)(H7*8n+PmKyWBVrtIFg- zr`157CGHhmJ271VM))6bvr0(Jxx7kSvfTFyPMh#7K;u+KE>_O**9fbovzCgNfbYa; zKIrQQIH4Usoik|1&vNo5jGb`I2SnsR^H$yubih^9myIW10x8V2r{Jtl{aLGn_XfAEW)xfMy##!is&vrR=PiPj->z13 zX}OJ?6~Jj`LOR&({f8Pmd^1PF)p~3>S^?`ZrD@=nSD6x984$|j+NL(vRlpmJm^3iV zD_?<)@Vmz0X2e+LRlpR+W-9o_Gh2qm+%TKt((W}zQ~=KzQK_J(XSM|UosSNKs}*4B zTmi&0HqdsZ2aL8WJ#+@Srk<7-6@WVe((R*#1zgJ0Z|d031SuYpTD(?~4`rpNh7pu1c08k!E~RdLzJ8Y{}-rtz>O zu-7$t6+3)|_mZpib7OirkU!2(1h-t0x!97+ycb+knBG@Fo`aX18>>n&tPp8A)#E_e0?1W zNTSEZf#dkHDXf<4$z3judGZ+v@TALQ!3+4e6Ihz4%8yGMtdAlAC+JDBV2pJ=1N*CR zz=O-~Jn2S)HA9*ha2eM&hP4yybVRG6Cr^=JbboaW=!xqe!G6a(dWp-fsozb4n}#mL zfZbMObZqZxhz*zhs$TK}em2w{4N9?#gVCoCtzQ78gX{><`Lz8f?8d~=o!kun zlg2OLn8Bb3FwOGD2kf7WC*N`dJ?b^Zu=C*80C4?ObUHS0Y+c2%bvU_E4D26_2ta}P z>{RUD5eEs!lyGvS7?ur4{lVUoeII7?|`0LoAL&W4%8N^l(hSJ!w=7D|`HX!AX{!e>2Y-t z%<8qBN%%y zzN#9HOCPTGE0VjLx&_eS5wR_lKBL~Vkw9dNtB0$qU z>;rx=(!PhqgohM!GMbNHF9N2zuls~Xj&03x>msJAjaY26{-;F2MH6Ki|#=p#;L@p0WE*r!|S1v(qP4ZyD49!TW`=GKV{0rV(` z7nrhl%nw^~GbE0q_2@XW5J0*dyuf7xpc$EozHi!6kFx)MA< zXZ^Px*cG>R500(lad-67yDoTuNxNsh=}FlbycqprhV-7cATU=mn1Hu{w1DP~W+NX1#xicEbL8WhWkuOV@1_z^R>aZXjFt zk89Y2mj^64fdz)_DDyqLLIE!4pg58VQ|BIs~GfyjknbdU`@B;F`m$5C%BgUKz9yziAsG}yifMJ^V z7qNi~?L8dRC3BAgAen0C0y5Q`=g}dc4RI=&=GX$jgUWOUHLAnsv6Z5=?HtoV^8NzA zgc{@w2B{vN#o7wjzU5R>$-4>wMTe9C4k`aeFl=7GdeOAWTucPUIywoUbnA;6ld;w> zU97A!pCkgPdPD&IxBf?sFg`x7b^?RYl(nMM~n@) zF8^DB@m^fxENVR=7ZBln9hYoCcllQtMn1pBTFgizClFyVg^34KWQS##lDV~sMRtt2 z9}(!F+{c4oq)`%##f&y%G4P(b3lS)xY*~X>rSClFJVg^Vq%7st0J6fSs_7AI=rysH_7TMpDlXC%0^A{{C zYTx2w4yO0NShST@N8|z%&2d;1-hRiy(2})_7BhI&9=ULB^HnVBbN_>baZU^-F0$vV zt#W~+W;O<8yj>SDE3t2%EZQ>7EOG(2<`@jBegD0H$%xi|yqGayrk@M%Z@!8_QSg5( zV0J~?r!TTQs<(1rNfY}FNSkq;$5e*CO<6Q;G~37ls7-Naz@_QG=P;OH?YPCjH`Rk^M5>A7olkcZ6 zD>vBPP%OhQ0^z+y&>cFT{G_-Ag$5U68yqA!N45!I^w=)>t$w>19&y^Pl1;h&&Dt< zZf~zGW?VBnl>=BbcAo<8k8h4(>|E?GFIEz&_vHYJhQL$cz}WQ>%;dHH3yW;a>RmbT zNQ3+&xH0;kjtR84w^=kjY9@OEKW`X43A&E{4;`~{dF{-i?E$m7CvbE_$Vo76EX?O%y;LHm@iiT)9n2dK)-=~0#s42_hX(SeMcABs<*XI z;5l;A3DBSV@iWF${qW~SEy3I3CqNU~{RH^2|Wh>0NiT$M>6KuvER50l@3Q< zWWxKa?;ilKH~eoR=DU&KI14nJxA~cXr0UWEFr|Jg9`khY;rs&a)Z1hnw zipT8ge>k^5`}u8jCR|w6Z47Gawqh|QJr5@q*!$n!$b|i>f{a1`x};dl-mZs?1vdEB zEfcn^x?~Kd)ow*&NSyLK=zAg;TY5CKFWet&mqZUV9%QYBhdE6zHm%s_}AtIEy`bWj{(-}2qQ4C z?Ei&gOhdnKTF@dNVm=0%Ub`BBwC9IIF_jMvH!NtqIz)X8q`emJ1KFkTgE6M}9@Z>q z75~-z81Q^Oxev50Jr|6ry#068f>zF7wU2=VuaowHfhD64Fs3*AUN5lI{wjS8OJ4c! z1JjCcJ;0RsegA5K9rM?-$1vs9xqTq3X!9Os?~SV!3+$l3k{`o`ua*r#P2vCE!<2aa zOKTOa1XP~<7@E(&GC@`W5DuNgdvzx@b_KJQ%9>JpNL(7uer{ny-u%A<#@9>XtQviE}C&mP{! zXkEFQvygG%ual2~n3vsq!Gyej+{R>F{5x|YWA`EB|4-X{2Q{5{f!@!vSXR*>O+-Z2 zMPv~%A|k;UBVB6fy(qnh-pjKK$XWoACej`kkwt_^2@yj0sq`9ZXdyAuq$QLTAmw+n z@4fTRduQIc|K0!2oSDpg=a+9e<#Wz?oCbdzdJaN*@%j;LU+3gw+QVZtXCJ3YzO_9E zVW-}C1PcS7q|@&6s?R(YnXfpMf!L;wd%(82Ybmt*bmha0w8o0>GLVRr2oIS5K|nI? zmnCIpMp{C}fDB|bS;7PMjkOj_`xi->k|APU5g`NFPHJ?6Iqn6-(7yF5&t#;@RyfND z2Uc6V!Dbk1QMAtllX#GC4Us9_JGSb*()@LDKV{baa{$2?1ru{2g`9+3EM;T8V@-1f00rt!M z2@l~Pr<|CPR#3Jg4G~2D;Q)Iv-S0;G>{=b2A>vjxDlMENebWK<^HhKXvyIMDv1uk4Z`!YlQchL9qk+QIgR*X(EyZ!6nph)k5)N<)|tckEzc zgEh9aU#=-zWuysveMm#BBi3zT-+BX3w0~VxzMCNuQMxGw2@U_t2IknUVnO>RR;`sG zqFp*81sMvnwtg2i!?^kKKCf`(nX|;HYFj4F0WCrKhY}pX#Z`m;-rg^3+E&ujxNq9 z*uO$l5VVK&ReR}aO@)1ukSJ#f6f7*@#9i9`w@TFXw1mPqNyxa+^hPSF2c-Dk$}Y5 z>>9)3%%3RJ?%z@>OBccChe<%jt=o)YKa6Xx(0;j6Rh%yJGT&PQvTgN;G0f4ZUy1fj zrm8Sq#3|oi0%B%mVhrokJE27T?`fsHbdekRCK3>T%k#!Cn2yS2+CxzBMS2=1hbIo{ zLarOZKJxt)Xuq%&lhe~Wa<;`G-|n>;!G7WXeUbKUMKK{gtsrMf9Ku69HG;k1{E(;p zm#i3@p5~e}BMxyyI2*zK#rjR2_HaZoDm_gjXGC1+nO-%5h3))#f%Z$6Vt9JmVfI&X z$SC|LBcV~+Cqw&&t#nUM8_SLphiu*%H-K4g{3b*DZ?&RpdfKb(U~$NUJMRr(pI84p zOM6&W>6D)4k?koibVC0yfZbUBAx--wN6{fY?RK`KIOH?T*#P!m+5>6YxAaQe^fZ2! zr8tDFb;kg9hWfV@?Y}XK*6C@JS%%_}{o4`-u>Z{eCPjM~tZ12@R+1$+1F^lmt_Rzm z{!@(hi+d#^JuM=Oc?J@uIiLr7IQ6p_?Hj5Ro}Q+iwRr|IbnCqyY;^2T2<^WHmD=ed zXR?;gKz?XE)q}x^KSO8_wJNpJMW&yV&p`Aw0`*{j5B_MTt8Ay`)O+DD3-G84F-inGh(?#w+?>hq_-8ioY+yC<56z%@8 z${Xn-!v0ieAiD}b>B0O{e;lX%i+4#OU1TmZ;S9t=VO<9{llbRx+UKn|^66ZJ?mX|b7MXCOWDZ91@z(S65g_opt&rKjD?3_Jt*cHu7_;dA!q zG1|ADH?rwz(wV+zAUwI3I$jt{|75CC%AItfGFbAYa7pIB9ijaP`sPf!$ftB& z;a8FnDnA(umG_nYQU23i4f0+5Cmq;t!e(ox@E;*ZfII|$fR+DKSOH5wv_Qzu{v)6S$OCW*%o7U0KKLDc z215U*kR~K+gzpK1uzDj=c75u1u#m;2tzpl8H@ls`C=R-84LsQdrAH@b{Ku_=)UyLmzdLM#`dGa^i?NWCpalr$GnmK;&fHMLYE_w@z1t$fjT<8|E85|YBxr|L@6F4N$;9@p`MzBwy$VG1g4Pci* zk_+7gJ_?~^5iVmDr~s1$dk2_Rpd5@7EFR>n0%c%~VB!F^3J4ihLFa+kDo_H33Yrdx zE5K_oP*8c0vjP-@-h#XX=nC)(d?-jeU@QyiY*#_#0cIH}1RVul2k2#>0JIg@96*H%g6`4W5}kUKyxA#*`pf#`wQ67mHo;Bz@dDl!#3&RCu!k?lL6Lzl@GD=0BSr>1z$E@23p0&$ z2jlqjEc7%|SfarnWQk1!E?_9XiG?8n&Y(ZPl7%KAoj`B?GnN<$=?J>>BU!{Lz#equ zd$G_{NITG$Z^{yzLfV2Bd<_Aa>r%m{D?{J|^PLysV}!SB3hdqO5d3ncR*_b|iA+u#h(We+tB z+ycjWdV7o^Km#1&sqW^3wjEfZ?PUg26W{G?O?i*XF+?O%?`91kOD1vaABWyq$Fs< zQ`|v!A;m#`p6HHP7XS(b+&u;cKnetG?mPnp06f7q7tdgHAi08dZY2ZV0UQXHxX&13 z9RNo_;YKnr1SDHP;<_+U1b`_R<-!@ncI2L5fUC$rw5K^PamMQj1)1peHTEmR9~R^Z7^+hSmm(*kF%*H#V|m=f4>O}E6bz=Xhz ztFeV?Mve;%xsqGZX5^?qaLC;tVt@|8{^9%v3IntYwhucu81+cJVEwRi16_}76?{KT z+hEiII05-EXd|Z%zzQZ0O*h2qfF{Avp~eR01F})jb11n1{eb)^NIBeF!&D>71u=)h zwp!IdsUYkSzeaoqycYN$maL)P0j~rP4-u0Z!tWQ|dQOcfj+EUuy}kjaAGgU(e(IglvWJg8mGDF@;OO9#(Z#ma$L0r?>R@nLtO#%s1RvBc z=M(}?0`G%o%VLFqgTVD5XgQ|>=i`$4pv3_x07yKhPp z%K#(=X8WqNoOGnPKzCn+#z;ki{6o$n6`hLY^S3#8Dlr8( zhn&a-Of-_jx98X_K%;>Pz8Ob#AtwqLnD5PgHp}oq7V(|gUb844AfIo^hR+hckuUj%Y{^-uH;~Hbu{Nf2Jdnx!T_Huw za0e3jKUgKxD0gHWpTdfq#<&5|{7IJSw3wT)Qe=RoIE{7%!uX$A+$o|1(w!f}nwWw* z051GsR_zqp9_hsQWTj0J?ErheBg zmrrLlP2^Yr+WaNvvk8VdQj0&wbeTYz1Go4iOpOVQ8KBPZVv0^MjF1Za1m^r0$_Ti? z4`nuuVGIFTzBlvP7{dT5!*^!7jG+twDZT|$V+^AYi1YQCqGJps66783%@a{bfXAcn zH4$^}0SCOLy)+^Nf#mRJ_FRZi1d_=c*;6Iv+y!=cU-v|aL@j{Ai`$zRhH4?nyx_gs zVf1a}EYEW;H zQ-C_}>$XTI@i_7#FJ^o2i`a3b94~OY2HgE zVSoeyXP-Ke3Iz#V&L*`o6)hm_b12lv)Eqv6#TlpSr4o6FT}}^GG*yg;pmO4<^T|*S zg3Jk~)+VFbgc;65YGg8oMVR7PQ}vRGOu{(FkSdxi#zX*|L&|(2l!0jHY*K0y(R6}v z1|=mjF=vZ_<&0DG5{a9LMote!G?B52sNlp==HsEOgc43Lr7|A1LU_e-rv%04EF%gy z))aU=W(o0df z7r4=wX@ol`YN0clK_WN{`>5wdi;)lx9Ong>Xy_EdhGVv%7>$}FSaJm9jmR7#0>RlP z<0CO61Z~cDa#|#D7;&3JBAZ4sh6pz~ePqc0k2AK6KBivg%=LMc0Rt~LPuj_^v@_8>AK zrwUQPww!|pVBQjP*}8LFKTHK8h0UDp^dpud64*<#&-@r=gcvqy*3^$tiil+Q%}V-- zl_EUYakKM2&?15hJ8-td2UXeT2y*$g?A6@Q;X(5$D<7Gii^A8HlrN+Znw_ z#B_uN+i*to5hIl#V6mqMJs2s3L)OalGY_#81cx;vkttONv@0Qul`^$qhjt*ivO=e7?a=lFN0$3kkR95N zV9TZcbF9Q1=k~%()2{6cj<& zV-8Hnp`dpORA$1&yg5{hFwYE}C^3iLMocpuCv42cG!YZb2NRO!Vz&?gbANooR18XJ zW3G%pGi9g|T9~A9Q&Yw@LL;+hT*Q>9j3{SDjdvOouOMDCy~opxiAsb5ru8`77;~AB z%hVm`8etR=$;|DsCZn8-hC}s3_`fgB#oNtF-{R~GJ8fv^oYk1 z7nxC`ojSy01X-r%Xrd10C_$QOIjW(Pa|9vIyg#}JhJtt=gAUXReH9@AOa{D!CODkQ z7y#tJ>pVP_ksy5IAsqNT!ym}w(^+^D!yYgdzH0DshCU#|A7S7LjNJ}Ax1J7fWqj+1 z?k?7zJf1eICj_^K$hWo48so513DF-!eA0Q zIU^K!0^>U&kyF2bk7kS#G&tF0c(`yYZ?HnA@ve+uLZxt5;T;&x1Q*uzDYy;e0YQ>Q zC*toh*zJRRBO~xTjHUL(z4~FiCS$x^V=sFMuFgnl-`EN5#b00qw^#01^x$O}&h0Kc zkZ!mn<3YRV4jsS?=uCX4aJle9`geSy@RESD=%aWIMrb>Jo1TJS+_J#I$@D;c$=3B2 z_%z)SZ?i>j#*fnt@giFz7 z&++Q?ub=j4*_rqY^w3YWG>gaZb9CoVE;L96T$29alPHaz3KwiKad>Kd3jAQ}8!nO> znv7>|4dE22*OTzntvKAo0wfkcx8;jVTNsJPPi@S|ITmc@AsG`xLly`^Lx z5(>v{ky=dWM}pyvTVGqav)O*|vaQgT+F1)<_^U1F7MEFw4?J({L5t`t-2)qk;Ti>uj)1hwgsI4Ka-1K!NV#I-_086b)wuG(_>mRu*Q=i8 zk@4Bft@*2F<%;pwm$Xw?*=2*VBb3(o)$e7Iv7rmvQL95`a>RtBpau_uo7BQ8FIa) zb$Z3I#5822xpizsw`4CU6r5cEd`_>%{eiAK|JTm~ zMyj+6SFB%a1cbiTezC$Up7(=Pv?i=96({=DmuW|@j1(*ST`z6*Scxkh^cg8^by|5? z9O)BUpl!QiQY`61&uP84!hD7I%Fb?ut&m@Nd0o%a)>!FzCE`_|*($#h_Nw-g#p70) z6~|YmkLc;z5-Yl|_B=vUwRy|yMI|1PlveigWD(pWJE?VhIi+aP?Ru>C{IYLRnp=Ie z_SCXvk*b?TR4cH&UpVM86586j{H-w3B{W34d3m5v(uMA)UA`Pq*yNP$+xlwRwa~>0 z;?w$aS-+6$knPc$v`jCkbhz%W9lJ~_&~vDF)ec`yDOj|-?x5|m>|gNAZp5zDZrQRx z)y~4U6}h~hKWH;z(W<@tEkDR6)Li@4@<6_%4c$ol;&McOlU1mp_PJ%}d>bpezP7}& zZvGxB6sgTy+RQ6KLGHD(mnQSzsO-C~+e=A#^X3q(*109`yhQVC&DO~!vphL-$gS3n zCFV=KY4-KjPfO&NE~XH**2bl-mt5m)<<`=r;Fl%FkSnc)OSUiJ#@Ux!Uo7!*=Zzo= ztqDs@xrs*E@~u%zL%DKBkPEHuOHsLagY2`dj!Uk&E(Q?kR_i7GT&`ZWSnJ)T%@-wl z5J>CorO6j?z3fx1HSqr!*>QJ&A=QJ7TPK`>& zv$9AyN2+tO4Qp#s%bKd2ytfxZ)VfPuPkOdDJdD$%jwY$@nGfLl54{ixMa$9 zeC?`vIWCq$iq~7ME7c08q{PoJpDw~VQ@rC7m$M4AY$+!3lFM5;TK6d1akY!)**GoA zOq||g-E%E;`j^Ov9(n56dY%P6st$AOVZj| zNQs?aI30_dTkwvJTnLHQnp`l66n(qtSF}3q*p<1{FQjFewRxs}4LQ>59?3Sjlr4$XRnAT;9Ti7}41}53S?{dUWOVX<>izg~@36bcm}~*g`_|;MA}^&S~LcwAYlH zotDjlVKjFl3x&J8uo;y%v1P7xdto$6b;8^XCmd=N)j3vYhϒs#!}+CWQUK{slT zXpY2j$v+~~h;;}p7I`F6j(GYmjzW%zY#I)^jhiMrMw$-S-O?H(3nCT=PeXBSI{M4w8%4Irk!=CwA9HdVe?;3AIF_1 zdxk}RF+YlvBtHlf`BDdJ@~1aFN>aD@n(XOu57kt29+o;CH8iViE0c@{PdE0Vq(^oX7lubyJ+I@Dzb4PWKCS68?dz5noi&BEoi=fkj2St{Rac zLz*|H<6H;B>v}Y0r(IoL!ne9KC8l*e>QWhU zx&=!jS-WV2m^Whq65F{mD61a(i9~j`3EKLAZ6JMiUJOvH#=a(bJ4XhDRAKW-51d5; z>MF2Fq)n$hzpXNCG-=dH(NC=u>rRStYVrvw#5#~1o%DR_^0AgAp5uhqRyJ0f^v%)B zODzj~lhoz7_b4P2D@XEoOnX$9ft4beIZ8g-O2zW0wjC-x)KakQsc{Dl5A!4}bt=Z8 z(=96oJ2U0%VB1FY1PnXRPNFj5#NquW%XPT$jHPmbHDqRj7V zQYWKq@a7@6HD@LrZS>6RZed3!dDauA!`HE`lN4(&)6;62jgw!kH;mPkHA^PFtrLwy zl(2b|53EImb4RdAlj~M_M#J)&(UT)qaz7XR-E^wpJPj=2BSXB-;|N zmj%&;P0m=F>eZdX-k40XoX{CQhLxRkw{+1teNdzh4q?g}5(Wi`Nr-u&|Jme3e2DO3oto0HCh8(K_O1~%;KZf7 zk$YytO&Y|WyWE}Uy&4yY{&&-MK6E!p5l!xj?g*p%{E;zuajE~}@?G$KYmYcDQeD{68c@zf4lHY?Du8PV6?TQtjQf{(1g5*LHB znlwiGU?PjpGc_)Z1j3%tK4dgWjhMhBX+y%7W_VL8kGh%M#2OyalA~_MYEXv5v?>=) z#b}U*t+iAau0=I<4Da5qoi_{Bzzt8{)|fX7ZmJlLxs9I<_H8N}w!f`6``laO#qhpn z=k#;;rnuobP1ETQu1#UX37QjAL-ra@!>*b(Q$w~*R>Oi@^AnpEP1?hhTV4~V%r$Nf zf4;RicFIWO{IKV(ps{NPO%lVpw>F5^kQ&^fC5=d;8A4-ks7qsSI9RJ`e#loNaX47B zX=2DwgFE;fs?k35<7V36b2W|Tp}w0UgU^+lN{0e(KI{LW)RaGDa#OVbgF;i%(58A` z?~uGk^w5yHWbcrShTBlEdP(=Dbd%kXg}Pk#rdShVh<>A_bMthQ=FsR3#m-ZwG%gN> z-KhL>>X^paA4O*Y=`DyxEd^YA;hB9~(?*i0viG!()TV z4IaHQ^7!arQiDUUy*xZRc(MW6%f5h*3?6CF>Lp!(M+OfyDD=i$z=sF-G|2SYUx0@P zcQt@LY&kqSxI=xvXGTsB{k&a$yC+VrGvs-T`b>|boLY%XMbEx$r(bY+Lu?O87VZ~Z+ThU>Ba8P5E^Kh< zv6qGW1m`y(d)VjjUcuQ7T0Nw5aIfI!4GKLm=kSk$A2-PK*q?(x3QlhTyV){$kKhz_ zPWPmYhKE^_I<-4Wrpe7LMt!>5R`}J;qSS$I=Gi6}vru(h_vBd(mutZd<=qiyYn`t7 zHWYSSpH+3b=G~Cey(?YoaLv6Trh8Oc(czSS(?Lr7tSds8wGHH1)Sbqz_1qCRLfkh=mU zpP7HqY?$makrXw54pndO`XP~K`dm%DxvNh?#PqpxLrIsfM51x9QbS&sp#;|`SV29p zYe_uP$V^^6va3sc&)}L&gL9Xsc#y$0=?3dAUGYV|Q)23Oy1t$9(mQop{bpCnnR%Vf zV-2!h?q^(dHjk)_ckzVz_CW#kK$!710c&}fZDHnffM3go&H#>}F8>)5ItuIy+PFb< z3=SX(?r?)Pp%p-spz*+T1zH5y3a%cgE@4uDUH*HHCv}L*5}H2*7YVmbeiNQv!6a zql>$~rHaGMb$D_;w?whf@s9i4?;9KSnAQ%;q4UPz2WUe_(&6}8?K{k?4(CIYwc5AP z7ajWtUss=%V`4i<2X|J3N}(PdQ3s97riB>$4(o%f%c}X%dmY>Re=Uk;V>CNP_RlYF zWJ2XTg7;t21~V{H9VYuHX|<_P9^nV)4{A^{W{=RrIb1M}h0YVaIS~u0(U@_9F6aBa zC>q*E_{Mgg-w4Ju5R%yAvxC0SVuCZcDN$SKJp!HidSb&Is!14PN=ytIVJ;8?nNP=_{S&Nuz&s=dAu-(crM(EE zDFUIiwF4ZPYLZ&ZQ`^SHQNJb!vFoOB_SBonrp&qy z+%DyPq9|Rh1vg4LpSZEAR)!0vyo|41uFJ@I4HxZF6SUChU!yk& zYOI!_`IG2coEo{sfBuhkSeCGt>yciXOL)JT|-OC+<3s?JGJ5#=Q)#r zXK&SBw6JH}{7lQ$Vp}F>ullK$s=2p>&A#^8C{VL&F`GTaIx041y3x%vrjCTQp8nNMG^&n( zrITK|42IM-V+TklU7r18ZTXTCoJ@Vx^02z3bq7%owFE3hXeAB0s)b_{rUvYu*{eBX z?WgY8ncAu$vAdJ+ZA2~9ZevF#&)Wt zo#vE@ar433bu-P*6T0TlZq)(J?D0lZ)9ZCD&Ew<0nr^SFF#eNq zkV0Kz^U_#^k*d5}MDypdIfIR}YEI3rV z_O?Bf5mhbBc>Aw=Cx>d~F`?}*ciwl`N?{(fA2I>~Rc`$fK9F&ept@V1B8;^SwA9Yj zJL7e?o;KG4^~|=$jjQ#lE%l>q=Qq}?RmNwvLRmroK;7-L8wnwJn&$s7}{e<9=N@8C6TD+iZC`|2{;uxvsC}aMr|6wWQ9o z#q*y%m0QQd4otsySB(>HlRMKUuC?xU5!k<`*6nKT>ddewr{1Gf@7Ap}Crp@_*WRrA z-28oP-B4Aw&b8TO?5V!$nL2h;BhlnuE&Ic0(|O{$(9ro1*z|Pxsb=lO2mPkq!K+Z! zwh!MLod*Z5sWyB_X#CnAc%`=JgKgv0{*#wgQ$EleUiJ>i*G7HlYdGup0;Mow^ zeNwvC>I3iNc;|bFD(nO4O=L zKUd5p53p-ys+}uzlLMJ5KsB@ced0-a4YqouTq5!Ps!D0Ke|bW@$#Tuh>igy2W7jDv z@zrx>Cb3V+H6GPbWsT8SNhw72Z%LytACUxLsMKwWBqjEdXa;M}JMPo-;Y-szx#<(SA#QVWRi`l*)~_F)tc*t{zi4 z`_|%xgwA_VnOE^6H32l{SMOGQPMzcTv#Vz+98>S`jhV_o#cs-9+_f!bY{fvzPuzf2 z<&p}|6wiaTCFR@-Uh;sjiF9>r#bokT&Ixk0TSaj4Q`VEIYMToEqO?wELI%ec9^qkKEz z^+tbPb#r-N!r_{6b@l7=hY8MW{co#Zl<&uXT@5H#jx8UL|8;e(NZGACF#eC_fC6Qk za^3jvi)-1++U0X`x{CqNl@-b(;{KwoWhhIRo5cM@3rJPwmVJ-)q^>0?@02CPeq9KN zQJyZdj{S9E4XsQlTaWo;J|IN7sqAaa_t`aH<>E5u7~R`Sa zxkz1Nz+Gi(X+-2-!)uz#Q>7-6KMe;!mGPzDBRmJ!t|>Q^CPaMg54fUSRB9PEJ$aZY> zQpr}jT{z+>;Z>8VbfM7OG4Roej4JU$p2L90lawk>!MMY(9&52m3k87=f4BuiD+#B< zI?TEBhgN+mn6ba(BD9Ii3qtK*I@S0ne0DsERKj+nG2#ajo(wh_d_3Zp}`~ zuE50ZC%XWY61?D>t+P$PS=Eh#INLTW6+@-71s1jvRy9Z^UjC9zAnF97Y9~L*X23k) zw$gOIwau^QYfvRZ{txQ}Q)9KN#{AFLb3*W6=~ceH^-W`y%StKvn^sSap2$~4=66|r zH&{EX<^QU&HPk?)0|p&~11 z0QnQ> ctd5Co8_c1F+bJ+J9xxdjBTXIJ3o#g(xT3M3geJ}9f$C6@h&LQF}$DdLe zlQW7q&*__1bj|TaM6iCFQnb$D-5uEbbEHx$XZ-H3dp~*=<#Ph>ChR=uu9V2Re|Mbm zcZcGBHVJ-{@pF6SLUu6x>6SlMaUxq6{(YmbzVcJ{%$++MzkN_F&knuwa_#S`%Dim- zJL{`IS1873&uQzf`j=L^XNPIOUhXSUw9Piu-d+4Hr&2qc3^Q5$^SPozb_DD%T3<$` zRQ3bdA@#RZ#ltL$FrNEoQYAGjO6&c?k7&iIEEBDt79OB0+p@mhwx0hxSn*?4%xd!IjFw=ax4m`u|o?OnSbg9@zV{ykhwC1of}o56)KFKetpr-~G3k;@#&f zHv&6ibIhBm3^SRZ-2BmR+A_YKb$0nX_|nIWsI%{#e)hdokYRH6(BXl{n}iIC zw6(*Zu5UatqNM+_`(gLSHsgWxq0MioOLsEJQYJQknq5-Jh>&`1)n{-?D#K7}7xgpp z%|ZH{q%O+;?i)&anB+_IzcnvSrt3?toBp_dsWp8@;*RNqYnRH?LnWRX|EY8-FI`vS zyHVf8H*x7C@ta0JUwGq|9xVQcfxq+{>-78L<9dHXF2T|#&s^2}ar}~ey8oF3o!^cM zYY_xsKbR>{;G~X&vS2Sqft>0KhVzfJD#lU|1z)&E^oo|$5rH_jdbOe?)m!lBK$s+a zk-E=s;3x|RU#AZ7PjLLE6acLqd>`*%$Ea7~e5yOocqg+< z0ZiHD7BhMY3e1!q;Ujt+r!br1%+=m9YOd%=p&#aMWY$$QrF=c4t@XaEc$H#*sJ?dm zZAEg*`oZt3Mr9QdDJchI%bA504k^|LmzH~TD(aV`6 z@FI!1Q`5UDuMnNow{y^~EK}i>HtkFAv5Ip^_S@=T zjvuMu#|o$BrW*0fc4Jeh{mGeZ`RQ0|s%&yEL%uzBg%Xgsx+&if8z)SwDzC^F#+p(7 z9q&gKKI-2VtYWLlWgf9n3vZ%(r^;+&4HtGIj}yzZW9P`)kw!!E7h^-oPr@_1XH)7IQfFCCI40tR-e-PCO{HTD~O4bIvKC_pSVk z80Kt^-)fnBbWGpuj*oItnNy7Gtd5USe%ZYk`b@4@W|q80%-5OON4<~b&&Al!s61Lt zmFGwQm=5<)PA=PtPMZGWW)xFKinf>*cgsWz18Lt$PAe zR+P~_d3Myu#0iw2mi&Cw!wD<%>RV+%6m$HIX|GyYb5zgxn$TX5FOG5?*EUwZET0m! zIrh7epL|(FRLa@_iy>O$W4b@3ekkem=b*G_co`Eo)2;#L!;Vi)>f)Y@Np|sFrrd>4?@y0tJ?SN9LfN<)>ai3#R!AT2 zVBtz^$!v%-PG`X|O0FY>-tuJLH$<)}|@`Oq7dsA+1VZsxg=rM=h5_vYe`s0 z47OqFn7y1`$OG)b#1&MDb_lsyXTs1-?qW!2^OG@O13Af%`^{s-$M=Mc;dqlQu}4de z9PHinXn5sT$!IXUv0?DobvbNsU*kdl73GrRVCP1ie#6UhDZ!f!PkMdjOCo|lH;i>Z zJ}c)CY~3K+{Z6a|9=!DNQD@I7xf{VTA8Wp>9F>y}e(-T8^%z)u;5S#HoqB~QOYsY? z_&wQ>RXpw|DDO}7rOV>{M$5$$AFmdd_<5E)#lKsUec{I}dlTEUP#opgQ??epLXvgz zb1YMjJ~mm5@LMm9kGwK0d($te^h>y5kL+1Li_#O}LX`N>_gje-`f}ouZ*mV_rqK(gU3>`NblVj z#d_~f7i)NbeKD)kb4>P}x9y8dIz6Ba&toMu09@u3ZF|I|Ht>(KWu`nHr0#H!GKyL~ z=2En|N}EMx9)T&p9~dmly!7BDf8iKViefxQl234ari+|C+>@kSjvHkRvUTHR+7)HjZP zkSTNbPY7RAsw&ELKa6i(RVpuvb{~lU_o_jWjI+CIyw0*gzRW#$dfbympRA&r?kREo zG@r*ZGVYdf;{b-z))T!mb<{z|$;~lZed?&K48rY4RQ!aJd6BwXLR9ORl3|gw zn@Q9GQHX3Gx{@Pxhz56MD6YYgzYqIp7LB_KBKik?t{1hqjzpXo^ih^6aeWwJ)t_-$ zCe?L2JhwMPUMAA@Yxr!p&;xOBwGNlg+xxbHg<%?9G?%qcT#i`eC%x zZh`c^1Ia@rb(vE@cJTEGNM2?Zj5@I0YZ8yrrLhiu?mO{ESEY*`9NpF9k1k0kJN$5q z|Hr8acSvw+jaDKR*g2TE9YiV-3$z{PTy-K1hNLe#1iStoZqO|);lOkGf;Q-oX4?CR7reCi4s?Ngll12W!87uj1niw9&>NGIBVbF%WwD3$iG z4|B@($tWnWvDbB)^{UD)(6S$Ql=Z60EReJJbaZ-Dm0kea?K%{DbSFzQ?YbOjZrw4` zGj_HPm)yG1((QIj_K#e;gQY*(McKb`>h>wfw==X~b69pSh_fTvsW>b<6u8>?+6CAx z+ZI^bv2AN?mdy)p+4b12SsgW!mbG)VRku2-FU`08VH1uzijdy1jk9SmKYCkw%JzZH zj_FaTbgS)*wYuriYtm)5f!6WHN=gN}wue@&MoJe8qHPDP4h)oJ3Y=`6t+Wl4q=aDZ zx@Ekc(&++q+XTy29i?Lh(zYg+2jFEe?*K(c>IiFiBq^w1yhe+ZJN;|k z@A3*QEbg3G^RCECu%KuguX>l}xm$#4KUwxJ$g{Q(!1@=xv-56SjKEGTdS~XHw{V9U z)4bF31m<+DCsgm`yj}AYt^Nh!ok23U&^oc;jm~Q`r`$H4_YTf0HxIr2WY*g!@1?mw zvwzy#Juk+5MDxV7w?m$@xx1$El(%i(J#+f4CllW0!f;N?t^P4@L&>w|X1D%5rjL|7 zG$U*15cTg$Qp|!iejnD?lpHtX-TX4Be_gW0Z0P2{2lbUDUz@q!)alp1ESVzA(*546 zFE1G(Oj&*D);}w0Z)T?c?{0lD$vbA`8#gAni~dnb3A00m*3`>_T$a&* z!a?$7PVTIcvx0W=Wo9nHX#HY*;^nQ}2BUQ&B<%WUMAcr$lfORCIro%% z@4090OwK;??)d)yN&a}_Y=!sU?~{@~UORinTes-{P5fASHWT)h&j}wdoDKI5USyZ> zve?wc`=e!S+{@oh*LY`IR>!_1P0hSLE&H*Tg{Bi;?Wi4gE$6JAA#k|tY&xRZcF%9zCY~C7l#Lv{( ztHo?~P`uCC@4aHowgtv}p5-A0Px!~Xo*nlrT=>Q}-pRDXbH_p>Ur&3}C!W0v+_!tK zG_CU7zo2NF=Q7iCo}B4ppQScuvpoMXo%C9Yo{jL_W%`rXQnRzJp8w8I_n2;My4Evy z{$2O!Gfd4rx6W6=Kf;(y!mFP@8sO!^Ucp{?nQuT-+yZZ;-DC$wiysJH@$V<=hfK-^ z!TgfR`fu+KeT0{U>U&Mn1PAry+COE*L4qy%F7==9ik$^*oTd8DMw7*Y-CTg`@Jkbe z+ZcO>J}fj*yFF)LkH*y%cewenvqs};il4akO>G^CyJb@4wtwo^&mPxJ&bh%~JPdnO znPj`Yotzl_p{zKPBUEThj$Q}6Ml*wAR+=)B=(~g>$yKS8qQ%)mHCS5z2 zAC&{&-f!5;>{AS6LVrQ0yDG0wF?rznQun^Qey>S6T*+V3{U=tO@A^R--uY)#F$S%N za@pY!6Zl%Ywnci_&&1iaMKfP|*r)h=*BH&#);P~%-sP+MxFpWiWZb1d{k+-3$)v+& zhx)r_5BuWBEoJ)-Vk%4tEJv{n#*I zQtaX~G`6pPV6Mp;m&~!N;(Ely%*Au;JyFk}Vw~HQmc*aTDY^3=WjKCVV@$ynurv9G zM~&}0KksYFiyJz1!P&2GR$iR))FJ0S#pWE3UgH?&{fb|+JY>c@ou_&qW&H5&l%w-M za3kr5##4)&!+Y1HPkU*sclsnxOPwY>HRhBpznL;nd#c^ZTmC7z{Cm`C9$t>Zs%x9EqQQ{B+`*bqG}(^%;EsUt0NT8i;q$Lx-q5wK^MIC^({ z3LA(q&UI{;EeWd+GmdhMmxYJ?8GK6MINn|!^rx@!ddKJOErExTot zebTM|aW2Mv4*R9Q`g%ATx4~!ppKt$R^{HBif7&Lt{jlQHMThXVHQS~wHO3u2wWj$D zSe;69$ZoyqHDGQW?BLn@-lKk=@dk(X7E6ymXP#Q@u%{*5{m<#f2KzDUjNv!^9JT#( z>a~84?qr9(A2mzAho1Aqz89X>Zuv5&%KjHo?&N<5PoA}(g7Eu}{9_ndpH3!XgxyydSs7gq0hGBNz$D<|_-_dMP({GW72mTx<@w$KAbw~u=>@b_x&%9&aqq_{Afx4AH+#^)d#q7_(#DU<*KxYXB59>&5^F! z{P4AW&w-P5tKQYkl5a_zb7@sn-RABs@h9;LCuG&u+E3CC zekV7q>Ui*@^o7qHt5xw2cDKIpJZV@te!pDuhwDl8%IEi6ntyYe)44L>{;cLb_9vgL z?7O$MY0JtvRV(-3`}NJ1Whc+BoVxp{@xL}FvsS*oJJ#?YbWX&|@Vh@Yd@wobvU2Fo zzWNt)=d4+odFQJ51#)uX$}M-gh(Guf%!-yP^NHV>+42>;uWZHlXikV$e62d3zh!jx zy%hyj&-4B}bfR>{&s7sS|5eV;ThUdyCg(rBv!hq+t=yOOq5VX_3SGt3j2F$b9ag-o z=t}?N)d_UP&I-%)->BK_^7oemQh$4PqHpP+SeqwxCLD}=@E!nd>mi=5d5&7TL6OWhmTv!wNpZ&8dm+iZ- zFXBV|i8IS|r8mP~M9gfA*$j?@I!Le%o=vX4!#~Uplq=MS3`IZNBlTKfEk&W>EV`)q*M9Ca~- z40eW@leS&N8iNsctk-rgu}|Nxnb`tuo~x{Qbmjxw7lmDu)kDY1Yy%4CPZlX>=G*q+ zTbbD2V==b-@n5y>vY9(=xq?S(yLZPNZT~44QyDeRTx1(w@T01qI>y-yh87aCw^Px@4p;;rbH;!Ghi8-|Ov-`D~g*IREejT=}JeF>ADDTmr z(S?~IHe2(?2H+ir4K^LQKMshG&9t(K&)wZ$edL(IdOW9GS%lA2TR+cfQN(5+>#+8N z=A(P+%qP~p*_-8d`;S#x|B{{CZIm$cto20JozDK~W0}^kvOdYgp)%s{M~` zvi^`6E-l(I)7CmI^Gs{3*RciGe8y{uyW32i)!U5kBzDfnx~+C)Y-l!em?^aSbTF-{ zf90_|R@nz{z7a2*Sz_gR@O@*o&9NM-wsgzJB6Mb?)$a6whFG&>ZdPB@j@P>z&s=9! zkoH_`H{+PO)z4`YgpmQ6M7yvxL_b6JqICqy+{nYKRP$dPDTd8QR7aA(L2NueI4~Fz5f+yiH1fkNf%QHXYnyI zJhl26skBIoJd;vXi%2c_U9Xd4ZiiDX5gv<(w^(Qx) z_XTeba^Hd2nEw)-8))Z6nwn1p-SIbaL$qeEf$(T+KcOq$cipYM+I|@R1;wRY{cH2I^(N0bNNoSnVL2= zuXoc1ddaiHig~|mN*n#Ic3SH^-Nu_E@BcphVBX7(?>|5PYg+ldof|DbU%fIdf8P6_ z0)~IBI2<$Yz)!~qHgl+)(!&GCnE<9{7w>wx$ulgKbY`n)Ip!HYJX@yN`t%ERMx3)Vkx-t083 zWA4xECz_VnPkTJKYu%cr?^YhJn7en~zBliePCGMKv-WD^RqMkUb6>3OYWUS+TG-rx zwX+&_n;hOaw{Okn`pt8v+06ZAO|Ezea@ch4goJuWv-mU@RC@#LlnKE%2HGvJ)&;S~O-1OH$9q2E@${m;sSdzSC|uypel+fUWj z@9eCyi!7py%(ujv{QKUxW%Jxe|8ARcaPge!cJrsZbJN`6*J!%?d_y)-k`yyxFi--8 zZ9)|~WiZgG+@RAJQg1M@P5Qv)`9=o#UQf)GI!VmUZ|SaA-6jUqb0{!bsc zH@~0zhLtT-#LNPWlHM47h-L$3@+|uMuSgGIa{TeB{30!22h>i3F-!D-bt#C)sE!9| zwM4;J@)2O`^?`ro_kRIw^TOznnD>)_Jxkd36x{=u{q6p~ujDzvw&(YV@{N>$?Y<~b z#e_3}$+DB4p@;MaTGB3FLnC2;&A}fx=l4qh)7@!T$NZ`W?8!44=N#=#)8sU1LtU@4ZdFFF&Q|NZ*&_lp3+UmbbSWw zkY}d|-7y7zbJaejkzBy0gOXo3tjO8F;!AJ8cv;+RLvZlsMOOuNLJDkzO z=erYt?a7(vj(w*D_nHs+x1c*1kXEuC)sVG%@LOWp47@)9u%W3AcdWbNRyuGf$*fYoO`HpXLp0E;QS;(>jVfYP=V+7`4x4blve0U888OvE0pmz&|@6@dL? zy59@?)CkflcO18iZd9>v8o0Q2YSFEjrctclA{A@+Kg3rQ3+t<)~B~)uVX>!eF@!$_H=>?Ixk8?wrJr~JBCsR zyl5|A9d|-~u?=B>MQUQD=y*FQT`G}lNOQn~wm)*lW1RupyWZ9x+r1qy-b^M%b*+$Z zJHjS3NQlP3Muzmb;YKq7`&c{dkF7EAl%S)+qa7U*L54g`U7$tI&<6IrKktbb$yfuw z)ha3wd!zzv@v7){^yO2)-byXCNZnV6LQt7EUR?#)&S+5}HZ}lQv@ok3-2wj%>aSpI zv`FWuft7}>*@0K@{f1R74Z^x)Jmj0Nq#b?n0Hl41bkrj1F9!C0!yE!2x#}I#vPMJ7X|Vi*WF- zqXV(>VBDw%uy<+xA=sltkoFTFAw&Ny2Zxw!3)hm9gD?RTGDC5r1AxWctqs9;hXEFK zIzxuGlz=p=lo&0k`Un#+Q6C101lR`^8iqah0<6HMS%&U817ovo&D0_?B}hxU5RJQk zWDI=xy23E5MXjf(^4o(l^g}-6+j8w8EqT8Wl03;4!>h{xD?Ioy3_H^g*j+b+4Be3f z*vg2rS_D^s(&R_+c(pHJ9rwH=uRwR}i2-2<;4s@X7I{{mf^h8Uhw;R|$lehzTH3C@7z0OGN zCd*NRl6m?L^pGorera=ymRul#&?lB=;zfU}VLGc^qp>rCfMr#MbfRO<-`uO}(2`Zn zkVpwO*|=RWU{PzYMPon0$F``z)v29mz&bF&Bt)Sl9p6Ie;~wVV)moK-f1Wl2!_sm9 zTO?}iL}RSLZ`1SCTJqs5kcI`|xVRLswk!KFY##6 zTwAY`^L;?tWyNGC${BdN=A*%&B`YY{7qb7;E z?Bxt55UlzOM{)NOz+Px-VzHaifGs+m3QA=# zwwH}oI)r-wQ^v6C7;d)=uy+dwW3kunptM((--Wh_c-o}4+g3+zssV@O+y0JMKNvCa zE$b}eu=2qvu+;iZ7yA4mjP2UUIvtYzH*9T;`ghz&0NATQpE%6&4PfC3vMx004o}m? z@In)L`36KGCYSdl9G;;`4FlN1&0!gis#dEk)X z41o?&RvOsk0rL{vCqZZ*0i|!ZV>+_zn1S8o&XwUsFZ&@_LB@&L>%<94ARTPzMtf1fZbcu|k!hrX z{a;>71zzL?*sFB6L~Mf>NDEjc?MBCWJxw1=&C(%`1gvj#NhKb8Luud-5~+z;cs~Ps zeWnt|rq$E-d&RjrQd0oIN{pz&`z-+TtGt$o{fIM^VC}P!ZuDcX9zKU8&DSAUvLW;e z>npfBsW9-pPh^SM%`lL5-oSLDg;G$84i@Uj;7kMiG-w>KuX32DT{DufE|rd=#=X6I zQ12&tI+fO*)gjsx1AFpK-8I}U6tH(%=OpY=la3O&oeu0l58ctzCa-)-b;#L7h=SqJ zbzJ8nxuB zH}G4#@_~+2`5D*@_w>K<*kUQnh4Xupu`}Hoin`;d=t0}ogVIXXLmesD0S?Jy?xtiegZTmXxI_BI)N%7Qm`5vlDN1Ix65pTJ*8nNGpTS zQX!Nzq-A>UGu+4%uuyDeDmJSDurs%s<)~*2jLpwqqeEIPz*41)5Vt$hVBm8PMW40?=sO9LvMKCt3UJt=SV5u3nNa1#lfE{?M0j2JMouv$N z^ee~E^o->B44Dld{)_W*eu>BKCm?&(X6e}TW+jwTh)*vXKE}}g(P~o$31IZBI`Sf5 zRXCK#xvSE#A0w3%b#S?FFM2@A&?Ytc3mL>rqi2KC*_XJTB;UXv(tD+2TZj87>Y-0i zFPc`zfTdpM3@QDhXU{i8H-LNdU=2AJla9^L=%WNv`y+bMn2QW7s?8P*i4W@8Kf9?0 zyniKNuhE=zm{|%+u<uzT&vy{IMtOmItF%#fHw&kh9XUg5FVGGJe* zdzp@HkoQu89&LIr8oVC-c8s=S5M3ikOAK$s#SQ5O{?kT9I+hy;n86~i7u{_R(quU{ z44Ly%&z{VC(unsDros|UY16T^5jjPzbs@mLjE*k0c3;DgnrC2Xlhs>XJRLAy(7X(A z2w;KH4ZSGWrK9~5-mGQFs9HUHSW);EkF`kw6S|gXVEZO}C@Q9~sTY0w1h89y>lu>z zTMwW28+!|jL$ZPIiV|dCX#`-oS6X|~pDT29&DU-RhP3`m&o&u$Hsf}FNwCz6g=Jtr zdI3hf?CeD|aggQ^=L8v2rDy*aSlf&n#V5iNot2q^mA~nRQfg4qi~bV>Sk{O$gFL+e z4v9tI;o{5$me>8J({|kMuSf`e#mp@1YIg@E2&nN;pa<@0X%l61AcIsULKN7H zcD(3D1e7bbOS7;~5gim|+rCYK7MufV7Q;IkvI>KlwXLHauf7omCfs+)!rphwD2mni zE6~h?FgD-RV1~RLrf27bZIIzbe}zEh;1rUD{TcySyLp%b4c`gU2quIf&-;VYxJxqJ z{aO%Ana3$vSXXB|MO|`=R-pf`)zb6>A`F7%qi5?=IT>DE5eTcL%TZ7o(hf(Sus8*} z*HlaU5BfwfWUxTbO7mkn@cv?dSbM1|uv99gD64`b1==#Ep-s$NcQJ^Kv!1=**a8^o z3lnhX!z^r8AYfe;sS0$6($Eq?L==OJuhz4X(siA9b@p~hOPM4K`?0wVmKtG}0{v74 zSW161L*88u!BUiT;&ywt!44SyB@3JI0nDi{Ux9Yv8k)Y4gfU2zjh>zMS=Wgd1^a*r zJ=1fr-1=77PkFHdJs72duU)Fr7}C@N{H6}?#fx0MAXw4XIoM5o3q|GFzEPl48#Q#z zP~JfXxo50rVg*Lt&Qg zmxC>V6`HyfFH@lJVA7k|H)SzoJIAt8UqXS$j_P@SLcKQ!+nofM4JlWkJu;e>_y^@M zWT=LP9Z;pfi<()U=d*|$D1Jl~b>(`$0&TkwSgJf1R-|E;9f=O=!;5ZB!iPMWvK;KY z2*9jf4J**xvouYgjyuGVo0Kg3XF_csZg-U7`S*DbK$=8I2`&tcD$uPMjP37{e8{&B zmR+2JD)H(VEe~Jud<$dq0*srXRiLk2Xxjf(2F{RNGYjQ$o)YhORP+4L_#jxC{|wfU z6|4dsTSU_}eQY6vgf_5ja-JNpnJS)t&FgcpGxSqRAoOwXL%o@=w7stW3`1Ue#wa>$HJD*S# z_PcK%I&lZ2*~rc_q}dHH;f?7aUX=Zr=YKiplZSn`{R#9OZUyzB?f6$(vO2nyK{i%_ z(&mgoyjn2K^ZOUZ=V5E`$53Frjp#$8c73Ib-3Bi(`kZXW<1M2 zl~cob(c4bQ5DNyBDrzC&P7!_RfrF#8e`d%X1_=*i*&h^Zzu-osjOTZhndD>N`2r^W zyP*&L;yOy3WGU`4q>~@ZdMM6+!QEY?JRg9r%*P((J)i{b%}sr1_@Yso&P=$^AoIOg z_J0cP7d-Z>gy+4>JoB+1hwno*INAzIHDBnO)X@hp7n~uc@~~0d?n*Py|7#JQk8KSC z?2&PIA9|4bLZ_x=)iFrPYLF&>Jc^6`ns~nOLRLPugt$iuDpo1`&^?#Fz(GL&kRjcc zvF!Hl#b0qdeIw6ru{fQNUHyC)IvBo#;NHwHv?RLWF>I75SlWqy#ohmE;Q7qbzw)uJ zpu12?rHu5UuLPhp*!L+zmd*peNtJ;4)$@FZ8I_Mc$L~-A+f%AOlw0(LHu07{W5~70 zw+soS@qP`#^F!x5^Re*ZE0kdT76XZ-8K#S!qD2h(h?!)6XueP5u@`W_7HD8>{(#+m ztM5a95e?HO%Lgfj-1v2p9ceVz;MGp~Jl}qHE{QYHA`t_kVhXU z*^K%ez+^c*e{lXX91BoZQk3c3?Mn3LgTr)jHKfoL$8LH7 zc7H{n67AbGOw)t;?cm;Kz;ZTeVd2W)`CNSnjy+1Qpag+FVM;X09I&?@9Sk{6O|o(6 zm0CRZN-C@&`3G>=b}vI!vo~6azU~{M>Dx`64B}EZ$x4$9fH|hXbe=qlV`JJ&us9rz zQ=;!54$+e0pl${^dux(qW7BoG`>Q0L=W?##m}TT8N}#-+q(ncS8KR3*n{o;BVk}a8JlLH+YJoaN8&$qzFiskl~Q&j86 zEG2qy-4I=~VnhMKItG^dlrea9N-WREsJ`IX9glKK;4mFmqTJLVO&ijc3=)J-vQPCB zfN7(7z9$ADu=0d5*o%(9=M>rAK@!?X~>tiHfX~rOJQn*dSAbDYv>@*_UeU=iS=2|7XJMbfI@06owkk)yV?D=hn0Q2+U`F(c>3CuG8 z3?=y9fmNb=EkUVH&qIbxhp|n{4Y-lWpy%VQd5|U}zLk0IV`#WiNz+kr^Et#t$FTp? z+Ds>^SL*fr>tJIs_N%IpqUJyJ7(;cnfI0h^a^(0h!w!suO(*(GC-wZnbEp`5zP*qV zKr;;|&G%w)DH4=uE zcR!v^h~w3I{$IVl7z-c@U=2y|9YdoQDQWxW^o1Px=p_S-!}xR}_7$z?vp2bkv74Rw zl;DZRe+=EP?4xV0hnR85raCa8aWO)OmqSf3mAeBl_}Zc1`y)YP=)T%MIu)M;?C}kd zh6f{r(b3OfLS3jB+wF4*$`zBaF?44>NDEe&bI7_%7+X4y5dF$QJ^x-EFUDG;^Wecj zRm2!N6wpWeuMk^s$c58jX<{8hRJ#o5dC#?}V(e2!E}Ys{M}ty}KH6R%hjOHr0KY{l z5yGxSspn&2aB#?*9EwU4Vq<8B9KN&s&c~8N0gV1JqF>gk=XdSAEylVcGNDq{ zC6A$R4ZSoyH*7J7Y;a@P%St&&vz0)}RrpMdElJ6MV+ENCiPYXpOFAY2+hxzNbEIZ7 ziK4_NJ>T{8l^B~(9HazK%`(Q&w(?%uTEkh6@I{UNezCefdY>-n}yMvT2T#6UOC zmjL%Z>ZJWeBY++HqGg|MH=Rou-OkhVx^4t|#QuN@dc|Yt>w-?&{)l7^hhPejrvGU! zAs))o^E)ie>an!wXz0);HjJS=0zjHq##)Yad#8m@vmcyG#M)$hbFWQ3bU~viL71*_ z3@um)V?)B$apWRO%VsDpgS6mOJs(xLvL0*6iG<>ZY#KwmIy&g$hRJmtqO8%fLCx(j zwxh{pA4kH7z{g)6XUPYZLW6r}eCd8YzOJT(7i( zw5Sf6b`v{rWK^k^J)i7kOjLi2)APM+{p+#g@^FfBSS=evb8SHy7w5>43y*49bp&Qi z*v*TDe2b2*$GQf?D9TdU2~ilA!HLqxi9_nLwd~?;<;FyjQ?#C+C{F|oJ}xSl@{y0h z;~N>A0Y{uTGAI!+rUkI*2tA+Ko&`!LL*Ojap%_E|&4${2sl=H>dc(Br$iSpA5le*V z`5^;Qk9~3v`PTXAA4B;KGP=)&be;!HK$L>eCj`I_xIzV?H*Kd){Nh|VG7tV5Lp^F^Li8_%zsU&R z*$kmi5>SFob?Py+r%gipb6&0-((+Z!W^{*{5Oz*1$B!3u)?@Qi-JnMDWMD-)FQFyZ zKfA(oR;t$F-QwhbNtWa zbUk)6%Y_m+#poee=78x^1ssx1sabj0xCtSSp*jAA6%X#s+XR*B7Xz%89q;Ix%Af!a zxmcrSFS{=`Bis{5;DgNZMpD{w)bQJdw507{%<76j3_!V%<%zd zEgG=r`9D#V7V%M`Q=#u@$zXRNSX!)R4@(OG%N*eN_@_%7;8?MN5*#Yora}WyPz>};lMmd6HhNPDW9{lBeJhFMw-AyB z?8j~2Q-V;hEEW1s-YZ&CmlVw*J1bP|oW?Yii2Xf*p*mvj*&`uE0i{I0R#2CSSxDZ!=ad=(m{ZJ<*d=@<@i$WgHi;R!zB?imeH zu+%~5M=ik8VO)g{-D`lECB-REt=o(ebQvUr@9SRPh_#3pP*gYC1QW3AC2b$7 zJjjtxZB@`%6Iu~P@jhUwsbeE{Jj)dBhg@$4hXlWbW~n%XBQ^6N3e7seR(o*#=AQ(O z*hJ!dN+7atg_&jglBWFiRWb0X@X ztXqZdcB1Iiht1g>8PQ0yhZ`PS6Gh%Cmj9VaZNzSlvLb;ircj~3jEiW=s`MO=+*L=j z3**KCn=#7rzt|KuVrLXnB7xbc5~Nj$X#Xogxf~gKoo3?<-`fy&4Z|#75b}E?bj&72 zRA=@8EDpOww22u5m}?0rl?T}n;=%!ze_n8*5zEb=5K(2*hrzw(pp@v&9f@(qO*KU^I)pNTMTN9=eQ5-s| zLNf~hi^B3bvLlLyU@1V_H5to~&liFT9$FD~_d2aYUpouwng;g*j=bbULvPT+mZ%PH z1rwYb!6CF-BnYxs12+B)95M)4gcHsFU#!5Eu$vCOu!(3HxK}@4Jr{-_>-{qhCieInWRFfqD^R4ExiL+SUtD2?(ZKq)`UZc6F4CEN`-%eRd&jo5dQN(ga+(B=)+B9)5-jNWG)Jt(iE?PX!- zI3)kUD7$!j1z<;$S$?W)Jhput4K1yMTNCU#j#O8H3H|L$3A?3D`|2X=uTOBPS73Vo5>=cYGN57O1KZ|8~&A8_q?2}KINMKnNIF9a{sHJOq zi4u;i%^8JD0#3^aqu^)=y`}#f?9OP1h)P=#GLE+2t)+`s$CYx3A>o_Sm}P|fP#DV} zbd7$4Es@GZRPBSXarDbBzzDAk91{30!NfP%)|_?`rE`rON5>Ze zCK(2-#BG#~+}5&;=%3*aX<3#9(juiIfkR{TIQsF~1G>hhsfXHKCXS;oJ8EbXUImx~J;K&Y&w;d6 z>=e)a^w%5gc$`QiXrq(H(NBdnP({lwapWe&2%DeqbUEQ(qn+aS#XJCM9zv0zw;*L4 zopP$7i;v}8hGM&Mgk`mAkY=x%;$NN-j&!;LSXjsk!f4g-6#urT;|(@H?lDM1 zb0FW`Zqxodl$9K^_|ynHZ5Urch_Clg@wxoRH`p5YM( zIIC_25o@iO;zQTbZ?IX)IuX^j3Wp@G{2TrzE$#|O%HqKx9!eNnapx5OAw&;zA-@*< zR!5AZ{{;U{+jCxbIP$XJ2;0<(t|W{Q=@cK6H?s+Q9ri#ZI4`IlN88w2@Lc9IU{Nk3 z>`5#Du&ibEv2F4a~i#91sxyO+$CL`?jyt^xjSl{|7Nb*%p*v+InB7s)X1eO|Z(Eg47_csN=}W8-S%&tRn0LNmG2mo&DgD!HXin z&Qo&8x0t_Z|4O!wLo7=_v-y+ltB9h?xG8?9ExQTZfR~FXxw#Uu*X%Dkl~O$9kix>x z?1)$oN_Ejw{ATTuCM+$eOe9FJ>W8`Tg0<^L6Sg_%JnUJ{BQWb9U!(n9ho3-syy7$azkX^pVdt}LiZ3jv1#I}N zNZ|5v6xNWKYfuurdCDOR%s<2P(+N;|!2|qOMS;@1Ga{;DhYD7th1Y141If=|Z2U0% zpV%6E!tSGflFy}@!31AIL`}4-$I)LNU7>4^_zK}rJUq-w(nz9dbF ziv$7D3@DAdLZ@D!0V`}DX8*^WwI|#&)sy@e(;*mJdVz>KKR7v#?lQeXo7`v>ab&r0 znDxkiVoy}pjZX4k*J+xt=kEC;fiy)wj%L73+W)h=zu z9uZk0D)aO%j zlp_P3hv9*X0x;WVP^xnQrSgN|kSc#Q`r}_%y@S zpmdR-WO5u*G9MU%zg7wDDktia?ll)evkY?9hid)ctTi4cb-O!EIo ziy>IU;jrL`B&g9tHWz67+LUfMDjoq7^3$CN_X2E^?-;La#w^prL{z0BQH{QCDW(0d z`}e>>AZ3tkVlFxnMHLZ~{5#WIfK7&os9g!k;NF9Pm8g0+k_s7Q^E282dl)pyXRoOP z_Y%Rd)QqO6(O=9;;l`R&{;d@@2ro-cIT5ikUkH}qB{;+S*>H35Bcz8Nc5 zZW0M{EW~PbOYdnqRTe!4oA`qP)}!9q1uCwQ34W_)t^{uBY!p$-%6c{Wq2M&_Ut<`9 z^0;CEn#>_CP=XFl@V%y}1j`-V0Cn%OMwnUFr{StbzY2OaM+VrZsf8{?f0PnTuw5p> z(h{9T)V*78)abv$Q*0G#q)+1x%2ju0+w^<_Ug0 z+eZS=v(~|sag(aiy;Z-{bjL7Y8?@nRU;9ZZ6K8n%UHa7VWq{i--dr=}+BI5Jn$&;IZ89l)aV!BSz0 z1hY)E5mAfVdi&Y+k=?G)gvpxVd%ANZ*u;R9i25l^2@bJ3M%x=k z0dsuW&#IeD-3YNy>ICnpBPH0G*u`LJU%wjt`Pot0zCkj56AHctPO8{zJn1WFf_ zNU$!&A`!IH2i0hJX!;~HuZsKG!zl;dh@w?-5PF*`z#=RG`!WocPLXttSI`tk z=I8XYk%~)hM734)1Rt>R7PwbtA)K_d}utME^6734UjJ zuLL?j^F;#DQAUmSEI0yd@1&k1%k)ZiT78LtFsjls{GR(mV1kwx3eFm{kiB;Z+W)ki zhrNDK34giy45Z-~%0|F)!q}oz48OIT2NMQa zp`gInU4!QK7Sc6Y{`2)DTcczb4p?|Xn{I^Rzvj(o#lj<}go2KDfO#J(q)pxu^Yutf znUejJS?5U<%^PHRKf)NW)(IhHUE-lZU!aAw#99T?o)&@$z5-98dQidedrQn(u~|Nh zkUALRr9uCFfYXu#v8H;`Y_F2_h>Zkk4?7wD;6=0*OUu^^1+^AF8g%nc9Ik4#0v7J8 zWP`GDJPG&TTNysH%BB_W5~zg&Z6{z`lm)cCiN^vxQtklK-kkR >Vgf8hSIR&00N zxR6>`xlMzP=NHhaF&PW=WE%=&Q(OT{mp3qc)T5QH*n8QSkcy7ku0h{f7Ql6g0l+4B z1^f@{Q&39d48K`u--=moQwjwaY<)FoXl)Kn>w*^Q$wfm7cA-ZXU=MQ`zNgix75gq< zArw6O2-w@e9NHhguAnC!n-y$ah{lsJDokhiUpib{v8y9;A*Hlf zCYrj0l+DT@4a%8k)5TVufVmYa*r28jUW6SL&G3C{zgFxH+aaVjjso`aZWis2`Izew z=L7}I%7X#J!x-LsBBT{tk}MNaLAOIRXn|`Moq9dTTu(0Ep&m3*p<$55u2#T%Mg?HP=r)GWo*xf>^Jx=OF%A(LG%YiemaK@d&?6n@ zpw#ywNW132@P%fH;E;?Kp&(5Q*jqy?ZLqWSS=yiOd<$+eLODO_r zb&(ph>ryIRd}9)@Xr-K$rnGtyu?VB%)2y;uvDe#$LP2CsG{n>~l};T>LiObLujK4u zTmhCopmqGNH3g7wIZuUDunVR^54IeD(p`?~p-m@e=QK}x5&hAhb^O$N0;Kgn7Q!EF z0_GWcfcB5sZmB1;isfvw+}xXpoiU)}-#H&`#agyM5>jhKu`n03DYSoCK41&81;_Gou&jk#zzv!D|;t(=_Y&9XrcfVH3YE6o{rLYS5n_?5FMBngP4FOwO(k z%7(F(H0t>5kSdsfsdt5f@&>>-*Zp*Hrhbv0w4N(xpJL~{iT>4M9p4dty%j5$T@ebf zqe&XHw=G<}XmoR1g%Y=f(e(8YKB|wdYS%IZ{yV;E4?|lfnzr%EV=Ap(`>`_LkkZPNk0ZIi4 zG%b`^>5=dq-K0U&ZU78l-OWzZ@AM&xUT)Lz zZG;S#n#r?5%CaO29Fi6fD^j|(9;q_xX6N`|K7{)Y4;>$HuD2E28hJ)YJq*qP_fBB6 z#Hrs}Pd?UlvIGA4K7^5k)$%V(l&~V@7s3QI&x4tD2BT}D18ww3Yi}oeKB5ei25Yta zmkUD>dPRYdQpfme0W0yt}pho+~^!q&o|wbpp0EJQ^MsdaTitxL+sSOjx*s zuoJav`QW>V6kEe&2&s$;u?EdnM$yGfGuA*996H%KO}0CTB8Mg|KXq@e6nhkOP)PMg z)oal9>?pd%Z2&MEi%#}%>!uw<_4Rr!-|@giijAeF38|gd4H~phA4Z!*1+9e)VPtGm zXBc4SIG9jpA;sP|#Rvs;3c%V+!swdJ`n8a63K=`1$N;5Bvb6la53QxxuftJ7%A%?f zHp<0-{jLTqh?21b0|c1xHATzEKVB-uy4)j$RA$T@u=HLiO<##yrzdsL{QUFtWl$QL z2!9*-WCbXVj1a=@u_g`rYC|ZUS|omPTlKKcS-(vy_Agsi-T>FzZ7?=u}0>x>zJh>P)POJw!(gz9t`in z1Ucx*Dl-`?H=w?RyTC)s?`-jwVmCtqgw*1XfW6oG)5UWe05jJ~*^?d)zC?8etKqp8 zUx-4on~(~*Ce@$^3jAr>LJL?C~kO zj(W2FnUqc523=UO&!~n!&=w|z7bG_dsXI$M!GtnDc#)FakaQn>4$3rZ^oHN37Z zMv6_0Y!JeoAHeol`O&G4UQT)>oshEUeM`WEuL=!+P?{jc=DRx!1!LE`0lVuQ%=C6>l8G&8Cgq^HW!|!R&mSSh})(WXbgMeiUcF;B6 z4S=nkD`lSsY5=nqYxuNwT#Ds3*$OGE>q@ARq&~F0j}|c3(N?x8aK0bWkL7_0?MEP3 z3TvUjDxn`{eYg)zdnatrlfmy=+53T3enjl`bPd0+{g@QnH@a9TShQ?FgGTjwf4i{; zSXfOf+?Cn@(gu?>d~o||DLk!MBor(h1Z-EDHuu(e0*tVDZ5!I6I8vb?L zUmz`KflyHS5wNyGPq-%609YT|%C6t`z>jdB;RT^@{r@RC_n@Y(wg11J)6{pSDq4}) zD*B#jt!JjKXch0cOA)C>49HD{u**S23mB1`$YuXJNA#UJDpn9wZm07WI5P#}B_t|= zU5ZF4Vz`A6LP&EEX&SfTmIU@Di{ID(Kl426xvXbh_uBPnTlimyZ6t0yAZ1Q#X zJuXq>f=1}_?>T~P6W0tm-m8E^dWo71KEYuGET(LQ_%EbOlx5W5uzTbP)0$|XA?JEj z{n*W0P_wH)vdxMeV#+38><6~JMI)pwI)RmNGbEpFK_XdrHLEiL8@aO|cWwRlxe7)q zHA0TCN`i4=e1_z+U2<%~1rZ1b~z`hQ&x zH5E$Fz=SFvZ0U>v+i*gIL)*O)<_CA(3^}IO^wYrsb~UxI-aadi{T!G&)W;=yd;m(h zNC{)!p_w7Gj|cnd9?6WFx<3l+f?^-mfNegm0&1fM2UTej=B=2H8S={`V?X`hQB!Iv zywZv3%8q?D*|iy9JtB=@Ff+h%nHh5M;R|$U+N7rJ(s`7@hdZ$$8Nc6Eu=)H)-uS4}(6 zv-~KRNL-6s#ohgo_AC)fAK0NZO|NF}m%A{Y`s}c|ZA_yu5BlDBwclo^9GHnCesV+6R@5jjI*suufkWmK!CRAOJd_!R|gJR}(TcDNh2 zbi`rITT4P_NJPauTKbE&el@#Y2W+xuyUq5b6qgUUft^#{qm$3Ix5lq-`nI^g4Z`9KN);v;Edx{U)#nYjiZ4y!H zQJ08Z=@S03SP5yAd7Av0|EZS#?_QOfx+w{?iw_QbX4B|Qz}`#g63S*ChcQ1K&`*=Y zxn5fOZ@rCb%D6npF8(U)Gn>3#66(Uut1dy97z|_ndZ2fj{5^XIOwc?~vs<47o9qA7 zR+!I(x(A$D6X|A^SICHW(Cvz7PPVi#MV4rDU3X zMV&-Uvx?MgF$=7(`6HV~n*%Ir6HJ)87tVaA34q`BrXb(azE`v5`O$Xqv3(!e3QMiP z_;(=9+zh3v#VPX3&UC~yg@NCEq;`DEvjL~E(UC4u$;%GmlYV_THWQpvn=-sUwjAL%Nns_qbk)Rhq! zj3%bY`LzWIeRww7mKg{4ZeMTHl$<_>$#y}9P!#7Gfzt}p6p0aEf!~6%V5xV!UHsy{ zC%(_pA9IOHPjq0E*$vE7H$|r3D}<%~8EPtf7Fg~UV9O3gVQYdy+ZMwjn716=Q{)1< ziGC`aQ?tkA33l-pmFsYzoCPd;Lx=DocQyhu)%Gdkm@kL4sk3T!*O^4S_}tocHe2pQ zluM){?SfUG8^QSgm^VdE*sIXC@mMvx>a){`!Y#2)<`sF|Rp2tV3ppLPBA6dCGN#DW z$r@Oyk5N;G31FkE#Wt_;c3@Or@7vn0J_ih&g z$5ut+YEa-5Ii+fc36V$DEK`w+?wsL{?-$ZyTq5_??ZW?cZ-`{R^Y)t}{Jm$$-b2A^ zc3VuEU3_B7&1SQkfqgLBCbVVkjl}WI{3O1T)1aTu`>5G|j|{u`tQ1&9h!nd7nl_x* zM@BMc<sajyC^vi9w1FgW;G_(ovfoYJIVVNX<|K6yjgY~=BEMJ&~ z4j8`N<~3mhw&H4=FgBBqwt1N+Nq)`?1gm4Gn)?1owq5*t&oW!#6*|^cFq_yWY%|`0 zrLT0884#}9rce{&PdW&V9njIy;{#dgF zH;4kyx(X(nTZMm^SI01|2`Q7LZ^t`2oc@1+=}L1ex^vMxHm{vIz;2bd3jgfb8pFi7 zB}|gwEz5ND-cJc6e z5xxhEN<|`tw+b^MQ8A1!9Xd%~yeHPtb0O|(_UJ6IgEMd2+?*Y$u7b4fkmgK>Qc>U} z;RR0}eO6ehrb-(+;9lw5*e7>F+Wc~uV7Lyf#&?o@Y5z!v?@t$1)KGFKLa$ro+}0(Y za}{KBjF7{WL+QbJ6In2B($W8~%cY_`Hg&;-kVVd`RtwDD!3cZfAI30mjoVD*kHO74 zltDp71&;$Gj|o@k?VsinNoyFP&3_<<`7VFLM4qzVXj|00ipr|$MohO8&W%k=a}}@` z8SK!S;1Ca!i9|fy2W-)y!d)p1DtV=glLw3e^Q0N!lP)2K`D=sLM27ED@Z0#Tih3;W zwTsimE>5NiNyntohY{XQ5leBUs@p`4+&&0PJE!pzH!ZMd*B3ZRL@O}0m=PWXZIfal z&}Jf;-v{aFUsEPkl&P>ErDopANk(kI7VLS#C${}k#(W~jM4o4d>F9rljH=k#Agx{e z^qiBEN$CuiXxBiV5U)8dWxlheqHU>>I{FK{LB%?j=_!`tngSGWP#!vlH3+U`fdmP<^8-y+jt zr?F2(H93Jzmd|pw?n7BFQS*v-q-(L;tF6Aq=4f)Ir zzsz`nnhgDVHyV;Nt@ zJW8HuufFk{O2z7b977`YbDYfGe%@6Oo17yQ2^27gZj}6@F4obzG8$FXt=Mt9*s+J> zG)#Q9t6=BB93fs84J=tRO3pnfMTUetP*I`lCQ#q*zv3jXd$SSx4LQP0Y8KjNY#$|I zcPe%C&)i)V%WHuJzyFG}MfzUAcDgHD2n{NVWm*sCjgptwt9AHU0X1-JUkCJaaI^NWf>yS1J?4-vFPU6v-i&C?Y zg>}|;nt98^WhBQIx?w_2KHAnW^Jbtlaq@I0u=K$!-0Ba8wDcJxnVTBW(f<{it6~j_ zb_8o`l=FI?kcV}>JPY^mV`#?QZ84Hxbvh)HB^!R*=)}}La+K42tOd63Y8KXjSy1}1 z*+>pIk0SI;7NRf;j8_{uS-xMstKjy@EFn}|1T0TyB>DHpb@U&h8Hj1=yj}eF&yAdy zHZ>pfUMfqNIb8*%&%2G}Md>RY9c($LVvmLkc5!Cu2u_+f-oB@)%da zT}_6NlQ<1&&8d(U;X*|ki&n9#3l=dY7#!r>#2lpQ>N12+1Q$$LavE*h`?j9`@2n^l zMeX->hzIrza*~AT>xe=@hVWDO`zM&cN@yebdCL+#{a=S7RaAx(*x@QISJ==A%!AGl zth6_RIZS0ARLN)>(%ar!DPr*Sy1V~=ZJhA=Y~e1dsvBoI-Uch}P^l8&m_ z#uR^t_}8of&TD_-_pXA^S7!)KZ80a9)^&b}sZp$_w+NJq>iIapA^y#v;p7*!-(#Qr zb(-K8e*Od#7c)OXj`gia+q!&ExJ@B-RMc>IphNt^<2ffeXofU< zZkq5<|E3eTOJE$q*AQNM%tLmlSncv4hdA~^H|JI$DZ&X&C##S?X!mb@aDsBAN&#ZNJ66FxTe(wpViAXKMCX7=itU6ScfMYMgK!);@VQCyuQidbZ z4)Jt4%eh@NL0Zg!ObG0MoPf#plmTDg$n-d27nE$?5-DOj*uqKbf=b`?1(^^k9fYN> z2?L(;$V9%S&MVoqPk{ZhtA&$ma!OqVJ_S%ZF_pml;9)Y5BRldCQ>Q~oDRXIu_{g1R z&Stkj+FhwkD3iGoaNwdfkmNNN_4L`iStYg4I}X_^s5zN9>K0ZX-ZEj#x#lGE*1;|V zxxio2(|_}uR#GenY&u5GX?Awpa)~0A%LFU4{Ul?KYy~!Q71`TiQnEfZ@ec7KBOc7r(4AtsEH^D6AUjqY5UB9w(^p>3Dr;ok2n_4NOQ4k_6i8epT7jhv=- z5z_X_QiPujHz2Jz%0P~%RqN?fTCI|rzI@st{x+nMldvgwki7vZ!aw3FPh$62Vj#bT z)d7p_S5iI)k{sff_Y|B>Yq;Yo$lZ`4tetoSORM}1!6BL?;h(liIHYE3n0zs(ev?Q| zN>-dALz4G3aGE6(Z?M`VeCob%lKHM?VwlVhv2TjQBPH&Kq@p{2-oVK`!potQNfQ3z z6elu&tu_vm!ADOKEWJ`m2SGWqqrqjZVD&VDP!H=!sG(M**aYlLVG)BO3C{2hP zCL_Xxo_^R-3hc8SWN&jdSLmYy7IpHCw1mx6CSR2;AXrXD z$+m8*d3IqD?oG{8vX#qPkw`nMxWbPmcU=XBCy6+t z^hjpnY@D8aar_+vJ!!e1WG}P>`=X?hlX&Lc#lb;&qA+9M3T$9ZPfqM!W}yEk{=AYs zn%9P&HCw^Cxmkft%OEYy2be;yC+F9=8|eQwWGGn=Z~PRSgj8^v#mIY@GzOq;wqwc6 zUkf#QlI!#^(04=9lBGs(<%{yBPbwDBVYj&Gz&YEMk3Lp*!Dl(Tij-N#a~J3$DHD}%Ik zsd{{zzR7@ZJfoG=s9Tpq{P%|?oLr%~?-I@Yn1EAkWiswLBmEQsE z=hY>gq}&B;cWwg4(>_@GBw9~Kg1rs&zoZdL_I;)sp+9$%v*jl}aEUHR5(GoH5p63D z(G#+MpMk#K8>*!4?a-iFvc;VIgdUjc;{;)Ez&xbU0eTYb+Hau0@DEY4+g}4awXT?x z_y;Il1twQK63IOUql{~a95whuY1V!vrKs*jhMX_tG#_OETcwQ`K6GwKVa)caA#&i+ zVFUfpvKNI*+V2qOYp-##MYF;s+Ey1YG^OlKVZLjg7$Pr9BxqaoZY5jduEp@N^&019 zlr*AeG4aB?;}I#$TNjN(Br`n%?(N>8Wam489nQbX6*lHI;=m;WN~6!AZT|g3#1SGj z(BHVWDXAz%=MWzozQVa(wL)6NXYqnnlLw`u&LMopb;3X&OWCSqAMDUO#9=$Ga56ed ziB0CW?wzVNn=;zoJoMN9IB7Mqq_$~W=C7TmtbciE%U*hBgaVl)& z7Q_ipNp~}ZNdX%mYWGNEiT~Psx&H>$QT(XtkpVFa8W#Th{T-DN87^P zmDI>nV1Jq}a*|{hFvaFLAv)l_GmLNKp&|0ez5+O;b)}Lbd1eP5RJn-4mGlsc6cMm& z&oj&qOBQwH#QRr(aio!2=RM{SJ8m+Z#;F6geS{X)T6dknw#keRZ>(KI2aH;1q;|Xl z_Mgdo&erVz2!}xpv``csc7}N?!J;F7_TDtmx7y|#*}YZcnC9)x=iHbyU_}MA(A7l) zTW->k>56g#Ug2{zvil+?9O5ra@;EQb3~YN0Ei?%ifGPWRRpvO2O*-Yc;yyOhi8q=x6#H_|Z2e(c&vY$TzHnJy|b5rL4J0_y>o%p>oOdQG6 zk#D!w8?Y5N+Q^EttjM?GEY2;%^4KLB(Mg5B9BPKrsx%#$T#y^+pF>6(skzTMV56BB z7b2Tn1;-ntf;D3Z()OR$k&{D81O30*`bKKt1+bA_nVj6z*5ndtFG_`fj?JKLBT^mt z?LjkYhg3uXj49v3CKRUyQ~p2i`K~t!mdG>D&Gs zrKY!~kus=&{U=|>Nq(FMc62aWNDB>-;ZS2{kepi_fZv==jns`Zc1#*|XE;qzVzaBD zq%K-$%ZrgQtsN7CMUzJUm(`3Mqop@!mo5I)B_i51Ah{;_aq+hxl1vGH0V?E!bVz z6fLaEsgz*>)iFrEj(UZB^S{@~&KwZ15Llbcd5s$&?YJvS2pxMQ!=3G%K@zsj1}w3% z5lbFmgK3GJq~H+iDmbr+5&{FYP@11QNRGJd2Kx7ovPO2g-i1*{eUg)Wl*PJ4H>#ts zojwWd?ZiRi7+iouEVmlj=o5>mA@0Ch#(Z}&j~cADX>CXjy+OX+vSta_>y@od9}{nNPjbt*T@cd z`#Z(Ih02XD*@Q={+ zbljR4(~>V(Pb0l6{(K`9SsCCIpP!a;@|`n~wni2ygk~{l+X=mvye|F7NaJ=oG9>Jf zQ#`O+%DFwI`&^>Y1Ci)icc4_;ttHRSZZgtyhI5VV!*>Fm;@_{wa1u#3u)7|S_|&~I z9h>uQT5@dnW+Of6q#M~`HLxLFB=)%Gfk|J53v20KV2Ug)8CdCUr2iEvMF%_+Xb-#Q~3DGwd6;ihm-p5Qy+5*<$n$IFVVGBE6hYe~!ne?(y{tdaG&8sZcm zelMIW98Vd*w#klgyo$Cy1AEbdS`vKpu#vtcgfz16QK3$8^06>Za>4*CcWJmV!|cvr z;#7+RWcGcD5ql0)Bg@YM%dM1fHe-O+C0aCw3W27u3|z*x50EEQ;lPsiHL}KoPa5fCQ`;IT=@Vd2wTC%b zV-B$9O`*bA|2<%rItIw_`V=E7($+?HeP%SIeQ=m7+-MoZF@!5bFxb=?%wLT;1EkL` zLrkOBH?oFJQm6RuX9Kvx;&7d-;N0^N;h%v+@Z09p0dhf|X{3Ww*EUkcMqrtZ2RRvO z)#1RUDnzg*%w#Y>FbM#v$9D2=*^ z?A5Psq=pW}ImM?ae@^o}ddO9<~rhh+^`N2~Hziqi}q<^)(*GQ!~fPGbbfRlN5 z4!Q70w-7uXvpth}E75O&{M~UCiL|(=p!nK&B>51(XIHaJ~Q!*sdnjKuB)L=k&&J7X_IrDIDO>{pA zTf-tPg9a5;%q%cRv^OWO3mCx;ZBURSFks$Q=Q_YRok&E zl{SK-bI%~*-LVZ>OzSfLelmFP8A?q;kAi(1k>(V~PJ3}0$_%XMb)c}Kq=OER3|HCa{6R+JJ~G=B*U2kM!*sM9<25te~7~U|*i!%t@YS z1KUv?C_IQv1y*YABhzHWh?k003W}s;AqtN_<1}s)zzin>g}xrlQkuOSL!Xd_c3TjaUY>@gC`@IaXHSYup zT^;wcm>-riedN#M91>}~Qo+t$%)z+u{U)xk+Y0RN;2~kJt~rbG-JaG*epx?jr2ofO zreKZUc_{b;A9IE8M42$zu04deUWcGGEU}M#HSI9cTb#EPRLe_Xhdn;#B>UTe-OoBC z_|42fT6%OJ$!&C@3@+YOu(wMYrtC3E+L`CZk37-UgkjuPP=hsJG?OkHRHQ?(CYMTq#3Bv|XGuR2t{whHD zB+5IN@qOa#C4b<@5O`H1PeD!9w>ib5>o#yUk#iE$mF56pEIv4wiPKqoNl&l4i9R2B zK|$S#ZAW*GUC-IP;-_4q@~Z(ts4N;7XX+)Vip3^Wq$~xy->m~tsPg1AF`6kH@JR!N zwCqeMCH=kRRm6G|y(TVQ!H%-PPEM}lWETZU+qyYG2yFX47c;KTUK0EMMic#C38@M; zJG~Q$v~?Y4t4W;3g=gX~7`n=HvAE0YCAo%8Ci-8Au?lL%#x7LLR56GD2u!;~H=p}s z>aNOta~-0W{93WuM9-a$R!}#zz(C-xgF|(Z0BpTmHYw#2P zDeTTe2ekB%gF7WA`WxA91v~5n*0*grXUjcgN89rKgto=7Jm#%^#vT$p7yibC9SU}B zoz^M-HfmQRMX_zx6RPqG1iJNpi&QH(>{mTSI3bdWj^_ut}r zNNkhLM6ZYwD_H$HqZ6A6B2J?Wak@m4QeUAhNQbuBLwiViPNoSLP~8>O=rdpgqi>`3 z<^e1F%vTr-n$Bb5UId_Rd-F`#m0F4JoNIE5(>>qjyi^uoM_r42Q;-WLbPFswB`_u| zQiz-#`qYfV6|-oSH-^vQApY4R?-#TtpZTlO#*%|gmw*Kda<*jzSpFSiwKcTPp`Vs7 z^8X0jme0ghjIpHW!qqq3c}`BevTlK(&fX`Ov?sy~9mAf7#I zE&MD_z|N1mi!ZVa=i`2_FH2shs?fGPR?bS_u{p&fN}JW@)(z~sN#IwF*`YMT-a@|K z(qf{wq^afXOe3(@Gq0^)cBkMH4KxURs9^=eytT>FLc&I$KxyJ5Ih&p^1#HV}tD81{ z!By~HzQFJ8U&}CY4g;i>cc3psE9KOb`wWyyIjc>sS-?HjAb~e@?qHbKCz=-WD(bn3 zz8>^I&K`RL?82Q_R@qen($dxm{D-X}4E8PCTF3>l)`R*nH^EkO<3g#i7r>c z&53#bldKphjmT;tjsYF8sd72HW`h%R@>LU7&AxsYE<7vec|%eb!+htN)Iwr!j3SZ7 zZp*3E=fM7?URveL7a>huFwgIeyTRZiYiSEPM~$Nl_Ls=1#=Lo__(1NsRbo0#Tm`*h z^L%J{1+X7OfGtcQL)wev)a<7MhPH<vC%S z2(T|_O;)!&-$k6CzIO6OLLW@nFyBo047-Vb7@sGnLNB|V;+Gpt2z|<;t3cK4pK}0!`PJWeTxB8wm9)c zysFXBj9X#vnCX8T&LZEcfc3d_R!vvhP3aOnCZC=shkaQ0{hP{ zoz?3~8?Z^%&I^*yA?;*JGr3?|YR0vt<8rF`h~FFv{-D)%QjfM(U9RVhh z`Mb|@Gu=Z+%Gn(?z@ArXtzP~%NQ;u%`41QOU1ZE`Xfq*q+{|=vV3?e$Z~^;PKVX%w z_g_g07B|`XoQ$JTni9}V`sUot^mTi%oLw32KPPsq8n6~Rqk!FW%s|7W# z@Oc0;`M|w(z|QX1Sn-zbN+P1#XZWT#DcYvy)MVs=*o=FFd*p22BCz4}&#i^E?T|K9 zIK!9e&H;-xtI5%oo@V-C>P|VkAu?bN<3hJpo^Jpqjh*2`gU$n6>{pZg*!5<-@Vs44 zU1$Ktk36%wg--z+{d9&OYs~{z)uAT8H*J7Je7DNkUeTdB@ubHytLEc?_lPLkImHJ$ zZ@`58IchSuW}}%tZ}CLok^&nH?y$NoM88K0`rD`Yex8QoSJ;qzsXGhSC&{#-B}ViCw~2)-6|{ZfYQ>~DZVK5 z0TO9xxEg;Y_{>ZXMZ3$Xp;%ylH$Jgq*abH8=@cK`@$e$!TNR+j>t379^jY7Pa%z`fK0U+y(q z3ro^gkphR*##=*1;Sf`Q6Zy@w(@g&@WVV4)EtkxRzZq6r<*}WR=J_eGwi!erxuc1E zwa>>)pPHF$V6_RrUcINbdVOpHMmadX$vy|Av)N7L!ma(lw8jQ@N)7DPUX|62n*k<$ z!tqIo&oQ(7hRL+(VBb&ca^bgK&R{1n|pz6|k)36Z)6 z>b*o@uge;(wshK^6y(NmeBhz?3vekVv5>VbyqN0L%NB<8!jt z16%ZOBHzphnCV}2Jq_%d)uE6k$gN(EPGA>it^7YN+koZIKPKOV2O*KNnj2VgGO)pI za;v7%1T3w^$|ptb2DV^*Od{?bK_Z1eZeZP6V9C)9R!zDcCLFtBkI9!V z33|O<*}#(3;phuD>a7y5z}2K+SA>=S$r)O}yv6lCCjU7WZpJGI4;m;(GO$yR>)&J*6LQ10c?b`@S)n+0-R!JJthZN#6VhL zMFZ915jiIwT~liq?@V82r}R+)zx(&z$6 zOU;JTM(Jbng(?=7wv{wcIZuG~Wma1YyX}y+R$}2-neyR~k3t@kGw+>1lG8;ERD?Kc zPJFK7N2}Y#Ko277TW8^a3M_`StA3A3pELnUKF>5z>(2oDa-hm;^Ns_S@p6J+m3O#e?nW&@RV2H26v3aci-3~Ac~CitYhM+LZ@ zu6aZpkItCsZ%pSJDDQS)$MedqZcaNerTYZG&C&v;1+9LEGhei5P1+1tRX#c^}u7{xWXH*gIRovj?>*M_)Xdb4l& zkUWnqFyk)ht_C*z46qaWt5%y&+!|7FH*t(F%6|V6?&0emBBqxxP>yYHphlhmJ5H`( zf{_7f#_ePLn0fsr#(bsoAz66u3VMCk)&`aq$Ipq$=U0$OU68h#nE7`Dw_jpf2Xh{h zFQr#eq661Au#+jk1|lz8y*@Gn+udR2|B|-*67$^#*+W8<*ANBc2MrYc1lY0MOIA5+ z2NqRq<_-G&Fd;GSA(>oJ3~4fP17+|?m=phYx4VykvYa{yO{xL*KH;~ zP!kKK+vio}ASp!$jC0kq>pc>YA)bs?v$+e>7`2H{v!`8RevnyJ*c+@seY4HivrICu z$>4me?Wqaag#uvF*^t&?RFSXmRigGXj(Tde1z1md9!#(UOFaUmt@)5Pp;3`sz6zsr z&`dqGXZ2|m{9CyQ{h<$tC`@ePXU2;VtdH7MiIRO zRpi*M1~Yw3(pS$`sDYh3mTtvk5^qdcV&t2mTVUz>MJ4%qN{(rsp{1VEFHe~h$L7ea zw#Y+k(Kd~Nk7xSPw!>3OGQGPIMKz(Ro_#L?7+-P5>h@9!>_NE!X*mRkWR5Ax^BYPG zA2wAzwOa-3Nl%KkP?olqh{6*L{5Jp5ON?)&R!P1wsDNcF>e-lOGK79Q*(zD+fVAn) z419Fr46ybNCHZ4pGdiH(y?QF226k$7vQ>7`2x++vJs;gU2TLcimE=Tj3lgcTqMj;m z1ordJM5}Gd46v1~p8v$^0=6_oNd^a4BzgFqdg|el)H(65Q75hPYXR$s=*DF|-ygW@ zG86YHtt1QU+7Sg~Nj=po1@?1Jf>q-#1-2;B^MNUAfCYpo$+3*52z`7}J*$%gJ5_PQ z>Q#~o?EE@CU)JpjEWuAnzHRQnAZ}&qDT62tmbTDVn@7hw3`Q?>d=vNiWsIlxMsjv_ zH)5KaTThKd0(&wUYqgaaAkC*9SkSJ^xc@fMNRp+`%~+qFucwCVf$=M2trCwZNV}b( zcHmTL^roSgCnDWu_pL8F+%(S+2HDcHuz)aQm zTs^KsWXy@v!(yy%Zqc4ZgbU<+nKkk6*oBNptL%ylSmtvr zZ#^W1r6w8LR<47zL(%nYsSDVdk_fAts2$k-5-tDk>2omQbzCEvo*BV}wkxupJuk_c z69=opvEtik7HkNyt`R?ri zwC#ooqfBpbJ-gKj?BtvT>jl5{n3&o6`FP(OP`Y$pLB1G%0l$U%)U)%4v**M^Yk-YJ zuEzvJ)z9}!OQCeRRY8tzefefQ-CfVJmB9F2N332)QXnmu>E~DFl*3YYqk^0|Kk+7! zcGR;sW`P}!3bM*uS|Keopr8LlckeR3)X*r%x9lq@)qY;j9zB=?Ec>vvaI+5BQMZ16 z?Tiv8xVI|E36IyPZ{Zv2sTJkG$gKcuO;~~X=z4iWkQy;{OH+_wsSQQ7e{DVcm;*NY z@Ss())OQ0Bc~|xFX{UQ3ZE1pn%s!ZfdqdXLv*)NhL}AF^>XsS4ffR@nA+2o?(nOI8 z@*8i*D5G(&XT>*xy>cF~dYMiGb8PO#bj1Km7XlO{BE*S)8nm*Wdi)YtjQD`HFn|Hp z>(KBY&QHS9*Ygj^Q0W4)x64(>M(tyeAv-9{RQu7kTP+&?-B1UT{Dt)aIWp?Pm=(a+ zv5u?2ehc4^sgwoMj$hL7ZAk+1ZNT_|T-d&d6=j>Fj=gRI_G`M2Ri5jDwD*r__`voR zS1?`CJRrjt-X6o<FH;>` zehJvo`#Y@?Dsc-DT`+d@2KxtBnAXy?2V~A;$r!!MZm7db9N6zYJFJCOIl$Icck^RG zTcGq}!UN)nSqe-xR7X{O)&_@|ysch~8Yq37+|4&7cwfP5z>yEgpZAxI(f?%`sKXT( zV86MxTis&EfrV}F##Cz06)dq2J;1_q`568G;(F`Y8w0>zuHA0+QqKb$c6OoQQ&(^T zCEO=x_q&hLKkJ+8*!hj^m>%!;vdULWwvvJvwu|3u4ZFhp)s4GPzARo1EaY(=CCvi% zI&!mBb|xO!@TD&Fh2v=3YvXpM^!iaxd4JiE6N(c{%!{3j{t@LP53eUhH;35R4=)v;MJVC3#5 zYhk(>*g9h;-_@E86Y|pTli^3}$LK9wSsmN+1lWa^kD=5KrP)7r@_TjpFd;nQKA9yO z5UjXcb(DukCwjeMqg5jg{G5pT6Fd2TSc_o7rbt*CwsDL;r7NzZq7s3TxsR-}WcqVb zu*R#CFI&8Y&@TksCkJnTJVyU3@cTOIwi?)19v@jH>NH3@?&#qE5nB1i()oLY7&nd4 zx0t*-c5qo2CfnOKSY=B(A+5BzgZE2(fMAtc?~y-0|7?s7p2@DGR?@&;QR}Uma3iGk zUhLrevme4C3C4TmS=Qz;`qzZaI(C-=*wYwKB+?Y5IRZNPz1_`kVyd}E{;u|ddv)jP zSgEKRWiWf4RsPC<8xgH>>)_K&eZU;dJ@R^mHym<^u49=9V87iITW#B;fq4(M^Ep#G zq-9I$J(3*0V~qZHPIMhxSqp6Xo`EujVSns-y>g-?t`V$usU`iNHZr68+NzKMS3U=-_*|kBf$ww z6mXB6{CxiyUiS*FqYRb64m;eeUI84iqp#a|L&gGv^~8CXoX@0Sg0QcSGTDGRR=Qbj zmwmUBg1wDxDEKR{Vg$6@B|kqtfS4xjuA?Ro^kPfLbGg;l5e{riZW}+N^#Hcha2H?j z`6F0*?>cJqCa{Rz%dCB>?vf)VhmpM*>+9Iwo&9s-IeG~;a{Ga)p0)B_emkz>2Dt1l`9mKB_nJSb zqf}Rb1?RkDb!(mgmRs10`nDI?vbeh>-BU6~4+V+q*xN>6$4W%jLc0LWC!&@AFfJHa zbI4udI2$%b_hhfCW3xAFA?@DVR>@}}-b5slq{#c}X^~s2Ya%>!g9P<5gsCPs|wp zwKP}DIzQ5(ZBs6b*RyV5d@{rTpEey>qOOV@&XJQ*`^F&J;H*;%VqW^1tne# z-(}7Qw!E{7g!RUbVR}4OOXWQUHn)1gBKgb-Y=a|@@8a@-DYC0bu=|NI>|hvc*}Y;t zEZyR?$YTO`kOGA|k1v}q0v4WBMZSzo7^9Dw^tF^T5!kUk4vXwE4a}R#J6 z0Je5%9xp^a0JeFtk_2x^LB45QYS}p{u%0ZN#cPQX*!AZ*{JRMcfpyp_Nnh$2!MYzz;SscKp8#W&LKa2B@+|DD+RiOk_2 zWc2}a^j4BgM>@Jp$Aemyl^D^s2d^x$+$dnTJahQHtvX;=+A7K5uFNs|uC=O`iu@5+ zaPx%4tuh6e(Ui@Xb&de@%%~)PUdS4wf0vZkQifSz#|B?o+}KuN>ua+4w%#dV^$C?^ zTAho0OS@Ie#`v2c%`|TDGHHQDCj--CEdFj^WF>h`@{n)3Vo19QjCa1U6cWo$Qc%1( zn=dj8z@7$FlHY?FxOe_K(()y+z7;Pl63<0ok7l#@y_OZ%nC~R>75J~>MTB0OS4*)w z%vi?0Z?Z^shVH^(q|D-fvaPaT|_jOo5fr0 z9{`IrRFK!JFT(^=MlD;a1Gcc+XmLx)0k)FL;{W2>aE)nw(N#gtMqWXN_?|*suv}o@9M@SirDKq`rXzzd3)piF*S%6I zNbvAAOfXuH*Rqw*fE_zGXz^-r0$Y7Gga0syx`um$G?cC_hNb3+TGqa99K**2twqiT z?j{BJT_K+n5_Sz+h>{BOOUz9SMvF&l+1)9?M!p}g6b{h4iD=e6ga0W!>Kfx4<6A*~ zt0+MYky5qng=SzUZ)+@tFVY}QJDA4*UsUWhTq>R_Cr?L9;gFoYwd})X6PT{teQv?a z@$mO=@hV|AEohW@pI3L(JG4t7`ySNre5Cd|uJ z{-=Z+a7a}~Imw;+@y#4*T`ik-#DYyf<5P>xGYVK=Z7ScCSPDy*Czg|itu;ub&Na1E zQ6;d5*>+3e#uQ+=DXDzf>2g?_8(B`yovTF@#@%bFs@K4#`6m|Hr>%QP!B($S-Y@AM zOc)O+C&Tya&}9}^z#;psbK?A!Pb~7yT44RNGR!TNh-uJ#8F5V41B-IiQ0z5eyqLAP zZMOhRRm%8PDNP7gqos@-+$Kkcq|Mh*eWSom;-Ovnb{E>VkR{`{rSza}VnZ1@oTh*Y zUG^HbZ!?Eg+xBKl;r5WdSW)`O__Zlo_${}q48LD&ghR%sYN(!kU_E=(7L8ZJUhG>g zrr-o>7=AP6l#$74CEV*W*07JC1A882ZiLdJ+0+fCu7VW4>+~#?mPpI+26!_nQqo`zTXF{2@t8)7<|8wtr3Iv56J{Qk z&IXl{*Y{eGZ>@bbY*q`fKT;JI+d4a>4K4+iK#)j>7w?edDHf$h*HS}8ET4iyvg8(t z`=Nc9hdfW>+u~Oh;wd)U9Wt_|9RnrzxQ5*t3v3{_!Q!?=x(|Cbg-LvT+?qm^LDL=b z#o4EDh@Yy4;_HFUeqV2~Ey#ckh9zM+=2^&?1A6a}V^tl<-pB_vEb9VxptR27_PPz& z`yNUBs_4%lt-SRPIXU_aB|539hW$Qh23UEmrEpXS?3nH}zcz9wq)ns(^L&ni&y>|r zAr-)8@77qno?C$xm7nH?kbRK0BmNFK9-~1_drNCr*DGLyjnx*n=0#ZABt6al6chqW zFNWSBUzQDEjx=6W!z%aL;gH8aTD)pQeTZmu{b~NgLlK3{56}GWkWsxBN}Ws%CA|Xd zkCrM+VR51lma(QpKFu$>knwf5-zI0)=n(otxi!>^5n#vrD=l7mIgs{#bt3;y7Y!34 z#&479h!F%U>U<4*casxIuB))PC1`+cJ(-AK(xw;Utyk@B^6gC{CfiBrHLOn-upUFX z#q01Gly2XY$ZwmJXo;B?JRbc1WU$%JJ6M<<}ahQh~fRWR;$e*50Ow8Keuc5+?!2Z7VlEv1M z11vu`jxUo;qHUi=-y%n%7m(!Lt83VbPZv?RwiQ@36&i{ZZ1aiZ-}ScxiwL|$PTg{0 z^`TpdC}aV9vg4w~O*ZyMsf*?V=jY*&e4&)2YYCQ$W>+=q-2sg3Vk|Ps32EnAXg-=F zh(fg$*n4k})Bn#pU(Kd__|D^?D&OMf78pzl7V>F+n|W0+^IeCbl$@eOMA9{x$lhAyIfP%)UX0r50z`N)usY-f1Bn*J3Nb- zx0dCVVgr21IAS_lO|5v_Z~o0Qm=;M!JCxpdBIV1nKQG2MT}mlAZ(ll&A59Kdv!6?V zT?oyzXngdL=DH~5A0+LB(u2}cj55o|>HiHKti~VbfgKCauoQ|oNK5mV@o!jJMDP42KEDTnU*QTs@Azzdf#|n9INhqs~~o!V-a9zZA`{ojML_HO3P1 z)haP8O;T2~HwJ+@j;B~O?m5782czL$8q(C=CFC%*ZXD-X_p7O*jREuGuVRud@`3IU zQt-i&X#T_A^kS5nyb^LC$8((icUomNbv+%}*V06brqB#&$2+2U1Cw3M_>QEMkV)lw z#5C(pHFbdnwje!eaXVs%w40Zs_;^`9luk%X$n)t9<5(}0R8y(T56z2zk4>-?E;)3R z6f_4!@lDbqxOXeB6$)GY-$OV2Ht|DF4s-+GV0@T`d>^w z@~sZo98Fs!xiVlYpGERr^W|up$aa%_b8{1d)q0_tTIB?G=4`B`(5vkzCbU-~`F~E_ zL$H>bZjxs`pN`X8+A^zIqkrJMc;KwmVjI^&+K$7K{93IN*mBKH@=Ew@93OO?t7a9& z!2UcNV<{}P0NcAXl22=Gf~9WEP4dM~uW|a9cJS)vPlY*udFv zi@Y{I6ej|Q!})0cVOY9Ua+6Hzc8uc*yQ9_Y{SIJf&W2gMBC;TD&C+n*Y8yq8ix!JX z&wKk2h1UJm)Nv2VyqKpY7R_QOFuo&{4>Zgm^e(QLob>Sl#_Xx4$O&MX^bw1u&IoMi zawwnEK3B|`XN|?=b=H1lZ_bWtwn`4{Ke0g;Ti6t^`vIYRn#=_gCc2BsxA&=W`c(Gk z)vONz_C@Sri`Rl*7!hSJ3FY@jy?2xOZX~am42>K>l4q>1W`_=k%!`jm11$2&$S}CK zBZTkw72jmc&r*sB?{N@*OZ}jlI$H|taLhrA>_8GQ{!$3P&ARp`6Q`CIli-j5gg(Wi znzD}rTR84-k&Wj8Ydjdj$M~Q&s-m_Fi$<223hGp4!39vGc;ib5V+d)HwQX9$OR&R>*dow< zFWAlRdCI@EKAwBea!;S-`+c4*uH%6}rmaJR#CXfq_Mj+y^-(MOOz~k+0cm-NY4qj6 z$O7xXLK}|<^43Q6BFg$vxx9QUu%9EB_nEx$z*?R%jmEXFM@`?#Js$WlCmN-#zFV%A zR06vjvAEBCI5i5(l^?@L<8tE*uslvV9{8~;24DTEJLU4@0I+-VqCWepbtuhY4Ih0u zVK=JqAnthJ(cO*s0QJ^#IVLnWh zzW!r@%)MKBp|gFZTwS;zRuB4P(c67yb3`<5pmKp_6rdM2I*&oQA`w_~Rk@s=2kieX zc&pDINB}mx91o5C676PeI2QPSom=sp-aLj`FQo{)v?k7>z|&^ zJ{I_J$_{kBwWM5bpBkqJ-Jkt>pE0N*8vD~rt4C*aT}1P?Z$B33T#?c%K21AW4gp4B zqcdLXGnTthT6^Z|(a0N@3#>QJ#vX$m-cF2=q=IsJRt2$XulAYV97JhT76D7BK}{{I zj|F}!HRCrc?r6EX%n$7Uro7TuQ4t&ykRnDxM`wiGMrk4X(ZHJabo_jT=a#F{q4DVY ziF5i)i(-K7sSF*x*xQD8GxQw|q>pFxiZ@nem8+WyfNdI|)n~Z29aynBbaYa^6E*E@ zKN|RXu?4>iL+RymS{Jb2#%A^zR^-M6l&f!t;z05t9$Hp^G;mwwptRmy?o~cAY?Q+bOg=2)p9iOYf&!dx7Lm2>TV0Ly~9)cY|DF4+QV-`MxS--=&U!B zjs|iN4JPK*uP;~Q>ww*QIHk{UX#}N>B!!HARTn@N2BMAzKJ{7Ayq0z4YLsEK9@Nn} zxzD~}_4%+jJ zZ#~~@`4)%&4hUS;cEh`f+zg>N<&t_-^RyjL(^s~4{ zg$NR;Jrek-^AH-OdrrA}>L9RBFFn&|RNQ#zao4KR9^X>DnMxUP;u09+XIZ#;xJ8SQ5mn8htW# z9ZI_tfznb7Fy6LLDp&8O01KRWs?XFafN4`!jn;<6;@x(vIuiK#L?LFRsPQuO#3f+w z=a0MXa#}17BwNPyF#Iqh`)1IJtED3J!m6<{d5x5$2My;1+@^2qfTh{S^{;R2#zTMV zvcd$j7;B^fZ<##&39ybVzuP#~0c^%6<9b7!r4W(xb}K9nOEDw0KPpr6i-GM-f9y8o z_2b=cJvXjj9mxhZ%Zk$0mZ3pz+%1#ey~l@c^0|$@eqgEfem&cM1XZX@u?Dtfm7}wg z@07{cjN5fM>3H3?(1;BI37a1M$?XLwZAZK{@Kf1od|6R#WpZRLu(rq%x8d7_4LEiG zz^_NH`Vs@_g>Y-2qxB4aW&BNLYTHl199YBr#VVd(~7Y?q?4cR6%TuB z%GBt|$@uwL>~Y&tZUQT-8PnrZFJgq4`tt&}-}x3;!}8G0yugOsieB+i{P{BV z;xMrPOu6qi?OPRx@WNqTcV;x9wAZupa2ZsI`7OAlOb%L^q6cjlzvs4hM#crCv1qc%6Nwf#qY z3w5GQo)?;m& zFsTl#EtAi^mWH`EtHo{bHv)4$53G4X5t?^A2YI`Vy<&oWWtn>24D8obyW9LhH!yGg zkRF!!9!k4AkQ3Nc`YV1Y%}dMVJJ*0cjQ!PZI532F^D9Go|Hz6W>kZ+`3H)%|jt?+m zQ5oF0GW4MTTHEOM{1Ot6b?*l#t$r;Y`g=o8pv~KYIb`siGS&MDu#cAhf>5xV}{+U&sA1^2M=?CvU6BTIpOT7AiF z?n(wW!8M?#g%zU;v(xa<7&rRV>MWICas&H+CDm^GWGk>+g#&tR`?(^7-)znf+}?X1 z<1MzmRCXD&@hg*Gj0n1r8pgR+)@X*Jm>_A(|06xHx+of_z4zS02E8WJ79$*Vz z7|^eJuAo7-j_(cpeCq+;&3?U9jjaQAJGH`XC?5glsPEU4v#$fQ59~$yn+HGQ$={c% z6JN;11NQ#HZ`>7gQh;4}+^5ft>%{1lviAno$NBgpUQ(*+ZeW{c zoOK&Rt$65?>ONS`Kg0*Pbvvq%`xw1oI$0_gEU;oGfANgllhOq2s<}@;l`?{M`zdB` z;6a%mU%flORGyVf%vbKNu=N02`c|L*q)$gpUklwE_~3Q`?PfhvDqpArw#ik7?{oz3 zR(;Q{&$kB9^~y+A;N#(OG)PQNsXYIM8~9|JOWpRC&|R3{%H8_h&`HHmSnJ6Od^A;Z ziz5S;Qu%x|u#U?mZo_aauw(IVeW)=A*y84_fOqlJZtU99O68elz&4c@yKOI|02`X> z)<27yS!}&wyO9N@yJy_u-?5@pKJI2|$BW#?H?6y{%xme@oz8hE?VdR+ur2#JxA@ev zp;Y!6Yw>Pbg>L%?O(^ZlL%sUg=7q&LZW3962gT335eph!D#zpi+q9{`ZQs}f?BR#K zdXM|PV(aF^;aPz#*I#gpH)7Y8%9TF?+Yy=Xwwp(Q&3~#F&AXB{9orN5waefZO9oby zs{ZHdfGx4PJxXXQ&T49U^hrZ&QQF)6djkJAVTxP)`Nn&t^13Ksn`Rw$n~Gvn5lETY zqsLidiXkcH*b^9iXQ~?+$_q=?!V+NbPdwzdpG`(-t;QZ58Z&5+pX!0F4MJ(|d8Kk& zFR(W60k`>5E=n7{->rvPcNJUzWw7iC+)tb77W1-ZmdX>~uGfP$I`_McKiPrJIMc27 zugU-xlei~vJAam2{3UE!sk$^1Sc|H-4K3ZkmWXaWt}Y7?Esfk0_*>O%H#Gewm8#-8 zu)xKAZi8zG?-nt$TmK?74-NABsy%_>);VsmCS|-tKKk@cd@?7jZc}f_ZUhy#cIjW- zJXUO7_1d5%u*>_38@f`XC34v(z_#V&xhw9+>_)W7;VwNgtO)P6waXH?JM}emeWSNT z{=Sgdu3WdlvmID$XqVp8a0-2TrqO~^g4f+*PyC}2wdpP&x-Q3EF`SKuMm^P~FAG1% zcgwZ}*2cW)#tplxL~ebpf$I>9+dR?;Y(edqVb~UgKMg<1ky zwGlOKzf~e%3$V0DX1DD@a9Tj=ay#|xu-ka(Pa~OumbL|Gx5R5D>V*#*(Y&oY-5z%o zuq)+Gz4m4ss_?ic6FJ2T-N=`@R3aA~2Daf!ire&i5-@ALQ*RD+qIutL&J6tTl*Op2 z^0x;Lv-<9-|8P!ZHJQj>#Ck14m2L(h*>7i?_IKr&p!p#LVBW zJ1sAkSZ^4{G6F5 zl~tlnRe;@j9Pc(-6H!|1&USsS`K1!;UqyRH;M1-T-QwZR^b)n^7hoTDZFE=cumBr+ ztzFOVn_U8v-@1&zBY!BmK5|!yoI1H#58Bcc<2Fa#1h%)URX>$59}hi~mJ!ID8SWPU zamJO%FKz^uelgl@T;T-fFKX4ZU5iU_^tw4C@PA7`!BmqFQ=*oX0ozj$kWg}in)I)mtY}^i7>*od-;5Wlw`M!g^%z$k4lwDu-L5Bu=A#N6 zejJD5mTRPt-~+q<@?bG) z%1?vehSOIy=z4y({C*(}(ornWvI67R?crxG74K-&PlbJt(l`llHo!WhrC5&o2^i;!3Qiiz zrB_f|?sYT>rxeaD7)WZdn)SR32f3JeY@C9mTb&K4!fn))6BK7E@kYwkV)@H;z&MZD zIH4&m`3?Gvgm%0er#sGjSX)*XtCxy^aaOG0^eDA`+@Lo%J5d@ZPtKy4@Glf&?ghp< z*2BqGX@06fj|_Q;cH>mcIT>?rNwM1gY8NI4%-BXw-O}}IH}z8)!x+7s*g3MQ1{9&Rvi_<_-kU~&x&&5&6`*`_)!J^={3X1;K!Q3+TzprO~vZ27kaSU#c#TuKkiDkTBpbP-z~*a7=HS>27o$i zY_YsFiWpW1h{y$&VX4!5wl6NVuHr(1D+=*`R8+A#p%@s~8)hy%q<4&U`rP(qrBIl` zvV`js(P3Xxtm1kR8z`(^JY2>oj(fHGvfwp%C>J?g?TGiomKUqXUhTy+h_#TJiy|qn zv{rxCybc(bOk6u*tGKvWUbzDpS5`(Yv6MN{wffhN^}x6Q<4O#%pzjvT-tT~Mo#x?! zO{salR-Y6Sk9Om7j_W!sS7sNhUycCds?W~lpR~U22Bwu=v@FcjLm9D_AkF zXF{>MyABxFw?-~>r6IRfPe{%ywf>9CV6KN@QT@0`)}QIqgSc9@aoH^GDzoZeHy;DW zMKxE~;#QNdNX`BP7}wq&F2WT@v{k=4R)}8U5}j*xv1av9kvf_Wj4OFFm-LGKf>r+_ z;S?H#3x2Nr5&C$yNX~2r#(jZ-8wBM-U9SFeLm3{*?F07_;@=~VBGomkAIoFxGVI)L zC@<#b>Yoi>#0cSLgu4=){InLyYqtR7o~44D7bSi{uKsn*WwaZ&Hr(SNVCGhldf^N( z?tpCE3Mtj@Z2eU3HDKH*amOT1L|rRV$L|BYEt%vp8LKV2};{FSXewT{m zSmOZt6uYwuZqpESm#sI1w&GjhCXTx~am#<9NG{6&#=V}0n?5P$`E32!6bCwsTSD#) z#XnO^i{!yez_@cXaSJJ3`Y}s?w#kLkxWVL36A>LJi_|#*VBCjRa6>9}S+aEJ&>-H8 z+g0vc#fg}LB6Y(D9(-BY)f&0IRVEp;^yC;XYRb(ocfsO6H;)v_$Myr`9@);#va;Zw zMX${o1;(v3_tfHtvFswX>K9<#aof1{me!V7^d4sby}*q*cj)5pDV8EA#|~nu!T#OE zjl8mPokef(Pb{6PJxv6aSy%DUfTsuIQ`4p* zd8`l^&lV6U4$N{rL+`Q9C_`Kgjv#mzA^vWSEm9}Afbpcl#3KvEl98d$=$=ys2^1V? z@MJ@5^QAWZ=P(67*D>UOTo9?Z#szo-ZL1W>%4U zF&!9BpDK6^r3_W4>Zf93f$@-vr&Z7;m|i4XtAX(>%gjS9R&adqCt3o#*;Mhe%E-RI%A~|pA62~3_Ng?qT0=RgC_%> z#p5`h%OT%+tWfQ=62qyTfyZ~!=%0uT3i$|wF}@fNBl>wxhL(8$9;Mg736 zrzPc;S^vSKLY^7ooP4lQj(kSPg)&YQO*~qZ_V`lt{u{^8ygYd138a`8?kbe^kAd-g z(#C^IW!85o`dn8bO5-sm&ojj~OGlx6>M$^#cG`LDDXCjh^!dIo@oqd6<>{!{)!kgE zzSjbbXQxIUqAKx0Df*bH3^nBuE6-YS=%*H{EmOv@Nx?~M1&?GUy)jw;V*5pu#sgcP z+=`C0?+ewq7+^ftHS&O0I=U}eciy;!Uf}UB&w<4fS5=|hRSb-$#2y|OD^nIH>tl|q zr~(g@d8#b7g`6*x#a&=LbGGsDSt=UXt~&=+4ssk#^Nd<-ODQgtopbz{EpdWv=25mZ zrF^@dy}AYCjR)O4@fP!1iwf1qL|{A*H}POxIugBIN0<*D%42h$pW~}PRw!RS1B|EZ zMjo>(zUQ{M^^RM(FH;~j^QmmSiz{6zgT z@nShdz;G49I}!1RE4olFTC{33h&LuSUY$r2LKF4Kv?=9~2*AY(Z&<{igVz?yw=KYU z|6<@pjIzd`p!d{GFNf6&u4#B*1GS|U7?#&q8g6rJyw;KODiZYhj#(&;mp;7x5tnZ+ zDO6_$fbnj~$V(#Wa$kLMT4%jMwFAl@{2c;zH@1tsWn{R{C> zUP$p~N*poGDU{dm1IBx+3SM9-6Mx;TH-|4Rx8C6O7Vo*lxzv{m)m?SKcnfCZb(l1N z-)4Q8SXqwaO-KCi4a}~%7Ou%@vY2X#7lzJ^*uhlbw@dA`Lq2iAyM}ayq9~kdR&Ac#`;&#UC zpM_>)gz!3*_o`xBMoWS0Y5~SuS39p?m55j3^+{1yv>PvHc}puUH>(Be#VM;tgLsE) z;$<$9CgSwC&Bsw1uX=gsi-V5u3uH?)Fy08;c{MCeIT)v>r4*s2yg23!vbb!jE|3=$ z0ptC$nHSASri;_VvQ7cxH8k&|;h}uKKpkuc#@lKGudS7;x7wyfN`nU~tU-Nq?F zae;brX4q&D@4hQ|2~IgjJ=lH`eab6x-j&0Cv8X^@5f6+v={8=eOHnbgdV=E;O5=q) zZ{EfF;Nu0Vs{|PD=)i#5_!yc@6Yd5LlLBR zUyIR?``bCl5vah31@VJN6v)%dfia%J#J~onwLMDzBBT?gG3DLaWE_gpgTo)9vlv9dXbPlTMi;0PUNwydG1j7jK^M|QIZCgM90taa48~@_KP#d@ z%}fNw$PEueIHcm?Nd3t;4TGEk9*p!5TI=!xwc|7}#(@|a5Td+$2H57u<<@S7i!g3P zeB@bNATPcLj8P>vhL=dyF_C(6;)K)Ie=zujQ7B?t===ip=xY(9L5xxHFjz%e^n9cq zmpu8jbu&X*7}Fxwh}n4PR$z>HF*Ec_VHv%nZX<6$6; zG%GzqznV7lG&H~wX2W&Um z;p>s3L5%G&GRQ~rwVCv}*$YlXV+|odj0Hke|7gB^JqZ{ig**%yQeqC8^e=LkoVMOz zKoKL0ka6J6mmi%4#<-&j1|TVwD@^*=*5$w$p2RpMIE4-7%M>4LAd_K zjWu{T20Jn8NxYHWnGf&jsL>$CM41>Ir9_p7>+@^Zp{5L-Vhk1B-`ex##XEp8!pg`{ zE9qnuLb_|$qum&Y#fU6%qUUzLy6hWZjMp+Sa7%GK9j-UjZUn}#F2;9>Bfgq^b@_c@ zjQ%n(3``2T7N%dV-Gx47kQk%I#7ALQ^40g=j2R7LESZr(WlBU!n4Vmlj&@_n8Dq~7 zu3woi&))%zk!ePTs4079hv_{x_MkKdurZPi%Kv5g>a=fwG0x4zfH$SszFMDgBj>br z6~o~)#KnpAwO{7Tqi$e~nzJ!{PN~@k%z6YEgXUyeHijB%9)23RVOMTj1ma19S-_$A{o#pm^r`EsBa7^5_84A)eKCx_?>@wd<* z4CZ81r+C=9GG8uvH6D{HVm^%w{*)Yb!TPe87GMkwWsE4|YTnCNmm~sX1gZVQcwkpE zgY{-p2dcn8Q%0PMH-;AE%eTsbF&@>-z*Obr>%q9}?nGxXY?bk=;)zxB^5u2E17mcn z;rU#YmeILN4_@^U1BpSfjD{8e44;v&HqQ~591tsOXV9#&;?OGnWqk-3LuwgY3k9vI z`Kl~{G4j^N5L{`}%2n71_|T^e&}F2q_$cYceED)IFvjtk8PKb=J{Z^Ly2tP>Fx;1M zzi{YyY?Ei+C5EVABg2E0{IYQ^(lw6K7(C15TOTLy;MiEIMvJvZ;=Zz!o$2L3K%234Gj5~to38s+}Opy7!c0L zaPg7SP==Q?&RqN_q{=3*YXQb6bR)yjm9jU-v}XTG zRDr?jj9M4dOy_KBh9Pk@h%xPE2DeM|UAornS&If?=sRQJ#rrX*Z1V9>fH6Ye#!z|X z;vrr8qB|NG1L+x2FXo9tn_O}T7~}2j47``#S*dH!Hg7m%{S(9T8J{oyNIYtjFa83I z(fbC5@hhhu__VOvO=oaj=vxtBw7=Msl4Fyro=F-FVlIG*NdeNNGGJ-DQ3a+9Fn0hO zC<{va5EwHHY)mmwEGC~eDIpUNWdZ^-5yYRfciYqw1sL-bJWNtw|;}LsN8q*n= z*C3v_5pR?8e+0&?2NTmDq{WpZnsYoCy};xMW=V)!b?a?v<~T6sP*gCPLOQl(MC%zi ziqe>B!JG@Rts&ATN3GnB-vwl37?_%&+?hP0U33=U-I%z+3=SMfuC%Fha)B|w!^}hv zWlr6Yw(RCfl*Tj==7Wd{t?$`X? z3q9I0OA7`$6NQ*D1UCooVfo5dV9Y17GqFf1{?MZ}iwiICR>9OWsd6ex8=80)i3vzdV}=?o4oVNpF`ocq{+gMI zY|6=>`n1~isb{VKV455A-H-@#@~~QV5E!%JOiYVYvNQX%mvdh_Ywc#z9JA-d5_kS# zb?7Hx%&jvp$xhkuTAvoYc{WO83LbOukhg0+EH9AK(7edhvomE+THNN==7zkBcVj{y zGy9PDvG=gNO9sZgzX~P*N)z_GwFdV>l*aTx<_U@=T^Wbf6S=^cMQCF>q0+X{t<7(E z5AVigLuMU{&z-vt%QcsP{e+xEGm{ggFME46I7p&2rYF2Ql13&@DnTK=TEA!AS?j-;R>}NIvECkWSe>{N z7_%=8Ov99J5BF%1P3zA>=Lboe%+?ft++2QG4*CWdb2&Xs>V)7x4}Ly2o`vi~&yoOh zKgCCNiw~9Bgc6&N#WZA`6Ij=#{2A0sO;Cf+gwS8PiR98${+ zS=j9&Ki9@YU1|FDE-kF@82c1yyv*kn=i>E4@}6*D%=Wc0?N=&J>C!IN6{6jk6wK^k z@t>&SL-L9Pz?eI1W)iV9b5@tuv-wNZlqtr{HHIy3*CDJ9fH4!<%#>v1xa}s^7Kq#%(*r*`C95~b80V}t^i|dHgmMa=Y8KFQm<41V+OaG zsoct%{Z8%KzH6u{6TO-7Ek5t6I;2Jq0AoJ5nTg>_*+Qpw^~NoXH>Qmd;@bYv=Ph{NsuN!-KsegrhH@l2PG7!r2yH76^B$;Ixs3Nm?^oS%&ThF8hq2f z!EZeT8K}%4b~P+Hq`veWFzPm#Dd3*I)-FbA6tbYAg?Pd=`H)&&2#k6cCJJ9DVrG+eHF6m+%3@F- zLp<~c4$7(>7}YaOl+jS)>+Rahy(_=L%^gHGsJ0=_4d@5umgnm5>kKUpBSkr+^_g}p zGGpyG)_+m5gW4V9BiHajxithBl|77<_>k7TYS)&9M1KQy2nc{s2}GQ0=sKu2Zv>Q2qWAFshCiC_kbESsS&;gm_ee;v`fl5n58~ zLAh)g7&S~h6f=>0Z#8P44er9bQR;-6CpeJ2bx^gwRga@ED57{MjiNMnHfYJ#bUc*8 zDO6Aqp9fz(sBYW}jQT2e3av;b2O6|V;d{`hlwr9KJr*%xu$#?ez8#mMq1K)Q~N5>iaw=847Fm!k(;Lu%HL1EiIoGC zWXzP5QFPl)?Tf)G71l>nc={wOJkxyjdXeCO>KT|A>NJhHPo>YpKd;S zP@c0N*f3OXjFh`k(gy0aQ=wm?rWC`WDvtPbc+Nq&`8qIa=6EQcqs%O>*J_(efKgh9 z8awa@%si;3`G8S@$4)68<@Sg5+E;Ps(F+vnp<)lNZ&DAcF!gtT5ZG~4kSo9QPWBMc4O8-HBtpe#V0$ZpOpBD8`@XxE_6MG zp{Nig{>M6E&1JwQo*3`m1DxmvPk;oG!3-1!Q&#NA)v{Bk zev9jANQY5FOuXT1KA_H4fKg%0MyWBWXlkw&IW+xSq%^LY6QCj)grKhPR>=b;HTp3x~7ir7BwRTeuj=FH-ggyU&I=>YdRpX45kCUdp zlBG2dt^`JLIjYRTr`UQxp89+%wqwwsvr&vrTK=m=bDGzpK`2#6%{uXE)ZPQ~@CU%C zXlJLiog#89+E)WnXkH56Q2|eU?n^(QUe5tWeLN$D@{}X5S+tjvV}Vgdk9vAyk3$?# z^D9{z)b`Aj-BU_iGPDHu#&01V15rMz^NEkLHylu-@AKWD-B&>oKjlguu!LPGjS_#< z`V)V|MIFF`wjG}{lmP9N1XOOnnW4?-N&`m0Kq?1{^?vz)d_4vjbp;I+7?e8N(zH{t z7SxpTgVZ4u|8y-qpr+Y?QKisGxkAOcFHLK1-ivmlm?2dS#Sgg)56DyMfl>3&MDat# z`F5Jt5S|B&(ue_QBx0pH?|^zC0E`NXW=bh4j?Pr=v-+dxQwl9oaZ&8?zjQ!ezQ}<- zg&w1s!i-AG{#0#fJRjqYvW?Vlgq-7)19DReu*Xn$G*jkLs#%b#y_|Id1BoJ#RD%?M z&z^vRbQ&17BFz+yR4&{#V}*YT??y>UYDJ3sXx3_z%FqiG zsH9S*_{}%6UtK)eiFF8cEKL-&R5BKswYgmv&~B8wr0ykB^B(S3i$j4?71Km{OeymB z6fH8U8s7rNGpV8}j-)yFtB>=5QDf6Uu}x|A;S_CI!xeNEr8ud{36biy{c>zIFe-L> zDBUS}7pLHKF_!Z@cES-$7?l5S7ZP;)Ltee)Yspz^I#QqkyVXoxfe1AAA?> zMtRk{&{;(sSK)s7njaWdSPhh8mBh;JsKOwsK(SV;wj$R3=zi6?pbLBF(X#Fein}V4 z?7GB7IZdML3gP5U5Gi)^3r z9jX8UUMlg5b14z~<%gNTsMBktV6Rd#kf5Cknf@L2&XDt^uCI6)vV6aM`&(dC{k2j4 zSDIG@EN$j@*1u95m@2^t4O+BcKKDB?Y6#mYCaj$MAVG`kp7R|fP#UHMs5vaOjCc0S zdxLuLWkHeHLuq1Z_Jhq@bNKx4tg9$oOa)`{L-@@7s{9Es>KhvAkOZeTxY(9`UQr!|z%(d_S5QJ+ ziFy#LEnAh1=B2o^!Fsi}ZC<`w|4Mb@Tu2Q@kMdPU+FE0Dz6j^_|AL}=0%iAe3FQpbn zX<@4_0HXvwwdlpC_Gm?(vjP~E>J5~vSN6(L+7}5`_!cN=Pi1@Yhlo(rHD+Mcy*E+- zUpjU_Qv0m#GJ1jX_|(aVi`gPt|>~=f)xo@^)a< zpjYfYE)x7=R6dWL*)VrMWv$Q<@ae zt$=!5in{wOFnSpnXlkHj-ZN>70J{j6E(3e5%>VLRTofra)t_?=oHz>1v z!?onD5p+Gx9q95P)@M2Q$#*h=(F4LxGYIMNp>VBsOhbdvDuSL7(8F)rCzpH!jE)j! zT1!ani^8?$n8z4zG@fXI0|lZ@Ztasd-UUW~3J;Acl(Mcc?W?qLV6?HIpM{vW`RYD7 zX!0O_o#A$2qV0u}aWG7qZ=YCUy+Kn9x?_mH4^-__YeIn0JEMXo8q#|U!?d)%DHYJX zg2e{CHpD-(&h3+TS%A@r!$V6BY1Fk^%MPAifg4X4cF?&)oCrC!PY!$ojJ_U58hj|1 z_pipU^UMnCpJ@L<9}uytsbHU4bQhQgmk=}ULX>plYVBgi9K0LNMCd9aro|uGC(oKZ zgi``|j@W2EBF*j$)%qLXMQOAqp+^ZsB=+u8OGAL=!NJ5vD-+nuhHB2?-DnU)rx@%g<$ZG12f*kfHF00pZDSC1O7Wk8u3VzGFNHIiMxT( zriXq#a3GqzPaRwdjBY*^wDpmW&R(Tmv}E9+H2tCbkN6`dU{xoj1EV*PhbBRaerVix z_2wQl2rY#6!b?ajNgA@s@16!mry(OPhosKCeqXjT8#SdN5uJ&Ub>3lBm$d<-Z;_b> zM$#3d-#65shY>=1Bl;X+CthP!Bc9PQBVBpWWuqOEa&+IAZ?69+sz9?Ox+aPD?H8=- z+U3CLsZ>!8b0sONRrk#ZFQ~u*1J+CQU=nMbMOIZ#Atv{BRdmIpv`Me&zJ|CHr~-|g z=;(xSh#afBq!idD_&wQZ{G`-m`h4?~PvN1og`z){_{u{{FcLUh z*=cd5lz0A`TT<2YgTCN_@6o3;=b}rOSR%&qBoS`Q3o;RB#hM85)PtK}^g`Y0Z=K-}IyF;V)*Q(U>w8==V9p zTiErmA)_A|oWj1(lNZGUqg$D+Ef!d;30O=!-Yp)cW^^|be^|=$%i!wW~Zf^Nv+~qO4}j5^ z&He!l+LT2fxP8mg9-(-kz6_wI4YTs(Yn8z0XJ@3boz$|g+ZSekjDbYkJNn-tj%#8bB4vQl9nVgiJjL14 z<$Jkx9PLIEJ-X?Mjz(XuY?-oZEQnrvW}5CvcVFr9U35&Wgv=?`jhO}X-mnZW1+XrdvY()y#*_iWEJV6+RQZ=iUh zu{u|l&j6#VppEu|%9Y7ZUvuvaJe1~xbRiUfX*iXuX0`F%;8AF&S)p=ri^CVzH@njM zS6Ug;(-0e|Be`Rw2V}Cd769|Ju51as|Z6$I!i)h zZ8|V7F#1l~Xh5k8(cJ z7yYroXv9fJPT1Vn8pPi$= z_#v?S(X+cuv?!H|lInfWc4eS>X<$kxQ}M^pt{hp-07jovGYwCrk?J~Mtz!=!N;}k# z;EO8$*%y_gT22F_YpR*{snW`)>wI%tvw_iE^)6gi#e}YKh(yEo7tzusDoE-JqQ>*cA%bw{n(ArhGU48@K=}}aH#;TDsF>TXEMS zr`dqfyVgS!TPgZ*u5W(Ym*}jgVR1{ZTf_}ETjWRAfzb)qL`z&H&6VwYGPwj84Rh(7 zD?T?}wx}B)0Hd#N1r2tUoHw(5pKU$|%x1morw^}qI9_Z~Z_f%F3!+P}hjzWn5lfb@ zHs&JUjb^@d^~Dv*QH#7F92h-+4K)9ij{IctT@9~ByU`k$9>Lnip7^4d9>4?i!0c zryUsGjXktERw66Xd`}Ks$3tm?OgCil@8pFRRXiO&7DTUPJ57_7d4W`4PgfH#S}N09 zS*(wJ$s(Ux2rK~SWiu_975(E>Uv_f~9!i5|IyH+QLdG-I+gpIGgO9V}OdPP9BD1f# zt{v@0yJz}7i{A!^GG(s~7+s-lw1<{*@1^*hmOJ>IX&y}%X>rcgkqH+wVDy;w&}>>- zvM|N>^>!CZqZKthso}g?lPS-60E~{+CR$f3cdg03xp5CMkZ5d8himcovsZmbA&HU$*#rd{L&l=wo1X)3(u8TbeyU;~ZXn1qmXwofRN=ouQ+pnQCT6ph;m$&$*XIG{=^9(RLecNdHtt_if^rbn* zP*WO$uYohT_@O;2Q=QNXY!`gPO*9Z!u0ECMJ5?V*FVJ3`KI4#cT$-sq90x`has%zi zrNyQMUs}eCRo2ZkE2nF@n3pssQ@!?f#8?nL%`0ebE|r#S_C1?0xypKj*5~v<7k>|* zoT(m<2S$f&m7@!j~{M9S@}~ zJN?_$$Gnl;7?Oj~Xy`3Sey?iLV;EgneSNy-m z`MzqJ1B{mO^p3}A-lYsReRAYj(4E;SE)y;0rIoYde9r85@lYDj(}`Z(3MtM|r^vwQ zQ;%G1l(si1*4L1@u*$lMcJ}nO7rXk7WT+QXfYJ5d)apWM7ph`>X{IGr*6zmcR{xfz zpA3lqG-PC`ON)VhaAl**VCh3?t>aPnvXDrH7o~W=^oUYu9@Wq)U(ICAkE&h)uMh%Eud7l8=xHrUQ@y zJW5v|o&gqcEOyyvrvUTUhx_8X<51eBq-OsQvtuwqJZDlM%@!I%<` z&v_HxtzEzE?`Q}1@5Za?vUMET`uE;;c|vMY+HDbrNi`KUH8tM$|8`^}22%35bX9#T zW-REJU9Y?Bt&J$Hz{rSxBV^e#pCyMbwRp176a@-(JL<776(ep@P+z5 z8_dMJEgov}?|B4lS8rCjI)6W~_tjZ0oLd505)$fjHfLeBdC-0;ar}E}z%}?ALSSPLC3Y(h6(Fy=hrRz^?bG z{`Hf$;*$ySnjs7V%-i3J#%*qmp^y^wPR_KHRIzkdzb8(nwIa^5vypZG_dp1f3G zmFxW8FMP$ol+~)gEiDNvE{kfGci-c?&G$GfeA#%ng{5QOuUpH|ZoSUy{tYvB4B(`( z(k!o?95)v9-?8_dCUZTo^KRYyS=t2*a@l;{|I2M)9la;a>a3N(9^~~pJ-to9rZ3dJ zGa{j!n=8!Yy6}0cH-S4cbnx) z1;GC6PP@}y??!2z^&{Too8O}s!jo$JT05}6^hKNTZ2{Xo-s1Eu8UnU>%7}MvVhu_w z*RT2iH_yypz-4B2_5iTk3x0JPPWyr7Z5r~P8dp);NW(RM&cbvok0a)p)#j=3V?n>g z);o^mrq~J1}~?YOne`mtLtxjkzxQ`8&A|u>F5W3mnJMr&N1bun zH{JwRy|vH#?7$<`G!Xv1f6qf;Cz_A!l(R#C-Onm>8mgOtU9E6?!)ix>$=z4{|5cca z*)kzxr`ov_*rSqSr{RSzVAme^dY8p&c&Ih)ia%#r9!Bp_>`wK)VqpK*RNyou_Mr+_ zLVCUZ1EZ*EO~@7h|2_ovY3<6L^07K#zm6Psda8#|+WEshc&Hzpuyb<$v z%54vTZJB=1S@Fy`u!8n(Z*t6pYQ(7~U-pkK-N&U=&`x>k%*|M-E?1nUnAIIP^n0b- z8{9v!8d`|{OaA}s0`^17;}kg}1lY!1c~1N3NMI2uUEb#0Db?0LmDw-(f6iC%ZetHq zRLf3aKODC>jh=X5)2m$=sA+v`iu`2}u-nz?PV?gJ9k}ccIK4A^ zUcy7M?)Kky0lO9XeTsVNM_?_E6lXZ|>aj~>9J+Etn&Hw^&$ z@$pt?#gDnbV)7l{Wg+vbVSo}_?O(U(Aik{R<0)$E)C3HqIa{1I=_as1XS+9{^<7lq zP=A$w{T*OOMl30En+(hw5$`mGG~?YayxQ&!OI(O{tIDnNf1Gy+U2ol(qBiXSmYE*y zH0*Psv^6_hy*)#VQJTwC<$v(bVf+}aj!2QO6af3Z(B!n$xPdvVo4xaEm*U;VyDR;9 zt-!RVg(>Q(>%cy^^0Cu1GKh!n9&hq4i&#;Ofai=#|KmM2d<$_erO12Tz}7lLoTj(@ zz(zuwykjmI?G_SR>EAXpA5(NsV28YJaw2{x{VSbj5$eFwJ>Tw4OJ0j!*y6b8{~s0D zgyf+e^7IwJ0<)JpZTS(vT%C>HuYBv!ym`qN{Xg$6KurhRcgS}VfpxA~=rsQr=YUJ& ztBu~{wJ~^TvHybqhbe^^NS13m)WXBSw(Wk~Y4RkZwCa=w?|jn+Jhak&!TB&-J8W;s1O>VQ>!SdZB<6`kd&JCDPJV)R1erXBK( zg}`!rQ=LYe9hmQMowq+D9nCu)bKW0_C;=7`xkEh{4{Xo0$xd^n1K7g$TJOu_ndnnP z|2h9h!@%BXUA#kncR#Q#?>+A{xAgGc=G1y8)n}nWX62sqzh792VHq=Xhg|j@u=h7S z?KFD^fE6U&@Lmni!MnX?I_JN)xD4wz$AlenP&=?+_KrJ@&+EW&q~@K`num9LySvQ4 z*Fh`}c_-Q9z&<+l*x`9K_zsS&eYxIcaYylPi_*&ckN1}2p)N;~dgt}+I9<6q;xMlW z2i7I$dY|n+j(1xgQs&<=^E9d;t|!S0*8#ibeBiK~Vt|bt$o3vjEX2F5XfO5uTmx)d z*M%fCI|o?jnA>4Y+ziZT&+<0+oxr=T*k0;y*>nb&C`yuR%7Jyv=yjMfl37|%mbW40 z6y9ycSc(7FabRzBWhbelzX1Dj*cgmMRRSS89v>?;raXzkuztlGI}%son(r628-^QN{jE?|z3lBx+)ke6j%8aEI!!opA$ORB!fvo%KB$TP_YP&Wpn27lFa3XusKS!KdSa^@9S>|n+G&S5KNh8Z z|JHVI!%!QlaCZEp|L#LzZ|FH&<#!ce9Y;$Yh64$}Vl$Gw38@ZL!PayV`-N&uT&byB zRqY#KADt_5m~&Eq4PQ(2em&sCSD%q^(*NOy9VF8x?6tL}g zQCiH%3I9jefpsJ--70522DZ2Jki!#m16Uw5!JE+g5bw6Q{)GS0#%t{Q*<00jUoit4 z-S04b*npbm**1HfVhEiT6nnz|^BAxnA}4K?+e3lvn6}U1dAk**>21RMq;mxAHquw* z|K!UW{AM-y65$UB?2|>gj*6*WC@poi@H!(kRKcEI!`E7}YV9c62z_+mJhsnntG<`X5aE5r+v0CllpU&!=L9oJw?(N<> za}wp;UxD448S1dLW&qQRBD_PZX5-zAaryqc7lFOun3SlhBYd~_KEStNMHOD^GGX-2 zLrs1C$NhKK{DRRNrfrb}Gt;;*Tj4Nf+yu7dHIw&Z-@BLK8=HIF|C0+?M|S5Hb@2zl z-rv2*VUnBi(6uSy-nsD$FIoSjn2!55?QKN!4&2(JZcGBUG0*5QJ?BDc>np>&k@m%x ztgAlkKIZ>@>aX}Qim%!t=N<<3w=dsx7+ZRQZSbx3E?cz}@Ah=sG5>~(!2aEMa*KSj z3fQ*lR~`0353tyktG&&s%P&D1EBKf{N48@-=Fid~qC@<_dDyX9l})9`Z|wcWz_^N?Vz9)c+q#3##CZ-XfoWEdyt& z`ecWpGt3o`<`_b}{Wl`fAYR`QfBK|WJaqN4E%K>wU>{F;!C@?nLTQ1hU~j@;6nf#i zn@9W~mjPR2nX?5B9l(B=_l(1662R^huks!j>(L;IaYy_uOWV+=J(ISmyNZDQzU(Q7 z;hF8gE_98Lq&03p^9BuA{U5afTOXkbb@_E*qtX8Mikehlme`mTp?tiZ3XMKOq^Lw7XlkDtlm@jr|uvp@& zeA1r_R(gLz=^#>qaoKa!_4It~-qL_l=3O(`U#~@c(o_I;STdq?*fI)c6}n?J6Ui?$!g3+TEW}bR7OEH#`a8bn)&R)6xiIAB=YJTu*nOzmD0l^Foox9 zs!4SdGmSlPnu*x(25ZU}B@ybC#rVK}tw!mfX$NyJn4;Qj_t3YuvLfd2eK3#q>?Gnt zFR+{kRZ7lDDOkQ_f+|j_$C`>$iWtkj8Enhf+$1t87VK$rxsqd1f<3m4QPb@W*dgnv zLgu9$>`K_aB(hKh_Du1el7q+M;hZ$_WVoefJ-wu{!6)VfWGa-%lQcIo5kbpH$6)=+}I*iR~ z;So(!U=~}6(!qg2+NE_`O5RO^1r-%A=5=N)eY}cCN>+%l$=ByAIb}gw+!7z7q2$vt z^sVPm0i(YGR#!|SjRp43L!c~M$pMqyP*G<>`>}hus(fbHlfo1<4|qg97wp8|lghGh zW3~2g*oP=n`T*8cnUT+AHG{optKtz?3&1)eQ{w-)F5AxTD5x_58mt13 zFJwA$tk{;?Xde0D1lY@>Xr=CWF{WUAE2rA{S}eUj8APB!;~yV z8`4ysV8sTonASYz#RVEgd9FO-+BUH8tHDZ6U=PxI^JP@7(uAVY$UG*`nZY4byqZTu zg@X;(5lYtY3b6alQc7i@Folq@T;}r{I~$@B39A4s=8>OL=cGl^iwix}eCRA1LgwZ& zFFhB~Uh7aIQB?ufL3%06N~gdQ1A8cW>N~X8D=3#)cmnnwwx z-;lM#yol@7BfHcKG8`YHS+>ED;zRqG27rJ(zofuo+77?Y2-_?v;T$m*0Wh2<0qUKm)uQymP|E;3zrW7pt zWHGfn(-VE$E=^*N`K{3L_si;H$;4Q&m%=Fp>x~jc<#&syP_cKj_&?wBlbBb%V5?r2 z#}dUQV5P<5iZa$Hn7^)o`are^4VlvMn8VzaXs@j>mZ+!(`{JTTAr-y`Yw#?fz8T$z zh6J|qm@kLGR>ksS$!jfOvy}sAhyi2!FkeVD8T`PiBY8{~&jC}&jEp6(Yr+1wAyr5% zb4V*`%%y%hK!8!BiOg|>owa$zl2wb_u|w{?P?UKE7#5Zc)7ex&WF(e8EH{xkC|rj% zRc?qS#hbytY;0Gs1fd4JJ@(J0uJfbN-kSl5%-}58*E38EkrNE|ytzeD_Dd94u~VPqZj7S4h%NiBZkpwXEStgZI9ET@LOEW2XXFOOXb(9&r;x8yA(qtyahW>-BfUHiNI=l z(x}{NKKfQN70={sbiqu=@?(fK4xKoY&)rZ+d)m>rzLjZI6P1mIv=_uP`)j~{rH;lB z^(?T{3l$0nOApeFoK&jGmWv&-;!r$O;^AuFy+jOgBpS@PrcA+dRU*w+o#x*oJ{*N)=g%-CLO+$Gr1&)8ThU&M_pv0<3W3Ifaft zg`)1x$<%!OX*5JV7t1U(fUPf{=Mvh7V9(u46=h-_*serAB~LCvnoD;q^LCHB4u7Rl z%O#EufW6pVpm3cgCvhdxLNr|F^Iotz zZXTD|SOS(9lc>-wPX_Co;8IO(S1>klCKs0`wjeDf4l}(0))&uJ2wc*^Zf@aHXF9Ke z;c_JNP6l=`+mB0@H-piM;R@UifhiQFMNx~oZ=mR#o@i#^$X3i$e|k(6&w4W{76k7knOVC%craY+>o_GNCMLh92Bwq}MyeIl(xTDUHX>5tlmRZz}G zlUA2*^evyQDD&^KPhmTUYLni_*hvU+UG zyPPQIVDxq!zjvlLnq0#JJ6yt2)CLZL?X3-^K9Mz`s8V}`3GN4rn5&N_SBb#>F5RZk z5u=!?UU!HZlRm=Xyd?VwV~pCNk>XE40^FD5Oq@z>MAjly7?M{WB<}Ok@jn{6$03Raz)BjX=8nDyx^5`7mIKi)YMH-8Q7TQ2QAD>OdX;-yu)J$s@~UcwLa)m2;K`nep3-z-jZe zXthNA{+9NAOkXKj@1dG-vS=&V^S+CuDYLnZT8JxE5XMK?mp(&JeWT8QaEuV z80@h8rrhC16w;K>rp&%6juP>Y-V=M7;esH%o`&UzlaEuuI^;LxEPevg%!{YYrYL8m zv4wk?Kr`46oTK4n-&ruDyh84L>E~Y-j6oM6dm_#+$g~(mu5{Fw@|6A104Dj8k;vNEmU|S&s8tf4-ch=tNQHa~kua>|GK(lbP$oym=(!#zLkQlYFC+m<9SMOWKDQ85#C`s`vVxmNH!O2Tz*n6Wh=ofi&f@Dq2B15 zpU|86;vU$0oNHmk>O8OQ;Jw-o<0-_#zc8CpJhkm%{H?-j5N4|C6a`^ zcKi?+NlMMWrXwgiGq#%vSAps0sKZ2_zn!)C$#rKV!AfmC=H04jOhJ>ooB5o}#T2Na z!=xb*EL`Fxci0pUwvXsBPq)UPAuj@UGsYIMLWATmakK!;(zHXalkmYzrQPPx^f;t7 z$agUvdt&f5Z~ES0qNM_?|H)Q)ZA==4XTr2}nKL*?F}9m2yO@Lb!46JU941}s!Hz%P zBxk+N1oL0$H2YEs*ht@+b~1$`rsj5DzS`Z70)T z4t7k%L(w;2CmNjOwT>dN5>dO^B;w;(NQ~Oaj4)uIDk2XPwxvpZD6V&q*WPYJ(e;ux z^H_2=()`CfnEw1kG^EGtFzLG)?A`t4@-okEq}9=_<}*RLScOfw9!$O#Y)|Kg!$d9t z7JYAtoYf*jnzvu8Sv8f1ZMh`CgE<(-!>LBhgbd$jX9;z#bQf&0}5pXz!%V zoq5&^cBQ}&Le>|6ov60Tq&-@&y^SLC^^8IsfE~&1jDAlN(gcbSv==Ptx>=^0NBzJyVRaiCf*6!yEWI+=W;T z)&usYa#B_nO@Un%7Ma)fh|myTq#Ltv0c;QVB8pCdJuBDB9HjGL3-tvUn;2={qppm4 z7OXH-h$$=?!Y9g0Y8mHnfCcx^nuX@ELl-dxhiq5o%dF#gPktaC4RHtS6Dwq8&kkAe zEFG56ET6iB9WrTiVg6KteQEUzA#&MZ2SqPs0-s1QS3$1%OnW()MC!r>6RB9!8IKUs zHx?}Kbf=7UHx{hm_iVE&xf1Oy=esaR8^G#D*M$(aJh1+PXELcPA7g8o5tvO(6?Vu8 z-A3l9>j|73l(WI4&a6A`dtN10uoWiL_LzJ2inJ=@`(B9m7 z6xG^`Cft#6UKAlsHkob?cwGbbbLNMPS_!64y%J2uGhhR8w`IB=tzh$;)6J^ZI&8~4 zW&=aBPhy9}mjsh~ClxNjaBF0O@=g@potkF;B;h{N{ChSqpVx!E3e5^8b3DNgAE}bn zntQw_8?g#i#p{`;6xct;HU<-tvtTcM&dUT#$G{qR$>s#> zBe0~<^-N&GDZCiTZ9$|<4cNQgVwsNj8m#vQ-~36>6YRH5DrY9A7wllWDTpX&1Uu?c zB4gF-Ft$M@&-`0*Gge_T-I;mmCBV`Pl|f{4KUkKFP$pPn1=Be3%qmKPDf}|$#0*{o z`zy66h-7BKO4px~akvbMYPkvK?k*Bbf3nMod0_*4FZN~-v2U3MY+ahn;Z}eZtUTVF z!joZaTjQOWth_v=1zipzvp0d|uR1QPojC-imd2VN==$uNe9Dno7zF#3$`2yS*qo}TKnyXbAcmM!WLqOOdJg&?#F-y&vIofQ7qEPFs?Z_Y5;xXhd46h z_rQLY`v(zC0ekoNG~DD&8u5;JvCu48D&e2lGiR}e{l3wBZ)B6Gm)o%lUq zXQX+Na0oM1q^@HYioo8>b_ycM+hF?PKv^x3fwaP-3hX;-Eu+_f&GOy_5>Hyd z{x!gsIh1CD#RPH8334^Y7TCU)!DBG7rlBK&M4b|BSmrI`G!}sEJR4@do;-qvSfbW4 zF%Q9x&B+4Ei)OI>5|)gk6M?;J2{nJC)1v6zu{F%g^(8oQO*{-Fl2&N(()MVZjJ2g5 ztd}`t{-?-*z9r?ZVT{FKpUSTW65dY{Al> zN?y(UT@UtYEH{wM$^$!5zD`yUSY33Muc+FXyLox~pLa}TVZ&$9U zrMl*TSv<-)YVarHGQlGD-I3P1@Mdup^zLg@Q-O1f_{X5AcXaeSu)_9I ze>}Jn?3i1Pv}}6{(gwFona1YVw}{_ApFKy%C7cK2#`u$(YOusL@QN{oODk2TZ$jMB5J858eyaid zT3XH~OBcXi)bXX9JeWf(*?(%5CXTUCsj`sf+=U!eSo2+yi!?GGKk`bj zJuRM%G&#mrNA;Le4tb%dSE`AQNcs*Rplp49#HA##7k(_M!|@@oxKrIGRi`(mz;83s ze@nnV?Y`zm6y$>?x^I^1618CSlbxnTA$u^kuSN}Ymh1QS_aQlcWbF4~>ebHD+UN<4 z?Ha$`q-xuX{Z?z!(|?wL&1&}g5xai|J7``m<%CRwd8%7Yxd(hvRKe5JpQ*vJ(pLMC za}uy)0}DO1eg?3|(PGmBksp|)SWAcdmSJ1!27SmT1=yF5%stX=R*Y?LPqFE@IW~$q zsYdAFYheE_sPiEUXTTD#P4-Bg8L)>zMW!erfhl;-Y3MhzVC!uKKBUKz3EXl}tnMjW z5-^8%H7!EZ16@Q5{+ep6hAxdQ$Jp8lAEMs{>?QAIkHg!LIb0y}&Na2AA3@p)p_*QJ z2v!*C?1L9^u+NESJpx4-(nfw4n9htvVZW8iRrJ5sRba7XBi(vLM;eogw7Ier)8)2!Oo8kk zq>q+>#f`dnlcw8Xr|Qr3usk!6wsU#1Nj`TJ4e5EUq$h{K>Qc;JBv%5qP+ri(S)L6R zeU@kH79?U@4umM_B%dl2ooMnR@Are13Nw2+S|M029dF8=;2}+uIzT@w2m3nhvKRSo z3hWJ+-{bJG7)+EGYm&$Fv3s>`3VOf*_K(*`y$F9B*g*!8-=-G<2*TibQbJYn#_|_1%l}nJ9-@6$WZijbf_s{Di1|9#eMXv=xX~1 zs4JehI~}a!;ijJ2S|wQ0ixAVs_!;wxRieX?m^@V{7O3(k1aV7#rWlBHXLN zMxqwFYpqjAGq7yNvGmhuZ$mCg=RE}T&8Sl0>mX7yw@C(neUIqq}Du@Di)R;iQFZxX@YQwUjvRRcDxS9VLc%^}UT ziZYsnrI_j2FbVyyM_^xb*ep_E1}h|cx>@54n0%$l_+U(oqNg&N>Hf91@Zqj&9gDcR z>@~iUt0B8Nl>zT?@#?(J_(|kN?B1BJCi+bh*dGDv9YmfRSZT?_ZdS;lceviWFl`JK zUBa=zo_azXAA=Q&9_%1Iy}&uved(ad5&vm!))}1=NgV2V6 z?cZD7&AAduvOb-#upcmM*6bAUfgi(b`bV}%`Ry- zcDFyk*jTUY=)QS-+T8AKWJwTMQqi(*hb3|_7g4LR>2*E&MhDf=|Bb$jzGcjBB_79u z{mEszba+MDz1bA$e~5^TS2&sJjJ9kAYp7hSsFr|s-Twox9{goeC`zd_HA*wgr{ zwvzrWV9zeKbk(v9V0=PgoX%}VL%u4wPQUZ6#Uaz9+(P*GgMFD)-^Hn=z+xLRj7@S0 z#ulWwM*md`_7PLFh1@dDvjRB?>jBUYim3}q=b}%D<3*knCJ$1a% zCH>h3=5j05m>b!PzCGkzr4PH+qi;j(Ere~w3_fD(zw4@X3!2AbIi(mA1TswFWabt6 zsSvC;$Z-q)BokO-v$#u8acCajR(!`dn!5YYUbpTldb}BIf3A8nao-CpuS(dZTgpLN z#bTZ@;I$kxl}=UC#46u984jCVWsIYq#v6tu^67I}X<8w6sfiy9jB~n+_PGq$AiN5}la-Tmp7*h;k?DC_B?z zJ2^HHn7ooPT%XV)Eip_?53Xs(MmivIC)O;}VTU}K=@j7m{e>mOih0B3c|Fps>7{f; z99UgixjVsk0Xtr)!-Xx#D1&j6w&$BV5_7F?nL8uuvwm>leM@9>_dym za6Q$8qT44*=;uZ-4{Db?`OY7##HX{fHd=*+)^M-IWl9&=%KLmiTq+ZuLSJ5Sms9Lrh*+E{=Jj+b_mRaJ8c-#TEN~GpQaDX z!1hdBaU-kp!SWv5>U8kYqG*2Slwpy{im{1@is)X)7Q7f8%5ozczXJQCq_R_5ItKQL zJz-D<%weYMtcCPvN9`=r$Bpc}0an8Owv*L91!k!oHDqw#p>H>%3h84tVEbhYuEfw? zuz$Igc9t!j0o%DnYxpg7-rkVx0{UM@uwIkGl^kvX``jw%EDJV(#obUDt_x}Gkj9>T z`j|I~<21I~mB4*(c;`T-b_&i>U?nSu48H9Q_FLezkPbWzcCaYVm24RY`{GJ$rvo_$ zcH^wV@Qr){ySFn$NcT&?JXF4}SuVnGQY-^ z6fZT{Uv`PkvK;|5w&h8wAyl`D#D$L5T)HG0ERHwqLdH3R9bN6!S#~^xwl7wLYMFv&zdZZCyNpy)%2WDz5aVA%l zgY`FR+6CXHf@Li)FnrR!fy6^3(s}fMi@=U?qn*i1x4=FVOWSMjq@$>BX|Cauo)1ZU zlhT<;KWhThXK!>SRy_p!BBs5)wlfoKjaguj%Qup^gJ>*)KFNR`lp3AL!gjEH*T(j; ziEOZe)J(%}l?xi;a3FzR@bAP-ryn~JY6Vz{`A$1)L5Q(cD$@-Cqgd)6#k`|*=>@R3 zkc&=)?<81WQ%!rBV=>aA!_o{NOu1nSZ;RsTH!`sGDRE9jg$3-`rSkULtzxi+mK4L8 zX?H9ZPZ>uCu6=>NiQJut;iV>g`iMK6I2o;zMV! zUiXrA!QoDfE$(NY;TzLVG=voyOVj0Gt4tC{lDicw+LGC>i|Iz%oO6Ogv%K#8$6MBuCLllwfr_**Zc|0Ctkv(asTSQM4&G)DX(}fSnf| zp(C7MqHiHr*Aeosz>YU>xkwmu!xIJ?PaH? zQ1rfkkRf+|FB%ePji7rg!Cnb{){%9;faznFw{y~_!8X(%FkGJ7hoaR{5%iG(u>Cy? z4#b5|F_Rxy zc#l6l5)BqNcf*17P=Y;coM__&TQLQ{<#YOt1~#T}`!$=MybSgcC(nT}OoACplx-~U zd9W%GrC&7TkHw;c*z|B8SY3**15s@Oi$2oRrrTr#)4nz6uTK$Jh42(Vx@2`fwq?;8 z2Qqpog>$bn*(O*Sz~Ia7<2wD=XapK^Q|wEB9sw57GqjSlID+M=>)UEAL103~w0=w# ziKAYp+DAVtwX@N>l|=bwu$+58x7BJ6G59zZ{96Bw;s_2v|GB;N-_2m3QUxnX7ca2m z`8V1GWEhyfaY8@c6NUDE$K6XWyaRh4LaZd}0>KXZe&6PBD-uQ5Zy(by>Wl_cX78aF z_9(Cl2~I0Xw`j0Qo48FN=7RaxX!UI(E{blF`p|#pgMFQ;SwUXmgXQ%L+sb(HVA&2D zy{|9^tB^VEO+UpwW&9t+^(#o`6xi&Qw6-!lX2|})s3Cny2JUxDei-6SA2iz2WW_5; zUMW}>kJrXpnT#piq!fDJe8&(ju8(=gQ*rt1!hN8VnseV!DQKX&K?56*`3brT6u$+vz19s$zcbmic z45TRz_vlTGowd*Hq6Y`SzT`eyPKX-85=*zWNuvc|N=cXgx*-7@X-VuZx@4^q=eJbx zajqt!tA`3c>nrw&_g@ z9(KrCsR#YM1We!Nx}2cK!7M{d+8ll_!W5d;wd#NBOhQ`Av^#BlWG^Z=FC!LoV13or zR-HhEwEO2o`n5%TjLjP2P6tz9e~dOQBi7i!j%Uxb)_S%gt-?~I|7Us@X6l*hMjza% z#zkh`rDf#ml~#P8<*99DncKkf(+c#a*lg^OldZ0_B@yfu6Tgh0UBFJv_P64V8H#e% zx%%9Y92^U`G%j@C6|lO{oy!QrRrEw>lGQE_jj}v6#6R~# z`prHK4(Hs;r9@sd*uNZawQ{~vU~HFfrs-2eLZqFNZlGs{VEe_qr34;9f&DgE(OTv+ z1cqOR>Z8*0F@>Ac>uF;h*q(0BrGzOH?Bw;YS_P9T6kT&JS-*%|fZh8tWIa8g0{e$@ zehG2C1S}~@)XFN?BCUnu=@V>VA2TV=^p{Q}Xvk>a64L)Gu)v*y)>^+Yu!0i_`jpp& zn8MapCwejh?9-X6O9)K`*elcVR-JYNMO~G#`cLFVScPbfBYmV8tk9aZgvh@ImeUZ^ z>Tv!w(qtiA{pI4*7@KHr9sT7)upbWWSwg=19qe7<;a2IE8KmVjN9rG>6eI06cO89F zYiFUh#pG!TSiY}+tDr-NwAI@=`fox@FomA%wRGYJEsAmn786t_*xwARReIcnwCd_m z{Rem+68^w?&l-C62-v6m>cvFD09bVI=2jg;AUQPc|0#=unx0q-i z2g|wS+^VaX18XS_(*ILjipBB?Sxs9Wg8d`gcQLVE2lhOAMQiOg21SqP4(Qub#9)aj ztLQi_*#4q5iwQmhcHDJA?9gIE+BK5Vu03=Co7}=ISWm1Uw>RX0O>%G99NrDyn-B}a z0~YYr`7NtHSR`0hWTcI)bp(s?>JbYxK?}I)?wmo}B)y3C=IQ3G!KcCW zeC>k7c@voNQN5Uzb_lHK#I*L4+)F5WH|?Eu_&(UD0?`87cL&&MzZ+tyE)+$NhD>O8 zb1x%pLOW|cssdXj+PENz_XB%Nip8t~4w&~gtrov=!Ps2lt=5jU6S&^n^_Y?527^UJ zrHMIAB#JW2hqM{Wa;#~XjIxgSg3T&o8A)L@*s+0dF{hM^wB8w+_Hsc57OV7-**czU zXQLD?DNF)OjQ1Anm{_pVm)+Wo*h&<=+iJA-Uk9t3xlBuPPl5%iUB!Zu1Tdf4c8tx= zlyiD(jvQ?L+)i2&pAR;iv_xEsKY@;4c9)5@yA@SfEL*nLO1}f!AJA)M`%G4~3luytBv&Dk}Hw6G+r#QA%$-rRnXU_1qBPTX8=6Xz<5hNq~l1My(L za%l@&TLq@48buBx4MrE-6mdGzk>>7{rd`{0 z4QHgXs3GgCMzFt9_gL8cTCmx<^CE#)rajHV*S4ix#}t0=R$8Brfc=m;K(W_Lz+M%f z5(#b!z?_uv+JD;Y>_xzUHPLYjYbwm6BuyP)g$!5Zusqj3)5a)mz|ak_iQ;}N7TBKR zHI(FNKiEPkTO<$&!BkaY+7EK8(GbSeXZ<_}Y<+8;nN4ZHa#n2?)hY_Wd`d#JXF_YR zk=A9(tjDi_J!<_L20oP9j{ev&+h2ommXF+LapF7*&U~U`4Z)-tY$P zm95vY)ywAb{lYr3m=oTHqQ1<`NWyEdVsAzaAVLO85Rs3Ac`MDiwIhv`F zQ1Lyi0IpOh?_v(w|@&tzTTTvmxUQ+qf01 zv{G0s4etiKU#%Jmcny}EDQvLjkYN8-U6_%0dV&4z#4Bdq>;Y>QDMms?571u0OuhA# z8SFic+l(Z~A1t^kuvqX`3f9Myjx36-$71EBKCs4Zn8EJVG{2Et4*`pC-d4=fFF|hZAntRqaY_L6=qi@)~(O`$G9Eu&zDeUcaZ5ufwe2CLv9=FbVDhF&%s`wugbnjwygwgu;Ok}ZJ8D< zyE=VDWd(abmbz-yuX&3%KZ>kL$-8r4fsPeLte2x;zM_ ziQ#*&W9219Wy>bOR`Ylxzi}U9Z2l9K*2JS=pGt2`u;o=?C)XY?swJkt*xJo|8C76m+Wf*n-x11)v9Mu)T9g;`K_x7X_mGN)?h1G zZ}GZu_FN0t^980rx6FXF%0@<$!fi&{$b6|)zd?tY3h!&#_dCHp7flrCyi7>*uCi*n zwO|K2az)k-Kd?Up5n6UkKUm59i-OuD3Td)@gJwEig7)5>F18-zgB?pB8Ie$GuvbO( z1+4Q{q#cc!)-;*GM!!!vZ7sYA_B^>{gneNG%wnx7D7!OSyzz+w3T8y=hAFVl=g^^=26zJ*_bRt z8fnO~<|Kk$m~mH2d|bf#h8Gutzci_g8j>zD=hn&iz#HCi_$a|DR5+k38JleIbe@C zwtjXE4=nJ3pRo3qSfo`R57SJ~f&J(-b;Me732gR2b-$!06|C2Nld$ZUc(6xAh(=yC zfSGD}k=9f9!QP|x^|P;Kf<@d|CS?7bfTBvb1DcdMupfU`5Y~u3u)&EzDf^-j?CE-4 zZrM#9n2DKF|5l*H*fPWXt!e|n%>RSp(2_ie5;FV)WSN7WB9hOk(|X&>ul z*VYX^Z0j#zgNa10&N~Clv{I^`SAiY46S>>^;tAMa6OAt<6BEr{qBoSVY6KY{9KuF#lA6dY-bU`KN8Pnj^qI3YB!QKU9F7+Ic5iDiVS@2Bxch zGc_2SPP4)KM-o_;^=JpXL=E=Gjk0Wqm;$gNw-oj6F|g!Y-1XLX#rC3Ov+e95E!cDC zf^6NkBCu;rf?5?jg0$!!Cu`DGJCjznvv*E`bzDlyu3fORcr{n;+XMFT;vh$BRs&dJ zv1hv^?=9GACMcUFEw+!Xk)sYcpv4qwi`QCD$-zEN>w6}-Pl0_=xIJ4?BLX8U4yhLj z!4B|DtF3W*u)4^sXKXbM_L*s2w&0`~EI%&*I|OWWX~rt+TN-Tr+&sx%wb+J+G?@h~ zuU4#rSIwO2n|M7=HT*WllCy!fpK5$a37)-%t5S8OKuUuxIUPHrO6Ugru|E5q<&_uM zugSSB?0qZ2o>zP?a3I@|_ITZ>N;MDm@#18wg^mO(q-rGW2uHBO(>wv|Y&)2_N3L3% zX+T;y$7~5q0(-A(xLFe60v5yHCU6Ms1pDx-PL)Xo)>_5rEwe>nxbo91@pA`z6=lt= zT>x9+7g((NCe(snaD==?k!+(dpa^5n!At|$7HIyi@;j9sD>@a?|}`9 zL=9}m?O>%Y6`8EOZlq;5B&*t{zo@Pn)TzPFeUmD)M4P}q6?oLMMQpHVgG>hJ zJ1H3Miy4;BfF*C`wpflVfZ=aL)Jl8z{w22?f+RjO2h_IFSE~B#s9b%$BBeN|j(m{@KIVUxOu|%(!luEU~km zdq1;>_+X!T)TFcAhEQ~mHD$Os(t=f}ioaqRt^|vV*W6}vPk`yyWTs1-?aWvkJG{0C z?EQ|A3d`v_uvcU2Z%dj^g1w}C(pfPo6uojXbT~>2maL;LT7sW|eJY5)C2$-o%Xy;IQYr`AGbg?&IV}WJKT)J{&S}7gHHINmI@o^*d9h_&4YsPS zsYcRQ02W?-D-FLB$Jp{KCx$|$U>~1oEwq>^uwO&1)e^^2upF@dL74GnIENbTkY;s=G4N1v)u$NIQ z(#oFM+1h8~q2eMtdlAaFDF zm7%DV^nMSP=ln~muHVk)J=2C#7_b9NU&mR#hy=?rt*Vp^mxCRd6Q;6aC%|%M;)lj~ zb13SrX0}E=ACq4$fU8e=v=~5SOhlM{-8{vuCb?8kEGNNfGsI^q6WWVz#N+ zz^;tWo|gH-sfSr0Kw}s5yg+M!}M&BG+18&VhZJas4b?*#b6F z>5^Rb66{=adB9*dUN6Kyj@xV$Z3BBWR4ry_KLhIUl$G-%dG_ZMP zckN6Rb4dA5gMDn9dU~nTY_R>Wdj*mwQm~}^%7oe_6xg*6-N42W25DQ0V7LkHwau-0wy7pcPqVC$z%eD;PBu+p6I*xD*PtF{;vzSDNLg*r|BSq%2|>!V3* zwiYaIS7|I~9Bj$u6GMt9#$ME2mPdVY0ql<{St2`e9PCenbF6gh9MbXz#EOla1$%ol zlc?TuJDb=O%g&zwOS<=v>u?HeNmOu};yNGfKMsfDsK2j(T^PH`l@w2cz0Bfp9ct~& ztszX&Ca|Y1ort7xtYg28Iz>y0r@)NvGf~pFc4pa3_5UOW8?}mksXy!NX&O;DyWkDj zLGAe{&h~k*rV3U6ZmFHUN${ec)`LACG9Q-kX26crY>KKqVP~QxZT-0#umc*a8+G^* zSg#@>giXA)GhRz%ZH=Az3Df&irtN7jUd z-3Hd`HIZn}H-PnaEu}an3Ew>kaA>VC2MS(>KJeEs}7_iBA0|#`eVCO{n>AeXmds=y@v-z;q&XN}v zv-NiNMZwAg9P$4x`pXP?eH84++8|0dFbj4t^^vtHasljL%CGPXOgqa;Jw%?FvZt}B zCf(t8V84ds%`{cp*#c>qTlu@4mAj|5d@=*}amUytUDiC<^VjZLU&_v&K1#LzPTE<$ zMl8uSfQ^R9<8^2Q(z;dszI$w7mb!k^TPfJN-YQiymKlBPZ}rffX29Yyt2&y5c6RJ4 zVOXiPv-)3Vn*KRwFZ%oF$lEU#>_rnQ>wRnNZ2wx`^xHS~qH_1tC*RD2{pX>$BA~I~#USZAh`% z(+aHonLq7pmH2U3)4Tu6ZZ=3)+U!j2o_b$pXUX)0_Z$2tmY#{6e2o9Z_cG%0^p%Sb ztgTG2`wvQNsYh9$a83!B!ZN34-o_Xod^RLFqH8YE{ZGTrg z=;?p*{SO*yo#uXMAmt-xY8Lc)4yAJm#q7UErC(8hpi5ulY==B}=vP^T9T*Z$v!DI{i_QBm&{Ke ztTdAW1^bR!--=#;)Yw#V>rBMk&r4SRx8d^8-j8JA%covBH$ARA^JEH-V+p@8#_ahu z_4}H!KhpL(f49gm-tV@u<3d2{o>^);d-RW=8!N?&6q@nb6~#1Fiidmi z&*Tq0m#>J6X`1u)2>q@?^@Hxgn#?oGm!I8SaoT4-&3nIeTlCN?=H8m)i{?6x7O(iz zxoODj80U_Q@)*tXjXk4kiHTbgZvBws^+R{JPX5Ex_L}fT7aM4=70(qPc6zP~`bHu9 zq4PJt;4|;;_N`kH{owBdo`XgIyF>a>_5X49KeP9CqItPR{J&dw&rbi3&Yq8s|FYBj z3~}Sn{^gd04}*69kZ?%Z{gLbD(>oTqm7Qo}t# zTzw>V*CWH$ZC&pPZjQJwN+>BQSw5~>J+<>#d|GDbzn53jE{i0mVzQSXefU3$cj`sw z$@V|0ukxJEFvk~SmWP+_joSJ3=(#QJ?`?HnyY7s8>@0hEN6cfLhetqrP1}2SFEc9^ zd4>ghFMr|o|H(YorySqb_G;@z_VP0>#3}dXCoGQ&JmOk^>TKPA>pS_)1v2*K3 z)(K#^k9IpQUUYQ>{_*(U{y%N_8%wWjAK0W6`NVc=;Wulog7mPx znwL-OZj!`;*?LCBNhF<_w?=upgN=RF`U00`IOXhqDq7(i=CF?aMAy-r`}+IRrlT|C z_Y+#*DjzpanyWtGp4#-dS8FLgGZvQESf$n{jb+{yKXg%yN9?BFR6fY=8Ql3z!M2)v z1?|17P1FaKEJD(!|6kb|rQ3(=U$q>0{XY|b+j}SQMh@@qE&nh7=F`IeDeaotoLHF@ z{UP&f%_qCQJLcY(_UYjt`^3F-du6}8agE5&k{4OepWS%<5J~zhocKj=Nko20wKiW!fsslz!owzYURG}Pwwsi%Vs~j*-W-F8_C#=wkBKI0x@S(+Y)R#nl#u-ZE{9xAqH(R zwtq4@9noz2b0KP8@P@3momfbiS+aj206Xzwl+m=rk8wAgY`Gvmu zu^HV%vUg$f-6zwvKNFW1-p=8Brb;qu;qC11v9j~z>V-e8^O{;AAz@xxej0K(PT)yp z)JBCajC8>heRHJ_K7@=OF#gn4>rUj-iG~Mlwadsg^nmuVh^3RqIZD$ps|`>Z+Y zX#uNQ(r4)%PDqzlv*Rq2gTEz9OWA75IM5x>;j?*^xxYJ-MX=T8H+{by61Yj?%J9TY?Jo@bg*U_5OFS zYn{DVaKg?xdw;HbkGI?_7hj;2HV&NfDG!@JQ_|Rm(l76ri~IDv&O%ZbGdGv#8jqSQ zi0hu=Q!9$brbhh}BQc%Et=qE6f&uk5^{qYnS#8{B^A{D_{0Ax#x`Y zUON?D@o>A2N#m~k{sO0O`#675-<5v&QPw{4N5s1a`K!e-jDJolyS;ZQ{3HI~;XgHA zN9Dv^IhX!+^JQyhyZt{#Z>cX>Y5NTRS$q8;Z!S)Uz326DyQj;M=LY_Cd@Yf?7;>g= zdgt+utQq&ip=Uq8yq`JjG~<5OTS+gY$@cNtJrXb1Qp5DsIKJPW=cPDke`Wgm9dW$3 zs{U8wZmH+|TR#r|9d1f}_UWdF%nwgfze5f;=EOJ8?v{MEo){*O;aslF%T8EdKg7J{ zou?fiu_Du8g3RlUTpZd6t}B+0i16ucaGi9N7Z2}lzhg8hkbN3xSgFmv`7%cpzmCeak;#hGje5TdiIjHS*Eq^E1XP}m(TsTTRVEPgl$b@Hb#x&q#w99 zg`I9zlFyQK>-Pu_AFs@K-N@tN)!$oj!>+zV} z`oQn6_GlmT$Z~T0-DuCJqolMczVHuoK;dVM@A#qkTMy*FhfOselD;K8wa~*i^iau- z5Gj%q%lS9U9sLr+)=sBK<^XGSoNntR4YOO$VVXsaJ8lT=ew7!x5E;=iGF8L z^#LbEj|!h31h6hlTo+Ez!g*RaM?)|iB|r;c*$pAI9NLCX+i-y=geyxzSWpeqVB(qp zfMK64G!O2WB`(6M?_ml|Ocy``cyI%~3BMaBvf$KII0>d=1;U?Uw~k(elbOPK3>^uR zU?PWb0&LJh6uwXx!88GNlLu_UQ7h;fShkr+ zfh|?wBmwmi588kim(aqusv6>bm>~fx3W%CKU>){ZL=VFqiNqjSmB**?iJe?%4IUmw z_rgWdL?^g_$tUrNAzWw`wi`mX!pWgTEf`(Lr}3!p8ng^I4WR4cYyQOjaOwv>iAT*{ zgBIa4{b(9&aGAKyXJ_zfT%zt8Gz`b|qNCs=7l^HVVcbfQOYGzPdh`l_0as!npW($% zTBAmApg!2Q3+)9D*bk*)`+Y_K5X?^M@83#& zvZXjjwO@ep;IS|07(wHRe(whQ`j+A(b!8sPfn7?`R|U@p^dD^mUfxoiAg0YjS#Wy^ z+C>0$={s$(Ew&WLi3;=3L-<@V`kderLtk_wP;(10My#9z65;Qk(Cd7I2K{<2TYif* zOmv-tV&Kg}^ceq0m3|U8aK{#Hh`2Ecg~K6v=ypC3udl^r&ut_P60;_MJ+&tAN5l1} zIDwrTq#hz}5^#erK0#mQR|V=n;;>6M5Z%O%3CIENe~fnEKfj_+;soYxP&$dh6Oc7* zlZ__vp-cKxE9{#aWCjr)2lU_{S?C$wC3pS%D}ezUtY%{FIHU=m$wYVZ3>@{fR@n9% zNlirEaR>v)q@&|_N38XGmjVeJh&p257<2$$et`Dk0q6Cjme@x(l4^*NV~_-VH4SaX z8#U11zZ3{=AgZa7V~{{FmWW>7YUC3N+2~a+`5U!}39Jj;643oy@3;h0p-RN1RZy*& z(2}4t4xO--yhLElqp4iQQYv#8>K9nVqP@04X9-dB1+Tc|5@NzI&?%^mMw@QgjuUpz ztEO-%1w^@Fpi&@+M9*#NG6|1n8R1-3KK1JmR3eCqK(}tn_7N0kE#0|_@2O5hzaIEQ zHh~Vpn`yQom-LFr9R#ujS3}Wuo1={c%yi&MF8Kv9V-QLdj0L0DHX3UPUnbEKT=G+@ z`XCf3a0^0rZ@fz+XiXMyIpinA_8!Pn(CvYa*mxLC_%g1_Cmda>(gaK{rGc{BT9DtzYsdd>IY=z#*j&bGre&;EW5pbN&2f0%jDQ$x*y3Jbe^8 zD2Q`Hhpek#AW%jM;yH+$#QrWoO0edDwpf>SCF~y&w)SHsQlq*6K0nAFJ;w#?3AdSS zGY%!5DBT4J&-$}P*K=ph3F||t8XQt2wWJdm;QQF1FLFCf2);wAavVfB)vgn210RckTI>p4LF>Hz5EI2ptXk_JxZS{V}<2S z#4vunE1g@5W$PjO7@t?joG?i|xNcvn1v;LwbB34n4K1+@lxOQDDEKXin5=2Gsab z8t8;o**3lUHcP@vk}d7V;d-=$<{y`UOZ&n1K;F{lQx=2=Oi*B2pM zy}~NC@e%?>g{yzPX4Wo-n(Ix`8K;(5JE>2rq5Zsb`_K-H*G%+I&{L(BXfQ#)8sKs3 zMbTqS*VB4ViGcz(g;zO5g_vAJ7!|^_-O{}uZ#ltE;t0=Egxa~^`6$8gd5&&*?L>J+4C1A~6=b+XGkM!tLLR0P8l;%q9 zNYR!Doi^}!SzuwSi`T&33pv&m|dDaucqtB4%+F~Cns1LZTo8*no zm{-goXnh8(*MfRctG&Pl-A9+u@$=++gx(TBZEdj|71ay4>b|*v_MWHQAVifw`__WG zP`n<%UboN_Z9dP6uiRe(t#Fn*PzgPIEp=(`s9;hTT$P;_ZsNdJ6rCUC;1RI3V;kw7!_sMEzZ|zb+nwHC3z6C3xIIWY9*?= zOO~tCY9H7&OLC~xE&%K~5fv!IF4-lWR@=bJS&{{z{{w*ItbavScOIG5skcsjIjd+~ z8S?>>;KY=n&U7k|>(pBo+?!R@uRQPpSY73nqG%oJOdXmzBYc*Ht1QolI#v@tqXg}` zeL98b+3vG66@ptnP_#-aLB+Hibm+V}t7<%(q*Td!|7&q$z0I~+=aGr!sadi-A@@BH zylVUj71HKfr*qpVP-+$-Nzi`}SgqCpru!plywUt%wI<1^j zVbhA;<;5={TeepwidWI$sPkqez->CIx!nFGgk?{qqrxg?t#y)?J{V53s&%<90C*wb zA!??4>%5K=8>KQ$swjW-0vcRcPD6Q=i<{_R<^v?BDFx-)FQBr8sQakSuga%&5VIfn zldM;|19?E!f*=89`c-#JyLS>bF{${pJT4CiTd0ml#g*A|v?=2OEtBL7-Gh0*)`b?o zgtE1RMq55lCfzNkK855LT4PWbza&p)TLLlPsfh zy(hrF`RX9lYLP2lJBg7Z7^mr#4`)F`b7r2Xi$$@q+FH%1$#IHm`TZ=QY_8KC)%qzX zTzj!0g)vS(R<4!>rO&y!p?Dvw0<|f1rz*xt^15A_kmuZl3+n2}(JR_kH6LD#BP4Vq zGa;S1AZJu};a+cTM3u$8amvnenM_D>Zru?@DpdE>E=*hqA1Cv*D>8t^$?py*zdToG zZKwDYk8#9mnMcO2CAIFSkgYZ%_LRvuWkOpl1IU={wM7YX-g{^&Dls*6qNB z`B7Sh_LEd-Xu?n*Mb2^MYMt=^&^<~j&?crrr4y}ssM^QLOIoxm7SvJ7OYP+pAZ@}; z7d4k%KC9()`PBPS#1rj|6v$;_N*iU9Jv*+ocxfShl$@fil>(_xglVCo9?6bq-M;W4 zew1>(Y~UWiA1C2agITr%TKhdyd`4LjWeN9y?(r5Jijq8 zf%3{MXJ}!ZPw9=4Jkxlvz7Xi{HrksnPnp7ENBA%AoCqlu)b39}~G_ZoV8aJ8MzPw)!|JW{iT^n$4hPE zpsYdGBJxbg03A=M%NJp?VGaQoXN$5eEx!JnoX@U6x zL!?SvVK^|{?a+;Mb1ibj@Ag-58zO(eIfO%>x)(Z;0WRXUc+)HSMnmL0953wG8hC

    !MmgpW4Jf+d+yWuzF4Ib+seSB< zW}&Tl-2lQICmsmNb^RMX`Q>Eu;D)azQV&M$>e~3_U=qQ&;zcBpDYAk?Xp%iJHFb zll(qfX;J^>U)u*H-Al2Ww`al0KGJ*j2bZDj7FI6ORA)3?^UWmK)kk}xu5%f3ZAo~7 zw9M;I;U_zL6g#na zPe`jSA`baoPIn1+JNWLm9>r?xqQ|eDmulI@S=@d9ruRLhVr;etP*y95MphrVG>N-^ z17pd;8t!QB243+##*n`bgyW{mDbP+ZUPwdlavKEOCd{ zYXTyWH0dLKxFq+J9zBRKtfxEhrN$u~nIKi(fs1m!Yu3Z^{J7s8imc&C@p@3a<1j4FaJdpun1F<+QBXGWphWhx}5m2u-^gvz}jg7=9p1#}D zl_V&zbA*6O%s!{IlAfXG0mXJn8uO2dBv3*GcL*HA|kt9&rvVfLK z>vfSAnGfbQ5b=A9J81JNr54cB(kLCI!?4Y?Mt$Voybi>WN~i_kTI#EfJU6s&T;oyb z-joi-rhEkpV1KEL7Lqs6&(t6XD#v$_OY;ZJq0Z0e@W_S!#{(KCuATSmNP4C6$o$uS zAFJUvP4fw12z(LpoM$D08MOJXoc zyUvSr4VvY7xQ(WlziI;X7Y8UH&vdB8Yeb!Yx6np8p8wGV$|&|ah+J;_Jz7J{WOk^H zBBkPKBD7ANH|h7J}i??*aRoVlb?&z`y2 zMypU3HwOAY%t#~8lpprgh?>0`(U$c3U8@n0@qs0UTqyh9RpZ3ujCUI;Q#sKHu=>z1 ziR}OK(_W)>B=i z9`DKwfuQ{AeMpy*2WK@}JN`P_hA>x-GK3D~)5MU5#W6-2QH&qxwj}&JO+%pTeT*n_ z;nT&_8j6kozzoG>?>Iyt@qHK!c7L?ttJ7-!VlyZb?}~|#_WK|KNGm+Eq3%nc8D@~+ zx1mI6<+UFl^vzS_sPB&dw}nBQf2&4>a$o!MK&z*Rm(<@xUj4=(4ZdB{2OMAfY=LV} z_RXu4LeG6*P-_4Ds1Jy}zPJev=ln6P&hr23DMPX3ZIC|n^%Z#otbY9axO&u;KT;S; zd4FQ`p|DpT>)_SwO{Ti2_e?y4b?+^c033Yf!3C`zZ4Riv@tpBzkmBCv5rCeT9&6xQ z=5miZ$@PajBgyAaPXcuRr5gtv%vkPFZ?*qn&S2U7sX+L(%S=n(WT=y^X9x^M;#;O3 zwEV(j1@wBj*{HsLeny2sR(YGJ2j#r*SO!fW{NA9RWb(&B21WYMAU(+L1$haayZ?KQ zx~RclC+|wb1qn& zHvK2HIqAi5jwTTGG;9Ejigxi=CyiezXiiEw{#g^kJdNoGU81gDQLkq<`UPo#| zy}7hLa5Lg>Z*|jwKhv8jKF75+q5HYDyCW{>3hl99TGaX=WZO%$~CiUl$7>-sGza)?|ikWOMfmjl5Qz|R0YH{eZPS}9sk-;n{uBX zZbXDDg{cBxGr}st1P2$6nw9fgMkCGRC0-Q@%Ai$%F7^+W)M&O>svF5>FE>v?(itt~ z;HvHSc{PmX*^)*@O{H(AfN$yjU%^(J&1tnqXa9WFNK<&3b_xnhpDF{dTB}W}A&mB9 zHIk7^_NM^Z^z|>Gq1Dw}GlDz1?-_IywUh)Q)R z1tSPE18Nw~lz*dQ%kx5&U)csLy}$a@9MZPZ9hIl?~)VN&{wKqsxuX0t`;wcJOed+KJey?gqrfGrQwZ{H^sT;1BtjP_?9R)20S8 z zW&XLM7Ug~WMT27Mv)E&R+Re^v&~5*Ww_3gD?#u>q+%xNAzhWVaQZ|>=L|xz9YM^)@ z+J6j+ytiGepwz^2@i<`$vszwu=TDcVRdA` z+;Vv!GrT(joa_2+0K0Dg+NVy@UOqz}&<^K?gHfH?Jy?wOF84YXA=g757!11@4jOh~ zyRc5@FI(18j>&7u1Bqcj!ob$Hwl?f-qe-JWGFpD0JfIe~916O$1~afQ7)qef021}lPg{q@GmBqC+9{cjl0cqUkTBf!Alez5 zWb(?ZMp0TOK@zg^8nXvG@1J$VK03YAt%eLsyGjDfo-X#FOQMWD_RW@&WesgIOG^@Z z=-FZi4#zK9V`-e1#x;taS(1{FhNqz&=oQysiS1?Y(61p^NUul$-5xQv;7rUjbL`Z# zH?D^ELb^=?i1b*t0Ry6=&tum|YfcJ(&8(*q(0&hJ8*n+&`7BnHX>_!P6rL3)0hPJ4 ztigx~Z4<0wUyXE4l6#hm1mxoGWDRbH9WugVI=s;}G$Uzk324i$-3m+y{mlSNY98NN zgE*BXEde}nGqVEEgiOO2)4CgiDw34+8X6$D)e^zlpfq4^<&bGlJ1T zt3wz8Y;{TFU~XSA>Z(%A%dkR2K@Q7$ppEmL70mrhJDRIVw36eNr&X@kT1(c_pe8)NBJET*^>3JSGc)B-&S{~5)6SrNHc#j1OVK|$h{AzI+D z?wt_~ZQk%k6{1*N3ejPBs%moAipWLOc;)Mgn#gQFxH0^>zqD8+j34g}5%> zfdp2~d72;@Up9cD4TuC(A^gOPkwCincTJFmYv{w!x(t1)5OxnU{+Ax~&=~2#d||xs zsAA#8Bau*tSrZN%R@>;pd}$DItYRrVbV5R*W_CEx11lqhRbG}=tX<+dNJ!kQUjrOe zz;<8?Q3G^4Xx)Y>jPx?BqVs#vGetUxHwa18@m?0Z&)2{NfhR?(!=)Ioq~_#FfO5bdL5d{4jF zSw#_`r9ohrXoUeyVNp7UymbktlP6L)cR}&QVFj?3Z<>g);#}g#wZS58l#i_QxkSU*@OjoaFugA5+m9J4bc_t(V@E_Edos*3|egs#~|9C zcheDsRL5OVkDiS@xUj4kj#+G|V$f+v(R#a}2)zk8@amFpD27!9G}DtnG=G2TKBBoFTn#?T@mDxKAHZ)+!Hi~lJL4i1t7F@?dS6?6*q z-r`PRSu;u&bQ<{Lj}hjcKGVssk=;9iG))Uxu(MCpA9LILc>x`fdaryZfYBT~09y3= zUc*Fr0{L`W#J!I@p>Mdj1K^MD`YRZ+GxUm1_PCd|6LQDd9RLlxPF%rQ*#a-%b=+TDf!pZ+8(kWTVyd99usVQl&_e+u|=F!OC z@pMvR^2!ciNu^dAv?*P8$0QA$iKMf9_73cT5>>pU!KRWRcZ_e>;c$AAMRNNNNM7ZP zG-zBr<%+Rl91f%_Y9@c(0aTp)DFr5c@^!^%H5~S*)8vys?SNcPewPB*3#Xhh`>PIL zrjvIhKi&as9KR?9hUED=V-WF&z3HsEI}dgMS;x;vfpfW2j+m+NGoJLM&ONa^Al&gW zNzm&_kRzr(@S-~%QE?~qe{tX8$Lsc(!Yh9}(kXd++;#vV$F53(4%xTug;V8!?dgiQ z_So-$M30$Cf~{Hm?J-gAKP~CBfIa#79l*fR7zuFmL8~=J@%&F?I$7zC%nl&>C|LpwN%OVFAdJo!(OKXf z@g0ElQDX^kCJ|wcnc_bq(vwzq@kO8#g#~fYD}iE(splTjry~Y;afJzFg>G?hFpgx2 zd9(CPkDf%`wIl*99ZV1h?P6KxnA@|5@N~rMT>~Oe;z1vAuqpbsImUOKj-w}~-0Bj6 zAL?lKZW%B9OEE#6EB}Ea^OE zcgHgoI>P8yi3r4%YuyL>g<{TQrW!Atq$i!cRrtT8^jI+KET+EZ&~Z9K;#Q6bq%L=L zALtfz|19Q>umuY}iMu091ZtCZ*avocn4ZPljvhHmM=*Ch5P>|U4fla&?ufG(-%z>& zJ*oCaya>dXS{4JVUB8%MO#Po7q$57uh!%lzq<)HlJm)AA%!$j-QzJ~2$#0T$n$!+k5lCOcR1B=Q z+HZt$I(-BT#foF@P5VKBM|YK_4Bm0j715grp;$KpOuGnGb4d8DQRw&_H@p zBA_O`#mHJw&<-zZfVn-O2+|Rk0gUh#BNIfyAGpQS7;+b77oBVY925cMkrATc8I9J{ z7!pHq7oCLzq2MF5Szgu|ch69Kjk35UxIi*{k*lq2>lKTpdN6MhE7 zgu^9;g{H8ehzSe+ZsG7$Q4y$9SVSd@iU2vnV(ON#2oV-eH-v?@u&6&H3hoX(DJmh< zXkhlQl==U!V8BEe<_UA>1u#_rZ^E;}06I|sufYRw;C5(#6>fx8VImJ+6h_k70^$}t z1HThy)2SQq1pE+I6$q1{EI1Zs@TqHXFMJ6OKZ3Yw@`n8ur3vaF-Z!oIxZ zB}NTZ61L(USyHVg!h*G}Dt5s)>bhWX%ax6;BrXfSZ>`K*mQn`gaOuw zwW2;%A7UooVokh{;Yp3-PjO;W^ttH41RU3K87cd6PD_4#wF$sMWYRCWIM z)zLP#F?B!RX;rsP)sQIQ&8;LeQVGNr-uIP(<^oM(FVAj8xtXm>t>8^9hc=>Bs2_PT z%jFHK$B3Ccvt^*cQh^x58(cbH7br*d-~}ut*91yZZFqvkMqwvSswS^#5va17^aCw8}p{gwe9Jh9GG`OIaAkDQH1cFtmgpIZCfg^zhrG$Ys zj{yUJ)nY>B+C=}QYpL%mpRW1!$6jH-AlzSD?t6GycpzBtT2$Y2?}CgAi<(Ixi1 zO7%5bZ`B3Wo0Sr4hP@r0mI;*{PHoSCdujxsn`7ED>RJ#``I+;hd)B$YhmgfF>t1nW zcn~5vOF%F1D;!8f3A9%xBl4j5mesP#N!C;4*nmmxN0aBC zc0?`=X@^XP`lbe8E&4nC0$Mb(u_O&UHa4@{{nTb#I>W9z?Ap#62C{qf3`fLCP? zC)Tr*FSk6=cAxObKH`l^Ez_K+epGZJ;6@odK9zOe^FyR|-?&-Ufa`*P*~jsq%uCLv zyvkz6+cQ?|EgZCM$Jf$hZ3E28WXGM-0qYcf?bWfUhsow2G|DQ*`XB5)|KV6!`q*Y# zvdIUzGPg08w7o_jP-PlpG54X&ODFxL`dx6J48IZV5RS+2@(X$UhY z{t{=NQL4>siR)Nut|=8|&cqt9@0FJJ3>(H4&8p<%pAM5_WT%^-;=_kyqM`A7>7}~E zol&zR$eZ|m!wZqN%=}1v?~rk1(SV9S{^^iMgm_=R2R>{lB0RK9#SX7C)DqUwcF(93 z9vll*W+3tSuEEU^sL}sq>El7Gkb$~`yO>ihCmNmIoYHx!!Xu+&VFB^KG0&N+c;~MUzFS8 zpffu8K3Cnd#X}nyKbfMAZSm2P9l4uOwA}26*BzP*P%mx{(X{O|^DGK)j>28)dT&{z z*&L@4+crm3UvHwRCpVweP%mq$R?BJdJE|VjRF8dL^IoDzr>O~3L~jx)+Nx_&D3708 z#a7g{9;}K6$FXsB?edM`C%ZoC)wRnt2A{0?$gAy??eI4%!B*CG9_YAY_7WRk+b+}S zeKO;tUTyn+;lt>SkGz^z=_+?H99vP-Dpl@0=Oz3vX_73mZ?gNSQ}aXOo%LNq>}GYn zc#gTB2DYradSCL{lSi>p)ikkKqd7DdUmYWQ?etw(Rp>LoHvIGKf@0xUkj}>Juxcpv zD*rGixupP0_2T`pwD(&9^P9)k^6a~O)u-PaHrpqab5-5GS!{e7eRo&&z&GRd2TbKS z)!s_M+SP&N%fiWWm2<9VucNAM<;?2gj%&sRqLr+b&E`g&>bHvS<@ScXN(HeMO-ui+ zxh7GdRY6+}p}&LkIi+FDGx4(v`JYOChxbJ*59fQ9x(xjcJ>Q%!S86f%Z(wqTO5bPF zK*+Usuk$lLFZbzOZp>7%`8?M9`=!PkDuR;Eo*(YBVfkN6YPl9=f7zM- z$@;3{TkeafhiA+QZ5KNDy8XF12q^QseKHm)#V zhvxal|IWG7d|WQ?T--nGx23N;pU%bXn{9Y`JpO4@^n>w+)Z@6P0Z|7=rsH1^{6NpN{cA#QOW5PKY2s_n6Ti@X%?&EYbDx-nJg94ser@+;Cg_iw_* zvg$qmj=#fJD$erp*o?d~q~w}q=pGXKXWh$vSwCHW`tK=G`kI;G;&P?osZwyJi}R05 zckU|f&s=lV@jM%$M9pY-_}6)lmr_JVfc-xE2J@G48RzW&w!DIW*^xeGGjn#2l2S~1 zg0+oN1L`Fv-O1`JY|1;*le(;bcx!6>NPOxK!atnbjOXg9UV1a^-IdS#Qg}M&W^ccG zo|w|9{qOkh^yjz~KdnDTZpS|#xMzsJIW6(RRm@a}Ji zhHjpdjk#v}`p|=$!!qsOx6_~DZ-(qYaG^f_(BKVY>8q~0FCR*~(J%Sc{)F8j%^Q9a zzgznfp7mcB?6Wz4``Dqx>+NEXjozT2sbBXJ{R!9c6nYca1b=Q#j_1WC*7C1#b}|GTKT?td0OVhhv=0yu{t@ilwlsx%_bL-K}!sD-UmO6Hs zE6BvJuV5LwG!-i2>X(BXCJ*KX#W^g!t(x4GClNQs9Eq=6mH!eMGAt76ec`$+eG|>Rr09>qhQ+ctp=3_d0+1C*fzhogHsE$P>d` zI`3GU5apM{Tsq3m?>Z@;5jN2_ZB!?biw_HF6+3;4pVJ@6`*wQk*o16+V14BkPHl@U zCeWrLjeWB;r#)csD}DOd3)%1hpR(!E+PgW@0gI)3m_FgMU;Se~WBVrDW!?M@OPo7y z8s`Z7nu?;EcdF(T`Z;`hR`*I;*4A&hkWN1)BFnk#lQ$CkYECBW@F&uAM`PM6z#b)Ql{0rJu}VO$5ST6+bQF%ZLLYR zxHsz|-Tat_Oo^AzgFcg+a@h`EbN81{Pl(8@dj=)$;Tz3MXM3*2AL8EV%F^+Si^DFB zS4t0hkYdlyD!r19_o$6_9>0+yebD1v)D>n;gtSm6iHPpo;VEp9ZWDf|!^lKh%)L7_ zyZMHy^d~o$khgU;($cnWgF$8VaaeNIk?cv2Rhr94cl_zz7H-s;fphe6>kGV5A=&LP zR<%^66hpWy@mul`xN;}LsZn%$!Wt7?nIQ-_k!(GzJe$nnXTP356b-NJTJ?2U( zlG%2v)?y|`l9JkXVOC@!n_i#8k*b2eF)+Y@@UCT-m~MD{m-k$ka7#)~q)T^>tM z!y5@coS41gm}tZ4 zqatamL^4d<8l6miNF3%Da;g|;b)pOJ4f}a1x?R6_^U?IBJan+W-NyaVBezmT^;@|~ zL!$wyc?65Kpq?s+RDHsaRdO4opE{&xykga8a4a=W@B0#|20*3C>Is;b#466culSf@ z#b{{go-=-RP&D*N&AoNapaF#c=zC8r${P6FFF8YHtfao{u-#q_6_4UV z#((k2?I(LbJ!&|kkQ{W<=3`RT&z*bW<3IAq@qaJe$vtlP)HL$NIk+^5+sLjKq z^S>XzlX$c#&DZE})E)VwhWAb3-_~xGE5s$7*!a)TEoX(*xZNwi)$Ce77!bQS`|SO$ z%!3ort>cH%cWE5-h$>`0i`>;N-yLzk?~v!NAbE@MppIw8y99Ezp;pa@PVIUkCkV#W zJ(Jv}BNq`QN)psH6Aa$gR28BV!18rctT2ce+Am9m}!#Y)wc0xRW+7Vj*coZLe9;a1~h5u6R ze*Esq?{7mxUh4dOCT641TPBe(A1rRg{E%kw$sbzZR@*>+c07qzT*qw{}X+Qo!iiG8xCx3JG~3rSC($W_HFxD zd>f`t3L!CP8+vTR^V>>r;Mn$+3EOavFN6?R2!DCP!P_uq8i*AC77ou92rXsbO`)Y6I!zS*wO$Id3rVA8f?-PrR> zu~vks5!PRG zXbX;56&l*_+I|_@ECEqs8yc>n=ipI>a5=6(e_Pw;Zfo1^D?%u{4TbG#C<5XD588yu z+b;L{X5t$-P(ui*-?rhxvJgUz#QzE2#7{h7W1F~T^b}m)AcU&Nwyp1sZR^`~89f27 z)CpJl&IY`|XI@Y4VJ;Z!0IT8Ebx(LdpwD&lQ8 z6}c^qt8Yu=v_&)v?w}Ks;J}?QLO_+;h8Ei<`Cd9P3bus#EIx6Q3vuB=rV#4>pI%NK z;sRXwDib{jhsFz?b2Nuf;S<}oo%6L}bU*wqmgoz!=Y^13%mvoq>TMByG+Owr!%+?!>9dYvJQ~X3p)sooS!**t*mfm(29u+s?EVEs}+hJgF;n0c2OUv>;kpWtTNG z$JWlcfXb$9nX#?TxS#?C3?XSju(HYyAtVSPAS3~@5wbilzTy54&zw2)%=vx3?{@6A zalDUgNg=qTYqy8~H&jv4KpyyEd37Zkxao88(KulKX!m-X~puvr}!7+;=Pbx1(z-`s_0Vg~TiE z6dQ5g1QyB6Q9O^VJ?)&9~6 zWEYqvE+#NR{`hW$@Bag#v=>~o^UMTkL~>F)jHi>ABc029u355d1adNG7*8cz!ikr5 zpY*l4zGTpd#>peYcoJz1C8T!OL_5VyG#ZhN92vqBNVia;!H&n-r6x&*5y;4xAv}(Z zJ?R|w3rs|z5si_CLHrzfCzue}Yr@~H{Zou!jQnd5k0E7&M47!H$j&nn!A3Aj`o1Fo zJ_sZV?TJ2is!8HuL?h(H0RAJnf87P3n1~%lFha%+;1Oi@2_n<(dhi_<@XHQNQ@ZJAQ{k)2a%tzvjSYBL}UPinef3AUSCOedMXvxEJYmkl1R+H@%|@*aq~Pob6qcr(*XL8|=Cd?Nozg zw*mE%>AmH4_D(v8kl-thqjrwcnrN`{bdqKr5|azfYy1JSa(b;k8Y@*Nd7?v2WL7i2>=0R; zCIUrA6zhDQ&`)j{YSM-vO!@F*V0wypz173q+X~)RKPh>;{)P&X?AjYAb(1lC1?# zNo6D6=I~z!9e4{wq!v6O6B_YW$7L1geQRRzn({#SX~ARC)__YLEmNEoi_bNyQY~@U zf@<Rc0VSkYJs#wk?{{hxT$O~O0mbA{9q#A&yr1J`={anrsEBPEP(()8;ogqW z*BpkW=73c?Eg=`cO>((*&8@iE!}-!uz_#+I3H<`PPD*R>LynFvCuYH&mJBVRLh@KG zzRv-=I24PI>pS7%`2xx($DZOgJFA1UXmE~_8ohX@;66m#{XmGDTwO} zD3>%n!43BN?M@4Ws*t2EfE=>*3BG8bdckQh_iT9wWP~h$Y|`%u{?_jDf^*MYgIoC% z64nC9B9)Kv8M}WAr_fyRp_My9>|8*ZWWrMlHVz=gULd>pa3r|jrszDkVQjHJT-EuizX53(*$R$l`kV?)};obJw zY^TH_9VZ^EQ8JlYg}<`jxxk^CdsJ&YhvcRjohSEI;jMOA24{o0X2L=pCo(oE2liuthm6Ii&`XWJD#NWk0$OdGLn`jv9rN3l;d)}6)F_P1 zs=$-%qVpW7(Y4K@93nQWK`7}}v33^g6FK*cYfVYPkYr^BoFa$H@fiD8>kbHah!~$i zA!Kwp{-ZrAp2Iix)LE2+63Gk-CYNvHVfOM^&RJv4LyKULsGR{pr1YH_(*F}D#8~i$ zg*zxInLz=h_ifzI{=qsUq8uQyW{^KQehWWl-+zYlrO~Hi%@&b_&j3I2+%5c&J^M6g ztI@UC!W|$^%phNKr3~L^uZ`kRjQBN+vPZIa1`%Xi8E&)9|KLm(Q|w1?~~!dq=F{+##qHHR&fPKk>O>?V0dc%IGw1ZQ!n;DANYPLR`R2f26?&$M0k zaS|%rcFFQI*iPo%#FK3;$2rm^ADTtkMhs0O7xLImJkDl4%DK1X>T2P)NgAiYXXMxo zJjUjBlvB8be{G?*5tY+uD|z+?&a=gS?-W?1FC>N2;1kkx9Y1Bef0)Bt>e+7Ly&zJj z(PpysIv!w~I_N|XDK8|!(_jwex4L&B7g}9f^e;sh)wn}zP zqYY$2A->Oc`8y6}De*mvQbK$@4M>MAA78b$knCBVkHgHDNQ??(cf88S4OXk2{Zi*@ zHd7_Ur~=p=A^Eu0>bCB`2%05L3bg8&$-`%@u~v4OPPbs@HcK8W(26594}W95V`1}k zJu0(OOcW`A*|G1P6eBaU&+2L>%zUvVLxGHr-dudZx^bP4p^Ax61u!^xxp=qrsFA%} zmpEV+G)XuLu=lh`+gjyFvRMHXjw3mE zmes0r>N7kcVVMAO$4EAwYIW1GUutwhGesyFpFlE4Og0{8jn%O4X>@gF!84+F0*pBf zS$K@~&OEzN)AP_weI}`$03(jSvhWD2Y|e?-Nb4n~6KKfcn}vs1H_ov`GzHaWZatAb zfd(8C7jQr8Q8k;ZNvtp{>m(5qpx=>j0Y73zDmGQ)Q*7qd5q=ZswZoQ)AFzt1oc0Z+ zPU1d+B#u{^xNX%s$)26ZFPZr@#HSNT>6fDh$G!|)xhj*fGv{j(%+iMvp&UJP^rqt@ zs~ctPkokf$X5K@hTn_3T5$Sl}>d{d)cRn%Ntb8EJlY?4^HVuEZiiVx?4(|bxEC){< zIcfM`t1W}<_vc-M%#;U`Ksl;*97)4-R;~T)**V{z}0kR%Ko6 zq`4ZJS@64LcpQ{C{8I3s)s0gB)LTjzZKW9I%w+!#lN4%>O0yV}yuUQzq(FiWc?Y2zr*@#;LjVzItpkEmTgF>|XW zC&y8aBjh~3XmML-gQQh!(jUrl%qHQpme>~dT9WERGq*~zdmLpt(vxtd<^G@SOm)wD zW@#m{X&j|H4kY0tmZ^Mph`PpM;T-MbgX$2u0M#&CdB>vLkpUd8= zE-)Cm6@+9MB{{SaxYTkvo4uk+)EK3ulBdHc!jTt&XIfe=ut!urGe&MHQ8J9e9LFN? zBnwzai=-uz3&ZG?V=Np$Yhh)u%T%u8Ms5iaK8!*fXTx!xB_)lWslo@1(qiJoFbZ;* z!tfBw{SCkRgyLLFXd-)Ms;0>(Eg%#_h~r2K#aC8t#fkWV1hu2BGb!9$^{r;5hnvrrv!Wcq3 z9YY~_&dOI&P9K(kiP$!TwmYIj@YI#4AJ{J^doCI&mn7sMa&asN<8dqHkxsCQ_lrb7 z2tIZE6^#G5(jU&|PZp#bl|QfbF2N@b-(dXI%EoXuZ!$5_$opCHd=PAQOa$S+D@Q}w zjLF0}qx2$CHHbcT#0BAAE9j)td*WUsZVaLg4qG73T@eMdR}`)hMnS$LZ4fx@uL5zC z*&4|1Rp7x!YCdst5ZLS?fwPLkIH z9^18SJkNC5>^VE?BiHlK5Xn8L(w@V{Q%x;KPwA-7kX{)>1oeP&`w=#N&Scd)r7doZ zgxQ12>|>rd&&1MuuKh6R)Jsnj+j~HX{j4V*WJ+1`^c}@t=&7fPk9yEeyNQJ#Gu_vD zemSZW>-o_VLpQi?Z)4&6O!FEi)TN9hM!G@1J%EL;7(dr|jtuwI=(&+ZQ#Z=7PkZ26 zW9Yo+nRb$&2E%o=X&5{#(uTa`Qn8W8Qmbw z-pj;$jUT8z8N-RCdTE&CR5wbtM=|#m1qPmDL{px#gFaXF z{9xkSZV+zIVc^NemPscQrVNs7?nbBVM;Q27qgCNqHt3qK=LShET_D6hM#m$JZVJz& z!8O{8A4tf%P@w%ReeGLMk$ZX#;%Rzi0P(sD_}fi1{D|?s%&ClV10;1_$k*OR!yShC zQP0Kxo*2ErhbZd;9D4u_HyA!2^?cdi6RD^85IJ3dWuJD(-x@-PJq7(WVS28&B(e)J z?8)x9(ojC=M9KumCH`H2X6L%&Lx%o-Pp|$0Z$0HWLGMCt_TIhtE5pWqPilX`VLk7d zp+{5 zMo8Mxm~C+fepY`o+q0}IQL{v)NuIWY5nJ94JVM`b!Sifa;>;4?T~g8x25sIua6kPA z7d*LLK8hvjULva<_1VU^PK+h z3olThZEQ2{x5Ntec6KvsIPn4zwv|oz>SC?G zr?Lh2UgF|}`wQf0Yukit7w1nnWj#KWY<+>4wxCV;o5inAc=B6x#F7+~*jf?IHnR~Q zT8#Da^lH(0E>SRIu@$)4Qa9qS7VjMQ+}hIPzQp~I7-$8%Z3i~ud5cp=oiHE&UqnMI z*lrv67*AewIqKQ)XU!K&(tk?ITft|xA3w&U7el}I{PoY8e=jNDljOI8Pi)H{;USCV zhdo37tl7RqeNU3o3O3pP`UpR^*niOJ`tklj1h=9MHs6nM?&8LSo{RYf_>zERvs#he ztlWSb6#n}?rTGOPE=e8iovmoqoUj3(QC$AcvoJsLy(Nl6{BbKXn;j$^Q*@B5|7QWY zcCkqU4CZbUb}K$0SzqQSnst1ecuWG8%wZ&yD)!r1E4e-foxsd)mVgQKf&->1kd^f^ z*GHpMn%GYzXw01BfM*pg7N;smHL*)1XxMzr0Z%EcW)?5kRiWb=#TO)Kz&vJ$-U^nP z^<}Q>xQ=fShfBa~^Eo@*r^q(4RddoN*gcV=V||&8i*>vO_EIx= zVotY0>BN1F(=-&Q#RJW#(tOAYQzz!^?@xS<){HV>`Bn2E3ES%nvLcXgB* zaYZvIHOH*NQxmastdI-3-*i+JyRaD)nGF_rY~qgENh0#5*{RK_(A;W)4*8UdwV2UU zqT?&rAPAM1fY)0wk3!I?^}Vd9;2 zhss%x(`r(6{2{SK3{IMtP4GwgO_>v96b!O!#URKmHNgOR|0s)_RuH384zNqb$j|I+ zf`{ZAM_C)v3L}Ucz%vwE69lzY;+38KdV(MIiZ)BjIm6_=CiB2G9pK3xzQ`iz5knMlL;wJiR(n*`x zoF=erT3Cc_GSuS4D3wC?w@qlml(Psk*HUY&-guvX>L^0?mL?!G9b1IwWY&CES-dN$ zp*|B^glO0_rhunptb7(P-qohz){EsrFkm{TfX8InxlYTHS0{cgM7^ff3FsITWwT~u zU9}p1jksP2#HLphaB<9ff%Q1nRjm;`65kS{M$@SY_-2fCft4BSI;{~r6z2-TGt*l+ z92m>aa8j7k2jcTW@YIwghizk`G}imEu0tB-U2&igRhf>+VfvUgh1L6$YmbIJ-TrKWS^@YGoLd8edF{ayT#5ZyGb%HXjvQ6h`_lWVhv z`y1Q%3>2Ey+(Fx@KAtsu1{Z30)$Gw{D902cgW6HIc-Fl$c%6n<#TGvU7fiEbP&t|s z>x4M@mFy?aK$M^*^vSoW?I{C`If~p8>)YG6Gjd+g(qsbSJ;j9V7F;x2d_|C z%R%Tpq7P(!`2!xT;a_B*tOp;NLIxps#4V7u7>NgH`1x#hJ+K>R2jJpxioX*f<>#^Y z)B}q#a{wxbMJHI9k*>NhRVIkf*P-Xe ztk-a6NOX|(YpClMjWS*wScje&kGzIML)QH)uTWQ9ql^(EoX%uoyIQFzkFF;9tMn`%I*u~Py=h5+gD z|A7|DY4%tRxM>WNK(C?wc8?7weGK!IXm)cA$Tu!D!<9i~bpouENcPhjkZsIqhO>h$ z7LUSUpP6||1iPdLWEhV%!;wK?@$e1yQOr}q*;zFp#W*g8F9-LVJvIaw$D=n z#h=vxe`7=w^cp<6X9=`qsHS?6?Y|$g&W>h|hF9-bRJvR6kJea2(V^=={JB>-t zVdlWiIj0`0JjA~F2z_ScK8G;_{c4Y2*E&}7%7fyJM`(+&uMq|fxTrn6PSljnD-Vc6 zAEA$pKQ_Wc1EDI9_fOOm%_|8p@d!B#%MH-fUq0o;XO$eW+at7U_^ScV^vfnae)XyO zWnRi=Z+!$zhJXe*(Es_Q2iK=3e_razwmk&PhG`*e?T=D;P<(oF=B0G@;zKZDNEO1= z{yTD~T`Q%r2Ofek!vP_T?w^u*WFFTg%nRJb4G+;FpT!8oovGcVXHu6T%E z8)BZpef_bc9xF$6(enaVap6NGHkj(+a^L-7CwC)Eh$TVQ-(?pvUf`c)&dWYc~5Kcw(5XgL!=^{T{R5_q{7I&;5_s@&FVW#%kfQK30zh^?O&(dG5c(@&};M zaIO~GUZXA#lF?`c2%XhNBd`xV<3)~HT)v&kMCEsKB{u=Wf z|3k6tF4}E~u7-KNQMn$o-}M;gc>iMe-bLFChANoQdnemN@Li8)j{8q`-CeNN&{_q< zdgm`V)nM*>;-=3{ z)n!(~SxIf0$FC$Dnp4_Yfp?Kf=T!-ZB>EJO|3`#e+ib>YS6B zMceNpx$ayAOqOJy_h_*1dNC(3i9WiEMs>Dw$dj}rdL-Gso9FmOmgx^LsOv0;z7nu* z7V`|Ou|H6+E}|SdnvceND6I)~b6lOM`47;f)82*)&6i_61lELyb5yP9=^sd_lir47 z&HX=lgjlcrF-Os`O8)@0I^WyyW%I^$@|d!~%KigY>E7Ie>CK^MJYHHJRm>@8M3H}h z+q&diFuJ+?vtZrr zA=>r_%F)G?L9ICU2akJZ?Zr9XglNMbAX8^5h2!G;kq?uwt24vL=q4uW)9B``qT za?*og^p2Sm46sUmM<;a0O5nb=Yplnj-aB%R-^0rK9k6v1#c;9d=(^X;>lQ`)ju^Ux zVmRJ(InaZrPY9gjcCq|_M{YW9F?`k3@9(iyf6aT2+R38-4tDB#i(qDxi@!(j(xbz3 z$~KY9@8~n#k3}%1DRiBCmc9^?zkw|}!%Y~_bjQbovDD3;6ST4nzoCzGtv4aJY3jJg zqE1Vj<4Z&%zag78=qA*PzB=x4Pp5UA<2H+$egiAo*&A?eLAS0&Q^l+&zahOg^9Fn= zs{P($gKpP<=O|60;@{AO_Q(yGF0vl>c&XX7b58n9bm2EJrX9Zyqec4eV_5q2qbSevjGt1USd75z&4FP1@c8ii>cIWVEIL+_Nbxg{c6H3-r6=D<^p8}-Z( z)wOmtrI_VX4g9sd9O%^;y2N~6^{7>?ED(`ZfTLZ`hK7c69aB2hEmjMzu?$s+scp@M z%7!V86WZoo6^&G(z1pB`*xm4zhDn{$)~LBxL`_v_r*<|AW;LYDGe;)jLp9|R>q!-G z(Pn1Bmut2CQ=mvFR#WptepSe<;a-3v!u~0yuj1M@wK9iAuR_b3-b~mgbon3i zogs3mLUPTInJ`%xHOYJ|@4l$!r?WOxp%INC14an%D40CCHdoC}6B#POfTk@29udyV znOo&BLrqC#jaCAQCL{xzp1G|n;nD<=xDq_qyiJF1o@L9JnX+B+YJR-vNhPS)QKrGO&;0*~jGtyjR)P{u zQW^|+RzApNj6Mof3!+8-mEgK&UmD~-o9buI4tIO2d66teCCJeXq{4;zulku~!`j1Y zYJ_N8CCJdkq{5N<*#8;jVXTiTL9%8g1-91L_ApllcX8B$V3Dx`glk@7xUhr zH(kvOVvSXR5KUML46EPY#S9rt*sG=nikd5czebx3z3MM_tP|%xtlA2|(MXe_p|1aL zW<&p@|EdMvqS6Y$)c7UCi8`0RnP>aEcdEI^SveJ8uV(r@d{r0K&fMCs-KM4-6D3uE zotpIXFum^n3#X7SJ;VyE0H0~R&ch$;^exQH*Sl~v|DcFjfi`KzlAw1TtHqi9;~rq` zs6gaGToPQZMSn7TdlKGLQwY(=6=-$A5ecVjJMx{tx`53xmm=drZzSxk-I&kZ-Sfz- z;(3Z>rD$>C$4Hn{8=A`;>FPG9sB~6uDUdA~BH-ED``OH2yR;gW(p^+n3WgTiBA{Qb z{({qI=kI0RDh00>LL#8!DeD4rwqw_{it8%MEk&Y*x8d;ZQy77O!`xh)$DX3U@69(g+hNdvf{(jV{qW+h) zyA+fzq=vzur+3aXz5ecgp_2Yvw5b%_SU400_dT6YWG=QtiHg6IWhp^93nQUW`^0Ts zho|lk$xBei!nsg5@&v^*ecQe3RmyFwz7mkUU^@j{pLE1B*AU!CD*h*;XC)wfq4yL_ zc(O5;dGE!wyDIJ$R(S~uUik48JoO~>f4KZ+R$&S9TQHo22cFzH!=$|Eu2czdQECZb zFSMP6i;w3|Gw-#)QWY1nPL=@1LdZ!t_So$-Go)o#k&1$e*d^%Og|{KF?J}W>ys=xjHTgCRL-8%*VM2r&T;tfS(jsM;l%Ny&W_tPCP`s&6{i&3RIEC41|?LWc1cftFBiaXQjC<0|_tv@_fb=imM zd*K>eMNu*JMd*fF>JJZ8$&NEuG9J-X0!8C+5y({s_(N^w=l^s0CmNfIP=uMUp)mC^KbTMnzGn*3ymzXoLycKQ zC|Esl0)|u`{U7T;z>F*ce(IzXa9?HlLFQu0qs=N_PosYk@>Cx<0T(K!_A|>;x}l2F z-N+~cboG!g9IE(gKa-mRKU7IOncIud9`#vYD6Po;&RGTEwKslL1h%Pd1Uy&KLNW@^ zCpe}kZH=Y^v_;)bz<`R4B!hAOnt4jl%9Is=kJLXB&`}<0XDAaN8K$TbW^Vzq&KNjw zw)~EDJt`n>tSbPfnKlmWE7w~XyAz>$ir3V5s{k#|oZ`Toa+ZZL67M}dMG-Rd3eech z0vpDZUp6!N@d@%NL49L#0T`T-vY~Hzzj3`uP}dk-fFv`1Y`Aj!b0ecSw!3Fa`H1OR z0G`jxc)~ZgWA%*8SlBhif5_ZZfa+#4Jz?i<(Gp{8?5?&c>VwA31)zH7m?uoX4gQxj z_@i<48oD(z!GgTo{yN6lpRP4caerqjuA!SV$t-x}cDaVJ;ivBUDP=Wt;2OxAIlzL; zx8~;=kI!fyP4TN58?K?unGp{-e#`BDMTE-6%4;BH=9~v?yM^W$lr!GHPYFtyH?D#3 z83z+4-0Dy}3#r@^X2vxXJk!gBA-7!A46oCVN~e@X%&=>~Zzh@v_uY#6Ur?c-(f1ng zoLOPOg|gZyMp+cRHbuGC=za~+W?nJiKpB`~FrvIKP4TZVKf4C@%tSC?UfIz}hVqAm zi&NA~O!6vlnOUU6n6h$(v&SI)xlw-=ZJueRL*KF~IpfP8wCPjai;W{!5vdBH!_`tZ zIb-d>PMuQbHHxo-Rn=P>d{c^KjHF2K_$gj4^XXM&Q038JXKBZ%Gv`3bVU}J+6DnUC zOf7X8Wi*68ik#x7GjpzjQPs3Nj3|v7X7IwbVN=w!#-yvDUzO<&50%yqGAQA@f~EwC zje%E@Sarl5E|!4x8U#0?(c>x-swVcrk&>hR46o2@$EKul%$--!6IJqF_*Y5!YsTWq z?t@djGt5m_L51qTUU;r#zK2nGQp=fAo@QFEfD+Y+8}ut-t;Zzz(T(yes8AK>25rTt zi!lTbN!PyWXM@1Tn8)0Vh?fdtgMdsGY$J^xiQ=4P>TY0sgA)J@8QR2knga0~0=( zlKL=1uOPOHw+Aj3g}z|i^MAByitEkvy@Kc}!)`cIbf?9cvXCBY+QN7#^rA7Kb z8M7yL{cDPQxbf2~$VC;l8=fuN|0kpHM8ZF&lm{Cfm%tX4b|>^Jx|z?|ed3yZl6Rm{ ze+g_*{k0RW-ki#HmN1l@#*s^4bvkG#d~@@wTt<=)G)(f?Oz|aPn0~thzPg#6&Dh}M zt(jEPnNKf)iRru@F!^T31;*p!*JdVpG-l}~G&1eC1BTsnSr2JY+?hF-P~Y_Qc6i`s zYzAZHsCImk@5(%X2{lb;ZifpuL}`q&qq~MCDZ7|Km(a86W82}tjStcojH3xXll-rl ztV`(ev~nBFx#7Rw;h=ocxbqUaJ)OD@M&Gz|o{{;z_QfRsKaHC%p`vN8ZSdF)eIjG) z_q!yMlz%r`enEND<1Wx}eSadO;c!CJB!5Ta#4jjwI>`l&U%wge%y>|?H}?O6lBW;2 zz}D+iv5b|2+DDW8PZ}G3L1EKFpTW56Zn2EAgYdy5WlLk_FDPjG+-ET0I{JyhIOzTR zB!5%mjbD)OwBu7~E9^hxtbkDP#*AN($8_JPaJumGGmOmr-KCR!tTF5tw0An@Q`lLU za+8q#{?7Z_B=4V%v|qs1>F%xYRN=<;h=}qZjV`}{ zkEeg!3ilO8{lHixyDm=hNa2QGfK6fg1isC$jbs#(Sl*=4E;RlOOo~^Zz`lGC$=FRE z&zR)dgkwL0MMcCXFe~3b+}R+JnuL;{(Wqj13*_bB31ytMcg0U~jl#O0QNN;X3-rp@ zpJcpm$IeVjb;4UeBeCMt7Py$V|0Ls{H9mThs}<(`jD(8C&2S{|X0S71B3KZn{0yEb zS~tVIys1Ej(xM5Pq^gA>KZ9~b$YvOm=N8D|TfPaH6sUykpFy!=VH5PuL;eh^CI0v% zbz1oC&nQnJ-2@G}{U@9S6#j(plb=zhB4867&;9BIBgCvBCMj~d{US zm^5#gzI+jcDRMT#bGaSI8HL7p+N5%jK70`bDSS6Vzg(B~fC_Jb-gFTWikXk$N>1!i z#!Ef+&7`!O{^TNJDzZL?${f-6jI;XV|DEJ^(Mv8OH--1dur23;R)t!@qc478K zuwC)yBbbmAwccN$wh5Cif=?9bAHkrU+Jg+n61HWM-zp5eh&CvWd<1RT;2>i}7mrU; zBtnmiXmvul0lvxh-_JC!2n-Xc8{n(#JKs6;ElMGM^F=T*;k5xKWm`%5 zJo(mJ{#AH1GOCNW1=xt*?@?=mW=HS~deAeuNt zVl!FuR%iW%|4`VNkLo7I99U=8ek*2Jc!d~|(c#Ex;ZSnKf^ZZ$n3A7oF&*|C`mmyLA3I(|?g zsHFSngS3f#cC7n?Oi$mc?&?-hD(H-S6frSm!%{D}>FEtBtW&`&5pK^1Art3p*r^L> z$=RM!770Jj2YwS=8^*oRucOaSJ#1ER3xwu8w1%2kvDwV8baeidMyL>6rOWex`@~r* z)}5KHp;M=h*DEMj=zV!;$An`QOU>+|;vtTnBEowSn5nrVcu+)rL75%XsD^~E*g(vdBhR~qc=|_qs7}6W#yZnQ3i?*r@jQj{4BeCqs^r~f zEIECng8q2)YKDRvBb4Q$Qu&W&EF?WjPWKwsq$&i_!rokPUA|(%9BCq%v%5oyq(93= zS@KR3Hl6l?jGj4s^^AfSPA|^|sq!C9*sHXtQTm4Au4sicSXh{g!sRPQEGeyan0{~Y zn+OFpNSKxjg5{k?EGX@R^=uD6fF7EQeC0nHFM9B;RkRH*{b-6ue!+v>ebd{%Q#uO9t!BA?eq`Q#t6#IByBdOAdWO zSN{EQlY;sM-8To_9ycvw(aHB)=$U_GP{I3;&^-qfjlWvNjwFK?`ul&!|4Sj=Df}!4 z<&N_fG41)#^<)us2Yo{hN*^~Vuz~aU^XZIs%pvD(6B;u>()cR{mUSNF(_g-bH_N44 zgku@#Ae}qZ`?G29XNkK+gUu~;llb1;5ptofz2j?Z2GS) z@oKpgqnBp@_i^3?)}0i3flh7dnwC>Oq!(tO9pffBmYP(XL4W)wHX-NzQ<#>4J{j+n zV|DcCvfRDz1lw*#_&@}o;e%FAULe~3cpw(g1IQAy8Hie#)|4p}?Yj>w* zApLOXIQBB~gA_U?|7yEjV0L%OK;y$dj$?6=QR}@WrLlfP1{fM%kzsz3qD1>K2Z?lP_En*rudSD*qlV#V88#LX6;Jog*3`+B3+}Je(UakoF-#gE zigo6isOtJ>>7abLdkl+?*ceOCyzua@oTsWUPX|TA(PP+=h}fU#?_a=vmrE7y*V94n z@aib04R1L^FUyFpl2az?)6-GKux$hj3-5@c`=-TTlPd?@>FL04xNijGhPy=3XH&W^$*Dc{+tLAR`0NNa9hR~l zi{f{=f1D2X4m*aiS79BI^!HP)=E^5 zm|s|SIDPl|<7skkYkglDB8Rxcm?^YB)Y+QiH@i2ap_QSbA#6PKt5CXcA{HlCHq}?A zp{1d?Axs*IPSTa}@u%ffq5I7=G&Zzv2#XGt1=Ew_yCUSg`ufZ?FfcSShHh`s` z+V4+q`04mDIq#499ciF!C}{u-IaPkbS-O(`=DsNnTpv0#fH_X;edr@+FhWkLuD7Iu ztfBFK?9IueKJ>F^u6oM3mF|jEkTR6qkF}k=bDX|%TH`L~m(~xYg0La4e(c;y>rrPY zOIlq2JQV~EP4r>jC;gAox1N6ZwVYDq{x}tIhSK}6<&gW|)9*!L|CMvE)fcA%`p~gH zY$ybLPiI8M@09bexM!z=-9v9)V_6|l>zys>FZD^O;M1YZ*H~DH=pa4hhvQr1%8Twn zso>)w@7EYNWaB~lY~({+PR)1sOa<1#>0WF)ICeihGZMq(yxjUdslYIp(~G?fZu!oc z=@MkPf07F1gMPhOT=3`L(aXZSNSQLN-j;|42WKUiZ*VqAqlO>1%c!aD%ZaFYFi(OR zg8J>W$Dt2RGG2oFNFovr21u}xps&^|UxK)LaUyy=xX_Gc1zon%dQZk{WYQS-+C+3~ z@ULbpB52A&R_7~dl{%V(%uiohGl}_`jd$ud@xLm#RVQU(#is_4#<>&^+X~H99(X~d;{<3 zY1BYXmy8sJq-F640}Oghp(@ z@1}~j`{>nT8Sm?Q{{&P$aG()O_nV)hNx#PmWc>fuGZR4Bz<2|8%5VRAAWiyj_nisg z`ap66W;=0bk~VT!^RrC3!+moCx-f900aKo^DrmgJ$Ma>B?e(kiD0x6B#Qr)Fs-P_% z?8=dGKdE1g2cZL*LM-M)t=!pH<85{yiU$D$-a^dl#6~%da`0iIOn|#L#UsywnP=Er z-xL|Ga6c9=lVbHx;*tA6&NHmrw_}vHb^p~E8Rf(J(s;0AAmAC6uyq0?cR7a+Vipwiy*A8X(9Hn56dV=d5@_Uo95K^(2}fQb7WkNn<);|_H@@`ZJZC*<8VB6tt<{y^hDQU zXF0K5G`{6ScbPy{`#KI4_1KriFv<;RkyJS*DtuPMc_6$757TBM6(8|mUU&xel zx5_w_)^qMD*2_lgZ8^%g+s!x>(X;OHKouII)-X-eG#a+D%* zvz-B}-80qL8&=AC*^Vl9TRwx9yYs5CR#ty5ZBg^UG|Cmajhq3p?x1QchUJz^%hY^r z7+n(=o6mrOZfzCj#k!nLqiC*ZM)@_hwP#RMcWV{))?@yHGmIyASbOUXsP7J|!a6;U zUZ8E5zcM{4y<3}q232+&DzOBQ`x&&dxeq5smA}`fok7Ljot2oc#|IfS>fDv#QOa+% zp=Ur|cXTDT%#2;{>QSp|Pn<#N-L?vBfZ35k+pWIRJ<6@9rJn(j-2)X^I`gX(T7zn# zeUw+?w*3qW?mkz61u@Zi8dnwfVw7Lxw($(`?cP^`tuUq%Y5$+)6I^q%#2`lZSUEPv z*q=!Anu=>0m0odE#DG2B$>mrM<4!!ScXFYARQikCU<~@S`$#z!!2t2Jkjc23QRPK9 zQ4IR1`^{~P%ZOU9`cd-Tp2UFFuB_XblHT$Y?W`j1k5Ou_TWJhh?((~h{YC%$C)%uh zp?Z{?;g%Z%WLVbOGShL$9cs~qK~xuwLQ{;t1nVTb5br=3wiUZUH{7$oXCbqkxN z?LST9%i@Yg`SET<45;f`F2i2Z?nKcjvW2Uof-`RJF{q;JRT*}U2BK)cj>cUY6`Xc+ zi2=o3KbB#~Xi@7;L20DhM=>C`YqbP!++18N&jBTmsSevy7z;Vw8yWnM2_+f z)n=bYpL9(ZV?ldk*NcX{1GVQ*BeFB67&Ezb1kxIMt^|$pIkmy3!AfU9F*f4n7D(gv zd>t^#_jKc&28*5AA}rJGra$dv*MsAu0-D?2(`cl#tq42ira$2fA`14peRdl4c19Fo ztKa&cp!IeKwU&Dfh6B z(s=F1z8kPP#weJ4vAZ_u_ z4>yf+|5eM51}>cog;@0N%ln-*NA7#IZqZ<4XImk5V7LA|+G76K{~G0zdp?Us*7k@( zY-XqbceKp>2mcu5+4pRSM*4PBKGwFgmULgq{n|0iGe0p!B3XNHJ{GgnWjzMTGd>xQ zME&h&^RYuav+eGb++)UJzV1n1Bxq{imygZvm|81DW-n-m`I9d|6wmLy;Gq&N~3wI9pFymowGai?6kq8R2Yo@7UYoc8Hl z?Cthgv-__ZU(1ID@;%9sD78H=7kjn6-{}5+#)F|@!SJ4tNEFr{l#89)?zWzy6bwG$ zM52K9#T@L&_Hw=Z`)QqB!-AeCdn1u&`^y~c?KbOLpE4z`eOS=7$0ZW&ZT~R`d$lcU z$^HG5|6}RCqngO}IDlvOZgF?-C?G|8e2UnR%p?I+N_448@15wr+-w>6K4QrnJKtFxxc4Y_W zG2RvkCL65Nv1ZNjcXWkATgy5))%e3e(AywS!=g0(zCxIs%JRE`K-Q3whS_UAdP`S0 zXn(zfQ-b#LjX54|-j zs87YBG~Nw^0m5=?W3Ib2>PMxN{)LY?w;HhSeXvq8|^lKh?o5 zC^rg32@NeNSd+TuEBcDC=3^b~JX|{v`8PzSV3F$RE5pgo#a9O+_lDgm*dFzF{qz+f zFuQ~OSNUuJGHvKd#)jAI?WZ6A?LV8B(qrfX?xf5-@Ake=n^R+-}o4 z7*2SLKia{Yio;$i|0|@2yV-B+AUl*-`6GhY5{Eri))dl*T$=Se$ToO^Khoeu#$o%F z(O1EgWL197A1LuG<1mKuJ3d|R1a&(|7I>OJn5*xL#pJ8q_;jYzZLJQHS$T{<7^_c- z#j;jE;?X-D>{UDDM!1(h>Z*5*#R6Ba`wF1SY2{}ANK*ek23u76lS^;edjjj=Y{O~( z;6Z&u4A!GG{)Fzlck7QGoUP>={-C^`8G~gg`8}aG+BJXI!QOx?`lAc=b3vG|5;yyc zpUPfeKJA50*S7^>bBbHC=^Pt4FJtN8eO@4?J~{~NR6LhSXV~1Hl(DqR+q^(by=@Sd zqWC$Te%~tUlZ>f~Kk`Ce^)CZ4PsQK9@~cec@^UX^QJ)crO(+Oc>34s<{aVIYiC^#n z#`T_oSewGmR66BX`vDmPmS=e(o%)dgEKcE{WcrO=?|WtBAMivkq*~t`fVnFC@>Opo zeOK=11s3Z<1F(^$xI{X8X^TuoS}b$*0#kL20PNXPcRYQ~lJJ>KK5e+&3-#7@`(x2d zyW{B>7XECOaVE=jy@0GP!5_0m2>D;YE#D`ti@gIvM*z*}NyJ zs2ldfnil_eh)()^;IWMLrfl33T&}D4!@?Jb57Jq)zf{XugNEIn=v-Z(A7;Ji`qhqQ z_LsGIqV&2MUudAo^np9F8!9zH27l96R)IiA3^&ej)WEX;*{p=U{A!*oxuqwb{-);Av+M)w~7r9dWcE{pX< zcwLqcmNnlRLT?$pbza74DD(CN>UG{enE(8a5W4f|fgBmT-q6AmDb$U5V{@Nx{`N(# zW!IL`J;6-vGjFW(v(j&L#;04yWUQJpEl<>68}5xIeg5A*`uz_Fj>wo*hO0eMN3Eqd z=Kgs&h)((NOSX(rVL0aj>T3JEu(3JUukbDTPT7bDs;W)#!dmB^2GGgx4kXD)w+%Zy zz?E8eFDzzma{&Fq+g}o7@*=}p50qQ`(Gzo=yXZ$(cq=?8;}n)vc!12>CQt1B?6+Ut zTy{a(B@dKP8|;ZS%|`mrNpH4<$XI!XXFY&_EyEKFpY8Udvj&BMGG?w}st0nb?e@T| zXLoziXI}m3FJt^w7UThT)+Tvi12gwLzOcLGlVzSBh^Te;z@E-%c+ll9-Z{xg#|+Ip zfJW_mcZ@mnha27d#h(r``C&tv2U4tUaK{#>$6V+w{d;X>oUAep4>0?9zdP15z5lD) z%g!iM^gttz=iRWB=~gE?r}vh*jFn_K;|@9>ce-Jo(>t8#CcOttWy}P_es@&&IL-~5 zn7Zjezx(_bBN-#wu-zS1J$7`%TBnr0qQB&*vKn`Axch0Rx#lr0idJ zl>4~e6?2>#v!k!++M+Mx1Q}j*2bqtVuGsrYUpxBoj(6*2>;S_v?kM5$oD0@8*<|y@ z3}$&5rnm$D$L%gy=;RI?dWvkXmW=697U&M#9>=+0mXm*5(MP1esLB{lWghNm$74qq z?B#@#6+K*fYn6=TP-f~5h{r?D7-!;tU&&#)bs5zisXwlF#{4ISchQ|CTYi*rEDbf> zk-}r9Gd4HwyNli^e)pY>ZEmRO4rXfREU?b;rX?Cf{O6*SX=*s*g!*gREwH5V9bd&_ zhLK^v6X>Xkv%p-(|6ZVN6#X(KCDY1ePN25N(E=M8Q(B<0g~Cr#xj|Wt6RNBkHpiZg z{qHkPL3rSUl%rqvuM;S!sW-rI7^h2Bb{gvNKL7 zy=LAFdp+7TO^e~*>XS0G4O5&zd`+hr_H=Z|G;N4?phrqlGYoP9zBLJE7<2UIZVH^tmXB1gY)%jEA2m7LIG_2N!!tiSscjh!v5m68?>W*yOF zb@xu}S^u6-v^Cj()<`+i1|yE3w>oJj7S;do1MPU`-YO}3(xAf;v{k$A#BBRFf7P0q zpA2dp(WB~*JFtPii|=SL>B3SeQqmiP%Z}h;b?6Q( zurKW`%{z5(kyJilaLy5&souQ>@kRS zL_yVQ+p(nHra{`>#6QnV8SMt%j>xmxb35kRyJL{HF>!B>l+b-x%|K?%GSUJ$NfY%C0DFaR4n!!YuQ;G9RdGgGO!wbiG$4eRc7mC|f~yJatBohYR^07_K~4NK{KD*57M zGmn<4IRLdPPa5XlNl0iL_wBWmk`Eg!*@MN(F)B9FaZ^mY5cG?=RGw)tWe+ARpHZ>q z4h=DFMbJBADLdJq*B@QM#GI z250P1cI7;dO~{(~w7Xunbfo0K(iD4?RN0AREwbHw8rkcBmQ?Ol8f1?GDwA+5N_LM& zJMFPoRm%1-@Ulnlm998uE8F}P`DQv9nA@YBl_L~vpzR`;7UT8~lad__==O-HY^GqG zHYF}i?sDr#soc6$%O0s$Mp7{Uw*Nh$IlKJ%y_9WPy4oHoR9aK88R=a17bBc$Zt&R# zO;-%)Vr|mMY#POB%YuY#S~_Nf`YJfOSd8>#CXMazZb~Ajmv-48Sw)~OW-oQkq^)oe zPDt2>1`-=kQ!%H54Yf9vmAqs=b^5|)lZmJLX$7}3VsB^Q&w2;~f|(nK2& zRMD)B#YvQsX*aBd9TJjislN^KsEE|Y93_7w(iE)zlu03Y?RFEG2A^M#WPtjM*|AOJnZ(g)fnRXP{w&6f2lo*nFLD zEUj^AxL(3uyrpOZX37>dvCg{ILo}16rdkPee#@*i=r8Nm#Nz7qeD%>uQ(H!?L3>$> zCgxc8^dN1`LS&VM^XXQHHL5A|)Wn8siGwuO=RFk?)`u;1*681|2@R~F_T~ZF$n54j z67ri{Ro3WYS&Ih7to_e{FTA>Z;8uY(I#U*{fz3a@7(r{C9xjrw`?lm-gOoCR4XpFA zQUuLp`evbo(Q_-q8U&RMt7CDG{|KYqnEazaLTcZVV2wP=8r3n!$8#ZHbasyTmY+2; zEelo0hHBzMXsq!)xe}&mi<>o~mhD!@>T8C7qYaI2{!2pU-`ZgfG|J>_FlNpE-)P>W zKcAFv>bDTqK(Q=q4L0|vd*2t_omIO<-5O2b@n3_rKXTniqkL*QEMe5#Qm{sScjnZv zm`6=PH1>y^SrU2WmKiG`yVI$L*+1GHL|gIUj|>UB>{h=OsJWA*hP{6HFyM;~&nVr} zVTJD9aaY5hJ|qHY^0%84B&6b7wN@zq&X_9Z|L~?C&H3%m(GvExEmcJKjo>EtaxD?r={Rl&0Er+t;_ zIY)1~S)ny|ELAY?`(ti2#)~~p66WD8JFUP{=}Tp7qB_!zcB6l@gM^fIi?9MyrB9Wy zXVouVXlwd^wvlj>w`f?Qo>HbV7Fq546}D$4-cqze($dA%m}Pah6K&{ulevU^Xv?f6 zcv#xA8tbcab)tDcZ!(o|qHc{?qPwMOtFg4ICI=d)>!y)}8G5VJ66KY8ug2V~c015E zcKtzFn}r3RvsCZ+Fqi!atVz75!VEEkMET z*Gn+!UfL4%xFB*t%+k9xZUJ&`H!MNxdt(dK5&oWOFPFt?4`QT z^!=?=3#53vZvoC1`c6|@vWI)b?8Qy77GS10V*$1owoXz_vYXn)jQLGI7O1b-e*wl6 zx=vErnN4kC`DBT+1&|fb&BHx~P2l_@qwD~PH<#;pReo^u6|uakB*`3fU2mO*zLz$?q1qnYd|Aw@C z{Cr+azO%{G98_I*o`ugY{%4S?aNy@0F}rw^xjDLgePjlPU;O(OH6`NbQ({KZCL?on z_Ik?<+A>~uZ)K?#q7UICe489^=H#?=z>N+HRZSAWHIApNv|0q zu18Np&V`G;RQbN)M6vv6iOdYBUw52_z85rlsf>NYhs4aoC6CR}Qqjk$Wh?sPbLx$t z;e%pQR!OB9nk;%Y1)K9Uo>LWqhQq|{Lc2Q#jhC{^U#FAVy)LLXe z1sQotUDQs$rXVpVdQ+wux?l8u5_X&b3Fw`xB&C{oG}(w5?whpDkaCbim6POVKXtws$|j>DHc5&hx6w&#MDNo zVPi4NyrkC@O<#*1hi&IBil`f%hUsFmX^G4f^j&ithtcOWMAXv`!-iruz2vbeXuI}t z3|gPND5TEp9X1d%3`;6a(Zg%c#-RMHhLGyLcUWJ{*;-Oyitb*E9)l@oFAAvl?S}Ql z%nc>ErXcT{^B8nJ`yT<7Z1?kev0QgkmMO@*HZlr_&-~4&vTT0V5wo;6C7Gi5Yb~R& z{>*>))FG>%wZtT~O@XG!=UU7t^gr`Ak7{f6v#OY-yvfrPSzL1-g;S^h!=v8)^=D-< zd1Z;YDKNS=HUgiWzR9I3{JMFinEhjkktxu+);a=1PjBW@Q+92JV#fC+I;KeJTHFX^ zoWA*l+PSpp2Qg={Sk)BG6uOSU&TQfd)nv);yX6Oq{*p23E1c+uaoP8>sq6*2MUi|` zf7%$x3fubOo@^qUN?Nd+7coES_Zx#pg$e!eW!A$?D)V#1lt})*xWgEf7kc)?jI14* z)W+F}2@&gcah);BE1c?s?pY7hsT*e_K8i>K#nr|rv#_%dzR%p9PCY*T`+E_qSO2at ziZ4v*gY}tDQ>i19zrPldJM=FY1K&dLJ{Xv}JC*7@Su!AEw-ui@2F`_Zy>Kd{DVchA zyrfS=epZ}f42%l9d*QQ;J;_vs@sb`9o39^h473U}dSQ4*Ya%seRM0MB@brC*ky4?5 zFJxr6CQ>^;3ED)Q+F}=DG;?LK2X>}+$5Tx{y?rKP)D&-Dz93indSFbtZ#?zFhquil zPNhC!jM}bndZ2Ck%UJ5nyPx6y7^4rBq%NOLz?jD$y zHhhT6dAq4j#JpZSX9V)DynGH_(;^R1$#3l*i{yp+<3=F!O8s*SWNsq(>yN|F40vD66oT(N!*eN*QSP#J>}Wg=#devJ{ZxH8lY$5Q@x zfXaULd#Ol%Qoq6o(62Oh!{(Hq4^UUUDk&DRjul@vLYh}1yJ2w39}(2>7bQg^(h>b! zBcyo6z8lUbD@9Nn`vrv}R+fII5t=S|-v!%}FNRS!_P;Fdm5n!1+HDNCuwsC)%N+O91$z3*xU#e z7L0Ymgrs}FQEznFof45k^^J{CZb4fov`->_qps+RI3Z#M73&(I^n#>LIFR^oA2qxq z;;4uepuffl#TIyX!i>b-`>2hw-w%sep85(#$g5zk1G*VRL+>A9aOz(?Jo_xcD9&JilDu4)@28 z`B0f6yD*WQrhkbJB$q?m;apsr50xW~2oW*26`!Mn>dUt6ur=;mA1Yb+dyq)3ub)8& z1(%0qFf#5BFDgq=5+Gvg6(`WaxywzmWy4;{iz?>}yhL&x{Qx>hy&NTj-LV%vs0{vF z4-r$V*n~vK7BPM(R-+@;%ie9!IcB#DbV)D_7k zdLmYbUM>yz<=MBw{Q*jLRA!<;S0ryM%A^68ypIw%=YP?L%8CC;N5p)lmqY``c`eIc zivMOCDmi|WmPpPo3Zx;eyaWjh_rGUFO^LNrU4C5XdC`zko~Hyd{C8MUI}b%DFF!8y zoM~w0{7eh%^n3a%)#OmgN)frH$b<&^&Ud%KXg}9qsizMLpom?mhtojY`K%Ub?bp4F zI&$Fc4I^hgq(wVeuk(bH}o-V^h}#1W_%)KLPnULyCJ%mYx@{7J%64goPA2fLUwSG zsUbL>`@RNFd7K?5?s~ly3CV#)bVHDo`>X~wd;DjdSmE_kvykOgq+^Kua^q@Xu*c0& zBHY8SK}hn@Q!@lExt=v}&V9!y!EuYI7cw376bylJ?#v_D>fZE;SmRbwE9BS|&1^$j zxjm0yxV!5oBE{uxjgVngG`bBbC8a|7HoeMi;6YCF zL)h)A@s42ZeOoMKY}LE64PD8Jc?e@%|9(qcu-kN9$k8i0zYXN%xIcu}uEbkn#3tgJ zkgQvjy$z)0Og(^oE>GVOwl=?C5wf(3QnsO>obCrO!Nv6ral=Y*StwU2irof0a;c4w*Og6EUA}WC_V1 z*3BA#dw=y*!z^>xexhY|C_~74{m-ZY%KM8`4c*OO_7WRsHzo^71OIdzfXu&wtKqO& zTra_z4o?&^d;gIdpt!$mt0Bki+g_q`^2Q+{r~RKA1LXbJ`zq*ZcJ?_znH)MOB)9!j zX#mXsYN>)BP5<+pI6l5HO2`uZbHxBr|4OKW^``f_2>EDus8HVUPo4o#|I51y`kLUHr3 zsOwaHCG_7pCnIb>gnJ2D73=&BK;5bEN;tOT4;jIJcf&&{FJ0$hfGSSeSHi{}8Zu($ z?U0j@QM}IF09-sZQUL>Z+>{c|Z*3ig>}%_c4N&%}_6j($eTS5|@#eU_kaT4o#Q-Fp zN~?fP+nXc==}n`xkeRnm-2nNX3ao(4?fWH!_uvXEAt&b_B?I7mYIg;kG8q#ScVF3B z2+61Z`Med;Prd#ZHkgdMhyUr_iWE-8 z{0nD{{}vJV`!^a18CmN(wt}gX?*GDP#ydoWLVq|-$WC6@vK2i)IdcyN8#f7w@ZQF4 zLV3cv2U~&UWZykFXXGm+IL}uY2pQ4q?rlX?C!gMfEkqq$^|w{*$>CB%d59hpqIRd}2+sfeBgW>*!n2 z^oeJ8VH;J0OOVAYpipkSPG>9VJrQ>ohEwl7AyP!PKL{E0f7G_3))St~<;3nMM2qmo zcS82Ie-yT&>J#&Yu#M=>CN>I(76o$sb#wZl;Ka*97)eBCFS}m03j&7Tx^aDU_C!M= zWDwtG6D|DX(*m~6KRx;&i*vKE66p*ymwd;d`6VA)!_~uN4#oIU`V5+RE z(?_l+rmjE+{xF^BnSFdvz+SwXqYq||?O86@RZ1l`W)JlXNb^@S^-=G! zkpdX0do!6}Wp3;fFele0>!a3V?FEpbyCa$COh4WuV1K+CqYv&MODllwI<1Ms#`K|f z0qOl!AAMACjJaH{6PZY`Qa8#3%)zy8%h``vFPH1g#S@*$$HfA6-&ND)?8n|;hV9yC zjY_0Bc_G3wxAw&CVEYTT%yg|Tzc6H5i z_GA9b@L~J~?qDDZfx!Sh@^d6171S2)?9VA!>!>a_0%Bvk4Q0r0e z<#NqE2Z@#gjTHj+owbq;sQT#QMcAhC@&K{%z=|?~y!h(F4WQuYz(p9Tk#>Mci3l$h zFpAbzYyfAEHeG~^>PiQQmaxWR0qe@uf(Uj}{ ztM85=QbLYj6OePSp56dlkIv`A#WmewMB{HmR|Kq6YtuF$FoOJ>ZGnJu z>}vc5q;<40ABL~_IgE(ecOy?gKC(7o15!L1oe$^L{th7;gI1guFte_DZUECq+?UJM zc7zaXg2HnIoaD968$i#IxeG8QIDWP#1*}l?@;f5WgTX5Mg9xU_#!P|SeeJj& zaz4_K2WOPO4I=Kk9ZwdJ9k2H2fo0${4>l`b3?Npxtw<6uZPvEy0nH<>d2qin5kPpm zgeM3%mTOz|fWncP^Kfc)lOJ){sWDnWGQavj4^1AHpNCDWBmD@H)5e1WhVj~e^-%ZW zhVziQ`ddH3+2KZ*fK9u4Sr646jyew~lrH)ZH}hH`9O$hO=SG<93U?5YzgtVw1B=;>Iq?0` zPj1BVCBBV-wNR+72gb8wa^TaY5*MO#!Nyv^nJQG%1D)BPInZ-ymkY6Rq1aMDT2?mo z(BtgIb8vX^g%iR0tZyM;d?=h-kM3m;oP$}5sZPuL`!O>C>viG8dX$&ld=9!Usyh+n z*&j^>^8UiU^(Z4d?i?IiD0d)Yro)T`^VfsVS%GKa%g_7l2=7n&dIC<3?z#0~B+L3N zO!_=)L)`dqOjkgvD$H09WLfXez&)SyZHSq7Kk5h=Wra!W!NaV!Gq7)NyA5Ic?wFQ< zb-OTTJt)k|I0NJ6x~zx`Z{Mg2I7Pa?>p@P|{xi^eF4l?|dK0E1AQkGmuScm_d(Ob_ zSw$;?@#f7c0pqf+`Fa$XHFg?C&zAp6oE{8YDPZO5nyg1|S>31M?pc>#3Hd8N6mU)z z;_Hz~7UwiD>tFY-Cbg|oV-CsUpcL#HE_@R(kk1wJXUa9kHj zG8?kt+|)NqIOlosG@lWz+pCMJGNZHMv#G1gKL&lSKgDN->2~O%OPQY8aR1b<1)SMc zJi+G#>q>Od>CD9}I5qj=Gk&*2{}Z1SsQXA4C1$?Pf=!eE{fv`3ia+ofUb>aK$T#y@ z77UzR`x&>D>A&Z*+;t0efkkFg795*+GK*i37Qg0m9CY(_0WC8y3pPybo5hDD`h$Fu zjqW*Jpq^=+1$`&JnZ->c#r=GSrEaD!Sj_mC2|tcsoyL!g_51iNGu>oeFrLw#37?Md zn#Mat#XWqEk#4Lm=*-B%lkBh>K4V^cL@P*_73O%344&s!9VYz%#g|@p6dYrT;6%Kc+58^2Ye$3%BN(;<&z`dl26qwch z;1%8&aqJYIb-lnu2jnI7q(JBHeXsB}5&9?j>_Tm-4#-GqNPz=g-@L+^Va3Pz^2^%V zIw&S7It8Y5mAt_3hS(hClg<~Y=^&3J?-aPF%kBkE3gI8-GyW=2)B!t^cBjC;&e49{ z_P4NXKI??`yf)BH8cv3BooD*-3;W(=^4UiUrnG@_Qd=^#?A+du4+R~|;LEcLMzqmP zVn#CT?0C_OQ-bu9`J@c(=h~<@F*F%QcKo*&X9W}|@)?QRGHuYB=$s4}+c)*%jea%> zd{%Tpoi?aWoJoQ$?Skj{8oxKue0G@jLv3(5@nsSWZjXJAGkwAi^5ww=724=@VsjFl zk*Pn&?|S_hwcN!8SF}-LVnPyZl0E6dXFQ5Sm%CUyUmN%)1}4Ek*}g8^*25-*&vY+1 zrwuF;ZIj?g+fQBiX*YflpY2ePsg0+Mg?Bls6D|u z5jsolWVnI@-<{7g)OOWIj}mq#!k4Y1QrvrQm=m9~Rohe>+)a3&0FzqtrT7iIA07E} zy#ga`kekq+0Bu`$N%0YzVtYPWR~y$xsR>yLuv_w0f-`JvZ1@bV0!?icm=K--qa|k~ z_;IT@R(zIOFnyLfr2(NPMD8}Z7p45ocyc41)uYy_Gc}m zmGC+qhPM1yjEC>yoAF8C7ffma#e|l4I9InxjNe}hHRY2RHAl3-)S zjQPyzOWj(i`%rK^46OUF2zOq%PUo{GG-X<#?vP_V9IM?V!Y_Qbrt#SyF4bv)ibFGT zu)bCz#O1TExAEn#H6LoB3x{6DLC@M$Ax@b+YQQHAT&mClnTMXm!Qsbig?P&JhOK;Z zuV$eZh&z-L2QwZE1UP51VFRDpu6a=lc^=vy2OS^B3UGzVP(40Nd?`l@>^x*22Vd5x z3vlo84eR;rX3cCZKshuO3lnOd@NxENgAQNLyOgShln=>cp><6xA0PP?s?8_WX(nia z+32QN*!@VIkDGk@L5okWxfG}c`l6F!Vbr51Je>96x+2KqmE@&soLUjKp5 z$k9~SLgvxT82Isi#1s7ZE9>w1%#)hRT7Vk8CkArvD?Y(nUi|PKpMCVwq8d_*o(O`j z_n&0rcYA-B=aG^w z^{FA*!K7v9x%x>aKJ)zg6pwM}QkNQdc#s(cd#YkHamMq|NggvwvrP?MIcOgQqpQ?2 z@zY&DOz>DCnssX6+`*|p$f$afj(2u6eB`kMFFjC0$p>Es!nVrTbe!A~`hmysx>TVC z{0}w3Fzo!+W0GU9(UPxExFggfkU_RQ#^A;WdxssCiKh(GTtqgiRHxsrZcK z=pc__b16p+XdHA5g#Hz4Q*nmGdVt5Yyp*km7NceY;KzR@$@ppU>pmXK>{6N<7>^nV zfSi9*lb5&M>%Ba-k!GSA>WFFyfbRcpO2)||>mDA*P%}mi)I_BPz}NS>67g{14;?)D z)=R!>=x$VK08F{}-$eYbph3nXZMfv2hH|4^1K^%}cp^T-4{hU-buT%qfwZW3f7nz0 zA|7Y(H;8!*txG%AP+-)MKa4K_Jsv;KdoAKIRWyy%fLm0XKV+0|kH=fM*PD6lm72I3 zGLFjfhpl(t#^P(Z)_fl4M@=m?pcNJA4}<-)X9;A%!SUe>hdRD;B?z z-B8aXE#@n#fyuDl{;;ud^bkIhd9;p4p3a|FMO|SZ{h)8*)kC;R=7w4xVs8DX|-F^B6q! zLRFv?mf{QNF9{-W&Y{=WdCXe%i>hcUG}sqDyObJ%uQ+tQh{vo}&s7D_L!Esg^U|gW z-1%VWH6E)%{j@5o3!V3YV;8%^@Cyev6!O@m>glSWB6P?H)?fTR43|f|F5q#lt0$>~ z3!!a3(DUN+ge>o{uXA{ezw%vG!H!T%AJ}u@n-HAw+x5SA%oF)$sz@hv z#2ZFmDE|#VzVGNM9_vWHi7Hqf+UE@!7xw*zw**U`=x{D$ArBRu&*brn@q5#s0# z8_sXqhmZJ#9_Eq4)D=`ge#pER^giDegj0MrWb??u>Yr6mX2_5i9L_xxgr|7D&g78; z@~2clTu7T2%*fppgmXNuXYd%F`J*bpGvuikbj%$Mz*l%2P3AG3@_SU!j*w_C*q2ih zfIGWcC-GSJ>YXY;H^kQq#^m?};HO=FNaV4t)TJtDb%?DO+@14N0N&~J`Vfz8u3oDG zW`ZX?mj~!i{BW|<^=KZ)IRAkP=n0m4!q9WEet5XU(StlWEx$qqwFE!&gi~kN`r&u? zT1W9n+tlx>fXd)BPuO_2%LgB^`yq@+)>przg7SmIJ)!T}-+gcsyVoH+^7{Pq%Q1u9 zJ>mN^yL@n#&Gld&Lp%S>a?Ie}o-pIgs2AR7bu@^_R8`MZL7u@MJ)q;65-)s(m31JG zxk^1n1?&v&@qqHvK3=%_~}tS3m%K7_E{OM z4jOWUyED!>;hmqpH|Mcy^QM&1bYQz1Y)!Xw!qFf*jCk@=wJv2;5g6zO8`2^i@S(RMbe{aWTAMPu5NPiP zz0=k@;FPykG#=?%UY#aTN_{=Nb*5%$*yQd6x1lGI4o|JF);*3{C`aH&oyaHvQ6By?Tqf*N4 z@Z&FzY~V4EsO2jor9h@DTuhFz!yEfU^mxpyyc}gT72xO!pCzxg!xj3i*7I1&YNwUK z^MH95$V~3C!JT{cba||VymVzy7clGs$CA$2;1`~Muft;>R7+My{|0orz^6%eHh5>( zpcaoEnir>x@&X!MpnK9cHaNM9r^e$1sRb&7jDQ4}j!bKv~1Jn&z^9RO?xVH2tG2;QpAa3l#4sR#RN|cX=JF(2|$01sn_VS)g3V)|=#V z7ILMlz=YQx3s@hdxImF-em}wGOsUkZ0-atn=FmMz@|i-;{QeV{^GW5=Dp2F~+8ho9 z{{EQ~o<8`2D}SF`wF=$!YBz^Tfp(uMH&O@RbLE37_g0}CuX=N69r(>>%1|=z4OiZ$ za%B}t@k%gLi76z^a|gxUJ&73S9B@H-pi>`z9&JBdlaxW>K!&DsEFH=aaku-sH*_gv(XfCycNeOE&IN2=CY2e=&k~4o++l# z*;_J7QP}rApUcWt(Od;SdxV=px!0Ldig(Z;kIT-;Rb7SpJv>ceoR{4w0zwt5OaQP%hk)^gclxpOPgC6CuT;eOBG zKT*7W1|M@d!MRf_!D)~7op8d#?h}RWHCV&t1gMOy1PLDXJ7K-YH=ihS4_-BwF?uM+tY}m2%}Ym8zAf#l39@oO0duj&fr!?>1L%pmJ{|s&KF00UKPuc}E$t z;}vsB`YKmef_(Rc9njnL$y*A=j(43)TCZ|(CCGFS-T{YP{`-~^W5X-rlC)LMuLQB~ z?mJ+L%dWSSyH>nwT#~BF*_FV<-Es%Cb@}EkW#m_0A(ymDC2J+v?mn>{b~-m+wh$3N~G?TX0klS?Rr5m8C`#Dxed>0u0#utktT3> zXZ{OH%BS^5m)mglnw4P8(cc7S?A-T)!ueo%WVsDjE3X9Yj`k+de&^a36w(LF!^>^B zT45!4=s0f-dv?6&r!d|HXD_$mxp@p+ar|fuqjr?^Q&?|)2-u=eI}n zQ#fyeGr5c_t0ys#QM~%I&zGm|Mt;d3S;naGMACFx)%fH zj&a8Dy~%@K3hULiBrfCRxh@P4j{A*ahDmBKh4bQCBA0RWoD2i1j_$_L-egxVh4i96 zfy>BV-HL%Z2g~L2H2!A!nEmyKxQvW*wHWAgm@0MnER>%4a*h`qeH9M`jtyWq7W>fB{bje}OcuwQ)!13C_j<@2PCc2H6zhl99etJRqpQgj%j z!#V1M4$6J;wLmV}{9G!AChcF+VG}jAgEAwk58#rGS0`bp%f5{ceW|-TC?=wMe=eDJ zE)GMF?HlNDnD}Y=n8E=sF4^E*Aco5AQ|K^_kjNY?%ZdmPyp=$Wp zj`CJYxz7!D;*wXcHp39zeuM^_DCJVh%#*{8T=I|Swqr=${v{3iQc|T9lPA|4xa9B7 z(J`=K(?)|sy1S&5nCyCcE@?rLz`&?Y0}ZC={v@T`%^a}dlBUk+U_fS*LW8!t5(#A_ z{k!GGPl}otcwiGrgPl705(*`Ky)~Eg{)`$13T*soFkEM!gc6f#X~iWCDk@{(jEy4= z&S-CvQ0^uNTXIQ#XB04yXv3hvM(t5CWhC*i1((#JxB!8V%@`GWYCjNDHYQ#(=gP&3 za}b%@3{c@~t^bNC;qmomTzT`EDTpXG?NpegWhbWGh#fHH%6W?85GmU-A(wHl+R_uYO$2y7%Lp4i8l<6h2Vm` z=nwBnh+YpQKn7GY*sA!wufsu^6haaq0wR(KAs_^TibxRw83Ho*NYUF;a6(iN=xbZQ zzpq+UCMU=d5m1nsfJ{L^pvpXiDdg_;*N`|9X!YojkR(GCxiKF^q0LB;O04Fq*1GJpJ#PROVm2k_)36{`tM| zG!3govi;s{ugp)-zYU`^{L_2k*R;#ENTXzMTV-;z{!JKC@ICj!0-CTEAxX9ZVd#}> zF!X|dWG}3wZmC5C-u7E7lh0nsgrPkCswo^s9j!((y)H5(u+nu79ZN;R@h{DxjB6<>*l(c}DfQ`naxtVSF~I3RS_kAP8I{yS56 zoV>9bx#YIhxKiq(9|og)`SGT3JbAPVkqb}JD!GTQ_`ndAA7%<4AwRA{w1gL_m0Zph zFBn>~KVb@Y8>UntT-P_0O0JE*2MqPvdziv!4V|ixWS2p5CCgmj4Mv;n9ZlgSgN;>4 zne$d4+i~VzY`x%@ye1h#?rSRX zl}dF66-H+{9tiwKBft=)8o~qrlV}J-9h}1m?5;7w5SH2w2mDVW35H&BR+;eN>PQkI zT|TM7YpfXMe z6K+-uk`N=+w?L@Km?1#koH`~fRkM;1!Q9CeEV(sfk^pfyawa^vGMRv6&iqG(CD&*4 z5TJdWNG2>_ktZNEQv>su^lipR0!rnaX2M5TG82$>QxFhVWGD#elI?LO+`BAIKpZFR z<}m5Yj8+2LYkQOlpIt^0kmNCR7ULFYG!dX?+k;GaWl1Xmk$lxVl`?vj}w>zGc9Ui=;SYasUFtjEp=2wBPmu1MXN*#v(fg z?1nLAT1E~5HMTv+fKM+J#v*6>(a)IjV#X~3O0qr0fag`ovB>?tfgwzukdZ}zX4#$$ z_>D>sizvZOl9)U?;~D|#U>{+??kZL+!s4+6HjHIM6cf-M z8&5j?-Hc}x5>x*z5SkO+322keQ98Udy(0=KdylqaTDyrt0#s~skPg>OFGL`dwUrH+ zmPv*!0lH-~Zwz}*H%1^xE!2Q1nHkmuG~VW`G5mQdKLR;ZeewgQG|sRhpkX#jV>o&$ zCIY!%^`Cl7P9a(lAa|Q~W7vMmF#=ImLO`fT+(&?{Y~C5et&^+>gjH!*hvgG85CXc{ zCeau^F*z9yBEJ7rWBIrYIsw&KMjFG@eKp}oX41f0ELp9)nE=gLo-u~yec9niV}czJ z&g&WxAcdu`F)Zi{3rE%^pjDW3BAr4&pIf>c!@a%SaKtfg;0-4Inr=Wq?^-$1rJ)+H!g`{H{kHiY!D8lw&MK`jQTq zQ#rC3KHifZidaQ`3xut@3pxf^GvSu@UsM{zM*tlc6)lKlZ&dFfp zQow)yz@#_QU+JL7EM_;sD;+h#i2UThBaHjA?h75r!s5?Oa9u}!FrszR4hYlI%XH9< z7RpWV@s6-y#LE9a4>8t7-4Y#CZQi~K{!`%?jKugs4>7HT^rt$|lzH7II7Yz=MhYb- zA7EP1x{r0BHgowV_>f{D2pRFN{2f!COTVjwmYGLyg4^30gODBG-vVKvZjKI=V}5oM zs4(6SLZn{kZ0vtPbu;-!_)zmg05alGc@tAI(tUN%7_;b&aBEXz0K#(k_9iB$>H6qEK4#}O z!l#<<2Ov^DnuX<))4g<1j@hY=@O0y)03_QE0zzF~u@1V=?D$4l-Y5+~8hIygV97eV zA{~fkCfEoI8m$5l60h<)Ce{3SOb1=sp;zWW{u#L@|u%K+48?KFxL2w);ehMp07smyYl>#$im`@pE1_(hW$Fw ztv#QNV9)a8lL)f-DIL=q++e8#CG6=if=6C?o54yI$u?jOx`(6Bv?MsV~itCPt6 zxz|5o^0p0obs+aWZ;fF4SEQ4Oa^@Q#{ICJhfvol<8o|vkC;btlneWrE{OTX+I_Ty- zkw)-|mo@%~VCr9KSn``6H|s!}-Di#9$rt(lNanlYU6y@GnW2*4+)OcqnT3JPnix8~u=xp>L8f zW!8ojJnFgoD-HH|cHa-#G4y>RCeQeB5f9ny9;Cs8WtaRA>A=5$@TVVDc$B%jn+BgR zJL89B_kW*&<)?0#!=r|~n`!XDGOi!e*!L+OOHSM{gNIbR-qGO3QkEY=>N^pSNo5kJJ(T#_w878D1gF)hCzJMd8KE-4M}D2|aJh~jk=rseZvJ0A7f zle=ta#zSVi4$^7r4I!??RQl;NS0oxN1J?n#(8vQYa=2*xtm zP=ZHq?QEyQ$Dati5v$s7LNKkZ8;bBy!p;v=c;qqSjf7SIau(B~Z+L=7!*;%ZS$L@3#DfYS$rE@XS_$6-VBCrI*YVJElcQAl<6XoHv5NcU6vq0x{wf~LH916u zzq?EF0wLQsr!cLd^_h6+ipeSkUdWvkgOKePKTO%PJ`s;bnk-P@x49i+WF+dKA0}_V z9FK=QO(rR@dv2K+VMV?6#pDf_FW^yYlMxF1DJNTuct#xb#q#Se$AGV*iIM_`<-~}Q z%<$I|EV=4(G#)ZE>7c-?ccfyZCiH{^la{ZK!lU!sn<=2i#}y-5p`Uy(Zt406JoIt< zI|}S`hb2b1At!t=R?+%!Jo;+;YYP15Z7nep6Z}bxX+6B`hld_-kEg&fw?{-sVbJ$L z_}gU(9=*Ojk^&#PT_Zw90{CcnA&nb^!%Gnr$UQ*7?77$GAzCkKv)EZHFmv_f3`v;rg9$$5`>}g?O}Q+d&Ha-AyeK z5+nKKim{^BbMR2pwk0yWkTv3l6ncN}ifNs@%*LZd+h)n2oLA$9jClRa6;qzRY>h{= zw~dow_pCxUgyr?iQB3Z){s10|-}addf4Y(BhIopfPe(AW!}@)AXw$Yj zGVF7Gha18bet!gG*m!o+95YxoIf4HCA7^2#M4lIifTLs&BXG7S$!Z1p9>iC4meNDcoNJ|?BE zr{YnMtsZ1hx$_hvTKt22j7z*s#zP0T3dnGGrj-z}vioF@vEcQFc$B{NFd6(E8bJ7sJyDE1@JeT`zvE;9WWgL{p{L>H)OT6!jWG<%IV$z|s zMI3sSIcNy4CS#ud?0VQh@6NSnu@Ud#?d_;`ZU6|tK0 zX9Hi6Fo%QK%w|J)B;L^#37gSo179(128SZdIzu=*9&ttPPZihzUy(44gUHOchOm9S zkt?E{;MrjE^0Y}Dw7`%Xf+C%kD`GU!Z;9oX68dmx7vq82`U}jkXJlPV!7fbdkXC|2H_iJi zS6VI(iZG5gfaT$hPDoAF789UcX*oF5!#K*$0C;2yC&$$O!~uysaP* z!(Rc)MLP(#Sfb^GAW_;|F|F3rB%oZhRekug&!huLHeyUnS(loKgAm%1J{;+zbU^aM z{h64&Dm4Lz8q#L<;e$Sn4oFAn7ABToo*IvXRMbg*xY1kgfRIA_8CY^@Y8(#zNd2l0 z`+65TAdVpg3`|;-dI1NOQ%CgSug9|;kmO*02F87ekHw*nse}6Ph2xhTkg}jH3}CtN zF*xW3RjCg<9*=QACIkEFz;fYbI5dXZtq-?*opC^R1QyVN<-$kfARlUnK77i{(*cnN z_|t*q!bjmy4z*Pup7wNfK(bG6p##f>kHkUysEzud%4X#NELXoVuw3{E97?6u>BC1o zcQ_zg{sqRsa^cV8pe0I;KHM!fazL#7{EdO-!iVF~9?Bbi_&f1B2P90g#Te6y!iV9Y zCW>4iUhr7pBlo@gX_ztu?}tN+DDnF68;=n_qVy`DVe-?dzBnkG5~B|v^HA~;BQJj% zmhYD;!J%=KNPSo-YUCpV@fI4E?33z)gZwCA`tUiCoR3@*^;0paDAgMW9iyDnhgaMS z`H0*Nqhj2nsmF1sIpsTj_?>$`A6XElP%)N6suvF0NI9(!d%9=x5k$zNVp{fiFC4l; zJ^{Aa?Ghh3&Y_X8bM`9eb zDHw~M>V`wZ$w$E!3$6GduZWQ`Eh^p(2Z_js_2EOp9eiZOE`^LK_3+1Vs1?~!A8r*G z@e!7tKN*t~@IoA9Ot#mDPY6hS#FMv$46+=l0vx0va`oX!jus!u)M$}GmILMB&}rf- z2~OlJ*daA)IT5&p5C;df6PHNv5zdGmqNUC!0=E#d#i7rL3nciXZHFCVwJarKT3=B% z4$39YlHfD8jdn=bBA19M2T>awnn|1{!Sn1IJLJAfi-^g4AR8PMNgOA^Z`fsaNXMK~ z56f?dtZ}HA_>}}7V;9;Xq`7=OEV%(afP<`wf0AIOO}-uCIFqc0N$b%4IFv#BOoGqa zWZNOhQ(QfaTLtaMK?cM@61-%6$qp%-VCiA3a?}b3&FLvgaE*1c9WptlrH5&iqLw(c zL$8+vdsxTVAv?z8x|s40$Px#=)axd}g9pyoA<{4Tx>)`L)B=a*>2;9c^9Q7MNcL#5 zE|&Z&YL0`h>9vz!?g39bq;Z(5i%IW7<~TH3uayLU*e?K2X_%#pac`n#IOw=uGYQnr zxZo*)J4P|qb;t~dvh_ZY;6JUbz*8Df!kAV%v=0ZF>eZ3pXe$IfrG7b#DO1tCIFzjS zjs)9V?XW|XeaSE;PlSL_rCURSn=Os(5TiaRjOEKvQykQ#`<4VtElGBWpoa@%$&rvL z4lUPxO@hZQ*4ZJKx>zuXxljZLJ<*ku;CKryJ4D{81%sFiLU8B}-9!?6*kXYPVlE{C z#9R;)2gT~fli&{XNglF8Atzu;FkKdh`siLDfp-{3c!;zupMc5TAqEZ-=*E!XX)`4c z$!!Q|V45Xy$XBf;)_@AD9&+I$@>pNVb)#|3l#sItqARPwq-6K3EA39Eg1pv5%02UsC<-MLHxHlav?*Z@zK(YeBSpZt? z9zcUa!17iAOK0(z@&f=R0B${inj>I&wLAEI0kGZz@Bonf2EfX{!Sae@;Q0WMz5?(L zfU*=o7yxdG0Q{O8!15vhtN#lu{{z5h0Lc#l)B(_Xa1n0JPiz%-VzHM*(~UpgaQL zH2|&yfHMH(d;muQuf((z%>J~@C{hL8$d4r z)-C{V0pyziI14~)I{Tc~$Y}u10bo%9cmT*J16W~!DWABan*_h>;*)#s1?&|p0QBc-om-GsLmbp-#$~Z zf{H1@uNbX)-Ibh+UpFi`nPZnOWlz=oWjOp=b@g8Id8_`1zQQH zu#>4Cz*GSn=TQ2oh<%LizC1o>$7p6$zxHoaHzuHIrrk07N+ChTF==JjWFUE^Qk>qY1L9^Yk;w5uxq< z@cof(TLOBP`XD!0Voi^ZdppLS>?~I`Z3zf?)&u291`O#-@$_1Db}%iqp+Blpq(E)N z)!oL2bC3G7YZO9q{g&v4idM9!ueR9ugrA?vMyiSXwN`t+pY{Rr>>f)rK73yE-X<)h z)ux(fA-1hX-M2+~8Xxi7EwM@N^lY!>nK-$`w4p~HF1%YiAuh1!a z_zLYzmQ)Y^;)4B{v-~ z4=EVxY)L|&k13u}-KS+;mSGWhbb?d-8g9xU!);DCsd7WU*isto-WQnS;lu{_GFeVU zQX|_QnIch@-o-O!$?Aol{IFc7&Le1}CT@~)=Dcm7S^iK`nxsG!0S5TRM}%7|vwVV~9nGsjEYM7F#O6%IOIrF<^3m+f5;`#cgC z8hVZ1CZb5A9=V!oeOVfJD>&LO(t`|cdRtN6 zEe^&a($GY@TS4053~&P<1#G5FdPEO2h%^E|{^{xdo_#9zgsb-5o|4(w!nOgovM;aV ztu23dm?00&eh5F;H+tL>UuNQV1+Andx}@j`FZA>l-g9y*_Xy!@p7uv_2p9 z#5?)3y*ZCM`bsB+J8BnN|GV>1r;Oa#8p8jRGBIb2zsC2Ex-Gi8%ExF9o>}ic?gw3>cD+U3n1ly=bTmhtDe=N)!Y}F?lI|V>gWln`C^!i0GOGFF4ytBCj6j56SZ; zHsbIIySDCYoB<9$y?MjdvqmZn=TgYsRgD(&{iFts%5cySSHcDtIoWYOJ(~PKhAlNv z=H0es;tA8fQBJ=1`Rf`jedTAWyD4jJ;L}&Y-yY9;au|8q4RC1`u7k4vCc~M#m1_u zQ9Q#ENtUWn12TUTaka%#XtN{s{NM!lZRqkVNK{=pr8mpj7GkYzYIhi8l{m%x0iC}w zQ=;ciQ6wGEiirPtR5})X{vOnw;eA1Gemd&?{>(*H(U3AUr29J5zO%|&uep=iU?r_? zF6~#E%Q`Ni&*+Owx)&(8_m*WRZ|C(S7xTR$QAG$=rh96^HpxOdsvpuR&l(N$LyOJ^ zMe4pW)Cn;k32ZcO({iXZI10%g#4&W^r;^;vj9jn$0R|M>32mSQIKBdwG6rY%PSzUk zelJ%CGyfVf;F<_G3d9YjBVRV%sLFp;UkKWEQ%6BpP%||Ek=G^+aV%*5GfyQ8sjtjz zMX#>)P`19fwK|ZsZ)m2pwA(@$h6WV66gR!~-aixI)$=$k!@*#NM-SYy8r}Bs!tln4 z{~-l9JUuS*jry-8wqM+nE~EKvJ`)nR3*Mel-xdZsZ2pK@PcWHTUDLPTPX*?xPD`HO zN{a2fHT$9d%729$c+jp@On6?7dr^Ju<8D5};}_ZJM-W|AnX$_c(hvB!mXA0$8E?qN zIo;S1W%g6r0i4%Y>WEGfh7%a!5lJ zht@g}?#LSzr)KWfpvr$dJW2yaXjB)N-#r)G-eL{xmP{K>8P?QnOoz3cd@B&eaN6q1@RiBW8DtWPq~{GxMEFiLBkYihe9=F<>rCw)Szd=Z>vRX#aHvKrbtz zRv2HcEP6Ob0nu3#YrLPxm#Lkcg)eu_CbncqE~ruSw&gxXM|?#aC-Y19hq08TV73~~ z?(6FWj?Qos#|lG2#`--Z8sH}#scK=2l&b4FT;~gfwa(Lu*=j9k-Du~pU-~t{Nh_eE zNm$BAocUVCX;g9Szwp$x>=pEtLRH9Uo~^<-BZ`;3quPHROQ^cL?>0lZKRtny9Vkc` zUE_NlZ?%o(u=riHQSBs6msfJ3`q>*&)&14;JaiY z8pevMn&(mKS*P=i)$pMp&JMqYiJ>*FTeRRv07o#;^z)E*nm=HL-(zYaGW9p0#C;+GD3$82%GEthxIcdg%fc+R}bR^DK{+LiKkD0&Pk zA`iTzOJnb~*q#ZY-tF=i+o``okB9q8=wa8??`@4_i|j6KOQ)+}AyIT+A-&g}UuB!` zw9wPJ#%=v@UY1~6Q)!UciS;yO4@1;$;mVMindaukuG&{gmNPpMUZD(uM<~7u^#%XSi zj$dY5jUD)*rC;S7*#{;a1)I}X8g*vaH8cB7TKr1`yL+L$u{b8(r!Q%g9p)geYbyBL z#7*sK*hu$j9qwc2S6&TS>vNA>wNum=u|9yBjesmJ?v}lw>`S%BbL&$? zeZ}pdpK7SxxFcKi)BKe)VWHUJ`5k zVQO2GO^t&fu)1KYxVR2d9IB5njwws5vLQK3^s2Y~EAvVa|iceJmj(_DX+Ew-CnDOC=ttV}=-7;}i*z8bq6_lMGz&3toW+ApgX3QT{ z_Hz;pt042leoNy|Y)faG{E$`Gwc*OSCng%}?7l@6Rxnt5r2_i+wa?htF`6)Aoqf{i z9>#mbt0;%u&z+2X1s)FVt7eCasBfR)w5;Ywj1% z+$3JxRM<=OyzBBjEvBP8$vTElJ(QGk$l-P=np;&pMLRPU5MjL_Q;jF^G;Yl$kX53% zoAx2IH^7?Yr@oo6CRhr4e#pyup~;e;kmBIYEJiohCp6KTuikaG9vRCTkJI)MxfelY zr$sMmZ`4ET16nSdbK8G>@J- zmjg!p3#(E7e|vD@)qWD%;k-o6{>iHA52MyZdgH!Zr}k$%xBEnCs|K>}LNO~2ZZt>J zlK%bKW43UV_7N;E7oytpY-uN!EL--+@cT+5{P*~k=0NS5Wh>g@zK50j?|&%?TpK8Q z%o3yaXU3M$B6y$sTC&jDxn%~;mvK5^|0Rds>Tv8xRmTlfvWK^cwtD4(Xn*4vJ3hSs zFQ*b^OgKHd^@OIFJ5T)#Jtp`sQ_qO$!&ccrnLmf7 zgz%=*q3FQydFuSsR)tl^&=XGRmR$AJPtYxK)fDwYuW_~2WW?%h$eJ+qs}L`w?~`}IQl|MqjQs3Xd$ zXJr9=t22w z>TzxW?}v$*K-4NLNlaausfw~N;(L0m4bgpy-k!9m={!ITNcq*FC;&Pz)@4iO-gqgr z;C6Y{olNnVxOxggDnbuXC-3BG%(;@{)RWlX(Lk%j!2;}&Y|K0+ip^OY;h#Ux?=sJf zHBkF++2y@Sf<9hNqEo}zxLWgdN#s(0o<+-?7>XmD+(&84j zJ5Z=+G@_H{>--w`tW6Mn)*o{<7o5B>?pKhuMb@rR zM@GW}<n>c^3H=6Znh&f{&z{r_Th*_(D7AS2j%0OpTtZ$a63?f(KMEP=zt=wm2%$Wu9I1I zr^;k)dZIn?!`!~?&}(14{cZT=wy5A}!%Irt_1XS?>lP&sy$g2EC9$EN@t!gY7);c# z?^3nwoOl1015jAjMN2TYSSBf65$s7!?JDE%QWDC3P z1D!dgUMZ^+NoLUGDt3$V(S26ER~cuS;HCYUXS)Y7iyXQ^0n?I3_c~T3a@HnC-t^vW z19Sfc8F}kAX$n9>YsysPNH*E#vN-6MQGJ--EWyp=~#;un=spbK`rp|3Ym z0gK!OcDCu3;el-}AGi(ffwXJHm?3$NNnk68shV-y=PgwOs-km0Y-JKOvx_Q_di z|Dq|64d# z_C9*7>T$~>A2%=eJ8Kq{XoB~CxJ-7d)zmv}=^nW9Ch`U|^q5uLzPUXfe&M&tZ*E0T zeYxA^u^r#82wc265q8lDBShuAI&E0*eJpda%yQ3uxc|mKS-I4fQ}sOt>RC&tlnP04 z$!kG{)3F-2wuD{YpZ%|pXL~c;zT7=Y*_!(D^p~cOwK=m6{kefJ|2P-1suGq>#a8@} zKrhQXVOS_%F2ns>e5xmge0Z-dxK<;0 zo{oR)>UP_J7aQW>FN$sZwVUaq5Y~8K=l@p;RT245DloM(RFZhyHE)+gN@9cJ?kNLV zwa_X-o%*|v$v_zS2sO1W$ir!ghL2A2KmUJ%mdE+nxsx3?8{_+A!jW3)+ihlfJPA2I z{9?d=KTsRcQupWjd3^7kSj^W}#3`=FJiZYm*k5b+x_ac_n+|IOO@Zya5Lt zyAmSaP0>FW-X!a@!_tOI;+30x=L8D_$JDuoyJBs~?~*Jc9N?~by;P5hs?J#6>>qai zyQ}k}Vp@J46=d_zTjt&=uigJo(lhlyb-=3>;q6qr{#RZ%&o!!a`vip&+o(I!6Jr+S zI?}GDAKoTq>88>Xd5_n}UhuK=UmZ#aoGntc2n2qek8X+T0?fz@jp=?mW=(T2-fU0j zb<^M*W_DX%MMRtu7|qrSl*?vcZ!R94G9||=ddIf;)_cLJS?b`J6t6B_yMi}Po{})O z7!w!;xox}I^+N;mpG+(0nNfSMkMQ}*!v-g;Jq`+VV+9Lh3tvi8G)5TU5m*YCA<++_yp~NzSr%h>^wwK$g{RL;7UlH`1 ztDTMhN$;j=N+nB~Q`ylxth=&>?HN1lEtvG0;}93C-&4soo69Th@NGBo__*KudPagQ z{dm03V^1x5d$)=Og|cN?y8BH(3OPQvT==c;5F4L*Jz7ro2ITnOtYuzqTLKPWfp3eGF3-|=1+7g8!#}moF?KgU`m(P`_&)vJ)tW9{-GAVT_W<7ursV zwaMNVxYRg)^|2U-Vwi`$bn77sd{sQ~4KaTUWvRp0CbFN1H0F~-Q+Qr~iQ5afH`0eE zC<`i5f9kU33A9?S)zy`o@C28>oWJQqr||@Tr5jsSFi&8lLCj~_c%FcTJ?8HCX&K}i zEnGDk;vvzjhO?vknnoktKDDBO6c5KV#IVVm8cwq8qgumKjm>IMuU)ko;%m)>nzJKz zW?sW0&nYy}<`1qKqM&GHnN!nLKCVf=VOIhQi`OexiEk&17dbI5K0_MrL+>OF^fF;U zO8DYS(jhKS!;MjuPL%F`8O3*C-!M zl&+u>GrwtKD-v1FS@6G3(QvOXnyo;EUtK1N_9A)`C*N**Ld`lQ%2fg9ceICiK35jS zQ6`b=)mrtHBo#Vq6VXLHZk`s*ndGnBQ%lnw{N~Y$-r){nqtOw6PPW4{nOYvwUp9wM z_c*l^8zaj_9HT{R7j?dUi+UC+XM42}$2~qfaah6|bhUK6hdm2*;zf-_Wlx3LcG9W% z>#|hBkDY*K*y@+WL@U=RTdR{fCCl>E+PraedaMaF`$S(Kuw{9BCoRiELYl^)dAg{C z*!wK4&6XQAeQ24xEu!};>egQQgecu>UTrIv9n)QAz3rMGMrF+YyTqF5>oQx`Slow2 zt@z-j&k#-L%WdLo`tO0ZQop317p0LW7l%;w&;41%#`#dO?Se$&vM3#|ni)jd)m2xC z9#<#$w(>YCVUcAi?(9QJFU5&O0cHCf+o=9dg^Km7_<1i{dQK5b^eiS0u*(9~(JJNj z_gOtq=d4{6@l$qD1G^^BW|t~CGRn6bGIRF}BQDLu32bGMSMOYYslrr&=41tW6F;bo zgVrM5c`9~gLu4U(2adbhOp<987;S4Ay2%WkjQ;{N@K%y?*2T(JrW^k zK-~|uSraE4b4G0hQ!yDctWw2PJ=&NjvLId%r?=Q}+f)`aT0d1K)Is}Lghszrj$ zI#5EGlFKyIYUqNZe-kk=Cnvy0*>E>;O3TIRP8HPHFh(Z6Z7FrJIrF}QF_qjfwCN30 zYCO8C_uBpXoOQPI!mA1CpjTEoR94lrpm$EPy~n!7X;nNSJsqTe2}SeT|@^dZew9IVRujx3LI{ z3aBsFi)J*;9PpIgF8(6rV1AFF=FCh-O^j~Z1X$dsF^%9Dz|Of_$zb`pLA7kW!~NHfRQ9`d{}wzJtq%p z91_Oq*~eTT+P^MXA{&;@R)*(7S@mrZdT)^H)%zXej5R|_a%Iv@$n=Agk6xn4J!t=q zfu7VMEtB`RZa|YOA?|u_Y}%amBe72iL#&_s^D-b&V5N=Tv^DLE6-yEK(}47m^OJOl zYEo;a7j~QR-fB{D93IGDsV_`JmtB&K^&<0zgRIKB1Zn-s@sK;IC}})^thf3k+R3Vh z$HDh&5yP)0L2h)-vTnP&df4*Pm`7qC_iJZV0%SN|J)_&a&{t)dT^T#yqojn3Wa!gq zyDr^#J>@4Y?+2HK^eFelnnpoo^`XtWgVX??WpV>~uuJ|~wRjFv;2WOl9`5_lW3i(v zNz}z1o+&?zc9{1S>i+o{7iW>Vyy-mQ*g&Z3&m)OMC_y6ZT$$`gB?33 zYmV9XQ3NE@77d>jyYMnDagfa+tAKdP&=5<#*G%CwCG6s+atj^f!10BCbKtEnZ+XyP?y$f9f3Dlj_oHD9WL-jZLb{t$AkU*6w6YSsZpN!1|6N;_0=iZrHj!aX8mG zWc80{u>`N|IM-E=XK}LXs~e)a)umTkXX3kLx9*injQwN-QRWVoFWsxd_q{J1EA~wq z^R5&wXB1O^6M8%iG`A?e@is#6Z4jsXY3}Ei1J>dD6Zh%EGiLjG47WbLZsy9gt}U-J zT?q)<_&>tqfsZ%;h8ezZX@^ap%`4Q?!Df&CxGfn|eJ_h}{o_WrW@=h^eRkLRC}H=L z&a4}|RJ;7!_XfvV>{1g#8~@kIV~T(K=*B&N-LowFcdunt(e&a?|NTBz^50p5oma2MR;Yhp=;?{yq*=)Q{RyQ%`g*6{;;b&quyWr9QuzN zEUOcK-R#om-kj7y|MglPCD2Cl?TSg$-V6Npm4fG?wZ{KDP?WRf zE*Yc#e?iYJ3$n;E(o!%*!HkRwUfNji5uHb6`_1YHB~qAvA;R9#o}8jwTiC7xbAIAV z&!WvVJ#M%=zS%0b|3z)})$}{mn+?T0H{YFi42cPO`x{KFHyRLvZgqO~fbOA-ZrA+X zuHbIv{h*H5?xg3@sMO);MTe(snz4C9*cHsQn^HU!b$rqPRy3t_m&E-_?Gl+nA==sA zY7_loSe!9z88md;1e_xeaXGk55m{R>9*_nm#6vNy-~?!}p+cGp;kk=r z72ijEOg!j!?%GmXZOXdpfAKzn;YUf9m;bxDX;_x3-78Hn18#L z$@S)`8lLZ$RSiU;g{s!nw*B9P0%2js&>ZvK)F7yW_mSN-{T5%;8Z-iy%rYZ|-Jn1o z8gHq=UU>syWNOPa^Rz*OhC|}bGBjI6mB3vsS#_FX{!<#qR{EAT5IY|0WBQbqXgHJM zEo18bqM0QPWIV6xVV=12J1C;NS+}WE64Z+t$TKpuoB98|-Nh#_)hQn81r0hoKJ;-- zWnJ5TMx}w~LL{9`Wp1>FliztYO|5O)H?M(w$66F?dg}^~U~?MuScr2w^L*b?4M(6j z@1ZUT^a37q=@{0!rZDe+b9fs3?&lVpnWu}lYB*tY$F`{p6v0y(6qyZeVuD*qG@M4^ z3s{}9bGT0f+D?Wmn14IqTCw|Pm$iQu_iCVp6-oJ;x;_t=)U821F1Ed79`1dq=8T*q zS1j|=x;|=9AK2vulk0a^%`y5C|J$-QbD~3o(r;8gV;&SHsX3&Cx%B0fYE`=i&1Ec? zGXH4{z%Hspi~1z8mn`dp8HQNa|A(&Rg2j5z9J1eUleg?O;ZA|*Y$}8iaYw{7W3^f zy=Bg1XZZC+ZMG~AeCx*ivzdSQ_H?}Ok}dW>Xvxt)&wlF6V*bA{r9tGk$lE=9O9Nfl zCA_hwr$M`FA`3ja1~3K6*HFC3>2N-$aO0JdMB9% zo!;IP$NbC?t2l@%=?@jwrijp>>~6OU%)cWiEAeucs{j8o_3m*=?fJt0_N=JpOc$#K zSaI1t(`|m!MGfx)F-GQ?2_hmP5~E}uGbKg5A)8rId(P86ZvY-AoGw=0Dlai+ zMc%0Yywf>86tA^q!H5bIUKi^C zY{!Dd_(j`O3;76pR$x^MLf8%{YfY{QMszA0Qkc#=YMM#MFZTIboRmgC!K&QbMt8oV zNs}(XfDD(%2BHJFM>VD4kN7vvk>3it9TpjB%-;dnH?Vp5uQ~a1WH$?G%t9F(@pgU` zwQ4SY^<~br>&-`t?4jP%0ZHzM#KL$E_dFHW0ldhNp2B}DshuHLYOBjEl!T=14k-JW zH3mP*6FJEbhnL*q&Z8h5kQJNC!k;ZUI79xirShCbk)d{VemkrqOgsz+DPyeI0k>Xm z6g~r6VJ80=U+Qb240c%UPKg6I-~jQzoHvs9 z33NuY@<8jH%{erYZNt6oT5BY~UFN9FvW{?r4Z1MawTxTc82EX4`l;D;skPVUY?_39 zg>gJCam!6M=w?ClBJPBvT~DT2mnCMGi1=4FXk}`70e8Zerzih7cT-??k5j&|LEEE5 z7Ti?ld_Va+j$62ya#?%N1`XCu&f&gcK+2EC0vp8MlQ@g}v>nw?t{nHqn3V*R#0C@; z8>exzeGhcx7)FC*l2Wb9v;j9ZvyHgby$ZkasIf_YR141r(64nFU=$I5Q&Nl#(r*qM z#H9(twd4|xsAiHsMxomPMrrc^48fKeyZmjyy}h>&> zq}-nOPRI~*Z&rXzk*Xf|@oRn?`G$blI6=Woyj%gc22tMNz9CSui2i#kfEJPa3YXcH z=j5V{nO7%dk0iHO08Q^)EzaJwpdxpMfT9WhE_Ut;l~2r7j{cxnZT67gT+^4$b2(`*l7m~sQ`5=&#=OeM+!673D^xn< z$by0Yro>#N6_};wigBGm8-(OfYH7+C#oD^;Uo8MyAb_7w65 z;ZV>RrJzl>0PT1*aRIjq-=0+#?HZGnOBxn{tp^i@xYez=4-w=IV=lddmkW@!-o%5k zYwo_(I}6ZI1UC+sw}qTUemnVGH)3k(&tHJ_Q@U7O-?q|3a;J^cG@{5CWGp~4g$xd^ zH)~!%E>WW%jmQLJ>;(WxRkLy5&^Ki!kGue}S3+ZO_MD1%vR}3&b3{?oL0AAZwJp)O zG`9sl`NuB*xDof=bK4i73@L?)TiqS}A!UI&qG$|vSfI!V2?I{fUFOYO0O8&xI&PFc z#U;~(LgWZvn%HjvH0wk(m^0z>NYH2jB=cqxZV+{iL;guM^m&+XXTP)nw?>kQF!0VK zr_qu5?(jTPm~wwke|@?q3_0 z5~FmOPYRE=05u4%FO1uBztR<80SvqPy>Z9vCmCe7`1FWj)7o&n1u8nhB;fLRMRal> z#m9HprB<@d0`%r}dEx#`?umn8e^tSQ^AOV7ipMQ3B!rWv zbvLI>G8?ON9>P*XaJbW!2VrDaV|1^{T@qh44-6DHV{of`s3obGMib?bpky8*TOB)K z)SwH7n>P;$d6Mn8<57YT@~`Qyu9}p-VQKR~RL>F`rkg0PnHlrY*KjckH#%epCchnz z;hIdCHs5(Dt7i^`L5HRx&dzzDn*N;PDhIw#+5?(o zo8o890J<#M6}P&rTVgF=F)H(PS~IXCWZaJZ#w^P4!LQ5^+AgqR^CAx{lVWttb|b|R zUuuT7H4ZOhPw(I@k-m3$RvBF|5~&&3a+&`X+siDllD@avi;XVl+b);^B-glz{f0a$ zZEB_&@CxEuvF+CRIZ|K$>qMiws?E;~#qQEuu#s`Q=1AYE?nD@sA0<1@P#uyzk6qnq zj-h7z8Wmuoeab1X>SkfYqseWAq_xY{|+v>4?(SYfxMnFPU;q%TN!(fJ>LP@wrowcSX1!`%7)e80mDs=AnVo z)Ww~G=!Uj_Y#jIe2+G_?yy_Gx#)b9%G!>(?Azg3lH8u$wguPNw`sI?cyYnn0= z!70F?4$)#i^!6G_$LU$e3>1QJVG_9KtI}ZKW2^?!`lYyo1`|QpHwpDVrgmcodr=0` z2XQpY;37_ZJqZ--ZRx~*!#z!QMyYeecLiqub_tLzCclrq*XB3ly6I{ z`{JB8>3D%X$==*XN^@9u>-je6oiEPWQPStml};srCa`YQo2crXFHn`pQGs1OB3Mkc zTKXwE4d)Bgn3ky3Oa&sVvjGEr<2lDw6*<|QHs|XG<2dx3iHEU+Id^YAYLyuAN#iUVJfM_ zD(ux!?CGdTrOqb8U;G@!?Lie2Puox#!Q`ZP11!?=uSvSCXCbNYr`X*D{&DbY@e(bMceM_@PwqlEdQ3E~Lgd!NU~=CfD~(vf)ZidM$7VMYM$q=^Mn z>y+21E1x0om>?QE8W$8nI+Dzb)yj5L^`C*I$74}2$k>Eje)SnD!X-1X3m9}5Y0=QI zU&~JzEBOpemrv5Mt9Hzf>;+KE-`FPp3@sfH(y*(?O&&_TP2-LfM1O{QRdXa5qihme z2%mx63^5TqUEV?=b!rPbH0~tHw$H%Vy~}~v$lfOoqDM<-wZ=VRdBzB_rX&H_3+aV6 z;tjs7OyfS9+G&J}n<)O+Z-}#^U0-el8Y)_Rv45P7TO!gDv(IZxn;m&ZCyE{FgUxR` zY9)T(RTr(H)U!B7VDU-^0lRtv_Gx^Pq;Y?r=x+p&MfAfkLR#saiZ(*tPqm)dV{@Zs zqN_P~vqrHl#WDoNY1tkyE73&eYn*1#IwKAnne$+R__KKH=Pnmx*z+L>6&{a;0oMGH z$^0Rxv}|lAcIY*CjQB%qX;l{`LKQm%ux-gZumdYTBScrnY-yLvZdSk$L_Rm;hFv|B zi%ATSce(Qn=piV5RD_1{*-8Y*;ykHKT}EQ3*i8nat5(nK;v?1F2H^GvC5VlTF48;g zNpDgY<=Rq(0V=GbZo{5@b*`V7roFMJ%ap;97@)btwk_Cic(y)iOOvp1Pr>wubA2G5pBd6b9Jej-!8Z}2pGpE7ct+2PM7MCtU*Y$PGrR#XN|QG zkC?Jk)b5*1QG-CC&$0y$mk*|T4gz$Mavsx3lPHNF#B`F{1!J{247w=y{$6#U6K&r!p~vLpV$+G!Qe#zz%U&Z|2Suxe1DJ1U zyYtC^t{2c=Uh2bKI8~lP{GjfYcPOq6H}yjJD5VY)W8o$ff7LL~cgU=|d%e)9{h_^> z)zj2{x~}LBnW0(S3uS>_Juoufg_y|bg(Mr;8q8ZpVLb7Zu5)jP3`M~^FM_5nmX;#`?sO(-XkQ+7A2m|XCMY`u&NO~o^0WW)o@s108Lq z#BhlgDC?orV~$52WD$SR^J>~$8nR=w&IgIM*O=7<-V&pwyiIn^a99g@6f$1HxO~ND ztGx#(+i0l8`~!O;f_TIXX0(}VLkD^QdXb<8^JnWy81eXWS!|mUWK{P6_(SF@jNR`^ zDA6s6n-6?-e?k>0fj@*y%SF#kGpw%i?SRhEhMYCy8rSO&KOFkv-n=!XatHH{u+9>+FBf;Mm9OgXRs*#cLrUOML5j`s z5yG`}6=5Q`GtEK!S~GdA?&a|V9|1IqTZmb820J;J6Rj>>Wz|QhKs5gO0bB=v1cj>fR3L_uZK1{L1`~(!jqeuIvE3y_0h>f0Rb| z2mLs+<%xWGX7yzLY^yY1Ws1(lc0d`n za53D7XmKpGJ0lu;5r$@I=m@IQY>86wRk@w*(A>eq448qfOfcVRhZYj%E@0lqd{G5` z&n(%kaxY@1w*&e|QXx#Ja7oAwY6q$vVQH9^n_zQLTEt;%i}Jk&*$#=%^~Yf%wGX60 zY4Kl;&WpoCD!^w{z{RY>0yI^3dy67rqE-dXXUuV6K8B)n{Dumu29>8Ue`H6CgMO4| zI)5#t8rdp9(h|um=sjUtv}e}GM^}V4+WiM zL}FI?g(K=Rbc@TCapHSmF2zKH+aN7`)O(<>Je7=DeEEnMG(C|%*{pofHPiyxKih~f zd0n=Dsip5)$wqJ z&HM-1_!eOHX|^9`RbQnIqw||(Dv9+Sq>Tvm#jGL~ev++;&5EMzrgsp2ZNE3nMRDJp zQv42@>qsPE2E1xQg5HXjgPIlIEZ#ea@W|?g$+$(J26bweb~nq`F8RCz77A6Kn8nzq zl%VwyOPiW0m%8jtKu{6O1M^K~p;YsGn}F?)$8eaz3-^};>spsTE8Ppm%bK7YYB3h` zr*h0v;7=X)4kZPjBx-_+HiTj@tCYtN6I*MQ?mS~q6GUS5?|_>yQQ=?{bhkEXJ7#oi zzB%xFEAFb&#VXV{LKO#=(J=4dB})CG5lE|ULt&1U3&sQe%w(QY!5hwQgp4P-NVrI| z$4qO4JnfU)FrQE%!+}5OMBYlp{TXy4;NK+Oib+_hF$NwH-@++fbQ41jz>XsN7R)Mm zQkh=4PU-UAP~8CN@`oMhZyt_|^AWcJ%8-cc=nJ(PxT!)qylEp-M{WP*2wb4PTPKqQ7Z8{4=cDdSIuoV-ek+ z{iro?A3y(+)TK!)sRwe3yR7Ia>}FNqK5JE~RHh4ys)q(Q3Kr1+Vqqo+W2K6!>>c$0 ztH?SJvw##QjnlP|p3pXj{_`|R66hhN9g?~~U9PDG@<+I{@MMBILQ)GvKAxFIcV{5P zfpx4`2&t@=!>EM<03gosP4Ama$55uM{b9}?)gWYOg+;yQ#?kj6M(53fy} z(EC&YqWS+FTd8iT)Ju4^da3zv)}<^BmMupx|I)Il51H*%;v3;$_HoA*%L;;sM>Y0%|tWr%9|G32peEQW(mHP4#lwNC_JX%t6D{wM&x)51}$* zZZEvJCliCrO#-#L4nxa zJUsLOm?>@(!OS<)gXQNBprW9}40Ja+h#jz=K_`kS5n-YSK+!xU9o9H8ndyEHpxGva z5dGzF89kuV_8Jt+uF#k7LlqCR(_rG93>(^bAL_i%uKw{72Rs_koz2 z87{iFaZgBqt9V5#G95HB?n7*2M=W|3h;tooY7+6QB&hp9A3m7__wESH{tBp99C8YM zO!sKnf7*1aSmaVQe6IqE85@p4f6ec)`X3Q{$wiby$#E5s@Vt_Ro~l`y^LK4oJ}*)} z9rvh!wr%7^qrYi;ltcWvawxKCISPHGIAqfQyYLssM3icEbvfjDwV#1bBcC7l|3M`s zh$uv>xE!cDFOEd7GI}&g98ToY&I~9A1{0ZdnDuAkrmU1f4S929^xseE4E{IzJr>es z*4)N2i1VO}1k1xH%9?MK0k1|_l^98PrdV2!ct2E{I&P3~G8Z z8Gw%QVX6JyhI=2RD^y9nr9i%Shd+9?FLBz|bUocvN-rq|wri99(5u+s58Bs~beUv^ zT?*X366OnY4oxYoJ4*p0Yr-4djZ;beU6obLbj5kLp&0TYw-L~1jK*C5PnwtHblF8y zc`KcyxlDnBsq&*JKtd%F?TffUSE|aOhQ%(QQs^5c08FQ4z!_568lcM@99d zPZ3agz`PUvOO}}LUuUpZ3tbW&)_?*Hpdew5Iq z)Kp&p^k2*d(W`J}UH@~EP`Rc>QUGN(aJIpH%j-N+0mRzm*n&P${)OWI$+C6#{j zly)6LhlklvQDqzF{C=G5R;4QQ=UlG?HE8oPD&{3<_H)g3tw~i_cwN_^SN5*2s8!x` zy_$SK)upKA<~7LME?R=wo{EHF`ZWNH;w+**Sa8FB-*t57rMky0ZMg>BI_6kF!JK07=G(2WSLjZ!(>rPN_gZ|VDWs)94e-Cm&Pw9dHF!9 z`+n-yt56|=F^gJFo!rP~Vp5eDOV<^kv&uS+T18MR>Ce}un#hKmSActY2BXts{2$kp zQZAui0k(6ShfpiksAj((_*`QOg}~Z!1tLZ#8BlgtQ0jN1zo8>VVIS+f4D37?F^Kxb zxFOf?hyh=p;<7gV#$}+o$l^?h{{O0~ONfPh8L%7l{irElo6s*!wOEkiw5A=GfbFE_ zK2+cH`4qn+sXS>4|Kf1tC19y0NrzgcVt*LcrKGszN912}&ZDCD!c13XZ94H1M6gaQBy-TY(GEAD}0Lk%3O{duob6v z!9-cb(Pe)*wEZco6ZOZsV!B_SNQB7`?M8c}iSm&5(s`pIL-l*co*7a_0R z;|-`)P}h&5)g~+2O_>)V!lkZym}l$m)8>8A8Hmh%gSyZeLGkNU&Oc37OeW7ek>29? z*QitFM4Ru=`uwtFnVI@h>YOqa{t7kix_8OLL zTQJr*4bl~}?+rRjkj(EL8_I{W7h0=PqnV#4e5Yru5y=XSpe!HSzFAa-T4jez1b0cv zimHB2zH=*{_Y!9Ln#x0VN#dU$iIM;;Oj|iz60Wywl|ZL@L}jQGb$GRJrRdGaBw2!^GY_bF zz%NDptGXk~Zb*`yp-A(9*n;qTs8yW#hcN%gNix-PP#(l9UAhBvj9spnzltGE!$=8g zG_73X>zdslPcl8$*NLHfD_zB?MN3|`uLu2BMw0BHGE)rnM@frNaa&j--%e=^FG-2y z5yZfa_sNB*Z;G-z&oYwyq&os3gjxjvbi*?!M^;%y4!u2YqE#b9}St7v>XX zquGTbz`IJ4537i|KN^V?0e3$qOHlS(Xol}Tp5MnrS#PMT2&g#7$%6~hqQr&_D7q*# z7xl@fDb&}EiF}->xG2fVfL@@NvQaTk0nYa)mc1xZxu(N61E{ST%R;R}-*uKnc_L*& zGoK1&rs~8nzuG-c`#jY-i%XS>`g9nvJ;MVkffFQQ!1zmk0F1^FYy)kPE0-bkLYjOtuZ3 z=yJwPKM%QXTuMX5)gp#{(s3 ztt%18I<^#z`WG5Mj87EE%9;6zKwiTH6Xupv)+PodLU&FYqfnn4FA05UA-AXkr8RZo z45YDjF;HnlQ{jw83?0liA4Pqw=T)&2jMba;C?wZ?hI7?G@Fk4rl#}v z2zHzSe5+DusP1k#&nImp*B(!aX7maGo0?BX#pE32`23`4oQ&tAmv0In+eQ`%wMy&% zAlPPz=bzUToo4%)Fe1!sr^Jeu5`daPM<6P5Q55d8-txRQUS-Z8Iv$YTq4h-#Fj>pqeXXDwq%8ul;I3U^~@IuY!8i&2VPr~5h-3`i}aZqd_*Aun! zyuj#v)=7@VyI=3>vIZ z#e*(yw+V-iFDuenV}bm&HVkUjY3StLRPp(fZ7*UW>;cP8xYa+E&5ebk&rj|^&CWh; z_MUFJ^N_DBD=I6_#`Qj8OULt-d(8DrAo5H47F0C*KHIxQ zwS6<6a)={lLhPtiS5&+47}ML&(P`%?Eza@ZkgqPuf&7*vFl8F`QIH`|U`Nh2T?_MG zFY+GZ@oTMxQ2>v~w;{i7Cxm$am_FOVbFUAjMFEW%?h10%{8;2YZ{R5;%&!rU4aHuD z6%CYYI8_l4p1}NyoUKGI5stSI%6RT4*>Mq&?>YJs@>?-c5l4560DPOm7m-I_Mwto6 z1xNx;)P%nA!4D0Gv#CjqzjAq&XU=xZYck7i*kPf68ypM>lpss))w*l!~I zlubOrQ%Z!!aKL&rcpkYbqa5e&4C2WyhTaJW<_}Wl;5~(CePlSW=9C0K9x%XDmD$1p~ArQ}O z)gxE^n4i>=TCU5n5kd&S`Dh)0)f^P>gNKd*H`}fK$alym$%L~^NikQpn_GMgnjf+D z!D5qqUMlSvRE%5FA&+p?e8Q)s0x?&am%BuTB$-RSuo#3QQ~81l)J-mFk@=W;7Qv7H zlI4s+C^=Nf|Kf5FGVlLo@qS8g2;s_5xgZrl`q(tcRb{8Lt>2gH-k8#G6bf4B=!Uf< z+`~DUN1<-3qYL@>h5b}QmI007Qr=PUN1<2ig1eBXylWkYf6)1F;PQ73>&O7;OYKB{ z*h*bK+^OQOaNN&JZjgZqD|OoVRgSg(-_ zJM#>OkBH04IEr5VOCscJ7JKm|)$n$<+%i**Tw+N2QrU+~hc2j-oAic(()X6 zd?#9X_-ykHkYn-{8hjvTd0QoN#aNVlxUOYx4TrKJxzGoS8S1J)e#>xBj9j7*u!GcJ zj{J10kbihu(lo+WHiTKcq0$Cp8S+$f;g=_~BoJD;}|xg&|c0=#Is92bMT>f1=|M0534) z4sx(x9DI0D`{D{)(ZkNBa&rExV&s>!b4y;+yv7u^3rld}5U_JE zzX-WnXgm_kieoEk4ciX^fh|de$W{I8yOEibZ2lp7*Fk_GO)r2oMBJMsSqA|oS8^M< zf}@Uj9T#8;Y(?S--no*cx`j-;P;2yZqc-khn+}BN4gfS!*G=R*)&afOufu6bHh)um z{sD;iOn(FUEkWY4Dck!1MA|qeMdqQwE-zO>{Q^sIpmlIR6m@wfADK6MvCZpes%VVm za$a+LKXhTYO@drC(mKcRgDjI;7qB0=YYdUV3MTHcx|zMePy-_m*+bLjdeMaU8d&^w zT_t-VT0JipR)>`hHIw%`LDS?MWd56HLTBF`U%}!J2^aQ2S;*{ccmQP`r)&?z$Y{wz ze(AiD=;da3eUasir!w|HOmmkQIoPzo_xetKTf}l7?f<$PxOvT(iCmTBZZyZ8ai&s) z&vyfh;gcd*KgA>sj@b>B9azplo-g-dcpb^!ae^f)Roiz#w+@76Ab;_%2>1FadpU^3 zA7fVU0$zu+(vh^Rb)jB<(xC$^eu|E>3-Y-pxPbhYUvb~d*t!c?no1WU+l{?8&!2R! zH?tJj);c_Z%xF$S4!Mz*JS!(&u3^c-m*ViwK@J)oa@E`Wfm&fM#j}>vpxO#>0G9Zik^jr>@{2_@{^b#^8C3~bdu?Qc^Qia*lUGR$ZzQxmr~~o zwbRyVW+0Dz{gULlPo*I+Wp+t63VO3v7m57(`k25oOJm#3AI+#Doil+tO)Gj=A^lP0ROF=X?>9?pwJ0y3;g?7q2G3qpk- zvq{L6n`^^7-IB?l8SYm?azS8jkD3Sz-^db_9w79!VmJtSqM_R1vA$#IJ;SBUqTLKN z5SIdx1Nsfi&Uusm^$eMhAa^rFS_uzCt_qj?xJ6GG3U9T?W7cA#2yRXVx=S-LjJe{AQF&6d1f;~W=q{-OUwf=&Gc#&^BKIPh5TpT-S&{XI zXIh>cRW3TATbP?zA<-2gqtv!|XC*w!ts^J8>Gq}YZOGG1vc_DyTys>OZMDuFUaH;$ zs~|0g+}_{R#BJSz?8dgJB6^pYM-f&Qd*-D^yos)mvprV2xr3CbBZeY7(b_`+lePcn?c2eWHu<8gj7B%V1w;9h}#O#KaMer!{5%v1J797pLyn^jQ}agc!i; zUoawA8(@*CAG5PjftIRqBbX56+kEn~b;uXNS!1aHl4j<^mAbaxu@5YHE`!#L{PL={ zEPV)E{8}d7l$U$cSH8U>yiAi=U~! z`0sqg8UTlGH!-I+_viQ^^dGea|IM8(4p%;yw0}-(3K1|qD7X8(ih4iN2TmEVVN)lP za6a;?sL7lErRuvF?lRRH_4(7ZoX2o-EbCrzGekP@k65vzgT(FjM)Y0}mj2eHO9^+m z#=L9%N_@{|oK2h=^c6j!_JV(kRL^a+q-T1|bFZ-Tn#YaNu$9sY+ND?y(?ozFm70irM0u|Ng6Oym}lsy?Pww(7|)tB(@E zv8s(dmhA+2|NDuw!>oQs*tdq)@$getZ~We*Ca~S>T<*rF(xyWQ@p%V#c!D??rnoW} zqdSIuv=n(4k2cG=p0S%o8B;|xmMOYcO-gd;H{X>CQul^o95seMscHFqf3@S?vc#iaBy|viH1q?^WR&@Knh$cFM=Ou7e1F zoru}oHS+`(4)d_ilB?4`hP?*Au&;)9WOQU5l;04mA~~G9&VT;FfZ4 z-Yex}bzt-kH6r$4BERLU;1`;MV5rk#U9SMY7s1WxuJbfc9k>(dtp!TfX8(ImT ze32^LU`<}!i)gwg-8m6jWerufwAH;I5=TfPD|NB=pX;QP<=}Mj1HqN+ZO`}0@2<4D zH8boZp|HUP&7Pv?L+3PQ;C~eKvcDumaP}e&@9#$fDZ-vmW%LB8C2Cfh9_i6GOZA)` z%`OGcm#mHWBC>*e<<;1ct?^nzBdp8TIah&tZnN<3fwNWK!R;NvO?%{VTC?MBMEa9Z z)0d8(rWi>}Qsf6Ed+b?IQP^GZ^8nBKhg4Da9(hj0^2!CnmeNp4X%f0=>Q#y$vQzA+ zefC;qDFIh@T%GuXAdIjF;afocdQ1B1QmD*gVK;sb#PB0O^gqAy?DjQNG58;u)yDt* zlxo~9?<|h6{#~3Sg2mv{l4;ySn$eCT@RMOs^M`1`-QDu^Gwk`lsiG1>DWk(f^`AX1 zvLZ`T^#=|W^yC(T(H9)5iMVm*ZUlFQKlAT1z7fu!jp|wdO97D)={9k}{$wU1xd6-q zcT4_T-L+-6e1MrebSs@e3N;bf>9t46w(!VG$lT&>dljE1q<&3%>=Ps}Tq$9Q2%B2u4C~-++@z3w4iRTC309xlE1xF=RgViTJ4F z1~^!Ikv-8q?z2l?hiuksO@EVMM`78!?-)KK z|DAuvAOZhg(qs7>K^=#eXOC#>|1rZutMMv<=`8{XA36-{K3aLX;bnH=Ydb zET5p4O!`OvA?9664wz*GHUC~rxr0N{)FUqg=-&5Xt-bFU(mnqjw?UZ==Gk|v{&v*B z!pS8YCMy3X7`h(fVjkZ5IQFJ!m|n;1TKGSUqq$jN8q+8DZ`Tbd9HMcvx$N$6r8Gpb zYnbuq%$qYt`i*7B``_bZv&G;EEj9H&F9r8v5www+yMJrTOAVoX(G)y9N{iFe&#D58 ze{bW7Gr_;-N5%hhue#8=Ol~Rui&4mf1^(9C(i8trsa+%jf4LCH`cuA?iAAiKtp$JQ zrA36er_xam`cB1a=rrCP-`}GN;tcTAt;Dc@zoJEA<&_7PZ~j|E7CFQv+z@>~ZYEAm zcT0b>?)TyqaXOeVlCF z++TGwMHu<(O^)2zB@G@{6->)rc(ga@q*MsLC+Cm-e$NsMgSc1Y$oj_;8VoVbThQef z%C0oiE5#1+UahV<4g4kgqJEqx*p88(I!MV3ND-|GQ6|u1%FYztm(o9^BTqcKv(m}~ zKb6VVzu9daKqGuIDe1QugY#6Gfmc%c=YhstdP%w)X79_Yt~l_IK9`z*Gc;L>mUFIA z(*83wb_A9(T+DX+@?ggrJr_)Hy(Ip9Y8VeKcN0^%f1@mDsfxWEH)rv1t%zRNhOB?E zG&&p$=40i_twSB2Xv94N<FESFMUTBzuPg&iLS^tY-8>+c9>SZwg*h4ahDi!>zXGS)Kj zm$|vCR1>}>`g+dXhIl$nRjT?OnVc8{PAv<}KNf4Yq7a7(O98*cFXU5QobbZ6vpY}n z=x4{WivP=}O3VUjL$Sj@7C3h`5m82q?*M&k1}xh6YjR}b@3u9xMuWd@kIy8_IBU@GH?w{aJu4X+wpvH8WI6Em^~v?GNY*qf`)PB z-OM2-{YJWB?SC~E%|wB5H@&6%#ANFprp9(gc6bnBrAWVJ?nA{~50?S{!bT<@zdX|kB8F7MZnr3<2dOfI z+VxW0PI4H%GId9$drYs$8I+7%!}Z;@GW(4WJdL=Z}-xln?D|b16pz({1mbKJf zRZ*(rlfh2ylkrE$`0mYeWKHW5n+uwQzs=-%yL{my-cu(ffyZ7J8ZI|Y+}SKIzu&yz zvb^{?SlJ`WlEls%thDtVu2FbKs*wnun$PRnTc{UqM);hQ%)R1Udtpt?edc?3{EqvM zAn@p2Ud!cz8UM}luN%bEE=)&zu<3f3MSQaA^9;>(j9@M+OW_BBdDYbH#HN(3n-Kw* zQw1OHTVs|8l2Y}PJzAzFmw@Nn2 zx9?{6{MBeL3+AI*4H@UZ?ir(f8lxX5LzSlcgE4XKAt6OP)+WU5&GascF0&w*Ql{LQ z5nI?YOzUiJTDiCNjNT9Y^77I0!x4_>CPc+xNTVlj@lvqjqmZ3?y5Nk7))yAoeh=9Z z><50zxMW@{mM(9U-@E8|{YrdW0;_*^Hdhtj^X^FT1!tcp4DX2w?cFG^S!b=K$NOaj zD>IjRQhsTw)zd1?I}>;2oay%gPsNgS4>Ol4H_CD6C#%?|g)_m*4P9GP&aNc((~dK4 z`rKPArTT!K1?aY8Un250$~~)wpZm`>a)bGod9-tXEXV0+KMLm&JL}G+dxIx~<|SvI zcCa=g?Arcvet%^YEIAsZW@@)LX-x$1V|u%A^u6%#M#P*>eb19w8WK#A2;Q8D_AKtA zm6)sUZ$CUpCxFK>_XTTxC5{aUTw_bgZxWxAV8fmKSX(phEQ^~DgPGA{_N6su{RX+= zVs2q*M5lMKOGlWGp#9YD4w`E#m%N==ZSewoww(+g-LIp)GBpxi&{VLHn;|dV)tXRbz6Cn6^Q_k`j^aB3RiJtPnVM z#GUTVY^F^&m*f@KKWXy-V`576Ezgp1&SmAnnKP}qYhiKK8^Z%3#n!1{576FqPK_9B zSy_izthQbdwt;pE|9*I3?D?G}DXlMlCmKD}A;CLeQi7UWQbY9X@Ja_DX1Vp{GU*i;gYfz=X67;@x@XSL@_&t{G$Rn9?UH%3Tyk^xrY)T$(GpxEhJ# zif~|0kuIra!B(=_y``}A@);dI>y(QXxa=U?I z;`L`SkLnR(+9&Bs1hRr9#Dar08T8#Oddxb+-D}wlg>;*i;{G(ZI%>eMMnv0ZBH#ni(EiU1s)OR9W6egy#H>xHm1J|KZqKv`FcN+ZR@rcYvo}ozbkBOnS3cPMEhG zPO>@stx9G`&xy0Qk0#TmIo3V58>f^zz*+4<)f&M}=~~2%`7w{@j8hL`?b^30)WQ~` zG;{~pQ@D`5=8Q3at-Qw2kEMtAl~dfKT8JU-jQm8JUo)X)%iNQ$?cm#`hLl~FxwN(N zg=cL$vd4MF6qEN-_ObcC`vRIDonEk|CzG=sJhxTOKe}1SSS!y@m7rxwTM8(O)WjNU zl&&M5Rw;_*-JCa!xPj4KA~QN7{}<`U?3kCwE23crKF zAunbn@@O8~qW0^Uh7L4%a%Yh_-ZyC)A+N2VEPu~tizy~YN--%R=pmQ(cDc0p`t3q4 z8qB)K8V(Lh>Osgwwi)a98cqhR(wi3k;>>mK2D7u$+l$ftu2)@$kk3e~3eK3$O9MUXE3wz|00i>+nWi83K_m(abmvtTPl@y(us#iY8&x>TGpuuCqHB zDDa4|TmpS&+(O9l_nU`4jT?9r<B`5T9a+MaaqZ@qHeYd(qB6ZPMr+$<1fd&c?q<*_eBo zi3ES?8e+xoHbo*39#0wC<4JB&uyJ$W1-}luN_#R1f}O(huz2a5A3`2b5Zt|(T@g-k zA2G2AeRW3}G>@^`bsL|js6a4U!*%@eVhSE1Z#Xm8cv?gYf%S;{G*!L7t!U?iV4M{* z_xJq~C9>JBcZ>S}msmBw1`&5%S-r?Fch-%+aD5M)Hmr@H z?OQ4&|2DHQdmFg2liBeX9B*(9!tJ2&g@>xh2Nps8UMSu4TT~)@8`zn?S@~}n+@3WE zQ6sP7J6#FEd8cFLdwXlwhSQEvvkbox>Xx>GA8&P}|0ScjevSO)(TLI;T~!Au?#R}G zJu%E{Va~@D-s9h7kLtIA)7h63|FVl-y#}$Z!B(<9d%&4gRtr#jdbyY|S|yz&{wu9O zx)r<-K;``9BDH*tynF9t;f=5zdtv3}zAoaizYYw>Zv{_k?cx7c$S-zI^Si3Qb-XQo zH^sESU$X0qksM0?fz0F&kE%-6LLdW5)K@?UqGt)xz(9Zw3__0n4zMV=d zndv#Pu0fu%1^gnqua=#+vDY9<$AZ&8jr*Wra|>PPYSHTgrR*(Wv=_J8R8M8DLDVDX zcpllyAjSQOSc5)WaDYl%FTOh}FGh!K0rQ)XVwWZnZ4KgZkSR9H(!Uwjm_Dn_t48#k zw77!*Abm`tNWz1he{U=`yOYGvqfKh(1j4cVlr6qs8a!l_|wB+GT1hGatSG+-$Yh5- zqC;&Irn$vjn2>Km^jU4()Nz&X(kLtYqnfs#wCpR)$Bk}o@xtZmfZr8?#l}q&7kEzN zG#e213xDnBbp1wJX;fd=^Hk|ZS|6ESk%~`j1YfaYuZKO|NZW8U#Mwn(zmevsAlARn zs@zCp0|~~r`9TS?WwLbAbE4~Cg%bK*d8YN6}d6gv27G)2F#NCh9_V&2FIPw+t= zcq2^e0zRbSEUyp*lv-^S@v?e3_>^gG` z_=*?i)thV%f=z+qBW>p2T95f_K=S)kIna7^Vj4)&;hcg5Du!tQ{I16UOamuofev_j zGKh>0AV_$brvf_QvF#wTfd|AIV~TYoygn%<(GE=xN%~o25+ug5)sk>@{Rq%IlIl-IcmZfA+Oq^CeAf3UsAsC)KsFwvYe=l%Jy0QEdTvLeehX9sSrQxrtUH%SxgdPWTR=m0O&_f zg^}?0TRecYVaUx@vSbg7PeR;48VP5+t?JQJNkuBsp-R#TG%4*=MmT=<7a&7868Vvw zJEUy~Rf-fF;nAH800wSTk|qb`K!&hoX#i-TOEv<-#EEtV>2N-+j>NXSPdCD$uJ?4P zNmlrKGQo1Jn#3v&#u(vj@~94oHBP)EOFj->BO!Dn%?RhR6gV24azIMSdo<|! zyxVKCw`jzk#F{r|8Q^!@@2b&Wt@TSXVJgUqgw9Td7~se^c52Xe`in+M@`^Lv^h1RoitI2-x{Im2DTnH?qn%Z2l{LaX>yMk zH)7jK-6y!X5dz59l1jhI%R{0W|m&Rswg zL@swohmH6(BUY70=-}U{2Nh@ri&IY~xaxC_SVt6J2Y;NkQlQO5b2ZsGAdEF)@plq+ z@UcRc96hWZuOJ&cgF}Hr9$mW*kJsIqM@s^Pmq|Y>rmGRlO&(Z>Uq;DgXj%Hid9p<4 zZ)3!yvk~j?r%HPn+N^2ikq(;%^aQ4Fm*KEq99@b!OcWQ9ezlTW0_t8%!{K)w=YUz{ zh;I%#S2xBdAfIa88k|@AP>jyWR?(ZZ+S>=mGXLO}>=!r*xm zSXPB}6+TsPZ~`?Uw;u()ZqSy%-d;#tg$++bfC1$|d)=WD)~*<94zJUR#bIL2WXNT*(q&O_u@tG@w|4d#B@v(F9@sx4>TMiDOp>!N36QVfY z28`z;S%%}h_x7Xa19h882amuV2IyuaV;TNHSoWd4i~}TLaxZ-yhw#xcDcl=_bJ32F zt~DazLFN<=62StcZ~=9y5DiUp|41|j2DjkQtu^i}ywv$1ADvTG$_W#0-!&XEHfSX9 z(&SGZv{xjaAsmj5#p96ZVX_3C%8krHqZh3wiG;QsZya*oEuMjk9@}N1CP@bd3BLiY zH4c%+pcyzX?_D}-9vIP0_~nMIu0gp}t{C*X+iB=}Sa>U8GDaI;!?^Dj-@HON~T^A`Rg#tMjyrEF^;63%II*Pzz8K@q%^KbQm*@&uJc!h3bt8n&`m zGY!9T%S}Wts=1el1Y*c`4bvqvr{On6_H6W&$d*T#yw-b@f)A}57(j4~7f<-rr(|oPg5W{{JX(L1 zjwbMhkwn7jK3^@Cb51%5zg8m=Xk&_1AW>q>w9-NqU-?hMas2xs;F{jPL~lGXyNZc- zCr!X_ecgh=iV(Gr=)J;jU&XR32HwCY7;Q(;JVo>_!monGTgB)}ay}esbPYt;L&B_y zgfu*A6|1WA=fkhV@B5?8D#VNc>fZLNz?qRf4u5b(XsAt~)~HWt&C;r{oP*pR_;BkL zg4!%-Ue!B%8`G(RTpkL$;ol~39rc4G{Jq}fys%sa@y@Bc;MZmGIQ1}FEYzEfsG?QS zwVoqgaK=oxh8oH`KB6}vW%ep8EQ#F-M+$A#)I3p7x87lQ`szn$`V8ked^|H-Nj=Qp z*`oJ5D0uo2@_je_4F3J*0MM)ojK8CAWC)5sLN~gkPvK+P77FT|zN$*^cP2gJBeZH% zcfiGxxOr-CX4?h5-}!m#k66?_{|-0`ua{DzQ#yyB_!&KXoqtj&5Ef`^BrmW z-ceD5607h?Y=e6Z)*|Yh^j5sSaWgYZ304@feS*6NN~Q;``roKx&(Do78~GI&wV`V zH(}Fjx{^VV#sZa1s_Nl)EdhnpixY@K*Nez16qqfkse=zq(mB+*d8Sa8&@x6>Ky_7k zE&N?ID~sCOUp}atgH=X<6HeHEI+a?cZMduJ zT`H^s(nmtw4LDqMGMU;jR8y_Uk1NF2;7kX(uH9H%%PH>n?M zkzm40X}R#(1Bl4CgzFTK``L%EB+#`Jj2zfN4ovv^r9VB2&xNFHmq1(Zl3DO_*~u~A z>0mDpywR66F@tg96ldYyu8qUK=8}e8c*%QJ(F`VCtuBm(mm`j)`Cd%wc%W^3EpDEI@e z!>hHglYKuVH`HnqLeitBumX-Q8lGx7nCSZF^KHS0j9TBOV26O$=cc0?aa35dkm9 zuLb-1g?PJZ6OuEZO+um(UO0T*opHoBA-L0CYw|udV-k{7GQ!|D-L3w<%OS3&S_h85 z)g(k6Rif}~8w%;u5p!LuaoEjyK89`Q^i$zt*AqIQnpDxO#$jzB14zqMFnr;+TU#_f z(Sug6HNb+xatx}pU-X8jpAbr)api$lO|O;y=_tms;~s#QtOFK&rrA;Tnz^`yGPFZG1brJ|B{v?bRfl>~DAtWtWJ{yP}K#o6MRP9*5@-9WF*xk`}$S~u~^$A1dgwtDfBT{lrO3M z+=r_MF{!=M4vus-_-gZE}}Oynrg#2DZVkI~c(9 zMabN%HnGjDdx0721Gd7)1oS|kWle;Y+QEnB`vUUn?l*^j?+m8-EOYl8RS9tVOb-+h zNh2xWm7#j?Q=B!q%I{8oVGkC|5xSkS!%U0!`!HsHF z`F*v}(T!;*Ge1$nS4oBU@`$=tWnvf1=!RO+#dXTd9deoXuk`GnRK2QTZ5Ot@D-oxx z+rJQdm(gdFRetxBN+NtP$F;E<#?~NJ;s!NEGg2C)fWZLP>yyp)4e<9-P)8Ud->1X zAf0{TTgs;i>lE*c%AIve6MHtJ4U+gSOjF`J$JpL^eL9}9#A9rw6)OE<&9H**MCj(_6!Im7E9uJ!iQMlM@2W~By&)Az^U)K zbkT2jQszTUe-=*=>{=uRFp6WrH@1 zMU(1u>V0hgQeO+@2aj+02fpDr`z|(mj7{BxsE6hsQ@*pmKXl+!kSnril06cC59_k` ze?%!Ze%*f{VbE^VV#3kXwY$)p9CiaGL3F$DfTz;cq~!0NLiS z8p}t)iSPddWDjo>a(Ka5$Zb-hpOc_g7HjoW2e_(5un= zER8xT<_w390m9*S}rpiX5hm$LNuoW`qJ&wQycc{uT@9_wyRFQgofm|XNK;a1&M zm;iandh8R?mrqGpwUv8a^l!hUFcB)Y)nnIRg8WcJ6-(mvVXP-j(P-gcQ-|GxGM1C~xDA0dWHDS*_eblHOL0Jql#TQ+`Ky9Ivtf*D1M!TbdUTC$LM2qb%X~ z)4eiMC2jIX>5|?yf({IMfO9B+(l@Rs9NF*h?%{RRRJ*tqEO1}N*c{;y`N9a`w^+at56pzrBZ&k=jlB2xE8bXjbCI&UxmtD z=Y1%-*;mw_a}uuKPgXB@o=VB8pPw_8#9YDfI|@(AE3`r8d6>;B zo-eTuczYR>?p|=GoS3>T^6VJA9zWkG4?cbwd%JhRm6DKuoA0^IDx=Oj6zN2lAVZhJ znex3?{g9_;T8{m^!@Gf)OF&gu?nF6jRn_PDN)>LDCG6HtUx2dC%-d5wY`K*0Ik#vt zD>I1Pni4YbK~nD4h^R+K~A-C{iRhFarfey?M~&p`zE37>Md#WKv(j=W9W2aIdAw59wMzZo30jLG*6WB*W^q#o6&_`oq6%q( zFW0#kvI`7cH!F^!NIj+piFE0lGN6Hr`G)AUX2k}9z+*XQM~HOJn7NY+Y2Phq%vPqW zM?AhEk-gGJZeMi~7PC>THaj!J81Q(NXm2J>NYC0<1U1|rlA8U~+KTJ(O^W4`q(mOb z<6xiOaU`Hhqgfs`A=;M`6FKiwE_UsgB(d2m>vO3d3F59hk_3)8Cl|_J3lo{Wlei^% z%mwp)lFZ!~E$3islf;zSnb~(S9to*lEXmyE!q^-Lp)O3Ct#7{)=HZt!<0~ooiZ+!E zdAd)$F*|HZ-p zB3PG4*`?ebFR27cU`6(-aR7%a@WMNnk6wB1$h=ErdB z6#Ajb-SNQtIK9B^`{-JQ+d5~mQrMU*ag7J^07vr7j!BIYw?-l^U1+jPcN0*1m9iYO z(H@q-t#M)YsL+qAGiO3tL4KCmkDt1S-4e){-NHGpG&c@whz!d#Gw^Kt-9r1iNkOk` z>I?(&I;n^^i_gr?cl(fOD-kr_6^AjPX$m*aY^nZby4yuTR-3?KN!=EOJvkP3-0Y>7 zb+TJ?TFX^|!$#H4C~ReyoMCp*`6Scr6?gWuAb}VwqeGn)9J<-T{D%>4hmUMMBxsZ~ zw2@GB^^pj(69|69tuYKi1amJ3VgQfUO&n_WPd8thTd3I0Fwy%!)D;3<2j@q#yjm{q z+M#e0OgP+~*dGF2^U!;nz0dAcx}GXT9!!{YXH^0wYyFUy*^dp{dDmCMtrsR72;F)x z=F-6MFni~-N9-EiCuL2PoJo!g#@v16u4W&7S$x+rU8~Q8$ybFvN1@O&na*aXo?IPt z{otQ#IgxgN`4<#*d0^%syUsW4oSW;~akDMs{ZaBt4&KWtP1oisAZ7 z!-?cK?qk{qV9uXa1>gu;u=ifPIjtXLI(}4VDwanL1{)nheQa^i@G4`z2Pc-eHWTg!EEkWYUcG2x_CdqBBuBNEf^%<(Lj-jtb_ zBMw(Gad$}A9V|ATQbJOgwHl_2Ifj#$!O-@Xd?}a)W@}HVU zb~emAcW|=K4VxTfsrO)y+cj;bqg@w7&Q1YanZu106X+f+GbgRZ^hMN}G3PJUH(iJQ zPN?g?g(ASyXfU>djmrDDGgF0LH( zOXoVmO#O;q#6cF@^-$vLK{b;l<5mxv7Lpx=4zTU%^aC_HQW zE*2ize^G0&8Z1H8?zWijVPJ;o$Ba?#{=*VnIpDWPSZ)nTTschB?CqDb_9sM0UJT69 zlV`16dH>N5igRe_b3SaBPVZtCZB zKVtuS8Z%(PVUV$G0d>4v3^#pM%sjHcnP+D^V3HQ$ZGm-mGD1zKDojbI<@D)~{Uuj) zl`u3#?e{eek7(04h58o{^gB?8mnj&Mt~_A+{#pEjQ%RwHZGR&;EKsnOV1}n@(aa{P zla1u*nf{X8^hz@JtS;pI$gvw)B5J_s(G6rMskX!snPOigj3nXQ?$>aE=aTy za_$=0YWka{B+w~3%V61O(h%mn5sT_klM%5`v^_O<`iP6d9e5$&l>xQTQEOF=gNPec|l#1c}6`!Y8rrPp< zB-QWo%J1e~`*UCn#moIfP+6>GE65Sl3W{%~JePU^jXCa4<=NNmkK~JmQ1bRx58@!F z;Fhqv&O>mOmcNabb>72nbeQuuwpF^vI!@2U3Og7c$1P>qnvs};?NRorSv$qLZ#~pj z+RPwXjb*zn$<6p1_}A5qmPDE`?)5UO$V( zSDrk*6XvPmMsr-YpBA}eNt3K6wf1bc!VvW6ljs43%YP9at}dZ*>CT19{dO)Ksn$s& zyRqrXaugSNE;j%8sJYwU9untH?SE!9Yzs-n-H-OO!glHv=-vIFs)gHk>K)E+!OnWr4-AFiK(NH>J+MNi!^t)N8?jDTZ8f|>>d@g>CIhq>P?Tj z&-amU`=}rHH3tS73+Oke_PG$lhVM12-TPu2!u0=_n0oi2x4TgH<5>08htplL>;E$* z5y&{nsa!X-}OA@uj~~PpjI))#f?>@NCI! z`@i+B%)eGCH7z@s(E`6kh`{x7~C{cr3DqM!duQR_hUKZ7#Q{3Yv5 zVAX%76h-~T(r&2Yzhza_zvp%xx&D1l^X|Xg3K_okEa@rbFIB>zvd3BcwXb98BgH>T zr)R%5z6j?2cZ&4o-SXXIh5s3*eQ?*n4Jr7M@u})AYj7;@EZ10kxBH7Q&X4|ElkeL5 z@N)_lEJD66XN6_2sH{Bh5=H#8pBOgC-HJFYgnr-G{{C-U-G_buW3hhp zZ?kuf_`E5sZ2Oz9>Cl0doWlA)yCemA-4tb<|J#$60gwMorl0xqn>By;0;X5g-^%y( zyZ#T)mio8#c$!Oi5V`AbHs^Tzo&D8jf7aF!$bTfi(K=qgUugeH`&iPXeW+QLYI;Ps{f2SKxG(Fp!Zh138bhL@Hwz%zh za;s~TOKZy3|6VM!bzC{AFh3xT-RRg3Cy{)A_oar)hcd!ZOpY~_A7UBu=cn6|N0Z`D z8(5DT5G_4Mw;?$H0&;d#fImtLO>fvqjaWP4Z_Plg?u@N&36@Y1IvJ$#8h*UHVJ31& zy@AJ}H1yO3EdO2My=J#{wr@6Nkd)MQSdufPk~af(QwIyh`%^3L+p&^y(cjY+KF!~CSr6ku5~VTiEr4k+imOYE=$M%jtj}1 zUD>fc?2szOPOC^^7he`Jc5CO?5uJZgo44Ou(T+TORM=I2i`#kRX;Xjx`CIDTfp)JW zZfADx-$QGA6tqm=873@jp^e=1y%ovDAMYQD+;t1J&U*AH$Y{FL{l2ncOHljjjh!AT z_4z}rnHv^e^R@ejtY6&N=E1s^d8Fs=4ZHiI8~H)GS8vd*={2Qeww&$F+_5UY;7$B? zrKIX0=%36^R8y%&oQ6(pE{{marCHb?6v_qZ^_pSKGVT1w^A*;y8|Pd6k)q7&vN_1 zGV>*7mFup0G*gtPy$-a@sSAVKB-^I1D1EjB*1x#!<(BO2NcX&V{nn(^i>={by}mUq z#`8~!v7GDIjC}W)#MSugc9(MXC1!yKmtu`6+H z+Xa>V7X9;g*Q)SjyCcf**Vp2bWIJV9qKDV=U&rj&z-Lu&S^0LtM%||^-tzQ(khS_q z;mK<&l736C6!T+SuJ0wb{fSlScCD@`XKT~qcDrltFO=q9Vf7oYg>6bEzYK9+f>%%} zksxm!yJ}m+Fl5lZ+F|Yeu}>MS&ibqIl0aRvKYIQu_lrI}p`V|2mA*%(?Uh8(uf7e= zTs0R6e6Bj3URWthW_$&E|HM}338y#1_EjROi9AMSHhU**HgD|h)Mf6&p&9d-*zU_) z+G%2wKJlH)+|GPa&0y?hGi|$C_+`2{`*K`s%2b*5c-&>-J=tU)^DTNgraorkLsHDw zX82`3-!p8Qa`_48$at9~YVGIitiEo~V1eZ48v(k`Q>=)ApWp7ve13|~zxVU;jSEjR zRMd)}Z6&OZjB=Og5!Q> zJ7CN+IoPR#RlYV=4nL1-klyDAshWYzfrQw>JqIdBzL!T7{BKEU#VIFVQ{?%=yY~Fn zp#_BXuNC)7L2k-V9>MCvD&wAaPd;uooMiN&Lzm1!(%*;AHqQ?Dzf=Fp(iJ4~f&i(1 z=4y$7Y`P0TsQg$CiG+frK=d2gb1m6q`fu?19)A*fF9M(+|Hem>W)BKs6{dXSA6%ko z?{pxtO2ITDpVJ95GU@L~SroVvRP%C?K%y9+cp2M8LT)J5fZ{jrO{Qg%1pqd)1O_BL&q$&Un$AJ8)JhJDKcOZXkRP@KFk$}Y3$l-tfXEIz zwI0c9B}nwwiM%edv`z@XE(2Hp2aoDL#TY35Mi`_=K4&;a=eRy3OWzLyaJo(O2|4Qn z(jI%0w%#LUl{^4SE0aGVOD#BwdNP)8lTBKX=7{0hT5vG20pMqg>kVIkgh|XivR{X+ z<*otSY~8IYQUcyFdg!0Tnmh=7xS-@G#}6mm>Wg9j{IN=zz#9v5-`IK0$`Xj z^^XqOO|B%!uVlT};mGHt%LLxGVzQ}SZ3H&DcL#xOcI!uwN|~w7C4ojZh^#wL$C0@y zCAfQHPde!+6oAO?r1`Z!7;HDC4}wVb!OS&eMW+DSoq>f(WK$;xfR{@&F2ySHIS;gCV&y35II018 zpn}?*RpiW*S`m2_2P11Icfk^YKiE1PqfUPjK=_K;}ah!;u7PAfsWYq_adio7Pf zfN6KXwSkc3PUuN&U6CwBmYJR4nuXd`g6)>s?z*|(Vhl>E{^i+s+OeUpX zkP5){uk`tv&=**0kVC{g-#FaXOp4$UCIT?}OP2H-D=rY@z9gphai8Kif%jzmw9 zlRX4`*sxl1%{90sYr#njmUpRsy(GKi$wFNly?);SO$u`cq@XGQ zbTF~~QNpp(A4EiwDOAH5z(g#A25N+_qC;nh6Us{x^0i)P{U;_C*(hbZu z@(y=GwnnUgIm8$?f#l}z{r9|7wU3bP;sbDUR{93{*Nv~iI8tSQORzU3u8@#ZPud?n z=}Rj$Y7#1I(DRYcnb$RXCwszis$WfFtGppTQqW~ZqF?%xRs?M@0z}52VDOR9XE5k> zMfs{s&8Y$DT|8vUX*SBhwX|K-0zE*hI(%NGS>7n`q<6tFuM|eU->D z>S^2&DT!TwKhlHz(;Fwy-ZVO{-yn|xpxa<907(^rn&i8z>PrdvEV$9#g>K|?GI&k@ z7Nws3G82F`mpI+XT%H`{eUC}ydKpScz)Q_p-Z?(U>s;__F6u>Pv;98E_+5)rlNj9wg9H0nsCRN9)8HFj=h@ zb|Nn_Ul8beg!@Z9d-I|gj3dF3PUQR9P6DKOTlDB1?`4WfXuM7M9QmA|{!PwGyPi$p zZ%Ig4o%9^Z?zj)0ePFyrPb0Z+Nla6ud4@z;{Q{m{SbtyN)anl+t>XKhA%3iS0<~Ez z`bA%AJ0v0@DjM<(S$$juiWA2h^c%n*OrV2(C3%W0tFI8~beLDIeuH6b8r*$T>QiKO z=LPVX$<~$nrtAm+?oi+z$ai5p0yXDqFYEj21VR!jcsuy38G}IQvV6|yo5mObwDK8lLyqQ!fVVleBU$hGm2i^8p4-K=A=AeL3H0K^ zI!o`ki#`d8lk!&Nv?mG@_5*}*`lc!V1c`acvs#hQS^VSdR=U2=Cj@|72WhRy^5k9u zt>Ilire}u?zagQOdt)ug?DgHCJtdie`X;MD08U?Fwjfi!_JA%xpa=ErD}8(t5@atv zL8ezM2{eIe@2l@?(~pBaLsb3~@E8egG3deQc>1;8k&)a(V!oRaA0wZM1+S8-ztT4aNV@^sK%shs{QgLxN7sjP z?DT!_=m4A?;XXo+Z$n~~N10|1oIZq#oGR6YlhENufan$ro22X_%VSsWXH&WHLN zkh3ih_2{phTWh*fMDPqe`?p~Y$Y-vD`Fy@gS6USaKprfuM(S-l=p`MkJO3#O zg{`Fm(4|rj);M>$j$O6b0d_$WT^$lfy`)DS7A$6UG=vF2ea~PWawd|eM>80jDP2?C z2;fhw{z9)qUeR+wJqP(?y3#{L8wuQo=4+9Eg{1-h1m5skw?Uo?Kx1}hEfVc@0x&I7 ziU)L#uHsfe6Nw{lA)o0NjpDd&UEjVVtt7-iM{XfUb-{qW5#rsh+wd-@1xy0FV{ajo z{Da`x`?t5~`VP)N0b3)pg`3F9N)K=&9ez*e7!?A*aBa>_#4mEM9-U5~x})1*Tlg4s z#Toyb$UEXIJ)lao)a#nA$RClA?+gA7Wc;zU9yMoQuhuoSh5%4_mvsYq8BPJMB+9JN zu`lO01KQ11WexI~5t2X;y{v1poNpj8S59FKGM?(^EP$Bj{PSj{Ox4fbCYA zDrEG)hz>0qu1V81A<4BQ)@9^XA%|*ubf{l2=cJB3Hhhc3X5I%^AupF(b!grgouzBq zr@jd~?U=X{`Akwd9N`+LYdS~=U{rfbCGzfUy$)>@Zl&uSzsSD<7$FUK1=3qv0dB-p z2kRV*#5E+g?b1L6a*BUmhnmZK4(Vj|L^W7DvLh;xD0Ptzbx5m6b&k0#09r9+*O5@e z86EmcTjZnL0BT4=tpVxRk?^fafFjcu>!xG7%PL8XxtAzM;Q zIr5n|<0rEDTIblssvxmXB%>U8vqlAvnT#Vk_GaF7u%BO&Uqe1kyMp3cfi0-Kq@09i z1zFdS(`~y!JqKGXb)~JkYb3PRO}mDCD7FQWjO!Heju-&6xi?mZoDQex(8G(Fn{|%6 zv&u*;qLNvL7|b_<7sm_TsADTecqDYAc#(%pKGWiWxq=Wljk!<^-ez(>5BW^x@mwUd zcoWaR80-M)fjlIEoWW6>{+W+>Qxua61_D)3j6~fW#nHyt=L(!Qn^OdKYu_doBau!o zaL`IO-r;Pku|hD{S*f{5+@n?;E#v1&a2hEu0E-mK4t6anwB6eGKn=ACV6_gHTk2EU#S#*IcxCjkDz`c_h?5kX(d(W*0e(XkOq=6+8~u zZavf%B2%^5IQmWcn=YKaI+06a#=8DO(lB}AW(9ID$3 zBGYW^ahYq@S+E{ahw_p2b_8&n82T!l-PxZBR<3Uu`N(I&(=zStRlIa{A_E*8tmS!# zv3nDahN?O);WWuSi^Sr-$jU>GJzvwJrzF+q@TOc%JPAc;Ne=SkV}%xV8p$ojn_kcW zNPjoNK}IWPv}hxj&cSItAxyB*9gF24#>^2dI-c&DjhCKb#(^_z_d+g`d!Sp3HYaXN z!`Y)Lv7j&A=j0;m!~-qr7qgOt%Vu+qgPEZ&AQ$<}!MYg2kH+{ zMK1<6Gw?pkk!TQE#LhvCJI;aPG+rd`=p$r+bu(F&jeK~P1K3)6W-#su%c8)Ui^0uC zX6Pri=p62I2=A*}po2YaU=RSsQCiSLcB1%(F?A#fMSF;{kauywO5~LGlLszq*F^yE z>*OqCecI#Sks?=|O{W1c+gf`T`AiF&!#noir451MBz9|a|5>Eyv8@*E)mQJvY4yQj zU>xBgXOWMjsTPf!EGf)zHHj|o(EZ|1v{Z$bR+sESVk^n9@klu5j0Qb*#QvSu z(IeR%EYQpaCXyW=uR%*##EiD-T#_3&MIPfYk>5j)Y0w1Dj6mD>Q=%&g9XJ@kM567f z8q}Qsd{kTN%60)Z5-`$;U@ zP8Ew7^UO5pa#Bo}R+db60-YA-#v*ghgc_Y5@NCo4WrA_}hUqf-(t!TVJcuy!5HtT6Pk#6HJxwG%?6%O}iRx z7PQuBOY5~eK$WKYVvx^#z7C?IO6zz@xt+wEMnYncgH=^(v?e+Gs+NK<_HHlTXrA8y~SvjDdr1Lo~Er)LfHfHyA4CG|j2{r1OzO`7}v}@23 zbg!d5&eisvFSH=B;%Yhrc@=|#Cko@IYfEd>w}JP5Wj+cyRkK%(UL0sX zt(C^3gK@LVca8nLa?SRdqYrH2x|IPdmC z6@=;4H zq9!cC15IgNNRJT<6HFXHP8t-8U}x2OSHqU5x{Q$1B>MpJFG8@0PU|XeYc`CIbs91I zF_jncnXc-&m|dq~r>8$RVm_l>FC=p1{vuiwY_dvcU4=tjn@>_B>rK6H3MvONY=7Ie9)O8Vk#i>Zw$d2Ye zHe%dCsXOwSLHkX9_G!(AqKHREsCF>T9XUpBUPQ|ZBa<{ThO)tky&crMA#ZMK7r-9O zHC|J?G*EBEyj~BvAwTSwE}$8M77UGS533H)YF{(l5X0lw3+RWj)ewyg!EYI{=n=Uq z@(yWRKu?J$k81i}j@&dt+efopk*Vs21$0@_5}={kC*3eYpGIk}$mFL>3t*F9LDiJ* z)>j)b{n(fb@|o28&5;}rO_Ox2%81zsm@dfLBh~^s9!q!Cu!EB-jabIiqB9b`b`%uP zblanmCF`ymu}NXRGxE~SeF3zmatn+692wYM$eJncH z2nm;XPRM7Tv4b@C3r*iIz-9*8q6&6G-o9;7poje#q`Ij-r@)BCX~hUKBdk-P7gIb8 zYRABUd?O^nQxIgS=ez=)<6CRhrMD+IMvP;`?U6%I(iLbZfh*Oe57@az$Yax>J#yw+ zoB|zBnSQTkr>U}ykc}zb9{K%v0AM+bI;83ilEN$_wgaBGLw-Q^D$s9&Zp^6JMBrH? zgj!|VA)l$w>)d>Sx^#3R!-!Sbk+#Uo8>Aflpotk(mqxN!M$GWlh%Is&U6P~AsRstt zju#e~MyTrBSX(4+c~Xv=D|Wn4H=W6f1M{cr!cOFPQI{P2@~rPv%f29vgMr|~*@>Lk z_KO_tkcnH>vXFro@Zt^z>_iGGugFoq#Lfrmrr_XcBSt+su>+ai%8{dU^4hyyUav2-Jb$Emg>zs&~9(J~FQPTiL*ivUw)5_dZi?&~TCyEST+ zy6@ZMa3kb(CTKhIp~_Z{jvqm;s!Qt^LyedvM`VNiCf+DVzY&oa)EfrUQ6pyYQ?d<` zw^KQf{+hD9oT-(#EkOY3tJX$7; zNK*H?a^1mXHK4adW+s^P=$Bw{sb#JN;FoV38?r=B@B`-2X0|0m-6t<}F@gkMh9$E8 zbk96`F<>o3T^gw0Z^Vifatq{boh7*D;?z;~hWLCZBet&1vOs<-B4p^RiS__BdlznR z#40!XTOgk~>z=$Cs=Bl;%MMH|Fn=33ERV?0@zi_|b?HmhPSAArtZm3Uaf=L`JC3`m zfd|G8Fs1KNZbc4P*UHdegT42tWqTDiMl9c_a4VAM`;!cvquK6O)6TH1!9WN+vK3jK zO_rgdI)lBsG+u0J#25_W7Noc^M24=bCD!UvGQz?L8BQi`L87*KfV(TZE!1rK$W|jZ zk*hIBKGWzwEH;>_9peJF7@?l?edfs0wl8F;pR()=brZ}b323$|1b{_KDOis(N!5lT z4MAW|cO|6#`tqa{tdaqTeJ5r7HGEy_SHcjq`;4 zXJ-F5oQ@e)A1{5CfVNs>5%x3XK2kJe_=Z5W;iYVaz%q8x2>Vwph!p&qT`;P0?21qm z|A(YAjcV#z|G!zM+P=^hl}SB&)2wA}UucWUq~)Ar{)$Ku8N&=QMMN(mL#)XYc*woIQTN z$U=zB37^ZU@9gvCjD8C(w@>dvZXV8Z!k22Zju=eAURuQ6Hl2&)W=}1{5$%wU_>Kp= zE$seWtqb9nlrO`@_nkW8waVRYF`QSvcOf?}L@vVO+>Ig4KO_POvDrU$< z4r>KVa5^l6Vin|;)>#-WUV_c%E#gxZ-7c~nUEEV>p_lR=Q%r@*F*_`KT&W>eaavDVXczSzE^<>X z#|{sNvNXh{g{!$1@!`mJ7m{_~ZG*F|5gO`iSe$KP_ZB>Ik;*=)4gS|>yM}0BFjFiv zlj4C3DIU$R!4KRoHN@A_kR-};sf7yOF=>S}gH|=MD#JgoeZ;ADA#(G{Iq0E8yq5X-P%SU7 zqT*b;Gz$-J990qf%9qwrb+=TyklAhhv#@NjS4DNPW{rj68(ZN*ojT#?7LaydoDF zzcQ(V2boSKwQA(AGqc~yvt48|EZ4yk3pyq7wds@6Y`8p?=_0S;kvce1*{h^pfZDfa zwsU`m3$bM@wJ>%4Z6#5((9>@=pjl}yvPzJng%i~mloV8^^`)6HQ=CF!Gya&0M4h74|pS$x-!=t2@FVl>dh()g}0~$g6>X?)#Xi^ZZ08-Z`*s}#hd zuI;kf&}a^EA)ED_5m-{hqC6w&&zr?8caV#Gu$nOfJ$R3i!tyG!P!+q|g%oX8^Ng(Syjso-Tw^7LSRC;0iBD zPRw!y2h9v-%mx>d`D^SDJhIb=GA?llv$!Y4%SA4>>lBdMZ<7&aeM#YFhK{}BM7l@w z6>zjScs~xpSLY zl+9nHcqiE@@8BDsN*U1_s}C^KBKqu3a`2*24m}W**OPM8EYwP@PO_@Km@+Pg%ZL-y zYN46-jbh$OmgpIB_&OcPi0ZuhFU@SIo^_Jf*U1Or$4-}&*cW~FbF<-%Zq$irLRo__ z)oWBrl+9&+W@c<3(>jri(V~ z6S4J1^}>T)RZ@x$;$xW-mzam0hv3s5`*$AQ~Vgj;8hKs50jUDOd~tMK?UY%Pk?wm=A`jr!Vw4$@u&6-Ed^LUPAGB zYGhOF?F(H_Bu%I9f~kSM6ze60_hyPFoqI}AVb&FP!GHW(Boz23y>Chc=N>zejkxO> zeEX?NLR9BsJyVQtEFDgyxs_6)v!fKv|=Z)}?qM@&9+ zA`L^Pr*MP|CDb~D{oa(2Wo&gKs@1%w&>6HcKzuQ&zCFeG-q7qs;zA>y!ZUi^0CAMj z-8@DAOVj8?=1(b}z{Q&G0U|D|wSJ1GSKf3YJcQtp##*iBqo@mHH^9(8oUv%81;3I7U5 z!IYsRtI|m_efm4#!E5dP#G>W>@hL`S;#n%|4l_I8gr=sS_~m2|Yf3Z|S>{Aa&yBUi zp}>-UqSg2ybBgZk;yRJ!E>1i20Cmc8YnfBx8B4JfDYClT;K$#;@293$Wk;sim17(y z*|uHQ27h|DuAlg#Ff($B-l=3c$=$hGZLn%#x{nxi5C^6VcPJe=MAtO+2p;fy(?`*O zc4Je9$np#)A|Eb)1mFAJ?IR8`{X(Y1O()Zwr2ea@NATt53w=~~eENRM@JCvTlMIVd zJ%m;MxqU>DVD#H5T2>U(Np@YxeF!~_pG#@)woWl#ITD;?#mj{UaKZ+uG9B$;N;Gba zb0XXo@dKEobiJVVH*fe((c2U_m0;oV58zOX_65~({I8}&LE>;HvQ(;Xg~z#_FNi;L zIBTaE#|!pS|G4&oR(L3+?gjB(EOYe~Eha6DN?Pl33tX8ic|ja?g{@2)#`lLf$+RCN zE%3mN*cZe;3+k9O?6B;1lC`JPTA&9z^kK})I!W8E-bwZ5eN!`hJ+hW6Q)iu?WT?ae zPO`=G$toa~vc`A=YJVgI#237$jgyA2jPnlU;o;&N z@BkACh+F#R+DV$AZ`OgN{YJ6!J#ePQ=<8P|87;Y^4l;aL)&P&BDf!gSGVkJ~xFkaB zATt500nQ};%_n9p8RsS$jxn`^e0AWy9-sJP zEU0vnhO(6o($^YQ5BE6^@QE=%2YOIAd@a)im3SzLl zJYteowe-+|l;ZB|@SikTL7ZS_U0eUzT2nr`|itiNS@0&`HLXlg$n!aX8~T z{C~;Ha^eeBXwW2ME2GhY)NY-+20aKXFFv|sQkbW@;Xu3#%df$Y@NzlvF?ap8Ndv2( z-a!s_M_z-A6}ja^L!uo{ve)gebs$gIs; zYWT73E|0inu5z1%s+@8MVy)8)pogk;bgtNGVz=2!9SC765WoYoSv+FVk!mvu@%|DA zsS0&f!6JJIk2tX(pD`KuDQ6sH(`88&oOOIfJt%&+$;3WwVmZhMy0j|zZ|gLdIym7! zZen=zvK-{X_mh11FKr)}SS)vGO^m#V3m_`dYdUv#k|raEL5aAke$O3e0Zjb z$E9kddTXNZU?)2euw7XRJ)p0niQWAsL%liCfuvOBRKmkQ?%+}c!G|wRhR*VM2huw< zR{@7Vt>zLZ4E5b6`mYg$gXDfIu7D-&qs7GY7=DLI{FOZ1L8e#5R>0#KofIcbaOQ85 zFfwB=l_5&qS$Nv{TQTw7LdrdpFvu9{K=j)S&cfFXr-~`4A$Hqjcv}$cKm_NO%i&@` z1m$Vk)ofxz$1Vq%r5z}Tl^*t3o`1cG5g-V3kY|I^%3(sFokP5ybp2*xDs>)9^x)9GE94$G(GO2L?d0O>*iu;1(p5;Dh|ge|L^b)J>`3@A9T&co z*Ax=fj<`${8uNogIk}H5J2m3vUXD*PPLC zlW?X$V<)}pxy8^!XnR~Z6l|iQ<`FyMw(l>72cA?G5Px!>es40^PY&BjEJbk!R@EdG z5SMtZ-$Byv$ z0uKDBR^=048_xKe#CaKAcCu|Wh6C+a|IR07ZK+?G7=6koc4XH9T@g$VzL-zEjwRNb z=tHrcc0_+WzX*DuakmPBSDV;118sIv`}r~p9?c5Lr*`VMtQcu)_CK^En@xWWUaD`($Nqomzv>55D7aHv(Gt`s~59_*45|qf_v{8KJ@4Wv*gei~7&00<+ycrNK9>oz8_jvt>!M0(Wb_vE?WFq;K_)DE|1ytw zUd(-Er1MQ@?PT@3*i1OGu`!PrbY=7!8PjQHb|j%xmjRQ*3iF6rNkW&=uvW^olS%sg z4ERsV!92pBwWr-E9(A3es(E-h9S)rVc~sp4{xZ^!7Z%ycEgb{taJbBxOEk!Q?-~uw z`Yby#8<3U`J!rkz0#}QX_G@g09kKsvN`tAT&ACKeytUCN+%8D9li^No8q{WSbBWiE z_q9eg-^{d=L&qc1U}@Z8%5zM9#V8hJB-+U)r6Ls`hXJ|7NBQH6Mt0~xoE>?5ASV@` za@cZ+hQ5|_M&Tbe%ua4>n@fTJdG;oUILfc%8|l65AMIpofH(!NoNdV=!pixjM%r)E zFgscGTWkvS(Eh0P`9dSxdnwpXHoJAn@L!t4IYb~oZQ^JJ96mOasF$AU;PoS;Tj?p->|Y7+f~8Zf$NNOb_X25rM3(Afu=; zV%dhI3KkOJhl(Z^kt%((!)TD6T(prHc5woH-c`sVZnEJm;h zFW$8Pqww(Dybal7)W^er2cVSaTsdl_*Co!{$cfb5~y^= zK@Sr#(3bk8Q5d0mZzHQ>q;b&BtIML=GwySv@UBBedA`bsqe42BMWjZBeP%TDq$q8O zH!(#}^I|-UXjKQe#tavE?`-7Wu5tpdlz3-R$07XeV~jPbUK{x+IEsKp=@S{$$lpCP zMh~%d*$~#>Dja^=+m%7wGTKdJLchePHZp5R9uBp>S14ou==d1>ufk3n(sI{^K@XqVD}OH>DSn$me2m8LjEP;G3LBDmJ9;mC`MxEE_<|MEGRBA)D6=8; z+cbON>u_!gae@mQ#~8StYa`*klY8L!je{w~D!Fg%n0R{O3{}k?OJOj5-Y+*^r8mlrT70(!(VD`Fg>a_!~~TjojI7422$; z<*i9o<(RPfMG94;1KdzJp*ThnE;9zXW8yc;BpZ^}zdsbFT7#KHs{HZkG0{C!ybaMD zQG~$%y4NtNgXItM#%TBwPNm{7I|RP+)h1G#i8qdo(auMO+sLQcbHVW6{`V89V_Mg; z#)SN|Jye_~K`9$o`tc&@ZidkM8ZF(IChMEk++*F)2R!B|Hs!{ z$T&PEYU~TNk(%=bLGbjTHJ&&zkQ6Z{WGR4+O#jNY8=kn?7f<|>gW+Qam434gflZR# zu##05PmC}^LdR%p%zic`@LlM^Xlnau&l`h5Irh+s zROjYX#(3XoVs>FypFw=Y*kUF9TuWQvO!7n&(NKu?7#MtGqZP5$3~YfOFzPLfPp3hc zWxQcU{CA{nf!2fzQN&`g`)>o=GFE3ruJ)NW!_hs-QPcwF;yr^QWbC??B(iy%;rNzq zQN*BR{_xNsfrk@W7HSk|OVFn+-I*j!(5`jdByUd&^4-7N+`xRN*&L9DXXRT@Nh#Tiyoi*x*-R^Jjq zd^cImHL&rDR4bA&>h`6^-h~m=VDIc{gZM%*(@F`e%Y5NKi+BX_r@kc5U^thbU?sgE z%NM4Xeo2)%mVL}14$2{{WLl5e2TqLY_7l%1Q?m^0y0maBvXssDfgXJ9tSuqgAi5vB z$BO9P(LV6)gUbEXIV&vIAS#Urv65SEYrNs~awKKU3O#IK+m@&+TrCIlz2WhAzx~7_ zZ)b$T@Qo$FN|sMAZG_IN6AWTRwFNiO*N&l93e<(Luk&VG= z8{sGGIR^0|*DJ^%Huw8lsjEh&4e*#>5`*|c`Dwd>ZZ6+wB}b}w8=!}1`)8!>8w0yJ z-^+@GY>V6g|AWoA@m7Hz8W^jyTo&@ZRJk6$itl#gfiATlmGd#5EXXi7cRl^8Svc%ffsL3+?JH~66Ib>R(;W~ZLEmHXa;5U)6H;DPLZkl(QB*@bMC z1@S9kxWOA$Fhxniv;& z)0Mr9|EW%w>1nIkZ5E{Byv_+K6Lu`)sVe3hJq?R`U_s6X7dU~3`+G?p*QXb4n`^Ql za^vzcuoAD9@G!%VJ$l9~)lCahR3}*mXFF?_@Dt{cPQA!aP;Ws}A~Tl3(ao$S{A>5l zHoX|;)>z0o=j11Fv~0%`p2`IG^0bm1BX%3!8Zmc4&$jBaEF_p=E`tABU)u0n0gZT|HBZ6|_Y8>!S?0k{ zoCynlX+LL&o_0{-oFQ3O{yfOG|82p)h|b!kXO!eG%^=RUn0YW*%D3QOr%*x>jHLbc z8DyTTn*%@3AGF|uc}!H#o>0%vAiF{f=0HN4w*~hX#QW$O-*fac>BSgFIYXV*oYI4X z?U)%alJ0Wpgv^L{Gi1`26?%|hU1P=vUC^$h2dH~ykZNU=9#q1iDSV`Oi$y1H&VM$8 z_%x|U!GWZvDZI?$H?3m~xjJV^>#>ugV7lb^6#l%>+o%(F%Gzc~xMN8NtkpkE;jK2W zQ5}QHelUYPwDs#iW`mO=Sc_f5I`JQKO*3S5Pl^tBu-0YqpJY1v9r4W>kX3kI*IOyVQrg+86(mHzq+xhWu03#{C&llZEf*&ZEZqM&+) zy4a}HfU``q2_K2nb?Stj%at?aP-m_N91ZL+;i(JiHl2Yw+&e=)pg=+3n7zt`Um6>} zucKEoOJ|Vx%)a;FKb?^#{HR)SM<>iPoS7jrzhS-y|3}(Z47TXRYYSL2;?x<^Ub|lnva?Pb@k_?eD?0J^Xyy!} zJgyi4u4=wduNam>J0%FOKT|4{>D-r`}_P*DjC}2Rlo!P9yH%5)ENrrcF&N(mkq<gFG)?Vj^^V+XAouxV;GEB+YR_W#+wVJWe0U4hjiIY))vMN0S}Mde~e4$gu2*8ibsA}uK))&=IQa) z^5SrvkSn*Ek+N-t3Xr_{2R*(jg%hk3^~TSck>bzX@4ze6p~Jse%Kly_?v?AzIXLDxqQf5xQh`qF zj8T}$4jx|)w8OqS{53DxSI3Y_dd*07N{k$M5b3wLNnhy`Of8D@RKcAgFlzyD;hS+e_%%Hbdp{$ z-RM%|tz+R1jj+(sWJZ>IGJ3%b(Wk~wxb|8#;!4gVq8*YMig+l3%t%gt-|+3?|iEfpJQ;%$b&VKE^u}* zScPAb1oUeRl{}6a(axlGfw#b=#Baq@Okc*g<}5Q(qMCdL4wSxB;+J%2w?=%Coo+_b zUY0)tqfag>@k51MIyAy&WwIIh{eILl-~q`GMr{6D!^n$DG?RPl)lb3VE?*_Zq4&S1 zVNX;LW+e3d$*16Ks!o9)?en{>5&BtvG*ef|m!1F$;G4QbM1EbdqG-B^~Uo&D~tL_Bavj^q)A*NTQ zh8--}U?zK9d7YpveVrWNm+LOk(ASz*rV-nWqXU!%56N(^ij@Kly*6!m8Yv&|?*Jpq zbu#?9(sf+J9_n*UBN@Xf9U!|qONPfeoGgu@Z*F0ly!pn|4i25&N_l2EGc}@utl4QY zte4jgl6IM-_!p98rbgJ`ubn1?IwISF2e%*Q`gBAiR?Uq}lU4UsZ6Lj*M2a8ceu~u4 zn=_QtWXSEjHjuOuBE|PDEFI7oeEa0n)HMOeBT#hRCBgl9OPEF+VeXzLAJq0g0`nVR zO7KDVVu*(JE%WI#S#l-i5f~O+klkOYl{>i`%KXk3F0w zea`b9f+J~PN$?`K;~UBt)iRA(t0E~+j{<<+0jZxC{63BBBnd2Da^X=HaPuLT_4 z72J<6<~eL?_URnXH0dafYyloog2z0^jGEs6B5NAiQmJYNC0KVK^$u~E)U@HTv}x*+ zY+f_4|0w9gznFB4tJ(GYlcy1Zz|jOogCqLzTTzQTwc*R0glS~(e18-8XY=QM_;;Me zVYMM#j8BtAms6TR=JJ~t_$}R{Oic&+z0)M?H&Y{+AHMPe_ljS7qZa?Y95PMz)bSd@ zY*W$;{Fi~HKDF4HvU?igH%B%Ck50me$WJ|LnzJ~7N}D^X-@$vJ72{#jPn~MKJclNi60vfQQ?`%L*!`Pu2XNeP3o#Q30T`M#PS6yZHh?(FNo36eH{Bc_HN z&O2(uXDlzO>E5Q?1oLeZ0z5Ut)uN_d<~dE|6Rqh6SloM0fH$~YjcQ?^Y|%ven0Pn9 z&s_xqe8jj?t7f;GtR_-!i@X6m(hdGf_Z77$#XW06bf2jkz;vsXkH-yoT~@Q(m^u@Z z=6$jO{N&xi$E#ngKd)x@=BrI)(AK4T@b(OkkH3Dgp-OEyCsvxsqM(6#P}UXBr~U{V zc~pZax=qLubFvQXOX#h@8zy}U)S}PbPfSR1UU?lD z<)5p-KQjD|tHsUn9VY6!VpJXQs7Zve{aI?pbk0K)68M|C7R0I6RN&Qpn=&aQx7ma= z-#%GO4T<~9@kPsKrdrh8f73)(b}iKat?P0*ew2+KQL{f+*O|y?vVj`lTz{k-A5now zwP@SqH4~CyN~-}c*MC(`4e|pHsM$_Om5I!7PhJOSoH9zbLKTRqh36tGOk|f|#dYv{ zzJ`aFv3G^2>Ag&ziL45Wz79MB7hliq{z1)X%PBUI4`MXez(1|NJbbV(c)MDh!eN<^ z;Jo~6V6jZa#T(e6->Ajy{0tMa`TX)#@UQSjE`DPF9;jx#8b~pbtQN^taBw-3i^omv z^;6S-RVJE9-=2)C;HP%L#Sf{&z11S>FrRE*DKQ4_Ma%2fsa3vYAq=jPNIm8h5LZ$fUiNUOj~eJ%%&i_9>o zMBit5n~==DtSaim_YDVM%*`5C(UWq#Or*eM=7Uq26NUI=2}`GjaXNsjmd%#J7cbtD*Fbif!S}P9Q6S z!b&h-X)3@!u*&;X!lrWV1iAU1y8@hOzgvKZ87q2J^p%Q{3FO9*tO6WT=M~_sg;kv@ zp^so_0x5T~DnQ)gw*}P5>S~*cp%)BJkk7Wwody5iFrAMts;=KxiCE&U38W}Vd=_N- z-^<5GxOI0_qB`;834~V_e-?O@Ne0tyw5aIk#BCGE>^(y{c{!J`r^t7a-{zS5BwY4 z&BGTXdP-G{-omm8WTPvQ2Rvdafm!lGm57;NGJ&*yZ!86etGDIh4dp|pRKn)mBFcD- zTMAC^n#jcib*fwyt(lcML2jszCqL?tr63G#ZK`V2VMkjci6W^CE65?y$) zae~rGIC%!7tNhsb*AW5VsMxX8^>O6cSIZo5cD;&)d)anDm6#IVql99PNI2kNXdMgR zHx=Ti5`UKEFp||*GC05^Df1_#NUai@V-}3a)wfecAiKnyg&&&2*Qsc~x<`$O?Mr?U zn68jzQR*=XYgBZ-<-L*Yij64(<5gF(@O=>}ZY6D6KWs!6YjiAdh#!-MH)Lcum7=?{ zcSf>)xPS$QOV?6AFK5}51|aA$BEO^VY%rM7pMkH^70)OQ2MeAWkqs>ENG= zyG*<+LUTt+`!zq+NN&_J)4|WyTqZT^(YGiK=J+Hdsrc5E1`eifr955MMx}7|5@944 zdAu}`+&P+v7w!L4t2D@TKN^v1Zz9vc(E6rCyfxN+MM-DA2&H-xs8c~zN=hQWFUR|` zlJRTaZX;c&^$OImFqUTE`Or@xVsT(JMta2xT)P%Meyjphlh?3T~Ffxt|sbopO8gx1a zf1Y0*sbsv74~>)ZV0IE%*|0qZKNMScK*_#PI5$nNPqnLDPO!1A9u3fr0PF9?bNB{>*uS8M#sfJ3SN>MjXa%9SQFtqV- z6z;{C^-~&tEx0z0^aSO_Q?rTHQTU}aySI|=h^QJTtLq$bz!}&Zi61R@u2VAn^yTBo z6K8)M7;deM#2fS*K36hkxZH6vg_RZuMz@4S;(;-nK2tI(V>sg^b+(EC$=56q)I2W0 zrC@ZAWsZ}o9Tf!dh&VMY2iq02_VQG!%-U!IB*Y(&z)$eQEeZoGHffw(zoNmx@Qr{7 zydffSS|REiBgT=z;{`bQk50QE4^yTY6^v2dkK>3<=EA@{jPEH+Fcd~p7Yo4$1hFshZOYF95_xc`^<%d+2%e5KB%mbDn!}) zH;KZ2iCRyP(X zc+#a{l-itn#CxdtN02alpEC9hwkyPV-=dyuIS}z9I5T+MjWx(se<>I}iB>)GuwA(q z{J)L>H&$jGy{iye4SGGf<=ecyU|+q~g$=rGe<JfajfMVpP-dVy%q#Uk-E{kf=lQ&b`A>jYbW-noJdF7`S zh5*HNN>fWI3jrSWtwWJl^Av_}GX#3_Ml3r7tjx+B*mHBkF@<=-a8^%U?wbn+uhK6& zuvQHvpe4>cS*j;fVqOG;s@?+*>}&bsWQF0pg`+3mzex-R{{*;fn3r@gRzW+?&C-*~ z!^R-+vZCFF{URGVtYEm7QuSn*lp6%zy(_R`Me5lIg(y;xq$f8Xi3|d9KHF^Ahsi}; zL66xVrzewTs@>rK1i={V!xiGy{XgoF4a}3f!OxX-3s@X`Q?P=~jSAJ1!K$TQz}|Xf z0Xx*c^LquoQL;-GzL;3jc4ovXS6+Y*kE45Rt5cY*(N=b zaEhYsW-gS@VX0&3K*5NO_0f}|R{l;AkX01YWTIv`@ZeHO8QVSZ%7VIOlX|;m=TlB&x8ISG{1lg@$ zTCneI?JIId-oWT6$+@El0HqbL%-F2D$029iBi@gapMr7&sM$o7nW~Y>Di?*nP>zz$ zUK<1}8$--kV8yIiP784lj*`6Ceh5y>%~RMd?$U%@G*R9~g``h`AmQxoDeO<)hH*Lj zh^>=SNGqHKz$2TsPrOAZXBQMc8bzu;s{mlO+j|N-ArBgs3yI0*QKY*z8c;9lHom2aNPTw!3ifeAC$YGKqCPoeb>h`ga%Ylz z3rHTFF=4OOz#6;FQaUfd{o8W0!E)^nXq358r$Tw zAYSn(dDC}cGw>+6J&x|UFBg4g&K^aU|9Y_*SWDg*v1(=S9l7W-JAIT?Mlv^p!_Ndp zY*n7RMQ-RwLkb&n>mJ!Z~`yO=|=YMQSxbWzCTE9|7r|N&D(ok zE^4C=CaqV8c_}qaIYT8; z>&S&KBYl8J?=CLdenc+xUK-MoH61E%kUY0thXoFJ9hTEp=ML(S*6fqsV6jQB!5+tK ziI5xa$)4$ukk6Mlf`sHc4V9n4xSYOrxkE>Wv`IFC4@-wN*kD0isGQnVdZ;5GWMypx z;|*>#mKv22Bo|Ffn{;IT=avm1dv}K#yEMh!A*Y>oH0Y>14uTEf$dglQtVmhCO)k2_ zs?i}s$Kp2tj|AVU{@(-SqPl*8j@;}$wjLbmRI0ErK?f?Q;g+*HMB7`u9vlt0tirw% z$bIDEMrNrF@h^#34?bSQRoLr3?U!=e-xVCH>9(r8!1$b1iTNuXpUZ`nhD;qYugvoT z>EU-NW~|l#El+Pe5J&~3idc;qHkol z4guB-H|kLZOjRdYW#Tt;dnn^w^9uS?Sfv6Bj43k9*xxdPsk&ziR?xwW?-khN{)!11 z8{-A)kSgE!74*&7aXGdaRj-#B)~L7WklD8e7rIhYM;R3lwKDO=C0`xceX-bue!O{D zj{TY2qmv4p=?ji`J-24hHVQCTBJBewTK>YbGWJVmiWb3T@pkmTUPt<{xRZ}qGQ+|A1TDGTG-g95lHD(`FVY4xW#V%J zOiKnAaBb+%4Q(&5>R269#x7NaQ4*2ikv23{nfn6!81w0fOc-F=twp@nsjcWMm+uSA zi|Kb*MnAU%wa5eANh^AK=NmD$DkmsHCTxt}tR;K?T3$d0d<>S`Ia%hskMui9OD^k3lt6_^*RbVW+5D{oPe zETv439UX}U(3bHrmpu%MZ?j!ifn9SXwlO7u42q9|6z}m zmKVQAg(w>p8Z>=RK{0k|Zm?5I|C<@4LMqSaYS6P4pctDK=-Vh`T7ZgtaN6-6^+! zWpwAx4I>L~!w6cGIa7dnz399w753(8hY^9bcmzF@-dupamJOem3i-LJVe*DCVg&W5 zm7Xx#s;KVBc{hygR;g6zKVd8R*doKHQffeRx`&Z)=}8s(^QOP@v9i1%u9UsPdOS=j zdY6aMR9jX)_EC{|S}Ls1dNho@?~o3o|LIznkHtk5=ShXrY0bkV>o3+YS|;c|iT&Ak z?UJd;qnm-pSHDpJgrD{}b8bU{~$~^2+ITd(NN;fUB zhRKarqA5>~Di?dMiX)^nVj+E)^!`<+K<(BGx!9njC|oK&GQ}JwYcCfn(81!6Tx_Jj zI#|l~9g7=A>Mk=B=s!AR4)#IP`n}XptNw8q@%>ft4t<_jOBq#z-%1%$SqNpklKT$z zXu5uwn%ycDcJ=L~%KXhCM^CJ`vaylK^-wBeRiML2ZS8;@J)73T#s>3u`bkASg?>~> zjTv(Er=}xp?6oT1TPjlKY#2toTg-!Ky4JT`B& zjg;|i^r8~E{#X1UIv<|NqEu=hxh1sYu6ZT7p>wPkt<3(Mh5e~gI3=P?i;gnBAXiXjs?YCu)!$sQX(vQaaKuoW=%aq|6M0Y!M-lUyCvcygzp0QSGL(p>+3*w{{QV*mE0R9E zClLi(m`Y@!qxdO0yeEW-EyfSsme8!KI3-yri+GBrE5;MC2EDCW!agqkk*emj>Iv!* z<2}UnYm|sKLBaCZ%n>D=OWwAA-*H0D)2$dm|Q89Ij$|E7p`^zcq*G&Vao ze?&q%^5WqTlG3MYLyv{Jqp-ztpTiR2uQ^SW=gi4AbS3P56y_ft79nAr-`_BVWcaxr zq3QpVET*P`xP%rwc5Mg=jF3G-7vbtC?C}eJsD#!e<_{sfLiQsxb>rWW)UdEMNJ0_$ z%7&1*=7opor}@lCY$QvuL&C0gof#s3m-anGkM^vI#BQb7w@Jj`XtIW=_4$;C=%L!S z2&_77(^d)lG%uABOx`i|04+($j=*l^gaZk^Ffnlmd6vz8fFAW(8$pfMSiTb4b_+&@ zR1^CE^{6NZ%CCJTp*IbL4IzH746W$PV%C1_@k0ArszGvg50TZ*;#SnTaov9GC`-Ls zLc8YzLuBO-k*%n`rGtTmDV!?<;s}-h5VCw+-GZJ8Jw_R01Dyk+`ofJvq_a7{1uc2H zj)9#}B-#cHwbcb&vY(_H)Lc>2Snc*9?Ou?^MXe7 z-w%#0hlk}{do>_-6hD$7H($j!qQ|xCmczY@J9-D`=OUYBi28Hm@95x$&ZY3LCGWci z3@_CUGV=Er-tVYKYx?NaO8bDJJO8>2nfop3cXT#>-BS2q;_kl(=!Gu640$@Nxrt7s zcR0d-=}WmcAl6FDWTY=FyovtIVmZQ(x+`uEh*q+SWu!fq@jv5Q%2V9hJYdk8vt&s6 zUy2*($Mkkv_+YfMet=!bPn99@j@%olH9p%GepJ6yGr;JHPLv^C2bLPpmqDM~!oRD4 zR|eR9YD`8xy&`Eq-~UhkI*^rkalmjcFHA;;>#`cqQf=l!cu{oOxdE|rVV8_d*gjW} z4nJ7EKuJJ1@&{-;#6U)Bc`xeG$}@k>g}1uor2`_L7=Ic0sh?So{sU7e<9^bV(7bb8Z;^Ke6?JIR*?X4o6DQ-34~V`oJKiFdy)kuY!X~CA z{JVit)_~Z;oqvlw^)b|{9yRGXwmL;G6NPLJarc+;2Skw+V=Mt}D z)15_>9=NUByM-M#mEVq-My%Jc{}Ty!9$WmSg4kzh5Zc~*A8V3kyo)*aCwaQYoFmUeHP;FQkB&}O@N!*DcQ+D4R*{k%R)Kr@T7s3&30`^%$H4B*rM|` z3y~7*^4VZHr*X8wloeqX7BkXjCTgs#F$2xVzSM@KpRlX3z14Cv5i=+qF*ubd=i8u* zu|tiWQMsFmgDr(7gP_4W(uVl%PgG<7C#7DfY3Mbui=_i?aQKlm6PsK(HB2xx3vC8+ z=R{8%+`OeF6Z=x^LV*T%gMrLSuBS@o#${6fGPQ$5jw!0iAh=+uX@frBPN}fT5&j^t zcScob&>o0;+J?AflGJV{ea#>d9{IG2dV5cC8&ph2s;~pA4+n@E^_xcqaz3Q64N8;7 zGqB$@(F4SvGwUB1bR&(|+K`zcWd_##f9mdH<{bn3{BmX+T!;$IzeG%YxkWu5+}Kxc?Ml8FTM?lYYJ3i=~oLV zaSWU7vO&k`iEcw~_z$FGlO}&7@sru}l0i@q6w!w8>O>T{>3t88)4@G&(B-M{Hl&(x zD6kA}b`No~MU!RF9h&lKg9Wu+3he&`=kL9)Ru}}e0N+c<;)0L(!;-JZ#YS6vw z+1y6S3)|ALeq&Y_5%US0Ht6($u5Cz8!0R-ueP(MH@hT`h*}If!Co|lFlUTWxMh-+91eXjWt#B8Y zE62jEb!|jV&-y5XWv#8h6;YT!redpaj<*qed8|-_(}AR(RwVM2Iu%Q+UDHM|rp_EN zSm-@mWpNEc=(zqY`GO+(7tz6x584*s0{o44CghGBJL#&mQeANR>W(aLWZ62 zU1=f8W9>c$r_%#Ptq7wyScV;1C}|;1hWYL^kOu+_T4CSM!)LIUj{{qXKSTLH8w5j= ztF3UQRziU>pAE!MoWiXJa@(M)6+R2ycLw`?`LcoVO6u}3SbhmiYeiN^j8g268$Jf2 zT>S+Y$O&;uEAl2)D8&x$8PXH?%zIo7f)l2rt%%Iu5#cK`?!H{;o*Xs_2*bOkZkCT8MG2Ye?DT!aq6ezJ7kQMny z9=5pQkcQa7_a3Gm@0l@B(H1q2s&i6J97{~-)3X)UK?8EVDvXDnmgcGnH)&yq-g2AU zXMj(*qw(0@YrbkC+|b>sXJ;!r4aiZuJRVCZ8B!77jj!nSx?cvL8{m!7;CSr!sw@?8 zFpS=yw_I4RFhJ>n;W(`6*%lS?6)CONTZ-aJ4HS)!Bo1q>X;u;kmv2?-Ey=1I2KX#* zUmSM)=_w`Qtm%8Gw@eLOHy|v3(^2f78|#!rOvw8CdY$)@+JHok3y)%1stN_M!-C(| z3x4IM8{mAdJr?^dHd;aasYxr*JB`WC7@$W$S1cB_dqqy1OnzLT*F|;38{qUrVk|bl zP%I}pxkI^nntCGI0P$j540gOaKu)}h0GIW;gy0AR^bCDXft17(agcN5qMl|?#0~J4 zofm`6ZoViZ!UgJcdTo@($ACP3IK#z`)c-6aKFDj-ddu+vPXqKnuIFN_@$FK=**KS` zXa734$pGbOEEmhPNu!v3>deMwX-^rq|54?*a;+11CP|tQ~Dw~n#r9n~HLix`k;;$tTpeNTEOPi4*{$M25 zXKoV`&h|(`&o+(UXhz(&NFuR=n`3hQa2Fv_!>j#OuPq48 zYDQ}B7>{5>(#m9FTD7=c&%VM>Z-(=ygh#Nwn&@QW)u{JYJ#D}AOf#(7X^+4b3T#OP zgCq6OJ3S=ho8bmqM+BBtRFp*Q?I;F%Cu3K1GqPBp7=iT_`6W@?m^UtZr%FqBGgMr( zg<~%ZM-mAyGrCUCK0L!}My^J^4#!qBnnc1`9=S%ZEpvD`BiSABP)hnHHlSVU?-;utWS`dBoX) zn7&3b{!@b<*`x^b!}cz>#}O|>@;e%J3v-~0(*5$5=WF9dRiNGq4uYGn7>Uy zVE?+h#1RKW*Ecs>c!4E)#K#d#V4b-YvBcRy|At1v_RvB-k}x!kW8sddSmLBCv$oOc zVdOPEvb#!(V<+TRF7XxTd1a&1WNfA$=Hv$A*kMy1m)PsDJ#3_n^V9T5hGc++{p0P+ zCA{W*?l%gGlT!4^>R~Ypd!z2-5U-|Ww;P?l7ai3@_nmAOmerlcp%&A}C5;vzNt7O% zelRkz`3-1rb(CCE8{PhsCCNr_U0o9Sz&xl7}qo7*O(8HC!Wd`auV^*15AJ>z_-36M*HqKM;E zk5t`FNX)t^AMAfOY$3#=Acxne{aRVuglt|VeXuw3f)Hv-Z-{PW-;q}}A&N z%ePV27JH@%S-l^+2RkaW1`-El89N)DvLoZ0kgVI5-Pp=XZXj{b@$BbD@|)1;CU~<% zz8g!u<{e0M8ke>@NVqyjlKJb8adOmQMcXBq^y)bybC*-BiTnB<14X7 zvUhGz6Ox`M-G#kdT(^(tjCkhS$fkdCZ=$Xi2JXTZBzMYQ0VCn_xwr*b6)EHsQcuCMv&a6c}DFH^7B_wik6_@{$8TsD8Fe>HukN zpblD%p4jOp+Z}kJdC5i!w#v;7FuYXgi7iYw+wt-cmX*|fP9A80F?Z}9Sk#Xv?062D zF-Z!!NL+ct4|EeSpZ0JncGG5cN{ElRoI;t8FtYOI= z`zS1Y5%YYFlk1*==N21Cl%6f?-iNt8KWOA;3UtPf61o;F+)a7&d=3+J>KO z$!Q`5dj6RPWW;6eN9?rRYQ=MmU3H|z6dK=v*tQye#Qu)Twc=+#ZKx*6yUUygSVWJb zN_y_J;s-;bDoBCP$AAWC4xQSJQF4-V_y<|u15%J_WHun3#~U|e;Wek`@R;$wJ0wj_ z?xFgg$=!?{Oj|RD7bd!ukpjBdy#a~M{j>>t<9TNWulX2TOtK$wK?7X>K(h(kZ`?nF z-&5YoC&_p0^$n2Q9Jz^l+&qP+M-E>h$&OE7>Jj|ixEuCyg@3 zl^d3D3!B3K8cn%Ck|XModSuW&!VOFDte(IZ2cBq1ay6;H9v1kG0W7|hGl6>z&S#SB zq0sJnIKxu{?A_-1Px#(3-!xJyvA(EB-eiRWDjInC6YjN?E+GX?gO&A=UuHqEz1E*U z;a_oIoFbh%$kKXvy;+W8eUXNbc)8l1M6wH#Z`4E1L@j)@QWrmyS&uv=WUkm3pOSHWaiW()S~$kEdL$qz$Q8?!5##s{H3%au zYn3VWPbRrz$dOu!3)b0{I)*cBMS&!B!V_5!Yu@j3!H&s$vmV!f;PNAZ5sZi2Mb9J}i(_sNy zNfISj>!_`}V?B0!rOJ%&Rb2BR*-8AxI!Y9&XFawu5@p7zG(JG;#P*pwgr1qa9!sg4 z9LE0=Y;q+nI|m2rpjXARGxm4ErD42$EPfqHK2jL#kY}GeoUzlqZ4@XgUqfmqA{*-9 z^wuP2>S(TM5HAdw|C)3fo2sdURs1CfI5xx^#8W2ds~SQ6>nC;a$C5S&IG$%4!1oTQ zY#QA+$wgE@}@IJ!wgyUTH}gPQEA zWiY#1+J(Oiyj7ZKAG*@W^$2HD%$iUXvWpEP671yxW5B z5M*7@IA!q*YLVS8k&9q5b$<(fY`jOKq5UwGQ;Uq88n=PzxM2hSmtvDz!%k3V)FP~A zl?`hbikFQ+u* zqaBuzt`Y3p-%}7JGybW8sR6)=RjZT9W{Q^vgD(2@(wXo!`a^8Iq=7{ zzZ&<_gzwZ?3|*bo5CoWKK{wZ=!oNx`_*r9dk9}T^3_p;~f`6Y&RQOx&$X1Qz7xU9< z80j873wk%LRpI@SKAsxdN@8&}jLROL0Vh+-lz4w^CZ^Gz`Ng6!hWqRrjlf}xuSR@20;fUL&0;yeqs!T$*7>TUsTTzennC&tA31(6GG;|>*=`T0 zhItJVGsx<1li>#~rB=1Tq-ItlHAJ8poSaFL;fvID8#OJ^v70ho)xZdtx7wvRCDHI% z?IhM<)o{jJG6GI4^r2U9kwr4A@)b&VQ{FPC&8VAG*l+g3Ds;BT=L#G4E`y$it&?irgk;OwlP=* z3#&{+U@|>hjDJviwyN13a$^-TK!}IHe85&Qes)x$S6e*h>Z{<32KEqmyP-~mGq|1g zYVv1EO%)OzWEuo1T~Q+3+2~fQCg(psu7YVDqCxOZ^;w92$CFm7*@~oFRWKl)JqT8I zofG0ark*`iTdK{uRq*+k@eTOW1BCd=*BkDuEmg5uRnR06y#YhgN6C1nH14*VmfEAJ zLJC$L1K^M41IhTY#EKF%IUXXdLYA_P1E4);Bnfxs+Y8jByZ&euw7ZK2z}xj{N%)7v z=sdO4I(uXlQt{By5Bjsdr$AZhWwn+Ha8o4%jQt?hR+fl6cPw61Yqx&#rRp>a`@upS zk%%9gi#V?)4+*@gV8JPe2_)2Z2=IQvtt_?nSJS2{*zf2uf&aNB3-Ggp^9r@LJ!E4Q ztSt~yB~w=UcnsfPuD1M4u2dqC-i|)7_%x3KCkjrhb%9oECCqH==>zF=KlAak`k7?4 z1rwSpVN9~H4~%#;@$mj+JV~v6IM`naP2W(Q`TudaJp3dlFF~zc4ehR^2x@waU?hDq z4*x0C%vD>~k}oQehW$b#NG~`ahkqBwC_HM9{ zUc$khHCKOC)4tY3S0bv@J>B5u=^4wc zgtBIP7x+AvK!L+oJ=E+_{q9Q0llOFi^a^VPUf4AR)B>NGA1jgNEkdef%B2Y0xr^?q zwwU5vD-ptI?*zYZ@{GV=4qRKOcJeco7T>s;3S?oz-T?X*M?!IiJa<*4>u7vaf%KL2G=S(Fc_{vN+-y@>woUX@ zz@zcP2Jmv@+EDyu5^hzI1gEY7UUPBOgYIi3A^3-h{7Du2dv#R>!f)%T2kmz65Imfm z9api7MoTN8`GT+>q^25z@kO5hn94F1e4_$!33Swf-%Pw<{B7XP5fyDxa;*XxcJ$PN z44?TR+J)0Me9}CU&q5M;DEvL0vytq_Tk_4 zJgHad?gX*@OK8 ze4fo?<0pe^9;$R%Q?3Q z>Ea_O&0;?Xhu23rSSRD^OH|})$IK(>6>540n(SkC)>olF7OAwir`|k5@-Xo;@WHCE zvpxi+=c%05g!Mi`NIlml4@{hdeX*PY%7qfbYm*jBV_gczH0Ett#dY(8^?NDB}g-+K7!91 z2CBgEBdCp)Gj`;Zin{vBdxW?bORB&T>s>4B7+IdAqVTN3;f^cA0&V4W5C?^J2mS-T%1*W=Akz~Zd!6U%F^=w}see{k(X zWGPVo1RU_r{>0kbvAk8K_2yMRL|nZ?o`C&Qk58;}`B6`m#Vjd#h?qPqkHPGXM;}># zCO^YeR8XnlAri1o@fft$@BhfENpwS1G=(weA>^%wJOY6A%N}E$q-5`u zPT8@Xhe%%AXekJH^B800$mUm+mQzCihsZ{~vJ@O}c{IxUX(n<(savC@KSbztVWr@| z<$zI^oAT+DQjlcudI;B7jg^2bbFYP!9Bq73p#RRVr%NV2f@emwJDY2l1+ zc!<1s5K#hBZ!VZwDP7%WrS|jG@&kC|{&+FymtHipob|hhm27|e`~!;1OI-{;dt+vn zTjRAprBhh&$OCxveq=G2p1VKH%6UE8p(H&xrU!`MgO5evU5Vc?E5&@IO{smr?|cCJ z9%_ofD^B|$>!tlkvr=FaK6`+GN=^|-SWFnCyl!KYlKr*($pg4r{pl8Xmp?VYDj$^9 zDQT_hTMv-p2J#k&-*s+)6&}}HrKE)!av#811NRnas&*M*9UJqmP}1@`)DIAI@5D_o z#Jp`{y;bHtP_pw)X%CR=BaJt~hiV@at3P((j#3+K=08A2KF8h!?{+mCS=807G9_so zi+O-d+oo=S?t*9|>!;=FVkOOGB7!o=8p92cvh==(m9p$spd`PaV?BUh0PhC)jjQNk zF@iF3m4as5o(FKlFLQ;UxqM9zE1fr(t)%(eu?Mi*zoig-u@-c(yfnl`rIVbEK7dRP zzYzRE|GA5G>{IbMB`rD7aUaH7#VAvVHF(GTy%HAZvAdBWpU){Hs#eZuhtkuU;Iu297+hCaH-f;%B8Gc?nQPu994% z+&hS5b($--Dzy!B&iA2dEbtnLay4mKe+~mr>O~VvccH^|_$p|wIH6&^8q2_xx(DO4 zcaiA>va8^8z=E1pxHRmlBm*picM)Y~@KtcobY9Jx=0^NLx#B?YUF5|>a}J0(>Z)dS zk`-%}mfKx*cah35c@8)>P^MxrY~Wj^Mcz_%7sj}U=79JpZwgeX916iVWa(X4!5P(n z!!H_?te0%_ih>rUD7*`wT~=zqynC3E)oD7ipb#X8uiixztzjC_ojIalr7NqZ6qfCY z8F!J9Z^yI136ogCx|g(hLSd=k%I-pYpgIdoyW8cg6kgVQ1Y7b1jt$C;^<)ot#!`tF1)^mRD+m-J2KV|!}C6c?(n$pT_in} zs|J}49~q1B$)i_6<_>t?g|4|1nV^4{UP_I)>um~J{%g0p2=!NvxFcfq^HT3BblHPdccAN}AOq~*!A61seH$)?02QUm@5joxP0|y*JCjtp6mB<*a!YuOLgf zgSTOPs6r0jKh5N^K1_K=E66r`&uzq15h@4WE^BzKlj8g^g|>FI_BLF>#!^9sG%t>o zV_i9VsI;+vGO^3c+eb!EL0L z_fZDA1Bh7Gcaaf(3Ub`4p}3e>NWH~D%fr1v8=zbgC zwDP6k^Pb`eR=NGTi-P_0KJ+$nCd7=Szh~QZF23qfvz%`@J`4F{a4?Gu)YgDYLyEPD4v(W*d#U|%yLeLuyO?5lX9n1 zkx$E@X970!usdW|HVo3t{qx(DsPCOZtlanpEWmG0}U;;?X_#v2e zHt9#R+!B_gE<<86hk4+YM{y8qdi?s3+>+{$m%*X0i8L|l*jR%vneu1n)>u{@_#tjKCL%QxLp8y3cqAc90k9ZW;vMC`rHR{T|8%= z0$ueKxV*-}>|D0rk?U4I4wWKR58@~=GSALDsaBLxb!v>Ih{u7sSa7hw!_IsaJX0(e zWJcDP!XxIESa85}cbPeDIa(lR$B$Q)B74+=Sn%6MpJishzBgA+TTzyl!UnJu1NP$e zOUysz{+HzzZfIdCvZ=Zw2CO6mEiu26)?B3eZq6x%9^B*@@FlC)#@wseeO|8RN|mK> z+Ggj1g_}GZ^I%I+mYi*4pDBg>Vj~yKKY4Fux{afmls$Wnmcp9>VlJ4jl31DLVOQmH zCq-gpDZKW69~Vqkt;{i<^~NmaR+)n-FsopO`IRt^FDKK?uBFJ;YzqfWCTy8u7KZf2Q+0}0OAuo;&Dh51@;yMO)$R-eR6=Zr@6BP-mr6`z`@AgPt1El_x*Cqitt$p?0z&638I$c zKQY~U3jO3dp7n7FtT~~N1jkCpJ~B@RxzOd>EX~al80W!@1StWhKQhl6G~RM8KlpkH zQrkCo1iTzv7-yzOF8?CeechufLEc<>eFXH%G~>*@pVGF=oleB1mLQd(iATVHwl(9- z{$B>u6>%ENqYS z1E>9SP0X)Us$QASnkX-ZBV}_0I1qrDm+VJnGpv2rm!nVlEE8c_?%88E~fBSvDtA)MaZy$ z%K!&T*HYjFeon^zc098Pu2fFZL6cXmfqB;WTrCr<^5j&VWd=IymYP%<%{w%@2r-_s`GQmGZF=T(5B;=ECvNmFLT2P0zTkKBgGT1P zPgTh>GI`0j2&qj@_65^~Pa`ut%r{9U*e3BTLZTBKK42ujmSj$=D-$SR8U;nLlwiSxAjk*2i9f7i=0tjj$!K>R z(*@9Nzu6o7mv2-vzngn{NG8}W8z?|h{1x6{e_gzqnLfPdfQ&63>neb0eqr9=gxRcO zzU_G$Ak#^>&kGPgzwtew+kQgD+-ut7CnJ4Zo)jQGewsbtpxdO9`PbM}UzwnO>1F}4 zDS*2NG<(RE%tgl@Z<+2}(e(o85jeFQ9Nx8}V1_4G{2~+lFsLekb3umP;9pOTg8A2g z_jZ|OC^)qMribx&gRITpDwxyU$}KXdWMzB-tmE2tf#d75<;=aKJ{~f%ay+U4b|3H9 z1)}GFkTY|XRe*9uj$Z*RIGwx;9O=oIG2h0~U1jXNMxO$RsU2P*ro>&w^a`n2C$nsw zaW8<~c&GvAK;El4e4uTA-g`{yuE zaD3HI%sj|%n37sfSsU`bvhn!och^a}u+EDz05BI23j?A1UqV z!a#z@i6rKqK_dpKRw6%=k7QVd80gLVoXDj38T3*CcaW8jpx8bP934EJ$oy(>wqEM= zHG5A!JQFbZBlvHRRlw}$$ZMrqang_ZNKUfsNAOR&LcpvUwpB_k1uYx%VM1=mk09-t zozJ`{&3Ghrx;U|%2UqJxH-nS~4FyKn?@MX)i1|E(|5>#eq*3c179AOahc%;-}6$oEjBF=)?aV{Fn?v{sMdu-lb_oD6slRfVH9cK&sjlAYpGRf$1_SW&NJS*G zT+fk6b>}1Ld61rILBS&C8_9gtIB-g;`(Emqhh)?$QSfrEI)eFC2k(Sb7u^Q(kSu!y z3Sz4KBADT0GkmG;_(w-B5*6^t6|ANgk`FR<@tsczC9n~UUSD>s15!sCI=vy!-NQnoASNG`noE@A_i zUL4!UOdp%}lsegktXvpHd|D4uIm!E&g~@5|Qp;`4?p$cfZCnoyQRmOhx7KA;YWcyq znd;_b+^lD1v~9uu0ZFzZO&jdCDp;W zCn{beCEtb3T|r8|O?C#yZd&b(LeZXYq*`v`;1y)`gu{XMHl*1Z<%(yk6805&&lTv^ zYI2}QXKc%i>5hOE3Hy@a#T5koRq81~m# zXoBZ?8{_Rh=XVlaM{Md9NYE$j=$lR7+8B)S{9%bxyfFR>?76MCqyKZhXl1-Kx|<}r z+lr_w(A|}9M-R`fu`<%TDtaZ_3O#WJvd-I9(1YEV<`^dj@OBA#uE+Zd^5a6s3K~`X z{T$=ZiEe|0hFUPH@yWs!G%fY=45L5vs9s_@HQ{mv`i!tw(9iTAW*Em*GxZXu^Ghq2 zA)hn2jHcVJOflT7>9rC;LZJ0B!fTT)qcKlirWnpD=PC)!9yWZL`kM${Mi0m3O)wat zg^wgOUYzkV@}yzx3wqSyI>9LXfi(4%jP#u@z%Tdu^C*CoG<(DloU=t-{oIHORQb6KJd8sJ?b zF-D=;?UF=SZ{}Qv3mxJ`^mkv6F-E80@p%c&Hs*I3$&3$LL`MorMi~cZm>P+b^^?zK zXdE-!&{tNEQN~wo-I)?W#fmBOo1j#1c&yGWW!w*yahBp zqHLIPtgH06#K{!cmkswEuvyX5>05>wf7uub6884s`fRu~)L})bi+F>KpG4hUiG>KM z%0_Zig;w5p+73C$(6BpaDqyU&Uq?<*T%e5J4+mRRnG=4T`5oxyo@Wq8W~ zBPL99NJ3i^mX%G#cIETvh91n61?d{uQWSpJq^OcYw)IS^EXo#Id|M#M-hjB1S z>MgMZhWKW~^rX32G^S`v4}sUVoG{ zi~fCNOBW+1)@!STv&@*znd_IevPAL5@!40*N z5%k}Pf=0$MS=X4DY?k<3g4fc5N6?i@w2^UEz%z^46G`rupvh-+7(IjLlZ>x;OG9F< zG0yc8GHX>0qx)s9B%?nuuTQMiMA$DP-Bp}nboNEAhVhkhSFe~o6==PPq-RbIp{vd= z8b;1QU7OglWqIf#GUwkigq}EZMa@VFI%*KJv8mpR(EHoOA@o1ZIyIx5Txg3%2;s`f&$|p`j2_7im`XtvsNs~GZbA!o*$PCq94{@QZfqF zwUuJ0B4zGHxO->tAbQMejgk>AihU&39v5X^gej|*H|Qekf`V}@Y2m)uG8vb85s|m6 z-k_f&zELnbMc40$X#t_}7my8O&2`0M z_Ae9oMaT(i89?`jXUP~Tp>YLbnqhFyMR?64aR8m9+og;Y!(y)3Y5VIRFCuvh%l+t= zA2X$lSE2cr#pEI7#*0Wohp`_$zOo=;9%zOd~T@OzzY_xqxi`ex?siEgcs!&W@VIVoGuB<^?2Y zs-+Lj;G7UL+#=GF#k%>}YZqW`Ut%Bn*7h!$;r7}kN$j+;FXIBzS#LL@C-V8pjI$9> z6T|}jg!BS(^RCH=9^N&Q#E2P-h!>Mlrq~ORl_xc#Q8n>Nj6(f1N6fyXiMW6~(S#V$ zOx%>nI4IMEiOITT<^?!?daM`S|ALdqI4SlB6$=W&c3nUg5;VQ&q;Ic)^7*<0Vkf%I z?E=yr7Tb$1mK>%)L;QZRu4vf#0+a{N^`OT$w(%J;AD8{af~LmB^UzFh>p^E_L3{>- zdy6h+KNL=%M|SN@?m^#n>UoT_T`V6lJ0Yz9JY;TX_n_08_VXAAW8eHL7WB?FoJTfo z8SF;?;Md17(kGNZi?tL*^LeDxUEYl@loN4`pE@>e6I;Gjm!3!bv9NA*a-=Gj!B9W* z6blUeg7fe?_}GOW*yIz-=%o1i#7>9zY0e|%ps@@6JKzzQ(WzNN#bo|i+Ib`w<8`44 zXMW)_!i_gv#Jbytg!4$ahpiJmcJ(fYanQzGC$^*sqt7EfTe>>YrlPGJMtRbkHDcPj z5dZVg{bx}p`g=ubB%{!h@r_uQ{K@A$4Db%@MCYsBBN>ar9~~mW#nVnrkni(Jnm%LkMV=$Q)F2*!@l_yv*HHN<`n$>l^gpa+YugfSMo>{BAAb06o= zA=cv)_2}Mf--j^{c9cwrbQOl7bBKrBP>&|aE`~DP1_H)Ky1Ple=b*<0K|MMW{!J+3 z*wpkpk>&Wl+H;7lV7U%$+N=p-?1;QJB4WQYKRpKv9vbV=_UV;iMoQzJArWnx`qnwb zxmi+&u0BZ%W-!=Bqlorv?Dcand?dII?Uv35G0wiGvYvD+a~bE5SlehV`VV$Gh;cB{ ztxd$v?l^M}VYzB*(GNZ2fsDeS=glI)JK@oD$lT7@TJ)46DUfk;DXvLG+V>qfhopwh zy+EUYc^{+3X0HuI= z)90{F=a7La_6zh(XBeB&NzOkMS!BvKJv zgC5Lvx6ywJk!nPOpBs4^h(8>yMh|!9Tj`4$7qy7i&f#dFeN0`AW-b0;r61c@lO|&O zek3%o&?B}QjdIVPqlfpzrHY)ARNfk7g*#V;M)`j`NAH(7#3EXBD5gP}`E6BbW}Ie* z{vq~hvdHqid7}miFeX=_hvX|$^qdH8l8AjtvYdr@xbCY$_p9Vn^jDna1d&slV>S!v z;|y1#C)AS@^upxQc#*cZ<4qRi7APvwSyl1`eNi77EfSRQyR(od!x5F}`?PnT=+iT{ zFp<;Fsb|#VTP7;d{RzBJ^mI{CsEBq!{WuHuoHA6P|3vhCq!-SF2Z=1DAvdy+o0WnJ zG;>$jM|!y4x?e;s&^cKM%dz|v?Xw%k>4ix*{YC8U$>~{0MX2d18sE2noPIVkfDl=7 z=lEHOtw{P5{ry(m82ziTc^?r?ui<9FjT0eH(c|&HV{|v}jh!N=+K_-OYNI>;1pTn- z(I~xBwOOA0V>l9+JK zg4KSu$7m|%ZlV9F^7jx?K@htd&gXSKM*nz`XQr12&I6GSWn0y7c~bNk&5B-Url$m1c zjha;q(os$_0CI+8FyKrh!sd@Z8YeY~lL6|FO+Xt(Lq06k~GW*1t1 zkzP|HHNUo%q6@^BiSEoUu?Yo9Hl>;(DoHLyn@V^lIzt|16_R|lR1FC`yA;h@F&gRL z*}hB)EnD`*s$qT7a0&W8`mm9nqJR8ZNZvPutKsGjMG2Z&+}uO|QxNlBsI`SK)JTM1 zWC@xz?cYP+8{#kvoxbn#R3k_3PZXn*p4DCSzt~m7Lcv%hP$TYGOELOS+|Dlgy+EEx zs5@s`&4k^T5{uE^>vucoFYCJpWa0x6lmNuV2$^&3gLT5axZMZl^gm6LL7x zo9O8wuAcr@Fmqc-9_B@4!d<42n`nA%McI1qCv9uBQ;qtlFlbziM>3B-HH>9#O!qA0&n7`;-zj-C0?8UTA4;G%65P zX>cL>H$c_&?^J0TAq{iXDxkCXSOMDpJX=MtY4KDG1-~jQ6o^!>DL{We`i+Y2Hr}5m z)UBDjr9g_gaRun2HB(9Vinx|4q}>-?SHOx%Yd#v2HLsw5*Mgs>KHOM_0v258%11wJ zIHjQHgj$n@G=JV11>|^&^U?pVo8|O=b4ilWveOu=K&ESh^3jC#Tsgf{6T=tkK8Hk5 zCAp({)V$O#qyH)1z!U0DH8K=P!Gbyujh_jW(Z3SbMhkV1mOK?mMSg4^`aZHwO81hc zMF}l+5}=^2+gNkaf1h|u>23zE!$R7XvDGvv9q!0Q!&mQ0=r2FM2@>kI$1S8G8_tPx z(OHhCguWv#FHlIuJBHJctnER$=z*L0VtV*MK!A|_aGx;^_H|gUqwR0L7t_6xz7Rro zk)k#YS>* z{p7&rUxnm1UDwi(obw&m(8_KJA zD27j3%jcZu^Ld_9>vifdB2aMeYAC@a>Y6t?9oC6;*U`Vt4RUVD z*dsL2cch7%jy!UfP%X`DIX70F;Fd_fv3aM%w5FMSG_C)4lKY;wbwi>xU4f<}oAcW8 z(acUCl1m%zUYltB+-cV$xq(Uf=u~YH!42uSzBv|ltY<@!%l%_$AK@Ml`2H&~K~{&(vc zDK}Gic2>#j%6OzjZmhB8qGyIaNVu8Ly}u}VUxsgLp~u^{T=aAqF5yNW9vD>`+9>i7 z<`gC6qP=l%aqd)G@raVl$BvDBwp!Z!`W!c&520vcN1RqN>ZWfPlK_odD-ZZO(!F{ zuZ#oLN<&v?M;hGrt27&p&FBf|o>ttfRPxp?)uthJ7w6BR?|UP{xxEC})aOG9cVjag`{w1CgOi?0+Zoytt&G$hj`qgocP z;d4C(>I#$w{?ef|h`w0NL?haB4s%nIvac$Ql`>`;^7Di4Of=s1g~$Ev=)oVA`q8|t zX^6R4lZk#n6+CXOZQ-KQI%3_JhJeeFndm2$$-%ihS(B%9`u*^t8g9)P%Ro;CM>;sC z=hJmc16whrMpl$f8EAY=qn+a#$;nV!FEyFeNTZC*K;KH(c22(9M%e+Dk2I)Zg4mvp zo|F8##F?^Go>7t)_|YRwsF?U zQ*ouWNEW9?XdHzWjc-V>aViu{OljDeEmR}#I6N(Sy0B}86Ou7=Ov%eJ`KyuR0p>LH zODt9ETHF0NLa8sB@lnHypsY0Xt4G~5$MtYpn9`6F<*r7|d@K!3>E1idiPo_~m84(N z${A!Kayk`F&Mlwhr0{KlN~b$bwli=#rZpA)zyy<=^8-~}rM}xTcm_T>nV5?9cVC*| zq~xWum4=?h&NE1gnxBeBq%DtgqBY$8N@Ka`*%@fdrA(;5t*FL19-4(cNKo)SXOP&HqZ;(Y zoc9Q4T}Qr)QooWFcm~e8kEWo1#TE>6LNv$MEA?wSSZ5%?d7gqM)T|!nq!4avmAsyj zZD)|D7?FaW+mvDDT#@#yQaYVk+H?k)(Jm2aOx$M+Ctp#rqA<2beN!PzMJ57GDU(s4 zE^b-Dv&pAb@M~Qvfqrj!YvyDQd(J7WA2NDXu+|bqp#Sy^HFIKd%cO$5^SV)mB&?lC zK)+#C1DxG`e@-Z@?v`p5brfnzK#%A64REHEX`>2~m@ZWzxJ;3N{IT1jb>!dhcPRd9Mz5Razy?CRjqMpGIU zhPC{aWJudPB1PXlxzonEYh=|c^gbe6G7MH4rPKmve#K~-PTvb@X(ST%_v%?aL{@axEoYVWf>qiCIEMz7lhtjfR(ZQ4nBPXQ!<|PG> zFWs7q^u53-a873A{ANnHpx|AWZ%jsFQ5%jXWWCMfc+4|%75c)Y1tn6G+<~Jf7lQIQ zSLBNs3g6^ZixQb@RN?4U>O&ppJ4=IBVO^8mqojDla2#D3@X>M3D+|;Lr!&;e4T5S% zW6*ce1=*bM91@j+SK3^ugmZ77$DsfHb5%Acf7nNGRSWDNS!k(R~TZnB+J zSjC+;l!)XfJBEIejAU^BGCfOB_?k^wN@QT74@2KpU>Tg#qu1jV#=YhgB@z^sfuY}K znzS4ber$}w5N5@d$n`1_hJI#pw48Rew^-q{XCz1ot30R0=*g7(shpCr*+_+2AnCtpywPvMj^Q+*0n{$x6i=5lu>ab9WS_b9B% zGo`1Hj#b*@=oG6sk>fGW^i%NsW(rQhh8*E>^uOL~6FKKKOFjyx->0)rA*HqnA^HQz zP;w|;buR@uH+l9HB2&K>qGuOADma;Ax7-!J>nA0r;L3zjh=%sYC^#MiXMuwJJRW@t z=BxNZG`{ksob#&hpo@Z6FvdLvXD6)D=yDE6&dDF$v|gcKHR^qeN^j1KrY>*qlbn>p z18Wpy;4nCaq}Zg?%_* z+M~0{IQdE9WjU|ByI+9>{*)Swo@6UzoOXxjoSa-~>rlW&R2Yn&>+6zob`RSo9wSc$PMBQodVX!@Pp8=+-o?eWa)rKZY)!$Dd5-7)z(-n+!Ua{FM*HQY)C`jhr`n*j)j)Or!>&%X7PgoWEW(U&_geMf*v3>qlV#`T{GC z;+%MGZ%~p)^~uq6wTptjx^`ok?RS^@smj7j*J87KiQ*)IkDY% zk=$v+^nsIbOONOP`n4eDFsEdiQ6MKTT4^Vdm>;M8(YLpnc$@|4%1?4bQ-}LWs10uO zM;Gp}c$_QUeV65ix5gC$$(&E}N2hL=JJ=zzs*7^{FB%&G<13H)qiMw(9PI5g`FV0) zFgZv-)|pW*`YKOnXVXmaI{E)3{}XU%PZJmYA?@=LJEg@xL#{X3pAaznGs#8!F?@;L z+v28`Tf4?92)OjSgM;=mUoNs!`limvdBuIj1WY(%=Ab86Sc`1hd|k3!@7J75z)Ds& zhg$s0=h?gEH&4lpxv5$LG0)>1H2LO+dG-;r_N3hD7b2cO78`AB^rJ*)W8YOAPmudw z4Hpr}!jGM7bPD}E!`^N8j+gWJ^9Kmn7o%aLf49fXu-{vkFgdTuN+Xc+ol$IbHoa+@ z{i?e+N=|NQbte$qHqJsnZ(&WdKbfekJpGQ0l?3>;sfC56KPj7J`;Xla$f-TfmH;)y zN*3CVt)FE7B}n1R4Zj{8On{v#K8xayGA7uW+Q4AB)pO=u0`%YyGtt@9kK^pq(^~`O zPWZr+1Ss?}GSQGZ@i;p_dx0b8B|NW4fU-Fm6CFxx9Ai(3dRTJ9zNBIb>{?==5mS4| z*jJVw?~^;d5#}bq=!bm_^!wO5qwLt}>wBn{i)jha{aOYC9jse3%06Ku{N%m~7HI

    A2l6)=T&EAzry-}-0GM?6JYt;NIIIU@w2iQtTirj-p)R|3=YnY(a_~T z3oPu@x}x=RgNtrf2JJ)5H1wEd#msh9YS+qn&BTBV+4NRHqheZ>nf)ChUM=^HIQ&iq zzttb6p{IjQ1MJ-jri1h?8mW~bra!Dc=-IRU0d{`YCOb(!XfBt*;$QN7(C8Zv``G^G z={eH+Q(}<}2`HBNp#LfJ>SJ$@>X;=B+;E)?>At$;jlQb6Y+@g2tN211{uob{LE+^- zZ}ddHt(#pd%l}MTzr2o`zku)wZ zmd3+#mBZW6-n^m~_7Nkqp43lv7sOK{|Hf@-O5my%_PRW`8q)YslO2yRx`=J)(7dXd z?fTkQNs@t)XX6pshT^(`GKuy?s0w-dtFrR@1(WT7#xpW z-;li({rdHf=j^GboA*g$nUq6)-A}R=UC#4-&fXoF{R`<7WAluMvBC3O(7`R2jOLu&Y26$Tx5>J}>`c*IBed?r zRLiGcT6RhsM@{-R@&j?Wv}zbd|60D3%I@vlq9S=O`=-Y$*L~30+7)I*r zvh!jP3t!}h4j%eL$!<^b4kdY&;ps83_ww{+^yL9}CEK-gQxIv?4#da6nzwD6(eGIo z6zmGYJePD@og|7ua!~bVG~%!@e$sHEnHB?0 zzmIQ1|25S>vR^qW_maL^%smDs%(rYpGwXMe?Dvy5cagmP!*&b~ge7i5|1K^d*e51) zcamg{dKN>1iUm~51iOqKVo&uUeb?LjF{JqO$VN1jaZ1KMFOhhW#yu?^80-mZ+K489 zeJf>eZwhiJc_>zk!KFg^M)YhsSIXY)U;<3kwRf2n^J z>05eKg276{?f`ErVHD_SUm=Wvi47s;;83i?1; z)h+d6h?mdU!PmyC;p}x<_7LH8A*xagGh90D;H1y|QT8jH=OE#m(0xmcoPbKe}~WhZn3YKFuoiWixJlZ)iU_c?NxmC`_~;!gj0FaAu;mQ6uu17P05GZ{^}=< z1dl&S7sI%i@g?xRYd4R*K>S%x7^*ZLV)(VYWeNO;9mr$T$eT5U@9fM<6y@WPxCHv~ zdk$8w`g#>%Sk~I2pvfdy0$u6r9jvL=tcL`zZGIpMvJytWfipL>cGmeN%>%+IE$dwr z%o=I>20q_1FR`v<#QjP*QRHA0m58GF1|ll>ORNQ|_J^Q^$jYOT-nqjR_~^kR>(juY za>CbVsW1wDy)e88zE*%m){!PwDWRXu%87!rF3%T1<{jNUYq!p;m~bjsJR5}!m6MC$ zpMnt^EAu&8NEq!Ik|@}~;w^$NS&=qYNT1^>VPMY(M+2=v%(C10k z_NMM!LhmxMcno3fGE?AV@g(brqA`cihb2xOgO8fB=fTIcx(U{l=t(+Z6^!&8L$)ZS z^WY3~#{|n&^f-;syOZ_Dkc`z!bD)3d@;Ix4C_O`vRRfQYA@%qB=D>f8CdXK>vWt@m zy%&D#82lEIH3!}w!pB(D$@CQAB<{#RhR|m5IdIP0Fv>bl=A0y~;_!@PF!0X24SdV? z8)bQnrza3jJDOz25E0*G11BhDU>5DUCZ5pO+eOC^;iT3EekivMvtA{}#}MSsjDyD@ zrwp@!c$RFK^@+g6gaMzV9YfrX*=E7lsuxz)dByQ#1TS9cehlef>6`^AtUXrN-K@X} zg15_Jk3>o;Q)j`u@@p2>5wm|7VR(VfM#3PaXci2*&zo5(QLIoxzo)f75;1$v%z(E! z31*f@hffe;OgP*TiKLl2X28jzrUBLwa$5jF5?M8oaHc>r13m=o9bkRObLS9-eUqh; zh%`z#1CEpw^sz!FH?aucH}Zl=-o*NDVr4JEWA&Yjgqz#izJdrgVPZuu ze%nPDPep1Xp}0W(6?{s6+0ELH&F>_vN+TYLeYYU7-D!eAA zLH|@iC+ozb#f#8)D_N10q)F>EnDSWeVD%aY-3i~Ifvu59!yl??@FkGwV6{v70KwBn zZj3~p=0r||F5+byE0)*eLQvMF^ASk7U}6fK%-!3@Iz9hxJ;4)9ns9Bi5t;ttYp)1ugFZS0y1cF$S?i`tEHb0t z;^qh>`ofqQB+Sj`v3jM&W|^@_@l61;lr3h^^$gErg_sIWGP1j4N`RC`C7Qvku0h8N zAq%=?#vyx;0C^H{)C@ubcj{Q}i#OV2*6PH10n+FNp@k{%jKrfD__lsjP~ToQE=}lZ!qAMASOk4_10AHLQ|mDxaLxlBfU< zH8=Nzzhm4rtle2TzsmGj%W@c!)~xIYp`AK4>qu74J(*$E^w%&r(=6x*KLmVGv0Phn z%4Paoye|yKw~qFKzaE9DSSh19r7~W#t}zTYwl(*G7vMn>>ole-mN{95tHPkCN!bT7 zwJu4lb)C9GnW5?EoiHTTBIpCL@3e`mBSh{snQw;rMi^50d9)XN+BT?UZC}d$NoJVu z%nXA=bIrZr^w}UK>+VS2Wtp#FF(C|YUX$1hda8a=uxc&&7iD^d3=4w{^k^@bv#n9E zGRLmx$*jkWhr-~YU1KKjFQ!V)de8e=C*!Rhp@+esz!nn-k+qSm_lX4=GQ(AYM;HFhZ<}{MH!iUV{qbBgCrkG&$DvQ-Ja!uj81Sb{XrHy+kE5 z&Q0|5k*{SfJ)qx4$XF+aZz*NG>ZlGrtgTP%p*FskQdWuSjzVS()zt7|micH8_`!XT zly!cfjF9olI!gHndu6N}5a!TxMtxp5r6g zVM*N}ZEFn9@_1f_QDa_{!bi|E;oTq()M2cW!?jT|y?aLtAKoq+?*dUy!{IQ8n@ORFGDAsOuPoRu+8?}YPn!MIdFeG+~VpY8EA@88St^ z1Meyo0&0VH_{e-eDm9_d=04p4qVZ-vE3;{{x6EKO;-Sbwd`AcP&)gmgG`oAs$gY9F zP-L!5(*Zu+xO$jHqt0&B@U}5Ssg!Ky>eB{cS|hUtn+Aj7aTlx| zgfVjM%n*%emCP_V@h%u~BW#V}^KHu#lctSbks5ONPl93l!|q1#Y7>8n+1@N$mioSx zmIuSk)ftT-F8%%@lQw>KPHO$4DGWwD+}8kla^KpRCF-9)ORaln@L*(6k<|eH)wAEmY>&G6QOf&K5EKk$ zFC-1%)YifoX1k;8gOpb-Wd$PxK1=oBFX`Mg^Sq;SNNRYf-WrUEFAUU!cQ^5A=2Yaf zL8(6Hxoa@;WF)&D^mo-wGCfosJyN5aX)XwEiICNU!DX*W=63_8F6w8F4F*B&LwgS1@kyc%9M8Q!#$2b`)FgGOxE%B_+5&ROvwxL75B2D-}jjWEBP52}*#dZq(| zAlS=)29DppHq5-Mj(I3`y2N7zA>Q{!o`N?8vsUK1McD%>xmvh22w`|PKLsJ#n3YNE z(EchV#e{1R!n~t=3X&75EliIr-94$3S~V94ecX>e1zkbz7UnB;VY!r)We)~IkK(Z> zU~XHEnHiE%S}Ntax4a8PR@`4d0mtVC2bh_yRmD>4FWt3)$W&?46YxWQAO+6U7fN|6 z*0MmPVMpW>kaNAPj~SBKb4}{IYqB5^x%vAzOi*9C!()E&*ydcB_k=aNg1*Y!oX6}}5xgaG!O9KO8 zg?YLLyz{u!$?TOea-~i?l*~XR?o4M5`1|R{4rZ+8P>z(`rP&gQxUSOHfWIVy4rWQG zI9*EdhK>OEs0gb8DYjqRm=*klG^zgHb6Ws%eBXRENXT_=V_s>_I3wkGwhjcqp_is= z@V%R=mFX|Jo-DN{baw<$H6vNo;A>IqYvyl@w@yiUT60YRw6932K@Ym`HFIjL@}yLc zkCX-=`DII0;M~twTbS#{8xo|3^%Fk_Ku3_d3dGsJG&4Uf_Qp#M*JiQ;V9p0!6{wY`2hj2 zy~kP!jufRmXXbY^BBhke2_pcZUwB>#-cNNInO9N+1yW;_&?5lJ+oz}m>HcgZGn$O$ zOMR=b6)x;I^D9Awt}u@|B})yKk{>0rT*$aO`WU=#u<4j5l5zv3hEZ8R7iI>xJO*iY zOvk+2e3L7+){*U8(|NSb%sBM?fdd@$FU=66Z0J5`xncxO=k2=w-GGMJgh z&3@ExS0`}cyJF!ZkUUqUWxmSx*&!wC)M75Q;WK}Nf4R=5GCwsP@|GF{H3zv6_3Hi; z^rCnw^SuT4l=7}=Xk3JC(f$ciw$^BvwMWxXsj*Una-r}({wFxH&0WJh{XE}IO5WEj za}ep7#R~9`M~0d?6<)eQ>XfYc%7JIO0~H{5vq{B_9eLs`wc6Fa9GJ0MR{`ReI4WjI zYtI^~kx(~q;JGeY1z5HeB{6H8W>!h9g{n#p%>Knu4&HanB{C~oT^$nNJ4v@V$T_XG z9K5KANn~Cb@v=+wXA<){FkSGx932I$(Xyf{yh@w zMf)>0jIoPK!CaYIN==!VE{XNn{GV*Z`=jj+IC8K}!t`j zS$)55$55^}BF%z`)4T5f?aJr^UHpAMJ*Dk0zCoh*1DE$J5ci#`(0JR)ekC$Y*R zpRlMJhsawXJ}Wewn(vl!iLsGa&VoOuPu>J8_2oyIz1faZiSL}PfQ4{%9XG)z$BKaY z-K5VQiFJAG91H2Yka`pJ1|oN{A7rGz)DB%TWFjz=TRdxyZvfRUCzA|-Q zk{DwWJy;aqAu9pjdvSQoD^y0h#1JZ7*$;C~j$&};V4;H%5{~9cd>!Gl`;jeQti_;T zJZopX&*thRysP%U{mA3hO~oKOKFZF>w8v&htP>NB`w=SrqZqWO{ISINuI-#w!t=IN z?MJ$}M~gumB@D$lufC&}`1-Wn-VdcQ<3-?eO7bFOifB+t470lH`;o8O)*|qCeDgdb zmYh;bj76%9{YYk!x(K9Te)Eh9&8AZl{d$>fKeX2fi@?7RU9>U2>tvmjIAP(U{m6}> znL=>F`@;+)+K14qEd?M= zSUth;7;%e~@b*Z1_Q6LVjRjzC6PRGoY+QlFut!k04>CO!1>ip&>TyO0Cgn?v_US+O z!OCNT0uZNrJH|NDlp8EDmYa+BA?!ng7+b;^qCt# zTvtBK2vG?4Nl340);{>Sy88w=7qenz(6rh;65rpc1Vx0ooN)tuF~?gOySs1tNvvz1 zyY53C1xasEp;3*6;lXd%At3{j=JrDRCHr*{G7BsW*QT%D5@Vofa4)QQYq<`F&Ze0e z-(l`v5?{Baj=j*w^Z9k~_t4t`#=^`YcL}e?TCm~XkENd_Hif_#a5!XX0P&Bnx zLS9@-*b7VlQ0IdeLuK8J-sfPAgydVrRLeD@eDM7Ndne=l;X|t=yq>0m)H@SwdEk9M z-pTmXnX-cW1|-q;B0hDdJn*u+s)MnRTC|KisiV-nNCldm2XfrqIvB2sx;fmMKC`q3 z($i&m;6==tHpXtn*IC>cWt!dtANM$NLH~_6t&AgDk4fBU&ob>nI03`C;9qrqt&Gg> zpb6ZVBdgzoJSl6+1vy_Yyk`8y*N)=WH;zYpV8EKhTo8&{S{Uz}ZjazjU#um2sD#7t zT=1R~*uvP2zq8=H56^S=AlTr94!jW;H#0Kl7tAQo(|+!dM_{$nvcIHfrjyoVw|a2;65dGMTZcTv}clb@T}dyw2ai#Z@IZ|!r& zc4^gHoVTppwg*97%sJp&uENOp?e*XroHPqI?x7|^UJmHWZp>qZM7lNO`fHy^iNZ$8w7ThZphU9dBUPl53nI!1n8Y9p>!E8guwi22cM&=uXC&4||AuE)vJ z!?nBMQu^y`uuR{d&DfsWS%Z^ztfjk6jnyb$DLZc#k=4Z zx9oG^Q{ZwcgQlv#kCPuY2Y11`QrS6hWCcrQoQ|6N1$XL>r0qg9dwAzS?z4v)MlH{$ z9Cs=l1G^|O?cpqNBz?Vxnh3F_IM1(X$q%WIXwCv3y_9Ok75sWJZvBy%_CvHoiCJLq z-3t}NBl~F~Zhf)X<44u?L{cr&yj6_aiJ5CS?`>zDAJXSMoe9F+a*`OQHC|V7>+h;Z zen{cH&PA3PqMkx6Z)`x6-+WBHeG{g6fH2R9B$GQsEeAC(Lb z^V17B$<9jkL*D7^8Q`P%qk^&9I-83dWkQJ`Qs8aP0CU~J3P!BWTZilMu^>N)zj&Sj z62zr)#$Aad12^~?S$@z{smuU_jdLWmdjG7&$!2`3ALW4_o&nPQ#UvwB)S$-ofs>A% zNL|fDI{0_l1A^f?wxGg&FE-hB!XiaSIyk*?Ey4J!m6?q5%A^B3;aN9rI(VTuDP!b6 zPdG*O(scVyB>DxO4wf70rHss$nFYb;tl3C`)vY*VD*F(Q8*-a6cEY|w1@-uXAI^wAoPpt#2BvH$ z(sAsl7OZeDV2s|rM^U(wYU21#^`MP1?dS`IOFa>8XNcZag^_X7S_>J(3SSbQ3jRvwjZ~u+6s5TWykng5S_X9 zD8oN*XfIA)C9-$GZC!0=!H3L~0!B#7wmrDv!eq)07;rE3Ea*wE=QBM$$lKT$$&0*Alvy7cQM*d`wJ8pfjxaPT>URaMCN38We$i}>m6wrVD$0d3+kL!#(MW`S9 zP?}@f6!11?WRdq z^>qcaszhl%@T|9$0KEm1HhL{iw_^q$i^Kp@pEb2p_!wE2V(>_h#jSWpwmoj- zKCry2GXea&|Bp%fcO&tiF{kyNHg8COlaT;UrLUc&`z!8z#K>ytfHzY8LYe^HIEV>) ziEZEm_4r7;Hxks~kb&r;x^X(qw9SH9FR7}%k-oZN8JOJy#_0>qQZwfJhwZjEl2O+z z0}HoQWAufV+a`?sRd?MRdekS$K>U`Mqx9|Ofo{x@&d>0Mbq!H6ia+umrJr|f>%yEo zTjRa|C;2}fytJo}&^=P)-(r+$!f|iJr_~e>-lnz<)8E_fyuqx4eOzy7?#_+}vpu_p z>AfxHml(NBczGk`79t+xaxYlvu4?Zl%sMf$$s4AAIUEm87YIdJWsQIQe=^fQ>85KivQgXV1?P5Xq~L7s$N+s|m{E<9 ztCwoMkUlOZ1t&WK2k0Tvv`Wn2l~?8k8>1HEK<37xK6JQAx`EY?3@+8?D2d09) znCK~4^S@*IH%(byNcAOS9QZpc%tSvy9{3gG5l0Cxq~@L?4!ruatec)M$-am2-ncg0Jq#ypJ{pd`Wq$qrVcSox=3oCX+kz^C?LTNM`%#=)XO$ zJ&75TdG+p)`G*|?$LDp~^me{I0pq3V9=TIQsucqX)!kWi|30A%;~iTpc8B?)%^2u9 zyEltIm0g5m#+6i^I}&s)2?Hsf7c=Oe)B_l1yf}H*9Xf`hFp$cDf894FcNe~P{_HjIu5=)4O7rFJMB9$eW)N9g;{Q*SN+ z!YBkM=)Vy3im?Ok7%4W-0%YE#5Q3P@c^UnPafl3p<~RUB*jO-i z48$;cw6@kRvSus>1K9nhH5i1@9*F3fW3J00Yi<%7Ah*S-!Qe>nDiM7_C7BahfWOF0i6t{18~)R=q@v)(zE*cun(FF7RM*$05)^;JifJu0BvFGW;&T?Sc$^(H;Vy zN{B^Tw5Fh1w*Mgyh9*%$$6gEJ9_Y;h^J6U zU7%y_=s_x?CTz6bvZ4nf-+OJ*F3{BY`XD$is-B^}w@&{ma;jToyTGa(%|Q@1<2*x~ z$_TtK^1UzL=7QYf;0HlhJ~2%@P2BuNL@HZdU0{K2=>Yi8w(3b*2yQDA867s;2Bfgc zdI0?M*m;t6o)DIbNHsCA0lAajd;p{$ASP(rvr3Cayz1um4HV(4IskI(s>W&ChZhS) zyspWr4RFvQJOCB~oX2TbWHALIeG`6r1A@Mp_Xi)JCXCTy#~xi3`CiS--+;svn*G7| zoT^dUl_tlJBJ18!?FM*P)ct@l^_#v}$n06%(y&$r>4X`&LJzXjyndX47j6Viw=NGwWM1~7lRqK(PlieJUQ@d(__R3zE zOuf^5Ydxar%I1L6^D%w2OwsHq5ifz4za9oxlN|7d`M^ZW&pNIU`EF2X*F#pRfCEm+ z9NjdJ=?X$bNt(p1hqQ|mY>-}nb<{#%6gn6cu4OVJ@?WCm$6XHcAmGZP6 z35?HTgXMmE2Q6f&E=J_UQg2<4$OHr%oc$KnK|62ThKYPZhr<~baQSR1=j47HjmFE4 z5*b^kXPuGGJ>x9!lD^zZJ0k0k6j^1WerLqPr-KDf9XQrX>uos@Au=?jHaf#JG=l|l zf_`~TYZu%M6B$=^S2{z}1{n){FPv+kg_ssXMS6GJO=sk|^I;Y^E{tfQd1S-}i;SzW zJZIRsW{gSEI%UnY)5_XFk)b&?%^8Vb)5ZjE56m{vXo(&HA}2+s#2GHF(K5lm7-3Dc z6WN&@kyFofkTZPbEM(R4B7?V(TDWOPD+3&VT9`+xRejqfBG-)1tVQPbrZT{%!ciTKhDrTI z`Uir(wQxE>!T<{ifjZju$hsXOa*v{6EixP7pi>!H*RpBnF)tr#r8PcYi>$~-=-}_g z4_UMm>Rd08?*ntmTIiGZnhw5y#>t{p^jX|Rz7H35YhmnV4ILag_hSYv6BD8$8>ER{3#aPrG%Ehwucc+?xw(ia*TTTHNVI8~20j*FOr`y% zN?$MH?HOgPMQW%%2k!z*8d|KXcP-U*Jdd>q$6Z4M%R_rKwDY=yYeb}0YF~rY1>iLB zPj0T7w%u}PmB=X5eqDpa5q2Mn#_dwkLWGVLq1CI+v<7j#JnRELRPR*LdOJ0CAtldP zw+7ZfZ1DmA3eHHPO)0zPh5DVmM{D2>v)Ts?b-hWXosZ zKYVLeX!uc+vxbsuvwMTLy=opW(^`#4SR!sVwx1R-SU(PAuq}rvj&MN zZ}A4{?@$G;;&tb!ker)8v<9B-QG0_|hmz&AT0ZxqP_K=muR)48;NBqiQ9Vfu>A3Yl zsDGnC*T7DJ-3y#XT}avy)yj}iKcBs{8u7R^>;>jBP7<_s$yu|ITKq;Ivx3v@Bp%Bb;qut(@yV1K$Ai4ovl;2&MQl$P0C)+KcE5ml^4 z27a=8QkV7*B(!ylo7#ldi^{^)NM`r2C&=CEz-b=Y8LdL&i|li&5ijr8o*+Ud#%UFi zgUv#tsf}0-`;{6`5MO!^qrIAreknA*92T#J71g*W_}o7yqMaVCdLcCaG=E?<%w6Ny z23A0Xh<0USYopM*C){T>qQytHf%c$MAnd6|&iFbSro@%L%8QUcCK4=u|dex(bO`w{8WeS1uo=`Ok0sUFhU@ z^ygKuzAbet_#2o7v=V;ieIf6XBy$zyvZY(WnXUT-wDXFgUxbE+MA<6%w3xRQ^myj+ zX;a-Z{&IYzfoAzasC+9&*3snDseg}VwaD%-YzsVzGX(^AIicZ9yWKF?LK zx?8&id~i$W(ayJ(6bVUmY~v~u|tX#M#blNpv=os7_Ns7NCd_DwMIrttRG@x=`{Xo6Kzi8iOvi~28 zDOYG@Q!(3|?E)cwWvc24#wZgf@XdtT9^#YJ{ME9nj^+P5P?I5ax}SK^abmGfCY~BQ z)Y|CpAlc&BzJQU2)f+nKgWZmJf?kHRQ_J79XP6>S@ zCTds0`V|s!yLRyR#?qij6!d57Z11k#0#B%7s*yawwW;Tx+Pm-<4Qpy0J9xCN7^hn&R@;B zIJ5A-MmAwWC$)mR5^rKL(e-nRf$eeJ`z18%7WEFJ``!E{KeCkwV`)+Knsi zQxPI@w0tEuxX`)T4aAz1mi@;vy!oretDEMBt^Hv_{jR0~dz}5EP#kT)t_|)GV{YKz z&z#NI%8zd1U#tzBnConA3>K1JomKYbgN;$*Pil}HEV?(m8N4fe(5GHwv4yU#QDbxe zd!{l#XwX{n>89~E_vF%(ACl9I@`GQ7F$TN#wGS+TRD;9 zb@FXk<3WPA8Jw|y>D<3Qsy_5$>YI_-&G_|wLaKa#Y0rJ84j1QJO9hP;Z`wA2!CTil z_CFY_2wlyXjGX;{QJK4h$TcXnHjqJ>|V=PW(V#iwE9FoT3$)tDG--r zFn0wv1nD+{e=Vl9OgaSdp{r*@1z(wxXjJHH7UnFUEG78j?dD3a;Ipma8^PCL&>S^6 z9vpgcbBucW|0xc*2#t0uX8H4M>0xo^0Nbrmc5=WKh;>!ZAI^3#|9^(QJg%uTd%w=~ zYG;PU%2cEsQIs8JF^wU{7?Yb20tARD0wS^#VuX-7Kd-hO7gRQ78>TAlw=STp zvKb*DLfAuq5Mqh}5n~7;ga{$O{QrE;J@0+bd+vMgIp;aYkoGg;#XofJW^g4EesFy_ zY6=xnol(EJy~dcZ)#_6I;ig)}O>4FQ2wdLua6 ztmUe_V%LI@Z7K1xBMUYgM0q!TRCoM2)kvvU*Q)|NXgx;I*IbZ2z=CB#P;v6W^ay^3 zj(F(`y<9iW%QsMd5_`|Krdfs?!SFLLj;h-@90aw->!g3xY^aF*N8uNAecXXHN*Jwv zDnLIlw*f-)L@c7Gx;_ZH9nFw-M6G=!%Dri1-E67F8s+OWuYuNyQnm>e!pd-aI&&`w zSvl1`wVSxAAa2@EvD3v#33|%=m|8&qNXBk}@ePoca%>_u2wL-3Np=Xd(?qAMgq4+3 zLDzMZMzwoOYcW=A08P>#~Z*I zpOD0qhdrS|&%&mdr4MK)x zvS1|;xy6u*{~uf3LcD|(skI+iW-7{$34q<&?#NyPIkNLns)C-$KxD^$jCkM3QXSC= z>2B5@9XhF`_^R@f0;+wmYan-H3w>a8pe+#bT}~YPPsZ{SBHx8mpnVl^eSz{V(ZC3p zqKQ9)Q=p2LvUlQHAhf-1MD)kRN)-|1lfcksNrdy1(>P9OYsTgH&meVcFxBnmOkp6x zOIaKJe**n|;-*@fpLX(|#XRM$bopdJSe;G};xzAIOH1{|KF**?8NP9*~lv|64D1~}z`2sgzK^dn>yjs0H!}Wl~ zbs$)Vz?48pcTGJ!l3j%}`DosIIM!x$~>wxMwRa#VXb(5Zdh>J}gPx zb)CrU?9N+$r?sA;khp^m0qjxEDwrIiVJ$y#b_YV*Dc(@C#_ALuG*O8Kd$X!(dg*RTS*vW06;yyIH}AX|*It)rKoOx)DnQ=@v75ig;P4{V(~5@ryt zfTb7l`X`sWs{@emQFPmyDkGjK&rHfz9liBr?6)^BFdtEsHHiQO3{MT>tf}N zG8r6zL{BrCzu~?h68UFRhCg*t+eav*9>1r}6ywY?7?!dY4n*U<10eU%?iV|FqLW0F z8=>@5>aNWrl+&8(2S@JJv6jJ7o#p%|Z_cg&q`F(#w1!b*h?VE(S)V4i5{4*W>upM# zNtxJXu#x##k?bL3*-CQuewgmc*Sj4caqFlILb3DvOr)@zddGKBZCo%%NF2 zhF=6r8e;d2QS4TKgx<%k`IQ%HBsk3~!cEd1@+iKE)>h3P8&VlaAN$yGKsmJR17&`x zs4k&#)(NPt43Ce;a#dW)>j}=5BUAg+WMH)d;oopzO!y!H-uUWfR;iZ2w?-M}jz;)% zDXEGnLz6BREd#Go9e7X9D_eb#@z}JAf6xa%5%}WXvANeYB%5+j7_{7!^-weoLTwwe zZwzps`9R*LqTf4$5wd<{RFZ38Xq}_p-r;L-9=m(x&obYf>=n|B+?!QIYL~_=#i6D5}6|0<$ZgGPk@lmQDFFDQmzl ztXwDsqfM7Ho|JJ@eV|2cPw9V@nPLL!l*(>yrR)id@)dSm;Ft9!Qwk>S?bDLa)2Kd3 zgoV1~9~mX11Sc$OW%dnaJ%cis?bqVBnyi@uix=vW4uoj@e4x8~XeGVeVIje(PTxMe zxGOk=@?LoFNz=4KFa;u-35gceAqO9%)RTKpLj2rCVCHl0&d%=0Poo^?uHA3)iw&Ow z)^SX8u+-u9Gm=_+5QAo~guDXFxatfr=V#U#kt9vpS! zV|TqbR2Q^XC=sqcA}}8s8x`pjmWha2aD}@;oU~$I-_<$8U!W)@1 z!1;+XaRGt0=xxZIL2f@`4J22l70KMmC|+*=YYRYe*DDNuArZ z+0Sk{K@7(8_M?)wNX1^zsFjwt4;#cHIE|+1WFJSIqbQ9%>~$Zp)-VP_8#)cbR%weD zGCdv6`&Gb8CS20a49dP=Wzi|GaigDn){H}AAXr3O+kZn|kp3*>tAZj7s^s zJGb5^TA&*RFT;bC!jse^UQocXwTsOZhf@Sp?pot?^jH*y;>($MW<`?Kz-Ldy%75WP$=Z5=$#LmDr#s82M2c_6_*I`s zW6uaUHdrcuJgbm-BCTTLg%K3RhkzPmY)IdDR1qk?N$$A~>#>Xxkm>6tOByqddLmR7 zi~YyIT~7kjrduq{k*wn>N443RJ~krFFgRN*kO<$=UwA_8W|FLZipBi|zKPO78di4- zPdQB&#Wj?k>=_28irWdLd3J>-va{UCqVs)S2$wd)7p2qH_i>bBhC`H3SznY1=I}}M zm@3KlghKbnvW_V7_7M2B85Ak0Z3RnNM6rntwp7g!I7_`evcJW^@kBD~dop_mChQ59 z#3DOss^KJ-lG@RZX)r3fhd@q-_0Z#h7=|a56`;!4f%dQ=II(rwsodxa4CU(?8~=th zUepkX;5-s4r9B~@NJJYYV}~IJ5STYkh5kGbY%th?l05$c_Qus zob(Z{*qp%M!!DjWQ+N_h`I_6X$LH=q_aNw`k9HH-3`b8$Rwqrvce`&PY;qH&O&yJ@ zK$+r&4Qn69m8d~L_Kg!<&lCbrgy)J*`wuPmO9HcAeR!&mx?&{z!lC93H5g4hNMG0S zmDdb5js<3ZU}FSkP$=l(czuE z5~Sjs()$K-o=l^yE0IREgJrrSS81&nb%PdD)X{%s=P%>=t{wH_x1V)uWD@o8$2wDL zqYZ@VYB|Dd^cQZB&loj2F?LCb=a)%%;?;}9HF9b~-n18|sK*4m7|b?z5LLJ#ncmq^ ze@IAk_{wXVFmbSbm7e@X)YxdIQ?A;zQpS)*gi(@(VIA5-d|dadLzTS1QIX5!=00je8PcS9KAfgT*i z%dLCL#-?qzXvtd{YY)8guIXApn7wm?c{k;V8**<-9p1q>)Q{(NAf^Qz4a3Gf&W*8l zL*TgVuwT=(op^q!!FFur7EqIY)Kbxn>#&ENz%DayPqTYUf;lA&oHGtCWnc>}#!6z0>VB)^q1lDt}@;+w%I z_lebYd|S8F$DkK?2*2OxWbkXn+F z{{)ZfXGMupGjkTmB+3f4j;tDa0gkmeQVA((&ki6hIqHz+ZhaMgQz745wAw0~Cm%HU zp7at0C%ym|GHYRF5w`dM684Z9G84mifag#38b@FGxy_Tu1=)dK;)kdgU>abEN$+Ib z1BhD4q5QQ+RF3DDi^fM!im%O)kE>ce>%uK3n!swQ2yafv&NzUuRZ{XkmVYVU>7BG@ zbgXbzK`z!A_j*NMPH6%s?Bj4k5iR@xv}S=O)90TT;N|xf7e{}%a7;mdDTD3nWClzV zAQ!A)uIK6k4?tYkc=(QD_f0%Xu|OGJ%r2iHkH_D!^wRL8jUZHe1#L<@cpg9uLA@kN zLjE;8^MTrKw6kViP97f^g}g3Uuo^)~fDKCN$=zj=MpXrQunh>_NukvaMCe21Nw0?#XRDx&oOuFgY23FGRWaLI(=%?pan3)D}vd(Ai{CWJ! zekePc9k@F|orAA@w^lSTzF{dN|AsAk=i#8_G=SrxZr!7Ltz}#KXKD4|Y~2=RpC|jyeq_h_g~Ksg|1)@ImAfNEli#7$W}{ZA^Y1k{n+P&Q!-r%*N<8 z`=N0e-&=$8!Qkam(LLeEapxiO1(koS$L<{Cb8vd6R@`@!VQ@u?TNPf}_{Kmy^MEiz zIB0)mfLxt@k>O%6Q}$B9Ixsn|5tIy`+4b?rrg%|K?j5n#O#mjF-HT0dR zH|r%|U`4xn*amQ(fum`yJ?H&LN?f6xrrOB00qR~nKa-f(_qr~rhdijb=kVM%7k&n! zvrl&Sb-4VdDJJI*KT*sf3rQFicG z6vG~WsZ({RZ+(|%H~FiD?OQw=?K^5f&V@Ms`2a$+E0SxDKA6$HY>hXOB!BK+$tw_$ zSGj?PLt#1e8t{s)|oS7GrM zJijQG)%~HOjZaQREsAS6-Lci+WqldTbwF?JitH24a>-w$@#+uVj!&xqT{)PfYfok-Tp-VG{jS6qi5ABf^fq=) zvx!{tZ%Iv$4jCYP1=!FJBs?m>54s>AE@~G(g{8vrqcd)GMH}m~$zKyR17V~)=@{cO&)k6({Rt}~Bn|aM1zT<*~x?pWfus-i_mDHIvLGYbfwrLk~ zxrd@fsxt7N0x|k-@;NS0S$%>Ho;@PPq0G8R1s9(a*yPg_4Jn7p%6rN{YW7XKnX8uJ z0_`{^w9ZaR72_&{6UqdqR%%(~gUrR)Lz$T|WgxS}KHPOSA=Cva>Q-5Oqc)7<_-6Pl z!BM&$i@e%n8(A}a%1{cHpI1@Ocgg)-kRt?&6=kiYAIA?}2o!`Y@iNGbBGRdvCNHrG zR_jH1)JOMlL5zK-f|r5AeK@{ruZ`e!$y^%wLdS(;HNMVir68-kooJ>N?{tBdgC}+z zS(p&u9)}v>MRcx+uO^WX4tQA|lKO|2fDaR&&?mo?kL-msmWr(k8zbW?OOZnNMs`Ut^BsZ z%^_EK4}9LW+1RU4SMNngvIOJz)S4=s{G)KRJ&Y?zApdA=RJoNsj=u-8s&B6S!-7|| z7xFwN-1v7|$OD`yJ}qls3OkTM4l_amEHx>1rN3R`lXqsm9E2vNkM5Tu5x-LusxFfWjy(P?BRa5f)w^$ z(6{WS`A1wDeJ?UWWUYVZ!i6|gX@X7rr>3M>@_&Vl&Zm(A_%0asZ&m$g50<yO2d z4+@$dJ$-SdyBNH!ADB-x=Q!?#jDb;~$ui1S9N#?lR@*zq6DIj9>U6mqd4*XFK8;7t zE%;Ea_d>Q;dHR1EK3~RN(rUxo-W{=HlEb>U7d*{OHWYzLjba9WU9;|tgbC%V|C@Q1 zi*t(ac5gd%_zHt;EA_tW=B^SKfgg0q@6<)snn8JxT{+`9F{A**omd&90{Zfgx|1>oay)xbZNdvcs1PVk84 zf84v#IMnRSbj!PgmuckRdIG$kPUVOTz-ob~5YNiaaE2(!+NFQ0sgXElTT(*{iL9cM zzt%HcpGE{{6o5s?)}H^^r$jhIVfnPBbIR?ZIHt3X*Yc?#no1_&Z#z6?*%1rC_%lrR zK4lE-j1<>$)c?*HB;rsuys(z<*M$_aFRB(iwb#w(gJg&Pj(@zP`#K|51Cqsa9k~RP zyj-nvs=crARnyU4(0FLpgKAuZF2_MzoG)LIOd~XeM48!W0-uJrdxkZ z?PunL^u=lJA3+prXQ*z2A$!GJKZfID;o*kQcJ?s2nr*9kEb21c0q?xyv;Xr5Hta#h zEjy;&lUf3Cm06;D4e#u(6UlG0{S=Qi-4l1f$t)Fn!Jo3c2RZDhkp6on#~&y649{pd z7Gxlh-^WCVA6JN2cR+GEoAt*sRk8<}bj3>lZzjMS$Iq1!u&%t-V zn|5Q`KSt@pdypp2gsB%vMmOB0$SBaT_*sfK-3CkAAFDU3Zi9CdS5p?oVw(0KV((tb zGyc$i+@>z+RDDkVDLnbNjOM!i7Y_8^2J2InNr_NO^&Z5_M>+WmBi{vgsXeN${uQYm zNB${MQnkM_FPY-#NP-ar`)XX#Ixc1FY#}?aF^VG9|kO-jr6w>|;w-tNR(d46Pg=ZcY9UI95C-b^!|Hx;%??INQCPb4RHfA`d zWI<}(M)*4v`K_WhbiYlVsR(m&_bKYa`pC{bNNW4qC|NxX;ZQ1rZ`~)qP!##4aroqZ zp96$EkXbE)7p`lz?m-UTj~X4Gt2bgVLF{dH(f)l#_^n|vaKG;9JP(|9h$1coQ&$}k zPabb1B7tYX@*gQDYDsB_|J?m9TugCc~<+X zvDN^u#@o5<4->`kK&mz#hxd|=IYPTV(ZlIDcP-ZG>BPm_EO!?J{DsKR{;@t2#WMxP zD$E~o*fvLG1BxB`d&2rBEYpHWsr}Sewg#svLM`|E+skr6#H}nezB8@P5%C=p3_gR! zAF(JSe|PN%E?9$oB^l6u$NubGu-sxW{wr8k>WDPnpKDJSJe$QC@OvCnxfse!k1n!N#CI%UgYz10|&8O`x}`e*bRE&MI4?*7Al zl*k1u+sf3x_|L$Oh$MyBa*nY)fR%U68J>J^5NqJ~GwZGUWG%`ZK+-y@-qc386KX9PWMAjon1+D1Q=B z)2)V&W_vvRBk)l|4!BsffBu65-PRFVyQyiK9JnpO%5zm&PeK}k)bLwwVCf&~C)ga& z7h0=$1EfX=h#o|3`X3{s9m@=$2R#{=HK^c&@!>cA@M%+IgOf(@nR9MTodaT}WHsLA zgtTJ$Hv~3M-XHcf!L%8d_Z=MT$Ofy`t+F>+bJGsU?gDYcvncNd>?XEK_V`ufBPF~T zpPThZ^9nN?g!mtmzL`xKbAWPv^!0xi+SXxFE40SPAHtlK@CAPH`F)=qQQ6?n!LEt_ zCIyN!%D5vJi9QVbVa-UJ7nsEh9XmzJ980FefG&(e*zOHKA> zxclhhp)coP-;{06f9zJUIUsK7UH30nRdff$Jz8E9!R8cV`E!i)M<+(P3ivH?m(3r` zr)V5-bZ5Na@7P|71H_s`)%?Oq&BrnwI}SfOcULz9|0H%d|07MHy#ONol>A@Z5{@`P zwka{yf5C>`z;05_Y=0Dai!}rLVvZPpzjI7<0j!WycqcG84+m(swxi-z6zLjP9*U7v z{aK=t!;3VE_PdC_j0+$%RGWR`^1?0$gvU~p4;y{2nCva~dDZ9fBsu&Nrq6vRilkfs z>tr_P+{c0K4iI%2Q~s|c_siHzs*dccS>KN`_&0UB^mnaR%?4-v46HX?_Gfz}Cn>&c zGR7_!Te)Y3QWe)6CxaW=7YDv;E*fHk=$*WbbNu>6py<%ef|&q(1gU2(&Ap#~xwe5|{Y z^ucRw$R5h<#+7{NF{EHOg>YIPesGsb;8j({@3z%!MX<$k#jZ-sc5< z5*GDwB>&+tQnUmfAE>YRt{54}0?W2H;{PR;*4RU-6}@+Vm{^I&R@$o~A1?Ex6Yv+b z)`H)gLQ_nzM$6a_$s>2|A;V^6u{*pRi*@>l^?I1Q6gdH3=oq>B`z=pm7U&`uFi#xl zy=9LW&l8G&pe!*=x-kt8-m<5}@Nw}3_q(k&Di&B2Su;-9m^zXmwlJyavurU6%cOEd z52hR=#c=A7Eai94%>yPFxs?89KR?YLqPh+gF6m@6tkd#9*@N`YViEjBhBo$hqald} z`kL&+|DMbXw}=&`oVZa-Kf2!5Hp%lo@S{wbMYQoEP% zuRTLM?IEiH_3em}Wem2`nNxrNWmwb@+{kcr{hh%eW&*PPBixAq$u@f=&yI5YbD}N? z>vX<1_x{Tr(*y9)1rLYcsW(*_;C$eI?7uG0>FuDbGa0vb4Sf#4nl2cj_oLO(1Mul6 zU+|r#dmsZOhd)98-DIG4$mqk7yl1=(A1t#^>2QBaEfd0Dv;8;!?rWZw0m7o)P#|07;QYU@^V6Z z#Y)6%FI>zB`BWu&tWF2#sa|Wp6VqPUA=PJCx#B9B9x)*f#1i{$6SI~8R=kkhmZF6_L+Nj$gKfw z&f%fMd$CTrY@dn?_9;EEuOPb5WkW$p2gfHH)bswRJ9bFzm$aM@DDoa`<&zF$dCtai zH%#hHYpY^0v}xePJ%1JM9V6Qg3GrlS|H~NefW4GEATB?%o!t%lax!bH3KXI=@O?<@ z!h9V+!wyQ17GGQvq}yTn%882dMPGve{({JU=)%Zkr-3D1zNm(<*MyeNh*k}XqP3l%RKF% z29=ooVdRAw*2Iq|D+^&*cEATUysWC4&D>N|w0lh2lS#0*Ljo=IS^q~F+l;L|k7+EM zrWEqw-v(}-clq2Il?o>FIwyV(;ab_5esqLq{e`jgCAP8)?qeHhp(2B(w}l+ zTT!9E3v3n30PD>H;e0+tY=cy1hG)FsglRFCu15`)z5}K%_f<~Ofhs#Ah5=SInBD~H zK)Ve@%H^b=;IdViOHxL0=?{}_+3;3e@t&$@+PP@3Vb#_B`!PbJ4U&FkBJJP!A|-~| z&rB`t8xGEfNxWj~D$R0FG$5PW0e+4aR@)%9*Y&CAVjJc#PG@3#OW#WSIq;yg*sMyn zo)`_Xe7pF#D&k!mgnT1B^)L8w1x8*Nv$gck-82rIrzqZdP-sq!1|NKbdA~b|ZrLDP zZ*UkVvh^|yKRIT+Xwby-3Kxrtm!0){)lnebqbqy9lYY?#pL~oE*et%y$|oHb`_bC-Sx` ze-vXH{$*>@Z8Hl#m{i#LpjM@i1gUofDLoED{x--&^aTB4cvC+{et@=EI9qZv1GY^l zsCTaMmqvmm5+>>Qsu(XDL_*L<{F6A{hmnin#=?(MyA1dOA^*{X@Eg2HFu2;8@H0bi zZ-cOW!Xthe*wKyQ?Z2RJY;PH6*+RkUr77}7`_cQ ztnkgGTN+#q-^zbrDyxnJi{70vKSw4Str2+hMA-jjq_$(^PmGR*X9`+V;Qyxat~j^0 z&(T3c*LjRhtQlvICU-Kq_dhUjsVl+EpShT{<$?&>Pic7*X2LL zTx#t$=BF=hPK1wA(gGjY?aht=(f0ntg!P$HYlvZBQHEvh4>6m>+2Z^QH3bRqASK$< z*-9K00Zv6W;D5e6RA7y?^@+$QsB8Bzmx@v<^0V&jNr1mKM(lmioR5kCsRiD+zxnfX zt)Ul%TKIodp9&1h$YkfAEq)pglSXKE&If6VaB#e-4m0nN!L~*y3@SV<&nUw%EvP~H z|fZ61zo4z?%92E<{@9|&xb#R(!f-M~|{PvMbwuYWP(gZ#u z*ym&9d*Ik=l4l;5l664b!O?<=$Lc&xwJ3 z6FsND@of`_ffM7dy0g(lPiw@qEdkqyO0Hq#j)Kse7d2)v@Sxst^w({z*}; z(L{S|s8~AW|Gyc@6%5Kg!{O%odMOi5&Dh=b&Am=Wm?=ovtN!f?f+x3Nc{dBmY)L@i2TdLfeg{;#LMcKC|t~ zH#+4U4Xhm8v+$a0;w6UMS5gj7&Qx$Qn~b`G8)tW)V!+js^@?v=EQK_XBQ2l%Dj;5M zg{~lxMgx8M_KI&^GbXK|v{NeIb1bhc%qE4< z>&EfBDN*oC+|t!=@>x_Gi1RL$e}(0bSV1`}1mAl^P9|oPh;6u@mH%ZFyp=!2{k5o0 z9}4=1t!1wZhFYwkBV|cG!>rb1j68`cy8h?00y0iqItI7~Sh`;C;?5ekk=EGNEg*Oyx%&!-o>{y9gB$6P8`XI{?= zYYB%>&%B`h>i8r+6hzZ*h+db$#a2i(7w>gKT^5U}^q%m){#Hf^hZ{R;3E#L|5kkR< zHnWklj}mWLA#K))p6T7o49un+9=Lw;o{R>cC6)*Mx_ntp1#cX$4tzD&ebEXUebVFc zzxgB47=B#r)U_-&iw36(ioAYh8$?v_XEr2!Po2xKLU@>Y_hIp!NYgIhYOlRxZ=u0& z6?rb-H0Neh!RRor=k+o+%0wYOhIQXgnF_^h!l<&Zg_M+0;YKyb{+o6)CKdbuHUzKR z6GN?_wYd00ppXyaG{8s3%tjsE9g@BXwpE&QOL%XdY zhZ`{tONqQ-%%v*H?W?JGufXt8wWrJ}D3l%oa$C={zb!{uSs~Sy9rpiDksQOwwb>C@ zSIX^S*w<($a+ggbjF;8pE((pL~e4G`8f#_l&L zjSpti$BCgUpK4?T_=}|FCr$?+q)|XvQDoxlE8_xX=_PP9JbaM(;#wu`@(dYV+}h9l%Ey$ah8&~mw&&=n`!ScG zfvs1fcL(9&Zy7bYm4VlK$Y8V$%XptVQVpOeA;;!}anJ?BZ%Y_QPKS$e@FKQ2vr<=@ zLI&^H0{U?s?H)kFd?u`aNps(ex#WVWM$WxR!NJAS+&HI6s+n4Y!G{+u)UR?AxB%g7p;?jjD-M`TTR1_;TkU-; zoYzY~RavuT1_rYs-juV~v{?YEHic8^#0Yzg6A!jQP7VfOP4OvwtdbSf1B2;_r^K_} z9jO2+>>t_jO5JLMseCv;eYvxB3kYgKcJ z03cr8i5oS>#xF4_s3V`3HEd;qNy}svg;Zk@2yMHfyIM!suta>29z&DhkP$6^N{!@A ztv)r9#yf6{9K+u!g23w)qb9yqt+Rv-{d4P^Chi%~mn`Q!cx3V^BZ)*~cUQ>R!XR*B z7gvpaCstb`g*MprpV?p??X)L*EqAi*qk;65nBH1(*p3whrhQkGSPWlci7ZFQu7%I! zuAt=#{77zk^i>0CE1LAQB3wWZ0-fZP1*|wxWC__k==hu<(J!MnMM>}FMjYB}Af2Uq zK5z_Rql3Up+Q+&0^6+*`#Hf(#dl)Pgnu#Q(=Z4w{)<|D7ZHpYWUJHR>loqR~D#SHe zLT%0{eL|XAiDohkez|83o>?RLQr53kq#1?+!BNVz+@*8vnI%F#!&nU`Mt?+aQuS`j z?Tj?)NsVe*uH$ndClI{$qsh7*)TNe4c^OxCmm`@)Gd<}O+|}lCJ*k>IlIhs=kQoTh z?;e#@J((-CM219?6{oat1-jB@zMA_oFi=mj)z!y2=3m1Fg5=ec6WuBDJWHs>Y)z}z zh-GO0DC+_@a#^Y)eHC>tvci+C4gkZ00+CCc^r9ui3k=tOhoMcQojlPb?#WiJj`U*+ z_mtzku><*fA*-y#Y;i9M8sNn2_-P~L{zq<voI?}?E!LbN}aRP)$dWNf1aByI1O!ZEyd^re0| zojvH;ry{+b%M{sP+@m}K{tR8uxC#yEEuhIM#ndGuXhT=7$9u3ltCLiuuTw(1%c?Jn zj)2n*nQ2#}<}?;a-7V-+o<6JOXmcr14cnykV2H{j!NmYPc3%DauaEir#8iAdx7N!m_AX{bBGyLXExJ zCU3ET8vG68L&C`?=u3r0->eA#iUrbfWAiopLaqET7`N(Yy4YYFERgA7`uHtf#$$A) zWyjX6)DnzI+C*utec_GX!{Ee`V8+!4Ni`L)CtOoWGZHRVu$|0qu@W8%6cd%hAkWoHgsu2HqU$J#izb?75@F51hYK zPQ)frSr$n7nSqfZ-fAh@MD{4nO#Zxgj`Y$f^(xELcKCtNTx-G>w_b(?WX(_x%h82J z=t~vYy3B~weg$bz+Uio~HJaoH`ZI6fy7!_ZETFVV{BQ!*vk-krA;`%*qh~2d-zJvX z+dpfd`GN7!&Di)5Ziod`6Ou5*(G2IK`R~vnnS*uq3esyuj-|b>3gri~Jaf@5wfaB{ zM1<`g{2L?vCK^@7-<=s*AebS&Bt}AI;K70~_+Zc)HwSb4ETF{zMY|Ji`wcY8(zujz zqBv=WWSekAxBK%$kuO*tPBP4zuX&hY2hiHIi3=|}wdXi1rp;tMiYM>TQf!W|X}M<;3F>x(XYJoKhh@vF(Hx&|4^Hm0Cu_t?pKA8^9IQ~3~@ zTQx@{-Lz&oXPS$a@0GVFf0CY&kq(M7p6sr^I^qM~hAn4qDzC zUX(njGnbLZwebBC@&MNde2jiNHyePPHb=JRk2LwE_^?gyZ6rE*%(FpCvc(=OENOF0 z@Bu68y^1SU(s6U-R;IcUC7oiSogDGr$=?^8k&;$18&^wqa4A0EeQLQp4$B%bN7jkN zM!EQQCc09|HzbYPT1ZJhY9-tfcbUN(bV;ma5ABI<=1BU1q=s!wzZ5h-OFx?QroBNz zY8+`wDcN;u-W!}EUzY^$U1&5%Mz-|U%UO#_XntEtY0~?0FVegmhe%Nh@Io^Sr^w?Kz^Zv4nDS+N=nqQqWcBeG8r?3R&fj=bm#uW90qM538j8Km>ymph0_Kk05;ltkKe zc!7_%gF3ooOolnMQoydh#N*M>PF+Jb=ifdPib%d4j4w;tq)A?2ytbY<+eL{mhfF0_ z6?(jXC|cf$mc`Fb=7>md4M$dXu00C(0-eMAxewoAspbgXSyR3(ULS(K#ADURhk3h- zNO=jiA9rp?FkT>e>ON<)modm3DV!sgPY@c2Xy%538^2sD9w8kUEKBcdV%44?ddY^p zw?%i@92tI;REFzdokBAMXw>-i(5oY)twVzYJFAIOPe5M2kul5Cc$u4$8oi}VfhPei z_wTlgPqyMg_jrPnOHkUCIQ2nuD9ClbF>=5aK+_B=WESlg6vTEbvujJ^rnIpR+`FHsv-pA0FI#CgE zsUx}p(pQYN{GB5?dJiytTN)F53}rAwvMgoA@Wg5$`qI^uLvgRd9}SSkd&jQqyj`L2 z0HIMK%&tKEsu>~@tQA!zHV2rlpwiXYqdTGoNQ?6|IXmqKhCIMqkM5|=nKX?V;>Qjz z`n@;TA1#l>4aR}F$9TJZe$Tim9Xr_-mI(B$RrjYdQynE=*r9zrXTB-~6 zA)$BF45>rZ`P&QPj`WI%9Mx1!3Ny|QfMg_=6&-ABX>m@BppSkTM z*Gt_&F#RgN%bZ$o29@!8ZXcQp+>ds$!uZB*|6B zMmVA`sYa?9vquEoq|>a5*`i6^!XXfm9jr%=&2!9lFghf5YuMZEPJ_hd^J=166E`hHi#D^vTUX>g$2TZCQWyQsHbg+WFyB^XNrUOGT;I>XM14&!YxJ#?+8tmt!*;UGva`f@JTo*HNdt#-$A zI3pxWv=dK4i9Wisy@OP2OucDS&09DKa>ZFQ52yovW=I=NaKVq|Xo0SbHSUT&J(kr$ zx}fz#Y&2ar*jJVHPU$YBTpA%qkHQsyBHLWo|B=u-zA7_1D^*5SEVTdV?3 zh!GGiAY&M0OaYMs0x|>)A-|mjtWx`X|9C%dKVI%SYpuQa8op~~=j04Yg!7TLhVWi% zYA+N~XNZN$6GVv!uTR152)pJUW$FGa4m!Z-j>v6_W`ITGxLrgCS1l~NOX!z)BD_-G zPQP*_i<0)Xkb@pa?gS8e(t`})#0!ockbg4)%ho)W!ua2+63DK&+R29!V@>9uTUcpc zxotV_hVYwTD_Wr|WK}Giso0TF9Ci+4hnx=ArSv_(bI_&kQiCTd8_RwKj|rRc)@Iz&~({ghq>_Mz;N zm=g?`kH|VU82c|J-eIB#ohb^rX|tigg(e1PU|W=mRnlWki{)P>RSDXBF- z2Q?``mmpR^S8e+w!rK&fk$hmoa4NcQv2{p|Z!o6=_oXx@u}4G?6xg23ZVG3#;thhx z*T#^a@C#GXp`1F>Bp+2rg7ZTNMim+vq( zC{6)tsuz%A=g2(>kJ^&I?$|IzE`9++%seD38i@+P=F3(GrVpkd9@>)NM`~mPL0h#o2XXy+1a)f z;A!$rH#*zRTY;T8-wd8~=E+5lTn*~;dfji&Kx4vH%(4T_UA5tbcRH@@r>-6f>_^^{ z9?h|yZHWHQsij^odoc|3Sy<<0HG-8;3x1t)!Rd;vn~eh7z0BF8dC;>FDL&eo=JmCx zIv(xxE7VpiB2H+*zs*68S7zOJD?rL>VeZX?UM~=2)0n5%J5P2zT7o3t)d>E*TCjgW zlHHYFvKa_(R1Ml3>)n9J<|3M2-##S9qiFXoNR67*pauWlIxaf8Ox>vfnbz}cpEvl_ zBeEUjw{AW@^6}`iP|^JPoFXQe%`8B8lpL<3z`kT4vf(88)grW4k@wu55^7^njnTGo z)xx$&E%-%t<6`i5(gpyeG(Q{8WWZAdsj13xOL&(Vi=ve8`_50)u z*0LKH3pPI{PgT{fh&18qvzw<4CMTBVp*xAwmbb>zDiOrWZhrsXyvAts@bqH&`2yjj zCj6=`f5JYPD3E7+)w){V>pu4gp?Q-l_Rnf$N26JDk8Yk&i4Js>MV(LTCuzbC?;N`^H#u$cY}T0+vy{QNcMzVoQ=aQSpKXz7 z9w{~Wym7jtCfu%3(Sf-(+9c0jYUi4A=9YdzkV#*v>u=MO;ppL7TkrEt8y7c#Z@b&M z_Pw4J@=yhMU8Zse;TNPhJKW5*sjw^@y*{DpqH5DVx&aQhE^4lJy9?iewAn68?N0M*5G#o;Y9ada(Q=OAsl_}7qjua(15i89`c^AtsdeQ z%CieL4jajiBws`HsS|N7sCr`7tUy9Mm|x4pCt;0B@|j{U=$TzSZ+h+-IPS9%30E^}6P*>4^YhQK!^pT{A@pLJ2E!44j_`MSYw+U!9$;;ID_Pm$#=FTot9sv&;6+Xd5l679quvNr{eL zs%4?5Qk{CwS<2JV_3(kdB1SO2Dn%YjJm#kDK4Mac=zG;zJJx%qhoUI*vf=FAj_&oa z#{)Rtpwux@o}FG1u5~2Hy8z)~TVcoga)(fKOJqUi+1a^<^>AD^J39DGW1KuRJz1;S z6`7om$maKVJ9yaQL(#m3n9{Q<+MM<9g8zIt=Bh7M9s=RrfH+>tMT&)Ezd8iG>!738 z2aT_tRdJ1955F=B4a3Y0`^iJMy^#&gk&ki^p6OzW!))hIbo3y2G>q~ro4y|2GuuHw zn#S;vXTzD4^(jGbvk{&>(cJ;12C1N8OuOCX*QuRoklqAu}or1Qf?5c;moBd-!~uBfPYKEldBoS=JITv&~UX|c9o|Pni|uK z*l9@*LSs5|-OeuDQ!YT4_!J_3;&~W{v<-%T&qbuXuKl`z3zK}sJwpL za}C(#{;XSdXpj-eY5AZkXGG^DBCFQ?*8Z`LkcKujYnh+bEKSvbpOERUN6U#i^6a8M zk;;)qawdYbcipoOX4cZs0a4#pWo3tG4LI>F*9l`kwm}}UfG8?q#Iwf`TJ&I+eN%rn z4SgMSOXqB7KTQLEeaOMl0BR-3vkf<=D5p3*U?WF{#>n+nkR5KHVKObfLjiUfIyh33z&8Gh%NVrYsNbjGPu}2n~-S zvTnjTTdyS{6;Z$0S=6e%( zl{=FJ_{n*!;42p=0mQz0BWyV^>|#2?(Q&td8oMYF0>`|;%TK?3|tl*Z54D&#}# zoZ1@UK1Mqep*48=*)Al9Qc;;mYc8He>L9>ADHAhQ#F<$L>KNuN1-ijZgyZG6(>89_ zjEY`%7Cps(Z(2tH_b*VUf6N`5hS-NhLc#SUk2HjLhqh>wcwU|gK4qF7;`4M^1lXVS zVj^hMNFT&LtK}!qi$0r#@Fqf=Z1#D#Q_$m)=YGbg!0`mQt=wj;YGSY(VlSB_y?Zv( z1a|qN$XuIXtE&_Ma?hXe*7#5Y+(YC*uQb_IoE%(Bv?+ z9Q>@h9|1nZ&>fcB44xawHVmFzC>eEUAV6_gM@OaZ1URC~B z3&ehz=)5rHdp-f7QCLgX&t}yr=v`4R6Tf6{M}X(oWBP*}XDT7~yOW{(KwoY&!s)4M zwf0FF@k3)MzR`F}C|@1!j7jJo+%9|wL1zoA=9NYWK+W{|_tuF<5B<>Nu^Z@TZsm-r z!``Js9bdUQ-G`v`U;5{!5WgtoNJK-d^*6$key9es+xtw{i+*)DhVR7QExa54{_zV}ftL!&HZ#lw7I% zO0BgJf|@59-(E-3LlIg>cY#&k6xSE^aqHiR&xhmH!F?ZU&j(3kmmp|?KQ?`x-WGyz z{QDEETGFriqFEC~1iUvhR2?2yI#%;zBk>}{&V!t$2gsTs$dQLUODivw>5Ilx#VDSc zdE=)J_nFRf?2ZNHK1?s79Qy`-)FPY7uDlV&nmv0C91=FQrc643Wu{GXt!=}pG>W4Fv3$9Eiq3% z#3!Rl;K@9vjM)M;I62oLWl-dl4zbHNE%sW>_)`&1-)NHgp_dJ0^lnvL%lX*Ia- zb{Qk1Fg6up7i}Z;UY{rnKsXo1Y|Ot7_=${encVr})YmC6-K_@Ox93Fvc+4>og7~Hl-Dsmf1)=3k^qBcixsuW3 z@Y|)QvNkuX!45t0k#=p2c!*6lAANb8an~O~UQOIK>+3ThqX(Js*AxTBYt-O9Q;A`N z-Xk#(+tiBqa@`p0k8so`51GAHS|Xu)YK;pN4a-u2ZPTylKdSo$Kor zZXffM^S85wAnmQs+t$tHyCIy8QBBj|NqwGZQ&=4F6rVf?)N<$DGJdJGg4jZX;nus9 z<^6~(cJ!^u-qJEpbZW-PTv3=X2E!pKA+BH9yX}V9G1^G$T~Z8C(;m5JGH-sy6E#el z-m1vY;lc1B-*zWCT$ee-_Ew{`$n>_jBE`7jER*!UP*3#wbcv2)drb!n_n134%Bj&! zA*czP(wsMK>Wb(O^T;NhckMmVKza;(>Y}g#29MV(v$G@3?1Z2SQEO8&_o55J$?w-O zsV>v@MA2qlc|{MK8W?`jw-NdAMU^4Mwwa%-yzIE_h|o-X=XTh4&bxy>c_06~{>)Su z4ld6Y?q!p8Ac!>XT&d?257d6?dbq{OEMvCdtE!&n-BHhr1NY&`?`dzzJ z!B6J_-B0L^a~O8wHda|+mxnci+zh+8EiTE$4P7T{4*u>Cu2~iSCgDZL?;Wfe3}mg| zUHaIm(h51!5frm6J#RCxtv1X13qeP%D(tUr!`+)1KaF7*F3;VGak8>PipMGD+X8## z-B1f>TbJ)*erBn{)nzxEzf1`0$FMKGa=(+@anBqn9wIMq?IgW&MGw{rt-pA9DoGU% zAZa&#lSAvpK&CGuZx76vn1PWpzy(tFNBO}RruiBlXbt(`E_I12TGs+ zn%7@uhR7as&ff}7RJwv)^r4O48Ha|d!mpT1wZEVC?ZU9N^M`Mh_?np^#XE@cTOG35 zuIOW~1>rkeI#m^ZNrtaWU+)U`omBMKe=G)|#)hbx#M;738#Z`SV?AZmRGfW{#|H9#LB{?DY;QMM|{0 zCJ0h6*|GVt=_XgSGdzCwbMU5-Vb9=eGE2_ja zdi8l%nMeix!*)~3mr!gahHZ$QE{Fj&1-76(#^!3uh%>scw!h=^bHjWUcwb94$c z3JjD#?p$zv%ytLD+uCKdd7pW`GkUnLpy7m}_OuH8$^(jjVp{hA1Kq6;&EM0|x*e!B zt8NZ9x#^68E7mGMFQtr%XG@6Qd$s!>24dW(x?~|FZ%6dip1<7WLptq@YE-Q+J)wJz zrvgve4uyZ|HCc{Gh;DCp2VLzA?%Ya&l z;NrgLaoZ5tqNLpc|jp*~r?8AdPhOP3;sdXS8Wd>F5blvl`&3 zMj`b}H*N`reOuU&TjE3jvpG`LuVR6s#0@PbyY+n0}=^DvNyP1pJBwR0O0 zp4UXb0lGfX3Eff?XZAVcj-Lv=lxF7oO?grd2HK@QcdoN5Ya=4-GFWEN^48x8eDO5g z`nhTZNd@ldzT&j^&F}>byM4m#+`&{WFx%EHroni>trI$s)T{k@?p8My*k_lT;|~Gk z^BA^fP54=#vAYIHF~7mfU_fcJ6Z(4ed;AIIc}ErS{q(5a57@f17)T?(HmhWmWPl)d zsx%EENI2jE*#|liSC6Q`5mS>QWLCt&updSZvXYZ#bP=9+%3IyGiaAI0FiVY}dGDG) z8UA`VRd_@#B^?88Ad1p2x1QBSI3tnwblZG;9Z|i(mhnvfnK@04Nax`=FOP?m1g`Wi>n2g&No)MQU8!&6<>d=54?6~mqyXE5~^D|L|KHZocF_oW+- z=oUZgwoEhDs4@&*m@>obn@qt#evg{d4kIx-ARw+fx>Kszj_Bp+cQu*j#|D+*g#@kX z;y1C$7%1z^MC!gSB^_|01DVt5v|&1;&sgQ%5cx!%n4a5ejBi`#c0GhI}t3Txyn0zcy zYw$_SyqCmLhF`z?rMGzV3>Cx9N$^a%-aAb|ko=TK+J`FUh~UeeT2$t)np9Nq110wkC&)a1tB%l~dKzobs+WO9ovj=d-220o zVV|yuW_@sm9K)Wzh9oS+UsOjp0gmsqUbhz!QN8H}D}^+#P-QqkrKf>59PW#OG!rTD zfs?2@B0I9!q?LUC6cN4KT)I88-~|oDZMS2ceuc9Sh7H@N#2GFws3AwF6S-PL%}GSm zCpyj`vy4Om$%-wj<-C~i#z2*d+}N1rTWSb+l0|^l_!6CnDmm$@E0hhBmEpA9jWzl@ ztt9ZV+c6oV2VU}p&^ET3YQ6CDBBBGm-3poc>7L4P%fkyC{c9;+7%1f@=a@Z{uo@y8 zU9&`R>9ZlCP0@Km@Hj6wkoqYRlNTA}3DhD(sZH^fFrt4msg-c>!ZsrMTIe+i*)%$V zfWXnIv?wro7G3`cFHo zF^~>9)^AHB1*kzYTy@lvYln6=&in#t*Ul)x_2oI?w8=&*(2;Z+4*1k*;=$QtaC1ak z2YB;QmQ%?UcIq#aN^l<+3OnLmXNh5Zy&CadNP3AwWb3){>a)3b?9j_B|6F$SPh(2( zzL^9%jbFPP?2&HT`6|s_#34s=Yj>+prT%1xYRqVyVlNktD8Vm8{WRJ3+FcmvP6E{@ zPvnb3iv3fR)t{ZeV28>Cansp5uMR4~F`91FBX6EtV4xiHRB~3=1{}h{hj*$iKRRlM z1~#5eU|W~kx1UZZ}vI-R8?_ zPc**}LXClwkBgKB>5{-xOpa)0Je%iBUv&<3cO7 zda^eNNL86DdxHU237(Hr@T6TGGXaabhIuV;jTI4E!lbI&ypbdDbU4nI{g&LU1n*5v zbmNRH8e`bj_!>`(R6Z7=z3G1mFX>tV+w62rpjoa_>dg1{V z;RQ6`g8SUJ*?}t)x;L?XUOiWWJuZ4VAqLc)7^pl);J$7;3ya7;ANx53= zd8=9__&`x5QJ=st!ayeeWcSM)Z=hxnZwsd@!FK5N22UK6mR|)Ba%a08&#}P}Y^Aip zZz4P`uxLUM`08B%0Z(}%QN{v>qXZ}3D-azn8_~r;xB41vEt+Q(5Y9u-UOXDWN6+EgH2kbbFuxKyG&j|I}jwLGL2TBN^qhDZsYoq{Tf%x(?{% z!9<(Oe&-bsWc%D9{L2IV2rA=d(wp%vDG8{xy_yT8*J@+fmK$l-$N7E=h^+sxJN~yE zE`o0HoqCaBf@LVdE$yV4z{)W#48$EywHlzAg4vi{4ZP2MErMFm@~h>%uf!_B)t%Lo zd&r(Z&H8GGQj~x;25n0KC^aA3~Xg;VxS8lGrMJmyW|nh zRYn=^g<&azDuu&0S#+op{Kw0*K|RIi>p@Ph z)>vF8Q{<5&H;9_JK&>1E{Oo1H&RDXdE5SZ5NBZ}?5NKf7=^;GxCcoW4tzd3iF);2F zP@~T#%00jbfdcIE?%mT6tAT;cHwn!SP7;6%$A<4J?&&#-paayBh>Vy?suKL9qozww zevE)&HxHA|4!h4o;H(svrI?nIhM;=B{s9@n4hm4?nsf}L(Se%Hm3UJnJ1&Iq9BRB3 zJ9j4_;KyzauZ(jIeoFBE)}q$K+XmG!5V#7_M5F2sgvjbJv=y6lBM?-B*hb7)uJu)d zLz-~SGFm}EZCZECIIsBvPKo?SPgto_7jb>q8VV( zGS3Itz65^+TvubcEu*5J1af+B{Q2XnVL;8e5WHlz*Bi{nv^$4=+vWve+0ECHQxbXt zSeBA&2OOqh40|VqY4p0o0s=pK&G=x4d|VOq_4C_s#%^7p7Io`smCVLephnQ@GTaxW z2_c+Wo-Q^)$pP4wM3v9*-|wyj|DJEk8L)DMG0@xgnO|lXRlzqYhwdGZCR8zyR~hF_ z0S|Bl8^an;a{=dewi|d9KOhc3{5L-{0ind`ebE~WbV`S+PV0mM;(%B9c7`7ii7MTH z?sXH7+OeqUPXr?GqW*2;iz*oQBCgi;^dulIrAN9t3Xkvu?fF^u0_y8scB;P-Fa zW=#1VrcjQx3Yg0nUbc>N>~?5Vy=74>lrzu(&pH?H7R(2HdH{t-Ue_2(M$Q zBR3}j@p6t_yM&;0tYQ1(=)IvNrNINZdrqc`Y)xqe)F?C;LN`1-+RUl5R8D@&yKkQ5x*hwhttl0NVX<@dD96H zi#G&EdL03ANNbRz3kdeR%;&r$A|O)v(VSsBAX*9`7t_TAvt1a-M}SC39F{2p1e9J{ z7w9i0LgaNf*b88Q+x^lhn_nsLNe#CNW;&sW7VQ*}ArS^{}sXlLb zT6&+G>>f0IGQs#ImWNxK)gT02HB z6T-9#Gt9Pm2H@gM+}w9fwc1=89LQ!_oy>?yYarlW9l{IDw=5o@^alzlXi`Q=ZB3hE zee20-vnC>g*gaq#s}Y)!vbpMlVod(fjOpV#Gyjgjn$SG5TvnndS@GG00K_zTv^S?! zWjnAiLRcdQ5D{#PjaNj!A#$l`DGn0(gHKtss zIeei@TTM==HEoNXV!W6zyj-^wUG*3nREm{*P!X?#b zrc<6`<$bdAw5oFpp|YcIVp_F#VPmDzw&u5&IGQGvEVKBTAmJ_>+a{sY?4?m72U{bv z)YZyW6v#;RtH+MdnzUFhzz zIabLqi(Y7@^-LxAjcB26G5rNnUG!uKbHFWODU03RhWV9k7wQ%-HKGNTMv1+Pszgd*rM2$lV%;R8s51VH zXfeYv^`}aur*7{wIcZla;~r7pop(QU)pjW<`km@@{54y{dFH#ZR^JqxIm6fGfks#H5zOwStHIYEGMp#*? z!50bS5T$AfA>oKc5*@L!c$9RwcpmYDl9|Ozh}V)VDp_4@hS(m7VG;|)riyKr7%%oh z;*QuaiGyNKB`%A7mjpo)4M|u)d?bOAL`)nyNesooltfh=UU8fy0hdHxoC0w!BuNox zN0KHE-8F* z2N1UcNly?r2T7L@w+nIKkTei+Cy}%iNpBH18F9C<7PlR_;6oRjsK>z}lh(j9n}^K# zK`#k^P)ehzdSDb&_NQ(tAF^Zy^%44}w3*aA(1Mt}p^^1V?6XI^rU-uoY^$Lv0UKDn z(xlWSsQDE2En)8+`#NeKXhbYtQA5uX)Lt|=L)gRL+)T{_y@-XJY|s%xyX;$M2`(x( zTd7+>J7OVEYrTcg%ca;kLZ9yD4k`*d5=)=tmM&y(=y#hZgm4SGs3>SkEd2|9r9vpH zZET(pa1q-}y$<>ki#9pjErjOEYWM_i)#!ez1xO0($kL3`GTX+=ZGm7P5W=H&g50ra z1r3_ZP%k58fpDM*H%LW6gJS8I3rv@xCJ}Fe;G%hFka`>-fki77*eXpOb0%j~59 z{vzRp_3{YyFz8n-{iD<8m)Xko7fb(SP}4H|(kVv)Az|8d zg8B@!FP7}EWBW4H(?Jms7M4y;P%S_QV;!lXcQ1oG#o`5oknejZs8gVcv1kE;{$&VO zs}T@_3k)Wy7NELW#eCxIGFxrFRX}hN1OO&z0<7ZM8vZi0bd4t<#C(5YlKL8SGZs>v z@@^Tb+&Up39Eh)&r0xL?jio==zqAaMCC&*5KGfbxss`w3EZOZT%ggMK>wo6_bihI|M6s+UH+eF<$nX)8dLpUsKao+~nMuoLlkz5Y>Jq zAV|B@+m$H2Diy7U?rK->N<4l1%T|Nj*x$Jlc{DwEB^?NX+PadXCl>M((!`0c=v&EV z+r^@l6c?w}m96C6quFFk3I^Ge%%T;9O!Zf+z@|8VGIs?_do^rFr2ymg46;^GH=p)! z6^bV*Nh|pD@Z3Hk1uch930Oh$w)}dqAwzWam5O_2i|0wpkY+kPzfzm?0gqM+mY4m!X{Czy-XnEOOPl81dvT@S zJ?4g1ngCB28@JLKg3}_n(sscyXx_cjL#jK+R~n0K0!u|G?KaC@^$pS%q;KzhXQeL% zq?Xr8n^o}}uE$CT+n-*@S!rvE{mm=AZqI!oL)rj~`$&S7uGp>>6(nty$W_OSvtoQI z!Rz8~D1G*L*5G!fxBITwr1KQ8si($GrTD$c^fCy)v<2x+|AKlgx=k;70e8mKEmD~5 znqGJ|$$(~dVk}@=w#QZ{>NF*_oM4kMm}_Kr$6k{mAKmm1QCEEdb8cjThxEA>x45_& zy>q<9{feqZ^WEtSdv7*j_?`Xl=L>EBI~O6m+mdR#e_O12&6G;$%bH)jPfZdm8@@Nc zeg2ie<^eJ8n&}4nQAS4UPPJXTD&q4Wj8&vrCMR~c7>BF(SwGC~T^OS+TX8PuyA>*A z>}Hd9VI?m=Pww&_sTr{1Tj^xPy$C&zeRan^Y3JkTz3Fv_mnT^!axG7ZJ=otoL>_|VUWaQB$C&XMJWef(s_gLB)R%pO12D(%`6%2bJz zJFs!?q2gmzBH4VPV`jK_&qh``Gb1O|O{6%`R70^?utS%4ha-`@h_gw^OcVI1CJ9>C)L+_1@v{&KxagRwvqUAuNclyL(2gmQr zGYU!Fv$&xRvtyP`PA%~CTF~h8Za|5C6N)l~|jDV@ya8NVXG> zXBgEo%kgPCZDTfv!_tNYkA*_tS-JjPdK-S|kPXE)&@HA=DAN|bfuE84qN@+zR@75v zoA-Qahv+aX+*&Bt+R!nN-&AC=?A`@oQPmSR_-H@UQPP=4?y4z8?}j95)eDJUfDHJc0JQ};D_{^_&za#~1(rw?I`=dpA?LXUn6k9^byrX{JiaIU%1Ly z{9xMl+jE2e7C*tUsV$QDoA^;@X|YG?qvB@*eQj)Ab6ouJjCpR+;5G4G=56aABDLb% zF&COnQJ;$MTl)Hz0du4H0{=d+p&Jrk3EOT}I+r27WGt=Vk^7J0Yb!j?-iUl6{tu`q~^e2+ywkgb4lE2pqj4c<$ z#zeMR)#{U+wAB^zPSLHk5*X>vWA+T2C30wKj#?siK~3#6!CP>}k9s)VyCzk?a5!$9 zt+m-Tmyp;VJVQAcDcqDK+xr}Dht%y68^Xfuc%y&koXStJw4WQ`YA7)@c3wR=F*@Gw zaPw}{$l@pg<7ddcJgh|B<$?j_Kw!96f2eG4gJl5}kK_BPcOKf|>MJuNxEgfir`Y6^ zP^v=Edi7VaPwjjT3l~mO^=CyoY0!b?q)xQ~2F{M$G|_j;uUOH}BLbRQ=3G>BIJ?8i zdx7l#Gx^A?i5Y(=pz*oC+Wtf@GD&9a-5bv%)x+xhq4`%GMru#*bI`Po%<xwdtk7Di69U+7h)%8^TCxz z;){I(NxQa#%SpIQ@blm-_=!^T3)0j`Dmd3JKJzO5e6D}A8Jw;bGi6~v@S~GNQ}SE7 zWOy+&wt$h&DY-yWy3iTd1Mo|blEl&S!@C@$+1%$J#q*M+m>2BGAW8Fnu+OHEBmn~rUOX2pMaWA-gBouMY-9sQ z3=^bS+AEsmkR<@4>4aJxm7;FnhRj+YDHLz=TP{fP+0^^6)mH*qj=fXM6)BScD5H)X zkiZ)W;hU99G5_kN3nDFqBW{h$_0sBSDsZ7mONJKeYSu5Uqu+hE*9J(6i&jd#KPRoY zr%Bb*R7n{kpd;;l-o)ZfbBZ= zOFP4SZ%rLT(k_sq$?_0s583-D*IC+Fjx5zSrAxa_Vpc;#vZMte{Agj0v@ca#Z1j{i zD;}xlz%SAc_Ip}zSE{6~9dV?#)k=Hae5DJGDQSR+R;%}rv@1T{T{N05X_c}Mr+b$a z4ja_|m;RoRXZ73Vyl`>0K4e-Yl%tiL>?1(jK5yIf?JcGLC%xY-nK--*6K&porRCzwl&?+yDY%*Ta0Br>|7~Z>4)y&| z_XXpZ?nlo=-?(i}H(Gq!r|aBUpJd$m;+>79^C`M%QoA?htEz3b=G@+>LxQHfMn=X00{i@N; z%{E&Y!Jpyb^D7INBLtq)_(t1U(f(yif!l0lkEl&_Thu736p5Aq;U)wedy%DJatP>5 zEqP8imVr@^7iV~liC~`D*{>RRY_v69a_JvqOjdxkTsl2{-G~+EX6Gw|_}j~YJ@sY( zY{qF>J{Ups|3iNf3T%FwC77GI3cPq5|5a5a7x?AlZRvb-5_pt&X-@`q*jeAjm>&wNxJ&W6yh6_%_J&C~$9l*JeaEZ3K zSG#ZrOmHnaICMR(MHT0>tT?owm@uRGb)Vv^PQ|CKiV=?#eeNlKeMfOmk>c`2#XZ@I zUuP-$q$)-wD*hI$IPS0L<)c{bsrb%DF?qM*lBwcTBSjx=#VHNN7FaP^N%7zkHednk zKa2fl3LDjft?tAQv|$~Zv2hjH*Y~i&W!OJ{#U>YF_vd5B^RO-1*eB<(hgjG{Y1rx% zEO<5eR6N!z7W+5=JMV{0@Wn29V_$e-4|-s~MzQnO*l|nj_%7_MIo81p`;7_q=}zpd zAvQu6`$ij^tc7*a#E!4WwrOCi3E0Q#*r#gPHW)juibYkiX)4&a%Gfw%?2;1pg%UPG z3G1bVwO7LKk^BWT-~c|50v(_U^uZ`F7R&(V0keTQ!OUQOunJfUtO(Wxs{02xJQ63uF!CPMpCaaW+9t zL1saIL6$+TLB>JeLG}R##7NK)qXJ+9AOzq9paozCAP3+Fpa@_IAPV3LpbKCOAPwLR zpblUUAQ0dXpb=maAQRvdpcG&gAQs>jpch~mAQ|8ppc-HsAROQvpdDZyAYbO+cebr7 zu~sT^)hMCpl|*hSVH%d4vM4FoQ*v`($u^l^t=IkPs`M*G`Z1R@(0-M)z#;bzEY#)pF0^dByWL0pP zePG{vf!|%obXD+}?eFtmKfP?P^)CI_VRWOxdoBUqja~!f*706`99Dgr} z)lk^AD$vV}%X=?~w@}!Wg+kk(^b}tR!@2|!aT?g$@eEpT; z>!9m)umjI|B^i2b51IG#cv`Rx-!H)SQhF~S`LK;v1%YgDJ*fbH-~P+IyBofeKjA+V zcSFM<;o_JPEvu8g{n<(6U%B_cw3I&)Fn$iZ-XQH>_^-iP3($_=E+C)fJ^XLYV>>f< zZ+CH8vEkXBjVqP~4d$)bd-_HAsuwnfF{|X0J=nrk1tUGVEi1!*#MbM5y)v1FoJrQI z`gW|^D!Fspd(v$GdvbU5d(y@*a21rN4V5yySKZZ++Zpp-u+uPuEEVXv8vN@-!>{zL zXT-9)JY;Wfm!Ycs3Ch8`8|w{{|JT(xH0yOuSwkW6B)8ilL;eIcW^C&QgTv1oZqts( zU$@h4N7Pp>Yolq0=&xo*u|06rk-!YI%d0v)1B(A%O{B$fxqq&z<6aG{s$b67{diS< zd;s_Bs=C!PxoxZJ`1wF--Y@Sr_*d9z!*8;l)!cjXKfwACtl0X?mh~D2$tQ5Pg0fx{ z?^kC5>qlW{VEuJJm-PmR|M&DQ+H2Jlfi_>A)G&yXy;<^2R?|k4s-ws^gl3$v{O17< zcHq1 zDGgY9Qfx|He!?lG%2e@813&xGUF&Ea(Om0TE8Se{csJWz>wgBXm}{LJt1;I)Ju_^s zl_h{Iw9d(Gve;SkW8uHBzlQF5^_7S zv#g(j69W}e4=R`+%!~tn4`;??WyS<5ByLeiT!6r|NryA3>oQ|CKugL@$vd+3%@pp< z0B-IvZro7rn`gyZL&aM4lD|DPt>5&us*h;@Mw4&PvfK5{Zdab<@7*ek3w8j%mKUma zfJK-7W!WZYSyF|q4a*vc%3B1Z3%n)sUU#ad235vgW^l zro^=X`wkX8q9q}Jj!i_)14Wqaj!>>3kh|Um?(8<&80|x9{Z&{LIeJ4ZnXqClD zyIu8FR(CnOo>c)Kt?KvPiCw1vtcnEz^vPV`{S-GIh@TjcpVGq*8{&uc@bf4xN(nb; zhy#Z+1M;H-xFHm`U}(pCsjvO2SZhjFVmZyBt*gocB&(!qN2lHHdb{1n?REpNo9t|4 zQuJhGhH)}7aXS0-?2cpCe;&7UpWatTPM$s6Y=2p4OR$yE&b_Cz^nYB?NuCy9WMl@> zE)E-a8~sOwp`Hu45~K}wY=*OsSgfn^@1Xm7lPIKEESEvap_A0EDQ7=1fNCSVuZHD& zZ(+!y9ZlsRd?GETV>!xBEdvg z)nh&yiC{=dVFyFIHg;vIvdHhR(tRD=+e}=~8MwoyuICNF$+?z& zDt5bfkmBia@jDm{({E#TATcrav;^7?m*^l`#114batBHa3Xk7`c)0GcbM^e7KHEy9@|7C}uk^#?H$|If|^+3)DTH!RA3`*!~@ z>16)#3Bh4e3EK~XI5PlYypx*1h>8yjjiLwZf#WbRn?nRG)I%(^_&^o}7XKzJ!GoR% z@=uqjBqW6e+eOnuJY1uL!$QL7aWP(i6C6QD#Kwpto&Y8>GNUHMAB>JmSe5P-OJnT7 zLXx0~6ZBVi#LJ6EzPIh8DPs0YERg_6Y7~e-Xu_ZI`7`4thP%w(hwV@HPD2xmPq2*) zcba^HZECdh6WpDqpPJcd=cgt%`_#0?AJ1xRvL_gNZEf0fRw#904sB>3Bbw}YXTtUt_gsY`9T0eXyV%OpoReV zK%stQwM6zl6hOoCll}t%h=;fq92~q>(I%!tBBE)Mut|vbFg`zS1HWFN+6 zjp##!tPy<(lQp6bLbXQnsd$;0{GZ|_o$q6;gqWE~f&oS+1V=MKBmQexq%(gyEK=F0 z!y=V^GAt`oet?_z9;d)1RQ>=h^0a97g0 zhP#r{HQWz^|EF!AG}uVeP2LZeR>)txkh1^cg_QjlFQn|XUaZU^&BB@m*Loq>-``C*W)sMYc9sf}-K(TyS zWAA5OLG#K-$r|=wypU?I^k`;kv< z-d6TK;@uoIL9(6O9`xs$#zyaH5LeJ(Jm^7TF_KShcgbhf2ggRHLDBKl5L!?|bX+`; zQpH;}GCeHxV8Z*uH5y|0e4rsdT%!Sk{ec2FS|bMm{y+|nK9qy~%ZE0s9Iny$&<3Ef z)&{`(zy|5jni)Q@0mwhL;X?x?^ao=lYpqzncHIva6_X#1`g1w)T5(`2Cf>sBbvH6y zrI8Qly&w~R^8cjwzGsRzfndugo91U8YkZK*ZA?C|FJ9Y*&loJ zp8c^y@7W*u^qwoJCg~>FDJqzrEU77KNLVsGn7ZP337q@;k&=e?Hv|9w9F=_3tR43^-v5o;f8+0`9sRV2pLXq&K7G=ePkJFv^xt?Q z7X6JkV$t7tBo=+zE6IG4kDs-nluY-@#EB)J^iVALq?eMpKB{7|d*Y9!4=PRK*IJ&~ zp|w2V$yydj&RQ18%vu&OZ_Se6>&6-m_}aOKBQ@37=&z=J%#)h>F;8OZ$1I7dAG0K; ze#{b^`Y}gr>crt zWl#Tx5vu1D6%xJ5NfMv&pvH$CqJvW{31LChxTIikvWp(Yh!iua-!Q@=03#s|%o-8) z4V4i^OQeNGh&MqpS0nJ?46}@k;lB)@Jze+2Q1h#Ga*-$Xu%$--GVBD57%?LXFzx}y zYrrTGGok^b0x%W;V@k|O1`Hlx9Ipj)=m16vU_X8HWI46fmv>Mv0h_3K$cB zaThSA#Edk+m0A!DZIwhIDhIqRRo zcU9#NyJmatmz9-~xorf!IW8OMdGCVf9vPX(5iUn8gJe3r{`u$7^4-oBjb0G+(u#Q3xA*?))Y&#~CPU_UDlTh*iXE0TqD2X^%i%Y2|+U0kf!^!)?os9JZ+|d09eSd`;Is>7X&$^-Y z5!%rW?SasX88TE3?cnxmXm8Y(iK(I8_9r#8@A-!|vjN7S-6qc97`izZHJOvYfehOC zMso6xVfYS})z-;Jw?JrHH?(F|ghsldOYEGitF{~Z8bWufP&shLXN8Ejg!70RxTQU- z)hx(5-$958LM$IGbCw%$Il9?nkLC>4qnnLR9i73lyG6Ut?=I)$w;+R+RcCYZn-Kb_ zKXfBPU-E~xL}+t2^csa$aYM@x+VS0?yw=U)MBFnQc;uJ0679}4eu&qa6zOEVa&QE% z^(aCc`$M-O^f7;EYizVT+|WXV?)|G9T8_|5o87g(Cr%uny^2SBSppKS_Xe+Z3qrqn zd?K&)3PLxJ8NmtfLFgoZ=slv{klWqRYY6>qu^a06aM@LHE6w9Qp_43G?a6=0b`r8L?XemPfb;S+6h|tdd(2k>PO+JuCNBeV+X_AyFw|1Ne9C_xWZcM<6wA4 zS6ByO=VRfWNT4ZnOO3R7-`uKq#Vw@2xZ3R3sYmm8BwAbhpYOW8_*pJw2RiuaNLV-S z;2JvkD5;)v8xQ4u7|H{aW5#g@ZLIwd{PJ$`D(>JQIw;%~<{yc}5OUx02uC5@^K_WI zwX$la&Aa;6ZP$7GFUt^DSH3-Vlbd5IR`K>zdzbMl%F)66s$pF@#}(+{+{G{5_8qZt zUV0>l?JcnPZ2k||?u?R8&dp`}80?jA)o}~zis*H7^Vq%yd*k}?uAKw>*%_1APO6Oo zzOdc3$0FCI4^3t}3-;|Locm5WQ?t0oyBJKew*-cpmFX zSog{CMQm@O&S%eZ?a3%Cd;DCskHNl(FXQCssp!1#_)@m7!Tz?e-6XaP5Z!6~8*JCC zgJCKBqifGV^gZJ@u$=_^rSZMo&WjNJao#&@XTkm`wfRHb`7GEI^R}^F2>WXC+TXdjSG7d_MWV3Y;S@6ddGa%-T-@9)_<^l z4EDLy#jgD});+ENzu3M8d-bffuDuD-BYXYIcFlU&kvs2q?RQ~6*5fy}lVHD;a@MuC zqVvu@erG!i_Tsi7GdT0@7#4i-OL&NWB}aDR7WWX`fi3py-Tng@_PME_x%N)j7n}GG zVAvm~{OH=dVOMYBKY(Gso>0R*h~9_&Nn`&340~_OT-Po~Y8z`b=G1C7L~3uAy7n1F zzZmuq7nTJ3T-_IW>RBF+CyL{4<8x@ddk4>r%jSrypEsGtp@j&2J$wy^mLha*th?J? zL>hN3txwZxNv*{9l0t+C+36E0p+blVA)*G0VqEDWj)fj5*(**R`Qg6XqMYw99|1Wo ziBROQaxP0}zj74Wt(<>KXRC4)*`SqsUU_T#(KpW$b}Q#=>1yijXE-U9{>6}&MB}tB}W{MnE z&Kl|LSB@gP6?suQ8&tCwBw4E*Mb;>1wRB39qsSsfR!QgPL7C{Yl3bTWC~{dj%cOJm z;17qF*V%on)BD#tmDlWgCaLS$tghz^yIxq)_0pEEmydP*;ab-#HM{+o)a`m!x1S5U z-B{7>mo43H9_x1NTDSPP?v0bXHyzx)c~SQkCEf4d+P&5B?g`hsC&u+?o7^LLaF2FH zJ#aBx$E`g&AMeridXJpAUb)G=#t!a1h^>+OKEL5liKnB(N`vvJyg;GaHA_ z2M)y_N~AMjoXP_0>ytVY>swQ+t|(Ut3`?kLvw_K0ZFRgC3NVRW5R>)uX41D z>N)D8ArF4_ISP1XZ^rlM#L_B=qYg+M`43ik$G>D$bY8&t z7j{@$#WVh!!g53$4b=ML%!^hz_dIN3K~_@8P0pRxDn{tF(k6JtvkC6SDwovuY=R+L z(3@cM-i(jVh$Y?%273E?!|iKS>Pm#JL0>u8dQne|{=QcBPoGbCuJ)u1>^rlv-e|w1 z%BXa#p7ynZ)R|8$lq=3rLQ(2s2uHC+OO-G?btQzdS|N@PTQug)Z6h{(J^}|pet2r= ztG@E)=!iy<41#YXaYroZ7 z;=RjysAgQ^5C{P!=0k8x+_`P!?$1Y}#35Bvx4rCh?)2nRHjPVMy)R?+S#io!V%*&5 z*)>LcN*oNqQ=(I~RF(MBzKkbh)8r{WJ#uMtJSDEG<0-LDxZgPKjw9FZrxCA_#21E#@#f->_una9{q*pr@*HiXVpnHiK5s$;w3FswfXgrE$ z?O5C*8L`P95z$zPD|O_0%aTLe+=0C&=Ej$OO7J>dEUF!`0QkF{gGFLS`q6Ogsv(Wq z)o6xS=Qzw3l%nq?G{o5ZvSNOsKwyd|FxnAjirbN5 zh?(M)V%pF~iX-DA&}CqX=o0A!rbw$n!7VYocqFQkz-ll$38sd0+L)Rx#0__cxFC0k zv3iFjn6{*~IahigTk`3cwkn{>E3YNC3u>?>ADt%>~PGYlf2#~$ZE;$oK>uJm%HvkOAMSOO*$4a4mcJkxfNkjdQ*)l zj^idcD58qrRL3!17GMj!rME&x`tk^x12*bSUKqOX5e(m3ZfrA(wKll1O~Xan2G?y) z?q0uJ4rin5-YM6+(T&}W`NZ$GAUFMO*S&!CzU{`|CD;3o>*BE7?Rp|PRC-(C_wOg?s{9x_3l*brO6{qr0sOw1akL!Ty(w5 zb?+g!c$XVnihBKSBDv|iUAG+T#fZ=Y+o+9P?;h7hR%v^b>rP~zG2jWWEv5s#i>P{O zjz_IruRF8T+H{qwKbp_$;~liAG6VNXDjw5+nW-JbPHbuuFk?+KV%y^=Gc~N^=tD+3 zB&hdJoePe5JbRR7d)wi-gtkc^-APexUu~Gw_Jg!0|7_DFDJo@O!{oLf3~ciK{Y1_* zOd;~`W11v|eDHl-d|2-P>5mgOLH>*zQ~Qd*2jbkH+T+H!%d~(79@nH^>%YF-xKR!K zw2*(*a^vC}E!-bNXL_@&`v0>ZPc5~lis40R;s5`~9D(>37S!8O+-2RudaLZtOF~+d zE$p)-q@QDVE?d}fov&Z%B3KW?s84A~%M%M#gML?J`{llF zr6K*$4=CEp1|8Qe?0?ky-{|Q1sMVk#$Eux^9$tT#6WX9)TzdGL;YY3UwTsGDgF+`7 z4qCi;_$vH_qS-KqmldT38*-{&%E2K}dmMU`9lC+4pZdd8KNmw96m&$lnQAS?!Cjq$ z;TA8>ys(JUpd2WTOeBC3)X%{_ISXct$=mW!VWXOz3U7O>Ub7u9Ej@AK^2t#rPanK= z;?StWC-xmV->XfpWoP>xIhz@MZjfx;w@J&w*XlLv^IoHcmY6mEU`~WMeOueQA*ByL z9`(3&<)uaoi^Y7%E%5Z*wr*7XJjlM7KcTgC0CFGkBjmVJl0y?A7h6XlPY~B3*N=Y` za`-@MZGQoIiTD|E(^8VF6i{pXG~{*S2IN-p^C3qrr`EpnkPnJqASaiSTx}Dz_Wc0) z0$vKVt=l>NG04@AQtK$^Hz@l=IY@exa|`5vxIzI@&hH?H@S45R!o5*W%dx&TKZ{KiQ{AZt@{1^=nCeb_kXzy?#l$%c zK)PGy6cgt(1nFg6p_n-54v>D9cnvXeP9jLA6$4_`a@v3lvf@F~YdLK}hFXar#kHIy zkP%iI#nf_=K}K5xDWkoX)OQAg9I;|RtOm~gAfH+BAn6U9K_FjPi6F%doGg%&RvN`La0Y{%wgys6LuUxc z87qfk8ahKk&RYc()6jVUM%ZZ-)66LX8Ep@wnC8w*kX$>5VwyX%K*rex6w};!7-W*| zP)u`YHpo+3-rD&C$QO1ZNO5at1;|M| zjbd6mB_OBmffSSAtOPk@=TJ<7^GA^Lb^*mCIM0JzvK@*^a8`l*U@xbbdz{twdc2@( zB!q~pI->u9Rge5bK_Yz!c}}13hgYG8qe~ZdSkt4+qJ^E8`{?f)>dFqIPc`o=Zh+4u zA81tn!YlO;j@dgs`n#iH_%t{^V~iaBh7$wy8ae!M=d}wvt-*(}eO*cycE$&@mw!Mn zL#M|JVFTMn$26vs1@y>|5@H%i4PQw?e{k}zpfkKE75Cwn;)dau9)$1VcT+4eAsXXf zA39CZ0Z9MUQ4aKApO&dTKiDUv8!cK`FD+AdjI86oEU7cHo~U(3*3+Taz%L`~bUvDN zM%MGS&dB=1&}-tCk@csv&dB;pT4!W^6LhMHk@fer&dB;x``5 z&^jaQ;n#5LbJQ-QldImL_dtIhv9r9>5Qy5)jA{V-J#PsGqOHR>x`_^_24vajI1x#IwR|Aw9d%-7U*@+ z4SGqTXJq|B=(L|RvOYuW zjI2MQbw<|LK&SU_7+HT^>x`_w4IM8H1S9J^wa&=;0j)E#{+ZSpSw9Uu68$r>ei1r7 z-!Za&L$_yS-G>vb)Si*`8qg_!M%Eibr{_UN))RDlM%Fv(_Kd9egHF%yjI0lXPR|RB ztWVPI8CjpL+cUEM6m+VKk@eNkX@6s6eS>b#$oekbo{{w<&?$dL)=xpF{25vQLAPgQ z{ibfu$a*wR(Ng}5tT%v8`7^SfsM|BL-c7e>WPK2H+K!B@=W3mi^%>A`h8kwWPJ>Ds*92Jhqcbg`ZHQ*Wc@YhR2L)bJGIWp`row9$od87 zR2L)bziFM3^%|ILsXZg>cS5JS7+LS4bw<{+w9d%-MCeo(BkPXV8Cic`>x`_w4V_*$ zGO~V9>x`_QfljX{8Cm~L>x`_|#Uw!O8Cg$)POrNdSs$QvM%EwFIwR{(K&Si}S${?A zjI8g1PWdph{)N^VS-+}vM%JUMsr(sPZ=rQY)_ZE5k@Xztw4X4tK2z(AtUm>v_8&&p zOSR6(`gW}|vVIsk?LUmHf2Vau)_>7DBkQqvQlowtS#JTI>SAQQi`E%g9|WE1Vq|@S z))`r!uXRS&OQ2IejI6(yZu7Ik=EsSVhWXLwiXV?g)yBu8gM7|9$fvP`d}ce?N4sgT%oPOtsCdN>lvn)F zIi(u-S$LUhpd?cblw_)bl1epDQ>g}OD%CbA?#}4HlZ5ec=|H2>&-Yh)M*x&k$x2XB zB@PO!#G(2sv8c>SEUGq$74+^4K`RVeUGU0+R~5XXN~)=(l1i%3cm4=kjP`=oq`jb} zai2lAoi>9Ps?Ff_a<{=ZBKI47J8G8(!829R&MNQfQemEyYK6&Cs@QPBxwt0$4V~8_&K}*3$?F++X9`^1{pw~)I+j@AO10wgja5?v z&)SI^39!U{;HFhZ4odO(Mh@`(wPQr(3OyyVQ)1$vN zo@gspp+ut!B^p)eaH9&PnpG&(tU~voW;-*l3RS4qW);{>6|2x;ntb)S>tI(=Z zg;tF!xCf&O?!wp>TCPw94xkF#0(%{aMiojls?gy^6-qU$P^wvl?!l}=cVSkcWUfL! zX&5)8R9OdeRO-PTeMAJabP>TUT}d#D50GFU?_a^Z;DxCjFj!&A309ci#npxhT$Qqd z7N)GAh3O9Ub_&{~-dMrBfWqjOo9gKC)Z`aE<@iPKCi1J^BK)d1f4>^AP5g4e=J3mv zB&=t3^~6lA)edRYp+ut&C7N|8)vQCQW*xc*?KNGxXRUme z)fZ>TLmG*`HB<4fQ)qK6;ElH00AI9f6W$adT_YpWJc1S@BfDa;iVVR*RZoxTA<_*6 ziSl|&ES#gS=27r2OSG5D2Gwg?k&#$2qGPJbT#2;DiejtF+{AXAipL2EJVNk(xjNHM zs2+HWSctpvYuUYgslbp-QbI&%XFynQB6wKc@LmG0P_S_d9Cd}uurXM)?EStez$z3L zFG6Pk!XCvRdRXZyDnd42PKtfe-#ks=gs2efrQX2u8;&yeNn^S)R9^Cv7_hGg>+YjeL`^z{S&t zvLYYBAA0y2*s}VN5LxB3GGL`AK$pKx8XtKKUH)EaG-xbW5m5fu(%I~Fbouy55sLh< zi6=&vUme@PvcGEPadi3kC;*)A@A5dh{2tP=+Ik#a{)5tK*xfe~3$pyWB6KR2Bj@6e zEWf55wpcG)^bQ@47Bv#9p;~sjCpJ-y>ATV>@EAGD_*fc$_84W#I4h0cl_7@+*QUtf zz9pUKd&mUU;Q5qO7YBo7zthv>gej-3bPn|LI8n;EPdcaid7Qe+87G~84f4&v0uKaT z_z<}ef9TLLbWk2jO^gw(sjXlZ; z7znygg=HrVmMaMuh(^+>I>h7Xf#@Wi(xDzl55y4ZY#;7%^gz&sYL>k(TTUW!AauwQ zX&mwxI^q>+9P=3M)tK&-#y1|LKpDrRamiy`QO0Fy{H_dHWVzlC=o$#iZj$4l+sgHR z5HFo+9;Yl^_0~~3)yDW^%Bm}8uypcr{YAzpV~RAUcnrNMRgrUYraVx4UE z>iQ7RAr&v*4%gO}jcVFdsb1VHJ6A=tdRG#a>I_9x5i_?)N2NM3I|?s{@aD8-cNUiLY!FLGueJ0> zv!`p3Rv&3CWJ^t;Au4RF^g^wGE^XyG(t9e7?hxDGqT?jmBrs+QA zuCk(GiAOT|0Y& zq_>AWdamO*JkJV2N23*TS7-q+avuKB!}oYp^%$QMMVEpIdNt`=5GAh*5!=ziw=gP1 zI>(4Cii+?zTO1W7Vre%GEyJJ4!}voFUt|4(Dk7F%sCrh64+i3)l1T9^ck(Q!dzO29 zmNPudS)S$La=9m7NLwM3L;C_Fhu{xAeAB3~F`mNmbYah;g>S64uzYV};_TpJw3Vi(z*9X z3tw+9rf{fAcJV=~@29v;3W0-YxsN6uK7} z`3e5e!ip)nwR!B1|bRiZZpOte7a{Omh^}4?EsscJ#d>3>D^7yzI6(f%Vd3;=o(yuL4 zSFOGMrg%vgFS*xCGQ4DnmprIQQ~Zl-g-o>u@L!BosjQk8jt5Z zo^K7tBbJT}S*yw+#hXyNmt=U!a4*UC zlIdRZsFyrxi~rttzlr~eGeyQ%)nOe$zN^l-xI?(#Dj~nYOz;<*iR|q3L4(qVXJ_>t zac}nE;REl@&KAa-hHcu3;NMOmd%-(2MP@`&TT^M5Y$`Y}Xi7LKXi7LEXi9Wi)70o( zpsCS`K(B^tHH7@KDfJhpcLVaqH6#J812d+#)B2Xf9T0JnH|V!dw5!a)K2u2ycArWd+LJ1= zXs4>gqW!B9OYUlwc=Eug#FIN<5D&*m5H)zI!ON_q#7fGmq_oP)s;s2S%Hc~rf>x!? z;KgY(c#Yg`@U5xcN-EZFC53a(G|vUu5ZpCQd6nC8G(59}=kgTqLFdYW)152RmJHSl z6&6gVl7i`SV+J$i)(mEt1*mtRj7eI)^A?!8YG>rFL?dY>8X4jABh3u~S>6>>ov zso~%XxR`~GHjjXf>R!Tv@0IhD>0W2LdRp;cbHP`%2=yhQg1;eDsPDg2FHYo(D(~0J zid^|sv-ipGm!(8hhyHIPRg;vt8a1z(YVfvt(l#6GDa@25l?xjMJN_UG4yyQb2)7V5=^y1zB?Z589 zO<(^jOY_IMV}T>bu>6P9|H9(K)!(B(j0Yi@$0HHUS~3Hnuk!rl@0s{w=UmjlMxF9!^pWvccS%T&57^S^t}DZLj?5{{wkl!Qg6 za(m#irw$@CZ`zEa{MoIiB#W?W;TaL4id{7^QrYsAJW&ZnJK;>RI)z##U3S zIbHE1(g$S-i_R^^SagFApJ;@?mi23NHT=c(6J7uJo%WrYH+kmRd?EVh zPn?`RD`gZ4qTe3(rDygY)qUdBQ3(m7CaOlG3X8_#uuPahHOXh-X#rm-OegF~o-(Fr z0vh2AzG^g;zA?UN#>~8;!h}5ijsynA^O)jU4iQ&>Cwd3o6jKj*>Xr#mae7xtJrsYD zsrEM;{8NLM8T^F7FB`lTZA|%4>$}BNudkuuCmOuF!G{=pg2DO9B(9g<7FQ3o{?`ot zZw5bX@B;?_!rLd@$yWAPc--T zc(%bGHu$p!|FgmO8~j^?-!gc8TmkCMx1+&_8T?^`|Iy&v41U<)`X;wnrP@D#HT;@N zcKwzH?`iM{4L-}@bUzLCPzx^`e2c*k8vGlBUo&_WTr1-3{|y$lzZa{F1?cGkBEo{5XOu9FYxs0u3iVL_dj|j1;O7la_nq*@$LZ@?czvx5P8Xbb+mAB%41+H* z_{#?0YVeN@PWOrMuJ2z4=WAFvUtIkW&_3PZ4;Xxk!512QwZU;cK|ue1HTY?R|7>u) zVh@OKZt%_qA7t%|H$Cy4epcIn9vqd+b7=OyA0mW z;P@6A{ZR1_8~h1_uQvFf4Zh9bnFdcc_%wr$F*x1BR6SIF|1kJ1gV)mcBIWUDW$>N` zA7$_&gD*Ass|Md;@FNC4XYiW_uW3BrwKRASgY#(k@NpUM!-u=4(Mu)!AM$!QVdHf$ zh1zIF_bst6sQb{^czs9rP_@xGoe;y?hY-)p0|@c_HIxwZ_QQmj59bqNo>@$YSC?U4PB|_9kpZT$|a{6D=#z&Rf6XND~g9vfcl_EkUHIJ~45V)JSuyIqq zHH5f1Ry+2Q`{4jcrzjBvO&AKx_700rx0|nO1lNd4P$2CrS3&(-z`KM@s{}BD#BLC zm#{Uyz=JSBh-V4!!M(o-6NPw(u#FH03EK+sEnyPw5lEPf`^{im*eOEXL)cD;zJxdd zkVn`a{S$T&VkKcm-1CUAlMsI;#2KD)!Y)GmLf94e6U4T(af6ox!Zd70!gQRkAnY#0 zT*4l>_Yh%Ee5(ZEy|@P|VJ{($6ZRJ32SV%w5!ff}zQ~iXpAd}+`y*e%`*1xiVFvOh z%*1{|h@B>naG($cg!c>aDB&R71Bft7i026h3$d1Ph!C3zv6H<|_<#@}6Ar`nARI2l zIl>XxE`%d-Z(zbv*gn`-?FVuHQo_;LPK4RGM-yQVwin?TAuRIQHy0>YR~1SBQ?p zAHg^i&cnUx2p>iMgg7YQBz#PW1B4Fl9Ys*iMAcVts_mg`n4Z_H#m1 zi3I)u`w`&^-1CyKM2OaeD=|)le-t8<@OdFd5@P)m2(kW|gij$a!l!XO5U#?F@d;Ps z+h_=1z`djiUqpU{Yp~r2U&1}&2w%p$LHH+(FJUPzB8&pQg8MHKVtq{svA#BhSYKDd zr!k)pzN+q{WWR=cm=Rx#ya-eO%@l4g3r4 zjYe38d4_PW5S<9oe;-2hKZFqd=MnD1JV5vX<^jU}mN7%#%VW4s8F z=Pg3y85sjap7h1_lxH)-PjT;b!lOcTBSfBq2+M`YCj3^2$%JQwm_zs-p0^0k3bBIl z9OiAp^VshRX9{eHvH7_($7hep&7F}yOC;cQf00PY!)fe%yyujF^WF(#ii&1T%$-@3 zU&xJd>RKes$e*lZCr%wVO(aa6R+OL6J99|u!lE&G4{_7U6Q|~jgvpau(*?&N$r@11~tipJyu=S(5|uRbt+37@C&x|y+JsfSy78AD0u<>hM`l9K6<=Mkcp z^MM>gQW}2ScZj7$`M^-g2et9Gn~gTuMcfB_U5s}9I>w5f+=<8QfaNM~v=C)eV#S4U z%(c)$@k{wd;5Pxk)OR9&=@HUDRutAy%k-D?s->5?-NO&YiuV3yZo9s^9b509o%g|Y zG=zw8E?|$^4P^r4cEdf(WQUH96)oBHc#E)XQv>}-%#IbyaXeDfi}Kz_?%u diff --git a/STM32/MDK-ARM/WOLF-Lite/complexmathfunctions.d b/STM32/MDK-ARM/WOLF-Lite/complexmathfunctions.d deleted file mode 100644 index 4ae2d56..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/complexmathfunctions.d +++ /dev/null @@ -1,30 +0,0 @@ -wolf-lite/complexmathfunctions.o: \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\ComplexMathFunctions.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_conj_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \ - ..\Drivers\CMSIS\Include\cmsis_armclang.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_conj_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_conj_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_dot_prod_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_dot_prod_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_dot_prod_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_mag_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_mag_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_mag_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_mag_squared_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_mag_squared_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_mag_squared_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_mult_cmplx_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_mult_cmplx_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_mult_cmplx_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_mult_real_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_mult_real_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_mult_real_q31.c diff --git a/STM32/MDK-ARM/WOLF-Lite/complexmathfunctions.o b/STM32/MDK-ARM/WOLF-Lite/complexmathfunctions.o deleted file mode 100644 index 7466b5a39ced50a265455d3898bdb01c03d6f5cf..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 160496 zcmeFaeSA|@_Ah+Wq)FPQNuUKvU(*7$BG9xzDNtzA6ewD-yyy=FZBhyrrj$?$6ntsY z6jG$j1Vyb5GdD%_R~^PFidq#lX$p3*;{;@!$}pG$GL=CMhz{d0a=&YzCON4%&;302 z^W5j3%SR6<=Y03tYp=ET+H0@9&!%Bc>0FUW#0CC{xGXO8i{l2&5%el@3Ik+uv0-mH zuE^jzJ2f5vysuDb$1b?<+zxLbdD&E#Q!qum_7O) z$$Y6gclr~eCdIf&`RG*1t_n-SoQR?)Vv4%ex$*h(gu9}1E1FBp-RWbBhud4!`X?eP zd*p}WHHNv(n%|3ZE1D9Q78Kd8bI;s8#=0v@ieX)+38Kg-0P7 znJzC$mS;(HPmFzPqr@H0rEuJ$1de-E!f{Fd3DT#t)1J?SYI)04Zc_!&Epo_x}pa@t7D^qEq*jiAtK zujS+$9d>gS>J``5+w07-ZD4~t)1PvN7*08EO1;(i$mHzl7mrGgHzou1qy(%Q{N z;@MJ#QuaKfy*8ho=(vTgOUtyQ*%OJud+jq`CD5In6(IpaC-z#hyt|K4VHf?Mn8w#e#tWQ3|)sVF$Ms2n1p$p)p-} z?X^%%YnTcwsTbN(ArN8%_vy(#QR+!|%11*OojXkYP?q!srdJqAtK1*!y{+!_c zg5dt5;C?`Ge@So;Zi95bV)qwJ$$ie)WBSyq;G{nV_dO;hk+meBwWh*~&RPjT2B1m4 z(3Wypq#E**ngcx3GA}5`BUCEbVxSQC+J?#Iin=rogP=6k z*11UX!5b1CdvcL+j#9j)c!ufTsvLZ1W$Fvy2cSoh=8~KGY;RlgtxUZgJm42yKUb84I)0@OIdkxb$$@IOpnMZ5| zhYk51hNM<;!D0Q(Ch;t%m?N^^lqs(oRYbv|{F_f%eXEC@UirC?*Z}3rUgt}S@rh}TG;W{*&c=*b%T<27N z>m{LGQ!8PKpKDb?xi!r^Vo2&VEQ9##__BxI7Tch$KB*QBD z9+jqYl37i*f_;YRUPDrgxZspnOL{?(>(IMS#_3i48r9#*l-Hn|GSbnv8c0UvN<^u{ zwpnNN)1mziccQ~n;1VYtq;@6uiINVAW}FdEKVX}MS7_rY!^~zd>6z((THT3#w&^Zg za<8~x@ZOW`Kq)s*VHO1I6-~z|MF4$LlVN(VIO(u8zm-vj>Olmox%nM8}@=GR{$owB})2qkA;ikSwg&wy~tNPl= z?8>G58Xksgg+D>x6nD6@et@fL;Ko``8xtbPgPqgBgIVKUuev{ag4|ddSICX6NJi{* z0YjKIwJ$yGv@Z2*Tk08W@^Nc2#utp3Cvo2vdoexrf;Bu&2!=YfS+Xq@nXo`b5TS8I z77^M7_Puu>@&n;(fK){)YCmR5ISt|WlPI8T z3Yc}tm)tZaqEV>m8Y(T|d^ z%T$!Al0DpML#)2pXq&3BZ?(wsmQgI7-yz0LU8k(JMD{RVA$D!IZ&nkN6zAhfhis!o zHoa9=KX`ASu0bgqPvK#x#wo7FxWxc@a*Frzs>B<#j51UYB4E`b&I{f*3+^3)`&Pld zQ*hrdxCggEI-Ts^Vc%f1K^j|oz=?ee?lt!5L{?mjXqLsaXazqvL3FygLsl^4CpCxg zG_&9Ziou?bX^h8>yub&P?J~ys;fd4p|LS8x(1kjmo0N5?MhNyFmb> zMnT)=4iax3^pYi;2Aa5s{nyK=2^PCKL54WI4)?Ge5~*z~E45XJ7@Mji$p>#pbnHnh z;~b?(Yj$31>|Qow@S$u*puJ3Yq(=+pbm+5Mnh=o?_~})^KKElbl#Iadtee9AUTezP zbj(BoQz4_R`F8SFh3fMNBxu}JUUMn`>6Kzo{?@0wP^P@#m0oZtze9k*{NWXhyuxrO z=n@eEO~;@Qw~w$V%%l3*aEwslJ4WFPv+^sK^cD{}HL5^-autCVg*TzN4)+^1G94dl zCGnvbVmyRNO*RC0(-CPjVbnl)0RM~#FcdPGlvmZtFHtY2`r4uVN=Ffk1(D%QgeWN3 zi#SJA(1XF6;x~RE0RPiNF&o88C}>oE6V__4t>6^Gw*MP1{oiOibyEcX!7YutR~vxejExE;ELOi6c> zvsJ6^(zeMZ=XIHio!Zibz1yGFsJkTk`!eO-TB}_0{Ilw@am~fr=c25W)9W)8f9xvN z{Cc}JQ~t+XQ~gMJ+@x(fO4e-Xu+Pl0Z|2>4l^CJb76dv-cBt)jeOejjW?wq#>UYZE zagaf&^-5oKDC@n_FO0G}WYKgo%)2&dFj&$3HnptQB3q|cZsP{QU$G*u5qMbF1#{|- zxBqL?gHQjl{M&5{VjCj+%@wVwkL7%JUi+^Rd*3Yg`s-&kM85EcKX*)Du&{jUpZ^f~ zaO^KXzHn{MzM}Gvw>&U=()&BU&fE9Ev1y*V!-u{~{rKbc|F|*rkvID<=e{@k#pic# z`bX=pAA9F-uUv6NJLHQw3&%z1IQ)swMeJDmM3W<1T7-Pj_e+I^=_T_RBE@F%Qad10hBj&{Ainv#GtmV0>tqG%tl{#9YGUpwW z7!r@SDIRx9=5o>XDKD!3v~o3f=j&5;yg1A#KvMb4E{OY3OvPS$0(L+CS4 zgY;y%CAqsld3C&KR{xL{$%0$);5yJdsU9WQ2goi0OrF^YuuO-D0tqBY&BIz!UQZ z>jaFqU-^{Zx{xvvSM*T$%m>lPq$VF94D+R*AlAU3jj0>1ou2%?eCoxMjY@Ac&ZH@+ zNAbZLyLlOM&$c?`XpQ!|1bdy+KKi32s;^kS2SE)|4*eSCWwb(*g+wnUM^7SUYfU}l zOGYezNtC)eVG-hs8T&9(EE_x65CnkrvijCVrPmxPl0fQOHhoD?LGJA_B-OxhdWzp9 zUAow4sRaIa5!eXl$ zWLdP7_n!OiUOJ*$n;h8{|3O*uf-$%69y=~lpLHzGR6JR+Mw_rOJ%0OsO|wQGp;ya> zN%Rg)6vr86WZ$!KRqe*?O`E2!HD~M1=3-NwDZ6z2N>g>VzII)9nQ7(EHfHM=FUU45 zSTcV}_S8w!CTR!niQ(g%Om$UrH`T1HTeH4qYNdEL|`;cg2F@yC#An6i0<_E5f%i#O8`nHkv#L_FvdUBoM#>lH zmzI<-xyN9bvt&u|w|?=wdlt-DxU_uE;>C9_4n8iOvv3~J;SZP0zkg2og8558$WRi5 zF4Qlazi@8w=aTsg=iO7P50P3rXUWp?x%&B~_bi^XA!llN-Ce8JRF`j_x&lS$e?zH$ z;k*?^Yid?ZnzUlgU?VoxRj#S2n^Xk>uc*a;m73M^14kmgKfj_4U==q z>jt0G&%DX(XWo?Zy6W{1F}vVJ@fK6R@H1;M-R&@Hm#|~gSuMCw|Y%Q`KB7vX49H#Q$_Wv)z#}wj4gI! zUQ)Z#T)eRk1(%q}k~UUU|IAQRw|-sC8uR)(*ag$bk~Q>BW5_n#affDUkzQY2y?NcF z>hxMrQ{A^wh@m2cd% zj-JrpCT)dwrM6N_&*_| z)LV*b>9KPxJ)ZC(JuaQ>amT#ti+P_@Vl)`DC_*&Q^#k{g1&k>fi`-<%1yIgcG0JMa zPE>k|8(FE`%Iy;q0Ke{iK4y}{8Th3_U@*f%0@5xL7vQf;oD^4AflMcjOWq+IVfFQ!-sg_M& zL?}g9*{{j*e@9+ltNKFgaYR2izE^v&>&58Fii57-b}7Vn)E(4%T+yj_^lCf0{v177 z;vsfS;EXjVVW3DQx)C5c8aLMhY@j)oLSb|M%{NCO@vAf^l#_==sE51itT_g=bh2W# zZul!&k6JpeA2ny1@Z$lKPV|qsk4H$ynhSIAL;R1p$&xBfNS#5Eyx<-@Y8TlIy>DwI}|E}g-iim=Pp3)}n zltjBCqpvG*py-cous>NoWkZ~Jgf0oD;qfZJWW}9vF7r-v%o=CRfj5;Ru2;+bol~I^ zUjF$8XUq#SRRjn6Z+1xEHgEGoa|-d@Hzdn?wZ*Ji73m{iVfT4Jint`8eH&ND7zQm1}lN1a#6 zIO+6Dr}v-K&xA#Jyd!I_jGQbvtTl*kcnU4WGkc5WmKEIOc&^mdG~+Od!5fK}t=sS0 zH;27^fcuA%`(Q)zZPE&hv_d1Ta6P+yMbGx~PHU2-F$q`xKZkgkxrU4ObE->D<%^sO z|M`vd^Po>!!~bVI)Hti%Hly8^+G#y{LWCs%`p;aalfCFvUUJH6TzkZcoucVZJhvt} zY)QCxh*LW0CrZs2KwYAg)<(MbiXMutG17mz!&{vz`uZ-PUeVM2(TZc2=0;VekIBn> zC-0dzroB4jjoeX_-{^SltwoA$?MQ;k9_g_!W#E%N$IlGRjk-o1?Ipy%>G(S)8rkeE z7TLCC=2pWjgoa4+cs-35laC=wjI6aAE0rl&7)7i$GV5HK@*>hrWwfmJjZPKwgc)m; zS%`X(PXh&Si*5Qo1Fbzd#DNtkPlS{rJlc@ZpK=VDw6^3PlY4{myjP0I7zr-$Z~{Jv zOjg#*R=%(%h?PgI>lyML9^%!c;kcuSapEMU69C127mk|}UvzuCLBF?n(cU?xy>mD0 zooC%!vS;u7w!L>A+IyG#l?m_O-B)+1c0S~8_oBVVJ{C!8`i!Zk|tmhDlE+L$;=+sI6L z#H3Pn(+U8NX!YtxOpTf*k3_GwM>Uoy<0SpbnexUN0Bi!_D*$`|^m-&Fr#-66r2>UC z015$U03ahruP&)*)a>h)=yf}z8asvqFb-9e0?-P;6acCLxB!3`0B; z&5>hh=591I2|VP1LJa_C05}4`BLFmgfM)&z%}fOk1)#7EfNuf#3IHDfy#P1?FsFfs zLQrS`;0FLSxq5YRWusR*01tCOVOObo$EasD@*DsvD;u@F0JH;O83P{X z0q_e@NCTh(fR8}I`Fn}Je{0C)$0M*z41fclxJM%^Sda|K%QHUOId z_!0mg0No#=nE)8G(aiEpx$Qk}j8w)o8q1`cv{#X=5`Rb;tCw&o>`jR0oTE5xg_+aH zrK`$h8T9nv4O|`HY%Us=|C^o0-&qb!7TG8!#<5w}egWMZ?9 zm@qIVUM7;I388la1_SRrvHE0-yG5@bx#vO7HNh}UGFcjVp8^!K@-z0(TcUDN5X#FUeDa{uWVE+HqMQ%h{QeKuMsO{+xthWdZw)^yCtaqn`L zQt|4C6ptUad>6rGB^+xKdBytJtHxu_l9*N5oUz-->C}qjF4aOR==>)N>c7LqKH3(+ zU5nsqV_SbkHGPVj@-&SmE>mGim9KNjCQG;9zx3gdNSzjHpXU#_s1D;2(5r5)cC{k< zb*g>>cXPC@XyUKIRH}TvpOMHCka*t7v_jcT)tdqm+G<@w7Y938d*X5=cTLx9D?{u1 zgss;^K7|5lo2d~lVe2Ol`+X4*l+&0HC)KH%rfas3wlz-N3oeG)#GGE6Wm{sTcDMZv z7yDm{4mjq#FY0y*Z*MqEw-UD)#;h7tf%* zRZ3PKb5_MvWpl0w|NqFzI(-gu9I#qsn~B8sS3@LR(b+_znMiPC0|62qX;Zqw)uKN! z@(&MkI+bKrhi$a&+{Bj!?B82Y>{~D6V)x?`#{Rj9&Uca3+mT~}-tS zEZbYDNH0lFgS(*JFv*=AqWA_Uv=+HSsQ6bk-=LJ?G%l)(xP&XdGpP88Nxb6Qyo`iU z@vrNMgoly%LO>#@cr&l~gvk5B{sp1pJ0hP$fwa@aei`n<*}ouE{Nhe1zG#wA@hdt= za{XW8VkfH)lU&iHaK&4q_d{#FLdAE)Ohc){9@3g$s5Pee){x>a@``sxzd$5}iuc7# zClX#F!Q?+w@j0WQc+XI~)4qWuRh9=Z!S7R9uE?n%7AvXQ^? zILRI9cIrlo#+=$cyy!#SPUA>X#)aLBar=8`OyG8>W~6oOk=?Hr0)X2wy}Pd#erUEO zwTMTD0!{wfNjEyblF zKinw^dUe%B_Luniz}&(Qi~i8arZ}jR}@0u~QZ?RykQZ zXSuLHU$-zluz{-gKl?GwQ!v&OBaaJAa&|k_sb)@a^2##Kf_EJvlUxjO9-i~sD9$Uk zj>q5<=E1~|l~Dl`RV=F-9JhG?BUEP$sq;;tI)AXTu1k(1?M0zF>xZbb@(a}O5~@=@ zd>u*^`beE+f8b*fUY$R7SxKZ$vrwIm?!Ta%>TM!n9HP$16}&p%-ZRu$W$mP4Ev+7x zh903hizW%wdA+panA0ItCpYdKsnbuQwf<75&bPwV*_hA`jpU5_Q%ED$JDGP{l@BuqZ{7d70#pkAgSb z6hZ-J3>l<5q}PY`Uv1nL6f2}2coVr5J_K_NYoU;Yq#xsIIOZ(d^-wnFlocgih8)|3 zmTg^dfyD1(a!efT|G@!jFfysPJvy`tckVobVrge^X;_A#n?+U3L~XjCvm6x0!UwVw{D{S992 zeby4RXPr>(H<~^}wW@D%DeN7p_CP37px!yOBX{^owf!U{*^DsNz9|eqRd>R~>xCw6 zoS;LQh8|pQ_A7;|JvT(PURh?&kiJ|tvJJ%w*=XRP=z^karrHSBv6yNtylT7B4s^qe zM+uyk=>8UB*hh7X(}t>cF!qpd-;4Hy#U3TQ*f?UDEo^A?TY`p~;+GBW%a)0EqM8P^ zE)btHjb0d{(HFhLCrgip#aT6`?@`c@9xZHNMW}tPeETBCyU@NIVf*weoYX$^-md@H ze^;=sQFbGs?pf-kqD&e<+XVyYg$S|w7#ykNX-q`-H%A2`Sqb1=X-3#-aPVbv)*a^g~f->9mMam$(HpA#Fm1tJ!JUt zbuFYGw&=@HKwyzlB%&HManRn_*z@=s!Eky1;5_MVUmW#qVYvK!I(0I`=9nP^&z$A_ zz*FzOjRs1g+DZ&Gw%TJy3Dp)hHSllmfOf*B2KljUV14_6FtxoIuC}85=A+b~1ZvZ- zJw@Em?Ba5#i+R5SY%6lu_He{H0L3h#N-s zo>pT;WWaJ{C6pt}nCg5lm}JVo0p*s&z91M`iw97sp=bLq1IisnImuI1--qU+flQ>O zn8LV*zU|KpVl9swA*Gi^-_jPdA0Uvm1&@YWmq`=ko8s*5K7wIwbn4`xcN zJ1-E-88bCt$7VxDh7sM}G4}xc?uTX#Wp@*iEwOx*5Z^Hj@#8g}v7{0+0%1eAIgB}f z|K+i-OEY0K1|%OSbQSNdwx&tu~5om>pN^)NabuzI@_ZDAaNF=#;aAg7=&X z6a3hK;4xR+RS2W{laS@gZem$hrwy>YO|$IZAk{wiPod#bJBGrI!gdcu6TwHSzAYpENBeh6+Y7}P_UH>D5cSRt)f#!ObjRxeOmhV8xdF9H0d?&bb2EB}P%weCh z26^8=4r-uzVz+I%z|3YWj`90OQdV3NA4N7MoTl4SMqlWssEK95z06dEL)6kHYJFIC z?U4gc*;|3u8*JnlhECox#^nylt8tmfW_c-uBxm{)0lq&Yi;4*Yd~IgQ>@$I4frLaG zpCoTe(4#et!q$j$_1}pwrV8`=f}Bh1o)Jis^$8~}`Ef6#eHYOEEvMaiUFiDZ)9y8| zTG#+g%KE8@KL08!CXDE-Pc}=cLOSMGJJ#_@Z||N_VD0RF!CFt%lRL&1!O4a)0%s|< z9_;y&)IDhZIpGS2IDczt;}l`*ht8_~V^hC2~r#~tIkjfkkC%w6fy9Dccmgu>0hXcrFexXp*UNudh z6k0eGwO8iRZ*ms$j*0a(n6Izl+xomD^yFtTS#h^);bEmpwIU!Xp9J_`W7E z=%#}CPY#)O+wT46v5bO1>uPT8(+0)CLv?vICMzn1zCSA=E1T=(`^CY}ltz|fVq7lz-4 z$Sy1+)VWx?-ktjY5Y)fq{XM#{FdgK6WuJbv< zgw3t*{d^%55jMAeY+UT6irGT-3|&m~jjxA#!WPrilh#^BeH*5pAE&5Y$A1k)ghla= zn52Qo$A+qhbyD9iT~R;kqj(KHV$CV%LJ}} ziomaBe0lU~{R#rN-bLU#0(VRz@R>Q6c1^UsM_~Um1lGh68(Eytb1g^^> zo>h^Vv^9=1X*jM99}C4v=CQqTINAw&<4V$LZ(LEVH+R&?f-7p}Hx`vqCpEjIxNczA zTH`fqK?nPf?Tf=_LHxeB)RMb#i+ypi9xe6={%`Nh{}1044BZ=tJ>Eas8;56WYI4@p zF@MWk7brLH7S`y08=kmomfw`#14 z^xVp^hYKfIq|Tcr(G)Irc#Kmt;8@1Ry2gLe6ZJk<+x3|3SDl~X^dj|3k=*rIuIdTA z)IHN3QN^7eJ5ne1-gbyfw7j@8!pqeyhzFf@`?)FHqj8Z=(XyN)bL*QwIGDl3e(K$2 zI;u`OuTQl_bJ-l1@QmDb$%v8|6J>Bz) zN<+$N5$)UK61Sx%VPh>v`}VwjF`t@c^&IWnvq;N(cFc5%Iqv*$(Uk9t^3I4-Ij+yr zD_wVL$GS7pnhQH>P~>ByZC}S{&J=u6_Kf_+e(7}6`}&#K#ye?P>G{~&hz8qcQ1iHw z-tA9HYJ9)_;e_iky4R1o%DM5`(jOwq+H?G)EKyxnXQYC?HJRcw<>K41X>Bn8F9NXG)QCOO5>x&5s4h(m0HZSHp6pWf&mU~n zB=>9e`Pd(5Zo&4yENuU4Q^qx|Ov#kXW|pdzTR_1Nz$F0sJd*xS0QRUrAq_eXOqKWj z2D3C3E#nrljX#vaW;l1Z~1Ty1ND46~vv!d^Xiki6OC;D6Ti&UdR1oR&e z0UIN*fe6q*!zFUsGioCx5Y8AR`0=4ef_dx)l^6weNBz+vkz#4`Z`4No*b|wo9h{M= zrN5)fnkju>#@6R(5O#*L872$OWDRfOqFP0j3Ap9oiP<)SP4tc!F~;l{Rk|*N%x2rf zW~t7t!Tzkkwx%2lt<{*=j)!+}M=Ok6N8}0a?Q{jPzBi=;#RIcLhn{kMstd%Sk8%=2 zB{`bq0?m|b7oR04MIL=!RorOp%#~%9zZzqn7nNz7qr`z!j!RJqIt^NCuAUOeEOS|H znqsMuOQ-PlKOd}rpPSWxk?OyEi~8N8S)$KL^?O3~N303ee;~YmO>7m_Uqbb_h3dCb z{W}NiKM<_HT~8}UssXD1`Yr0WCbC?Fm+H5DMo`xNB0>Exgx7D0t)}{oRKJMwYJB_K zsD8y%zWpx*>p$#f^+9`MsJoW+G@K+fnVu zC20E<<^tNQ>66mpbm0MNpoVC%HH#Omf+_~+G2@x9f@U|?D@~e&tR;zKG1>S*YFp!! zqJuDke(9Uke6~M8JA@$HOX=o$_7TsXAkP*lWUIPl#g;V(1Xa9E zRWP3UDkwi>EJ&A2qhF9BDsFqnrq*@yu62Ih%yL<&DP=MB7C z7{M2Y5M&!lyLq0!BA)jKcqXSfEE(C&>_gk7+=>%_ZP8yDUZ+mv%talTE#K9w4>>(i z$^~kPjyjE){2#M5h(98;cGCg~yaq3*(4{-ZkP0{MMRCH1;YdMd!xI^x0(eK&jnRrm@jqU#n?dJT}v3yxo&8M2&w`_An}uyMV%xD;XMWQgYIl}{Y}~=x##U(s?dIQEgzt3H!FCt@jN0u8 zwEHmHZBl%K9WC{f^Ze9Xi-`L+68#EAOB*hJBMlv1_cl^#tFUO5nz{y;XlpsJ3 z^owZbja*bG+tC%M^RMd1X&1+hB5R1QnWtMpbkzd7*fiaxmO#(`UDCYJR`U_3VYm$r zT1QF`j*2=lSEa>z$(2zt)tpXh69hgT9V9t%FD|MJxCA6;`Hi{>svDL#vKrx@RYZfz z=W0LVuwjWRA|e$Kd5t66vi*UGyeJ?-JGiX0V$pWO#YPe4KzqB65O4|sn{f$_#b(>p zXbPP!%wbWVE*`b*8HK2imW^H>21}?j7%Q-WL>?O%YO-_WS!%M2H5qq&Ab=*nou2X+u_P>+t4jc;k^zjYUsm772Iu3{q2^f zaJ}(;0v6&@*nvyP6b!G&2u&d&U<$fvO?QldyYZG8#X%qCYCDUvb{d1yC~je%9kWGe zQW3r?l^!kEb%e&wex^l!$1sKPfo2AJr&>vCy8OoiY(t6Q8yU4yL+|#InYo|_`hLb6 zt2bbOQdjo)zR(ilhIVq+ZW_R3 z$RcoRTEH(G^Cju%Mol{+$%7d=#B#@HOQ>fx9TS6yRTLtkpK(O=7b;5v3N+-_sy|?0 z-g+$oDM|>ceu8M28dGjA8UHVr_>ePP?fdt!r%ka(KI_YMS^tr=e8&v`V=F(@DWCXR z_2{$d>Rl5(1(FJ6)$Fi4lj8?U|z)i5mI5&V67YPBKT3*J0m0p5i8MG`Zt&evs-MC#~dU z)wrtJRU@05)+0`0|MA~DJ_lOtdq-vQT}1baX7vBroX+H&ALn#%XhHd+CHEA8pSY$r zy3(qo|Mxj%F4J#tuiP2z4%HMDVFyf zwvU@xR>ojWe5oz*H}1sq!`<0^n%i6j^>&WSQ+(}Le%-NCZTT~3HkRXqWXo1RK1fE{ z_v>x@)Zh5<8ET)lb8dCdu^qW!BH@B#390?3dlQDw>%X{GAc*#I;EphGgI3CS4s_Na)- z(Q%R;$kwzVThqD(xt4Z5TjOf)l34Ep;9~%ejX)K5Am6hL6b=DUX=-$N0eA`N93#>> zBBXOl@wsQh0|2~(Dz*V|9aUThfWzmWnfTn(mLH5Tm%oCJ#Lsn(P!~ie<+#vJ4fhTT z*bQuMvmSTC!R;?>g3Ko9d{KOOXT^NPq#6|>Alkwv4U7Ow_+V`3T%pYZt}kc|<3oxw zxDy2LqG#A-AygEDrzh4OiHI+9cJU*@i0``AABl)h(V$l2q=Y3hkyNo%yA{cv!3lyW zfz5hdLzzIT#iD0IVBe}}-{~CJ{F&adc=alc4=mhoxlQ8M-RIJvzMg`F z8|Si->S6@NlY2-SCL+ks18Dw01i#lLuY`$NnofpHz$|@>8gYs^V}liou%KVeQrNe4 zqT$#(q)Tf$fNu;Qf1+Kmg~rSC^)O1NU1xk@f@Z-s{>M#_*ErgwbWLCO5n|q~D1q2@ zv2`R17aMUY`uLI9$MRw?MOvs;f}J4RK=3bR>52j3)lbC(ycR34T&UeCsKmlo(n+XL zx(T`s2(^#J1NLorpQgiw#UQS19nHW}=^Ij`_yKxHA38K}xbU+}?XT4m@thepG)nW0 z(I4Xt5yo zbifM72~B;Pmz3-N3>W(eT!N-vL8g8eZ|WKA@TH|t<{IT@YR7dFM_X{7AvE=Iyv(1> zTUplG{c%X<8reH!A59d!7|C0N$=ocg#K>2|G}AAvBp~yPPL#hXdkmMfg}4lgs3<7& zRvVM~RpK>2T;}6824ub~B=Z3y$=v=35iAoD9I%ybg3L>WGT+3KFdhnCLLXZTWv=CA z-Z=o}w(op7v}Mo5H!O=nb3KVaV6p89*xRuvu8cZ}q!(XmJpP<;!xQ%~PU z8&&nB9F9cM9~8xnqA75bXUI+Fw+Y;&U-UcRRO4|eWc@8Lz;%cgv9I8K#V|F?X9<*c z=#RTgAb>O`00+g|eC}v)*g)E$p3)At8icfOa0zZ*xZvp0$T*lp98hdMbchvY zT_ZvJsUC44k8{YHHh`SkNWn;|=W$-f55tA{d4#1w?&k-^Zc2mvEQcQy|D=C|Uw;tSs6`U8R6N`vObUiP`-8Er5jM{tG@b2M1`D4c&cVLqqxUQDX2!}g{~Qok ztHrk=#$PTmV*a$qIdZvld>Q6RBifHXZTe>Q$`AdKYcU71=_bCRSf$28QEbHPSWCA~ zOIj|?m!GQmP%E?EUp@M=2Bi$C5`YK9>+{}Fv=P`EPvCt;MD`v6`=ZZQSC6ht2iP{T zWdEU6`F5gwiNI|s1n#zh@{Vl;cBmGw0c-4!NyBl!X6MV?#pYUc7R@crmpP>y!Oy7_ zSa}~jLx#<%zBT?IJ42>&eOphZ3h9$n2b5L_5Bd>DhxYZ*>-L5!8IIgs(8M)M zv5I`XLRnfT@{Brnv zeKxOm=VKf#hx;SbnszLtv$m2FPU29U{Mb_^v42_?`$<*opX)Npc158l#Yx-~!Q^sK=mcN`02cu01;7bF*{HZC;}lT%87O=Lz$*YexUy00!l^mU zyQ7LUI5o$FQ*%V?Kmn)bNZtaV4uH!5_yF(%;GTvm)`P+o04@M<9Dr7wnv?$vh#JT0 zq|bsJaIB8x9{_v}0FKpBcLU%6K#OB_th3R~M@!X>!=BYhCgkc9?yqdr^x)K-);*BJ zn7AhATuexJgF-R@({uImIsh*BNYZ@(cmeR?SRHFCDC7e05CC2P4&c_W z{P^8@D#u2zAHT~WF4z?AC*E}n>of16wY@`0f*`^=x`hyICDC>kcY&xb5UsSjS#(O1 zyutw*sRVQ3!FO!R`J;EDw~5+6Z(WYU1Qkutf;16C;dnmG7uNGZ%UlXt-ld>rUzv28 zi94+8Xp9p3#?QY^Vd>xDRJd^1OY5qHCj1pxd|M{o3$kfn(KvpcS{0hs^5@7*)zJtp z`V1HQ`?#=Cdhm>ysccO!{nqcQNSW?izcbRiwy<}p@=r&D`}b+O8IWTKFOQqlW(zKX zsE=Di-}*mh5KPaj#C3R|#&YlSU~qu&I#vElS`zdL>2~sT`Gm8OZY*DD$19J%JZX&u}Lb}a7T{>2zAuF8juaiLcoRDr0Pj@U@ICxeEpJ3t` zzuiK*tvucDh%P_%q9Ex#5*P$(DNtg}oYp&S+pn+fHVP$*v8CTeI2HPkF%9>(#I1>e>m-A426fX8~6 zCDQnVv}o25h#Yly;?$?dzZK35uN(ggfc*)gZp0-pGsI~NiF*+#x>GTy45ZNXX$Pr; zu{lcET*#}&WUz6Ta57l9jP)Ki;ro=R4~Flqel^aU>YCLKjq+MLOOkh;qsJMu@tBUG za?pD2Bf>WAXS+)bY%1~w=!LiGpTaiHWhpG#GgNC0E`l~Cx1&w^G(nq0x3fJWW;*Lg z{1q+%SID<%wxCV6#b#<#KP~Us&)^bh(|}bYTL-f_B{UmjG|LvUSqR%Y6PSfCvuPKa zjW7NTnT>_a#za*FW+B@&IARiJ^PSLaoQ>}QqMCtAq5nr_b6IFMC6QZzN@Hul%vA^G zUVLBjljL=xVI=uNQV8D_zT>-sQ}!FQ>6)-j-smf+kUvr@Y+}LMG$3q~Ys5KfQxCOC zPcyMloBW~_wCM+7n~oG60Yp`SOJNHxK|jg5!VO`YEZZqfnN~+#K||1hzfYAv>ZeIm zgV1aa@oSTPz^T}>ENZ62Gv5_%3eBc6{VY)SU*VEQv)Yi^bfx)VHlhrH*|;aLF}95D zd!sgnnN38oz-+|#v&62I4lCpYB@ z?37*zRIMu+4yEsBRac9r(GhUx3iiPQ6vx=nGyh;@v&3{f+BpwKDVI*ntb}3m>G5~$ zv5SgU6tl;f^!Uzh!I)MeV~&M(Ei};jYCu=q*vKWE6*K-hPYd!#n=~Xy5oKa!1P(CU zIxYcj>udu2sC0b9yYeiP6N^MHHGX*IYWz^|*2PK3OP`g;o18`7UAp)tYqUN)Vzzom z(z3P`K4!>jrkJ5!zPQP_!}_>$wtRI$d%{Bc5p9}EKhzaAWf3E@vu6Bh^Isq(G@*k)Mt;NuTu{0%UL z+QBprb4%x@3GB=Eh>>crGKN+UGMg%IqEmyyf`$Q}+;53ozK~o*fsovzj2xeweJDuo zD3J?`75qH8SBRW$kQ_U7AtQ|3V~m`eamP-PVjkW;8|1=5gzG#xTFoyk5pq`)My`R8 zc-H%Iyb8zV4J>C8S8e;;Ab}+Zt#(^2p$97iv{1&~PVv!6p`X(1e1I1M()QE zfR-o6#%OPl9JdGD9TJjr^W^w+UVt3q?h_$*I-VRk+y-BeoaQLF3m@|DWZZQy?&$m| zYAoZfPe`teCs#@2{Db8Fmqz+!WLDn@hl0)~mQw5oslsM*yIdgDY88$zzH#hOO+KT@ zPMtz-aKP&P6fFoJ;7fZ*U0V2{n_>#knfo`;`Ip}d-G4<9zBl z-wGM*;TfdJrm!`P!LUKyn8_IQVHCXCA7aq^1sF7iMIZ|pgOnA#DW-@##NfY#46fxF zWOjXWkio&A_&*QiS}7gG6E=`L;-(V&)Y)!&DTz%Bp^o8*;`SE`e1Z5^EHla<3N{TE zPOEAN4M$U_=odD^z3>!C*+-4=P{1aq+)#VmNd0_5Q7Sum_A6vK1F zgfaXCma5hPcGJqvyn)5Sm)DBdHcnY0XNEKM(5b5l`8*j z*+^td!e)EVpCD1&HnD@J0^K+xY%+GTiqzQe4pg~KbEJ`uWeA&&?w$J=fKfQ6ChF+MKu!pu);`0;0af=(GC`K+-ZE42rsOLOVnqKJ=_T zOrq}iHcZq;p{R9J*%7mjN5VyYCN!@($0nNmh<=Wr*H~g|z;dsUWnZG1SZ=cB2ineN z%3-t02ifdUwk|nnX3m)PAmkMi;*uDl7SZ$op<4_zjFA1eAwnH7wICEWd(`lR9M&Kq z#!=XawVLPXs}Lb?%tjFE6LO^E3AKu5gmDx;vhW;D!bzU22l3u6f=vneIr>!+9Y05} zpvM>(&zncV62m52Z{^Tz1OJ#YhPcqM9X2i0O`~LKLzZ|;Q1wOAeNcV)Xj0Qls`p91 z4%pC-=YhW6Zvm3l%cTYtVpKki=HC!D-)Svd9Zfro4RiSxa zovnaK@8?PT*!b}cBhAnA&a$z=$HtFlz8)nFI5!x^Pu2JF!-|+54`bE0)8j0fc^bR< zL(6@$%fLfJ!uF>E2JROF5-5%j6TgXt_$fpNU#?JIsl@>p?jX4*C953c;*d?_a zy915R4F4X{7_^AB7_+{#(P|t4!fJI!Mr`w9@56~CF|BA?q5qDaqu4c4mfoY(#EVBX ze#xy}qF5@qAkKo7F{>1hu>O;~Q*Z=}rHAcocopk0!Smro&7Bm>Xk(@bfh7$1+i+k9 z0bS7qOn&&f9ed6v)LseYfScs3(C^AHNQP}VYZ|0hCa6}=SL=(ODyX)J=kIVh(8cpd zK%~)ch!DnY-_57ddxpV!^Kvm51QOBB&5Vgxj6<1d=4t=VC}6_c%+iruOrC&=X8C0_ zQ}uc{u$!3BG2k^_7M(HW8a5Cz24gXsfpzd_OwpFC7CJX7fB%gTYYtuvzq1Tw&C$$i zeA7QXPRd2mcwJlkPr-h+J)fZNv}rfRl(Mw$WKFTe%z$NtLdZHH zp?sc@(G(yQSX#&*Mk8Wctv)kT!40SLfG#&*4edy>Wc(Jd3tbTuwUBSI6s6-RtU13Z zYY=e>6MTgTx|_cV;hh<5u6(93i1!eFJHrQZw|CSk-}DD0q1n)U6JKA9`3RFPrT+Te zp|hwaMv$kmO`-sW8Fl^GbEwY}^)1+Niy7H`Vk4f|uvITo~3WU8RB4$+A;c1l&%RoE@Iz3j;p zVLOwmDP}IRKPlE9LeXbcB}F{4jXHMz6pQy)P$1Z^8%u++Y_n!pl-SZl z{dcCY|6cB4-SoU4kty?U&N8yv6Jt)nYNcvAJ8RZCL4Uu-8^$Fy;s=KJnF-%d_<*5U ze=%U2L8n$S+b&5a+rB}4$zo9d5V9vxYahwRtE>z3?$n)BpRwrQk(^OuWn`)INF&)} zAsa1GH0rCqrZ6VVEw}6>MX0W*N1-CK2S>8g%1z#IxOD8Gx)TveUk`eXS1gLXA4kUY z3H_b3v=(I>I_*aWhcz5Um)HrXv?t6dJxeRUHyx^NgKP(z{VaVacvFKHp^nrVMHx}m zH%{WGng80+`fN9z-PEb-!hobF)jzo~;3)!HRAvCL=}N?OY*m7LiS$Ere#D+z(c2FDv->kb0&yf%-E{BVG zO;Vy$a1UUQ0>4jdIh{9>O{bS6(CH=l>=+y~JK1LFF*sUw49-G!49<80*Rx}A-e4!& zjH1&^t{2g{C>!WV8EqUp7lj>Gvyi~o*~vCl>`0l31a`5LZQdqu$0!2-z`#|E4R%<~ zD+Jc(5x9??Z1YzFThiDeD(tYDW&&&2`7;yQkuq}$e2UJW*^x{qu5j%9nI1ZSM*JLs zjqLoHjRfvrNZ`wK{>+X-0(S+`vm5mrQ=z~up?zwA9x>!0+kYYAAyZ| z#KuW>O3YsfY+*;rILG`Oo7LDUF-Pc>m>r)oFiweq$k`v02K&#V>02NE-#4RwDESuq z&*DjG`VroxbjAz)TV^;=NN5%Yfu^b>5(_({#m~O& z`7UBgZN)PEwaDu3V|VtBZ+w^A-Is8$q9Hx$wVZa&AHi8-rCgl$gz}*cBY!Cu$z!qg z>+;cL%Z@u;#dH#iDSLpMa&JVYgm#xbtd~07!@tzh?y|mJGq~MP=f_0g6P&IG`$Scp z-S@@QF(}{dSLno<{9!8{qqcB~IXP^1S<|~NvA22WR*rU;U7nL_*@5F^_$sb(Qz|p? z$qrRx-Gq#Xeht4QzSZ=kO} z@GS&BvDt&|X9jFP`(S*jT5&G`zt!rsD*(6*fDeEZ*j?tqM>-$i%oT;1KXXOf4ZsnP z#BITmD#P$kt{Jeq?1KUTwgK=hC|m}hrCZ|G?2PJKgxzJHu>i~mU@ri-=jgRdvHk2y zx5SjYE2?V)_J)bEH*DVh0K5*s&j9GFXfz)_Dsh_uI5a9wV#@}g9Dw%$XaGRBveDdh zOyXAWj_SICe{xNXy=#j8-Dz=6zc0>|fBblN ztJj(VxCVe9fGYrqO98;vFGUUjD*@;M09(J@*!tCV2>+s*4O_qFVe6LyTfdUA^-GJb zU*=N)bYO4ThTGzr#Mt^Z7hAs+v!2zIzLBeMJ+rFO-1DKNzxA1@uHx*trk5VX_Oo|! zyv<|JY7+hp3O4|_@u9@cJsZ_HEElb)%#<(r5bAD471Jl{^Xpbao4wE`04FBLHF+M& zlpp&es^~x!t0wDP`&Kt<-G7kucl;8%m}nrYJ|SA8ntNhHllrZdp#x-hD58hskmU+ql257$-=-rkF>2&hm4> z$vz|PIh$hW#GW%_r&TM~;sdAEqvh%N>lIxcww{ekK*HPl_|EvIy`!b$Gnc3@u1aXn z_v;d#`k(B*4Rn;%)iyknNiq|XFcShK1Y{^In$igGwfDL2xz7MT zkI%cl)pvbseXfUj(z*BSbMABY*=L`<_qleF=cLz=`~$?69dUWf)DvW_;YaA3bpTz~ zlB8Txm9R`sGVA^vR5izlREivDmQq|-PiKqEpE+sP8?XF~Q*YcM&sLgpC@OP5i^dyCFZJJ>8f{9HjD97 zd~ljX7aCrMhEpb>3Eh}5YPdDnu=sX$N2g~`HmvVctGacEcj*-Ul%@td|8q|l=+qjzALD| zCL&cOjwRz*P%=^`YLam*I29=qX)1X3JGI%*o*10{PG&$eTuh|O41XMCAifnd+!g$` zg4wP#J!C-~uKFH3&LZl`?Va?q=w#)e@S&jxV2819OUC)@tpX|7_gE3D5^|?lPvC?AYWne^ zN^;MJKqTGj@kX?3TV5dgYLH~L)12AxPu%K(2A?_t&R|z`wdQpUB;?UF1X zebmc&C)w*J;aMe5vP;~=<2V7i&qF8qq&&%{nyq+l<@jC`k%mn2SHVdxo}^9kSHamR zo)nzq9&M5)KYm0C&2JCyv5Whz{T?+ooTYV!8F2Kh}j~`;Vt;px?A&_q8V* z+{k_pj|9m9A}PqUBa1CFS%UKmjG;I{c@wKLszQg!(>*^Y9OLfq!cQhQ&?|1KP16O+ z8i!KGMRX1RuJ{4EkUfFB@D;i+=uP53sl$M_yQu9JTMURjf_MsuTPHE`U=R#2frm;p zPNgr^WU2;UapE4`WJQpi?IJ8>8+RPzEf9|MF?h|DrZ1c@0jqD71$OdQj%AbGV_v3i z!&}^>lAe=AVp$3+jn6@6rF-4V-u`7NI35h)IF&gX&J)KHmE#o*k&Szamlq%9`J6_= zLe@}8=|7=XNG@%<0;7hqiS;^KRFRjPAcA8naTF!yn4_nSIELCEf+GcJvYLY&6QR|5 zq9mR7_o2Fc|ZHbGb}?PNQg93PZRHcV98p(kopA@NA&$Y*-AohPoXALG;@W&^)97vv$b#A ziMD4$sW}zuqh8hp&f#m(r!3AA<#M*XXDr2@Q87VYyl*sVT78xxW7vphQ~kIFAsf-c zPFfQ*qe}fa(k_({rA@EPqI7I09kq-kAlCEhi>)-B_oDnv_1&^?E?+>KS`PkQG_O}h zi7lc~3uE&;#OoV;l=o|*)SGiNCO*`>nDZI&!atZ7LH$e;8F7ZZ_ zMiVd02A=q1+Qg@5W&_(DJipn(?%?_D49;&h8wfm&A8XTCtTEtk#WYrE-*$;X2g9}5cZ2YU&yem3mKNQ zY*3Wj3D`?n|C72Ya<)jGwDoZ`vpHipJBG{<5-Hp?meke(6A4Q4vz#3xtb}$7(S=$G zc_f1O8S_QLFatX)#5;@hdPz0eOl7NgTGU70E8aEq9;%DvO`z{4SB~!rQlH z_`_gyN@k=R$&BhnvP*DGLKqb@BjanFqr!}A!%M*^)D94gwu@w!V2?tKfMudbBH4u* z*@v$JqtkMa?1Iq_k?a!eQ3#{uqDN_*?4r(+*x7lO&TF%@Q`_0uG~iik6{Z2t(m-&Q zTG=$tnr^BsI zBB4E4S8ZV1pn@S+MA_sIMd7#`x>WNvePJ#suq|6qSGe*fvH)snQ%{(u$KTDR^mie( z-_Gqjsr>@6!Oz47Um*;VRe?`};+(D$4wj#~6UoowDXw_VJ`&E9PonCJJjJg+ zXD83f@hGnoo|QYtyQ<+?DR|C4Y{PZtGk)^RBsU>Xg#0pfDbiq^GmyS8-nkC7AlX7x zqnGEtpw(T22l#QjFh-nNrH)~Xi?zg=E;Ffi>*DUQlKG#L40M*3Rr5hblCJxadJE-|q|WWB3VV^rS{Mn`2v$N-^ND?nn0Z03jfLJ|d| z!fut(6>Sk!7E&``~_t6-qoY8)7j<{n6-I!*JJPFv>S1PrXn{H3(HDej4ajnKs* z?g>vmA1wD#+Nn5O7GGv34BbdC&^WvdyQ#7}Jo6+Nh4>?y1fzEYK}K{)-5FwV3}Z&V z;p;U|Rh+k%Yv*c`$rff?x& z4ylZ;C zMcK;i0r+(+$*usHpGStbs0tATWEO5?cOU+jE7S=AEo@`G;I5)jbs`Hsd9G)6B z|5%mScSvG~jKG&on{lG@j0{WaM{wUAa!76%+hI_kKgZiNz3Ta7@{&h(ZaEEsN4Ju zm{yGmgCq~lv)sYJ;C0H{R+9?!&ldiZ3XkccsKe*v4yTF^A5Z3BKJA)xW)Qn33mWg5 z9Yy$(_eU3tB=32u0?3cw<~4F!1f5VnaK_MHW!W6utM<@gY{O?zp}@lMG%Tb`u^M_`*vKx&lc(>CXnM6%o>Pz7!dbkt6<|Z_= zid{6!fX~Ca-Q$RXeTnMg4Vy|wF=oK0Wbbvv;8GU>GYCC97c?#sg`+UI-r2qU>X&+s zAF3v20iV?C2Y+M78GqB>;2A%kBr>oe=uRk&?P7|zgA@%K1@G_mF^8Gr-5^DeM#26D z%dlG=)Jk)Z!bB9hZ#`z3y~5z^ZF-Ytuhc|&p2DR0i)%2EG-)L7Xe3Q+(tPHLC6a!P zBoz&=YOYa~` zQfiJvE2EB%&xG&v5O~-7bm-%-@(PqIi!@N?NKDl2(rbK`ZG5!Rs%%+ms%XQ_SNW^g z%T$w%Ya69@-EVif7xzdn>zwqX`h@f7`kI{lc3hXNkL}R(Yhck=&a`uM%Lefm-R%S- z+p*!K_TH{ZkJ=LkE>%VE1oZcvK2)W*S0qFJJ}DT`EQMqD5ANBS6*Q;(+dsgKuaWhd zf^)xf#Bm>)G^{31k;eDL9@Ki|y!R{5qzg)qg3hb8-G&3bTae)vo`aEmv$0>xP;LHT zRA)r3GGFxv;aWWSaPE4&?)n&{Gab+SGt$!BwlMm31->0IpT9kYZ*SM}x0(1>^}C;0 zZ7g4aeTwatT^Y1{jC_tV)HayVK+B$gMd+5Mle~`Hs=bkZ|EZfwJfP(_dY_s-l}8Qa zQnPbb2Akz?OXmVBc+N_>)&66~7kz50f$Ul@_JonYKze25HnjRq99lg-9ACOe{ur%B zP*>jRmPVjAI(1iMoiYNd#Cp?SZce%mDW5Sja9p5UWGg75`aob11iqO9s!P+oRr=DZ z*8){~A&L`nb`FI^+TZsYYuu;YL(Cu8-}e}e?$hqc<_~H`Zkw;eGjGLl^<~v@ySnn) z=2chYx6S(QNv%3`<>VQ(fF#nt4x!4jj?w>XG2?xI8HcaeQoYjJ6wrB5X ziKW7Y!ol4-qUs3B7R!?3cz;Ls%2Ss%J$Bom*SAvBN(UY9H?^)f)uAo+g2}JjM^2S; zU$@Vncq&p}LSvUix45x{>@&irCO(V(X}aw|&~Iwkc74QWev}gAb4xw}t|yP&q|LtX z{T;0Key zt=mCW^~fLlf3QSm#7BR*M{V`WOJqmFf3ZYP$4vCR_lrxGNb{5)&*%qviJaDdNcq(I zkw>u)jreB;EteQ{bwmtu8AfeQ%PbPhCH;f?3CQ&I$GV5!j@#T#vM#l)SnZzujXEtW zO}jjkp>NBXHzM`!eg?1uV(l(;1xY9e) zzI9xyOx>6%Xm%?%FvLv_yd7+ozx7__pfBZC{lCwwHNB#=>WWSdg9BUJ8hU8MC|#tZ zR%vTUAlSg34PQt*@rP_|-k$-9j zPGAv1r#HqB8u~5=L8nh==yW4Nr{^)w`Za{JURX`g>Az!~^#mfW%OT=NiMZ5E#OIhe zi-;39265IMjI-VXoOKlUBVv0J5f@wyPBf!K8|5icDJ;>uqWvHvGT{O%BN_AMgfrkjcQM?`E( zBjP?H&N)EDre}$GOgxRokC^jqM0}QrOJ@^tUjA8hV-SHT<~I`5;q;*(POc&1z5*gn zA4Gkg`wWs;3$6j@wreB6dEE=d`Sqc-5lAG(e?>N(?s0$~#)sd;_=g63r{te@>TWrx zr(Dwy@LkH>j`{_I!*9i(x_>yw{-Wk0pBTGU zm#)iQuGcvYx@E(Bi(Pp)$6UAJ-P+hsfPnafKIw&w-H8{9rg{$Ar77S0%r7~wjUwYN=V zjDDk=YEPMJ;*8NEqbF`i;{uVCI$5`6YY9Uhwk|%dFR5>zspF*7FtfdK%vTI~*qrdV zE@`aZOjz?(`~3!gYLut|c>3UISKdutObg|`_5z4dtyqBfW(Z+X+9<;{5y zwH$h=XJ);9gJs0zA)CfV_JtL!%kTjCa0}8XE#5w!?-^B-M>{K}a?i+>yheZZSX@jrKWWmKylK#7NtsoDm-`NRScG=d-( zc=L5Yi+3Xt)q+ISJm4U)R2JSzxhgJ3-fz6HSm2nJ9-a1%0B_r@bz7BGiLZUaGcgKd6MhNGb!nW}XM z!`;^)5!E?7zN#BAhcU=h-LMxNmV@8~2tGL&UUUou?LaYn8JVhe$W(1WrfRw;!;zT1 zq$0icP`G;p5>f3*s7e5s!)?ovq1lBd-pp_~S1tkWJ_z0ifoCKJ3Mhs#kD!SYXyRQo zaR?k zK5?Fkl%=?a5ySUV@X|uQW+`FQ|0RW}7??hXg5(nTz2gD$YFAP~@v_FA<0v_oKvNrA zw6hzA{SYbZ(#`1k2JY=@aLH1(2i1lTJclnYdN|wklD6GHqP9haH2$gdqQB%ZVlb!< z@*YYrx^6#>oR?nIroQNL%Tg-J(ww^&yUho7s>zBRjHF

    Ig>fwBq+RtrW}9+HfNz@2cbDKhKx0hMds?y*@m(zQEf9Qrh;Oev zKC?~L#5Y~$yIb)6miSV(YLM>;;_H<8ItAZvh_8-Fwf@dc0^bao?_R-|0T4N&tE?t5 z4#hIxQo)x}f6Fa0-F#2=CzS6!Bqbpk!&PBC4pWFPCD#SV zf%_YhF>Dch?GGCUGvY?dg9Ir`98B2*R7L(k=liWda)G8=AkozQ4xQL>cDe{+b zzU~-Enn>vr~{UhxY^J_~1{6d$d>%8yW8A z#C-un#{paAxYkqYk1WGPhNR=9M{^$jb{gSQnh}O{z;ShIEF5PxIe_9C&MKydlhuh{ z4M(51?emeyhlJcZ!}f#3`~W_(cHtv*szXwMn zQ@!3oIhP@cwMtp8&(L2EDyuuLou~S@@>F+--2S)dEqn1HO?7G$5?_vnO!f6H3L3d+ zPtBnjQSVRiRPU6-b%sZEJQ<_|jWi>{#M(;Bpf54iAt^hhqBhwnB5cOL(5Wt!r&@QF zohGA}b`v*|YExZTK@dG5@xWaMl3()^_{i!bYE@hCsy-oWp#oz)o?A~5cN&S%sXiH; z>Xb>^RG;K&vJJ;H)oN3nEhu=ZPcemyDC)JTE}o=K^;b+0Ac_X2XjxEC84~MTDFu=< zG#W0;&`9Cnl9Zv*@Ld@i{mG0e)=BT?QFb7aq~VF??V+>-Zi`8@a|Px#CScp}P-`MP zvvu4k+E_R{lM_Tmb|weMS~xq?E|NDWJCg%mu4u~6JjH$YQ27B)*;LJ;ZGI982Vb2> zSr&H3kE&TqIE*JJ4M$T7VWl8s0H+#-5a7%9F<$h~xv>Ph>`#j<#C|MAU#6S>0VMQD z?*u(ODyI)}XvNoTw8a>P#XPCon}N_uN|?*1%sonP=cc%(NT%e(Go*~#C>z&YB-xRB ztNs^#6~ISUl~40b`G?G+ulQPmB~o)?Id1Ny^-)i;=+K9dRUrM zKZNYx`aHIOom8LFkwo^d(zNg9ermdznr=5)?q~b#>PTq%d}3FebEi9$BU>tMm2}mRY!G{UX(*3?YaE! z`>1Dx6U<&$b-*%`sRIVykB%dT(@LNPAIUMCr91yj4V=J-^$5g@Gc;zKHd2aYlOA3- z)pL17w8PM?JJfb|QI#dT>@ReUDqyI4&44#~Ptr4pk_@IO$*bMym(xbElRZg0C`>X?5BXYN>!$F{VDizu zD!b!)#BkG#X0@nYe~^Mh%^NsmL=L)$hf2v8=gLLMWOs6;96^xKMKc-?Uh}6mf^h7wt&&a0$3&dV#2xIE#6_=R@f>J(K~n}67UMU z=@k}n8Jr}F1t}8hxVwqQ);;Gr|hymR?I2n#7WEhoo)Kn-T3uOvIsUE z{FGWF!mNIEGE`Ateumc?_h-Gd)|w)Y(~oGg#*Z}J;2FM-z9Ox5v7UxXRXz*(%A=pY z&$&u^;pLo8Z=mnZYtcZTLuc3vEk9q5Y!z;P6VI)*Xtk8%e5wgmlq3a`^!Mzhj+Wxz zMMLT(ljt4(6u!`EY9sbT zWaoCuD&Pc}ycvsnJVq+bSO zv%7s*|BIUAucrl^Co#34EV5^DSvv)J1+ywEl^bgg+lgjpPiC)>ebB#80Z&`DUxOa?+DX#Z_I}Jx+ZSkcX7@&R&xOdF|Lm?*!x_dgp8xsi?YXh1p+JUs23=iQX{{l*a^6O~BrC77tR&{!AS}D(%^;A(D}2RCJm4T#63Oar-qxHX zt3DyCYapu;LRMAnzoIMmhjh}ReR0ebER3VHFX}{O>esw5N)xa!_VU6w9)}S2(U9%q z;X0a7TjbYD+qy|rv|+x+KlRX0TllAoC`RhzpK=z$Yw|idwSjg-^ZkCcE2@m2I>ffd z12#59-J%XKg$3>jr})%j4+1M0R71)cN!6)3sXCRxO4X@!)f9!<#igu-Q*Efg+;9AH~>UctdUr} z*A=ivI_(>W-t_Fyc`pyW`R$?e-yeF*Cqr-jdgyKc8d@;+P6rS%7=HwInurMv?9=(6{qiJi`PFr4@<|r-AEm~8QHvf?&MJv)As~=7)C|dH+qiK%Y zZ%NC!<&K-~NSiik#-w!R@7y~I((ZUr{z5IaOsNs*WFUIrX+9e$|Y+ad}QUL zX+^6azHbS>Uw7ZbMGstB221&hXdYd)dJR41ztN;@FX?~X`gFy+x;0M>?Tc_mEJ%9GJ@UGYS54I9+<>cYt0)`|qXWCtr(-yW(TfAgZ%0Ts~p6t6verwTrcSbg!%TCBQ*$`}^ zV#6JIS+4eR*}7#0pqP~V65ers9GP#@HKShBr%lHb1JU+_?*WGUa8_i0Si!ZEy$P`PwT34*R7X{mqAIW#ah`0{y9z^9U=ykWo7REA z)fS$Ns=yUkJ5^4^dBPCqsX)Ce6XHB3KL`-#Np{vmRrsmi)m7t66+k4ZScecw9|{lm zgP;!uv3fz!YmTqd0g)s-A5ClphjA!~HLtkB=4lU4&PPEk&k!^*7Dbp)5GxD?u}m2t zSPFt8An<^|g-B6g9GXButO^vwGR*`*83?KlqDs@|sETw%id={kWuqWg*c%`~q{ws( z1kE5Q0Ri$?s{#N$X7lWI`y(giw-g`=Rh; z+ZGHILP@%5Xab?6us?tR6|+nWL4b-`$*7oBfr?pGPN1T+qGDFqpFn_$SteA>vY}#D zGAd?O=tiRth&5HLLldZ&WkSU)6DnrefQpiAe;U=QK;S~ftn4Si0Tr`MXF+fh1bz@S zfxrpalmIGbwXO%jw;=cy1Op%#0D*36RE0eSeE_aX#YQv{|E$fFigH%BFRifcMa3*9 zU{iWiAP>M*si=cIi~zwj5UfB!tm7c?g9A!xh5JxatMzF#k&c2`MIZpKifsoGxLODC@{MyD|V5DQWC^}nz9t2X@uE5BpqS-5_ zJ}WJ<8IgQ>{LmjX9!`nQ!#wD?ES2kd%tj`LxP|@-bwV&OK- zpiRh$12p5Dl`-I$T4;lHblhpYfiXeX=*V3#q0JVqnO5%64Af#ji-syGlF4pFsZ?r@ zdp~_Uo;uP(o3>=W^*Hz$BZjEY`s{YYjWD^&u9i~mx+=*avKHX?Cwj4QC>O(jF!?(-PbS{Ksvd-D%tHtrXOTP z)I^$@D9y(m&Gg3NhW=;7o!%Jp;Vq(qPCI9&AHZd{aIVH>h((9eEsPj!PRFqJxJ!*M z!64i|_bN#qc4xl}KIR$t$f7tWyNw|aI4YlU*%G(=uH`7Hg`ILV!z{rA)g^G)y=SO! z7DT?ft@OIh``1bX6NlfZW;V#hvI) zf3KAWZejB0;9z_XA6CB0O{WVCoU5J$`OmT-w@4kY1-;sF2=eR}x(GZ=7UUmx(798o3=ud!$u0(0Tw2%n#y2IO^yiMysl{ZN%v?@;Seqffasaa?Cs^{Fv>g zrE&SKe@gxL8~AfsTx#oQsaNlf*&g$b#g=^{bu9ig6~{TVKTZ7y5fsPSvyZ2K`$o)+ z7GGvPxw}3nu+aZ2$k-9(MkJthi=_jQ+yf?ejJoxH%{9f_j#IB_h}5}Jhp&DxZ$XSH zL;@ynz4e263*rm@>NCH=k&1BCPI4XWOG7xi@!K&OEi!UGZU%W zAHTtE2j7rg$nY5RO{V!XVwd2pEr|f4`y-`^rp){X!sS#P z1-8)~OYb3P30-y}C4^^O4Ba-GcUrDw$Ik+^gJfimwuDzst`%DUD_ZNRlmbH)s+)Vw z8TCdi9{WRA{|o!mhFH^BdAEt4iT-{eFVZ79htaaxLqju4*$*sKF{W7F4-94bG~$gs zGMvAQ-Ep+(_vFJkC9~@k>~<16%7nf0_F{N~*)dj&kqU8<4KXTGY$tz53Wh`MGJXt{ z&Y-82dRAw^_B7hGbrzcZa)D7Y2+n)i>iL@9vUa9LV|JN12b&tZ&i=F`$m7?-t><{+P>UH|j%+(!CDA*>fc zm6fZEOrO2^2u+sE&MVjz5<7>=ZUgN~J@T$JAlSV`?B*-%0_lXfymfQ~d^m$D2paio zH^m+jO$3a)%ShhMKD*zJwCvD*BT*`9)uQb5s+=W8{S0l0ie~&w-Y)XE?vH@6dyOt) zd)H-KCJf}n`CohZn}*Xzn1K{3?d%*7-FbcQg9 zfS+R1_=l)A%`VxdiF_~^!<^`&I7J)3>2c*vXIYN8P&{MP3EAFRB$dHxS5;d!skS~@ zwe?DGk*>Z4jslfsn9e8Vqkt>wS+r~|!$-M|;ZRVvqQr#QMEA%#DZnJkbcygSB{Y41 zW$BI`z}g74)SEpoLuE9|dH9H;`V=gqBTw6{gJ z^93%wt}!_Yrt(Y+V$vsPN~W@**~@zh&4}>^W$U|w{aqEI^|Vv%DU5n2;ZpR;Lf#%m zhHVY$bL(kU$a(+v7^VF?eRw7)vUcO+Vkt*YVIh4#-Pv3DIy!pn`N7gr;=^KYmEOgA zPH9y&xGUe_?2JZw#~Q{p3Z%hyR<`iW&CT?qWB4fVBJ7Ny`t<)7>NAxvk@m<3JIBJ) zw2?XS?_!QsS!Ck0_z&3_?v#2up!RgM>3I(na;OG%$AOi*4Lf*oQDThdHtD_HL0ahe@0SXDWaK`VL#OAb}Sf=UIsuW>8k+q}l$OkUbmffiTvO2|iLm6C7e|o}R;pq7F(aqkZ5C4vl`%!7v6}LI+XxEt<+4 z*3XsVa{dJBOIGTh5XtP2C9_v7t(dzNy`pUFXSMTQs(jv?shsyF?EnnJf{^o`Eomt= zmigOD1kznnN2}V^g*_Vkxnx$BG|>ZlSBM-wugW0^B{{5(gk&TTnn|clIkf|GQC?fB zN(OJ$J7g_Zp!Dl)rC-po_w^D=j_i&wd}QOPJEn>&@6hhi(hlu=kn@9kPxE_V>&c8E z-`OrBZnL>6*ritH;ar$7wkY*vQO>focTa?k(bq&)xfs6epF`R>kSRCT;GD~u1 z8U85xHH6a|p`oCCaws3Aw%k1tb4E1My7< z+PT;^UTvq(URpyT_7KI^9LsqohwKcVg%`7pf!8(k9Q_t24jK}7bH*^lWR@l1h6oJ$ zT;738b2$5EvQr)ED_Ddpd3jhO|EjLu!IdOf-EO#!JT^MP#EmYYY!0`M{kbj(AB3Ch zgoK`*Ju^O~Rgz!1PFdwaV{b+mJxCM}54_?9Q_DAXciB8;Xzf!v4IQcnoB%p+0NThgQ@!tJFmV2 z=_a9eUZ0Dd8-x45Tbb1>U2|ye8ONADXvL7Dv3(XDugY5tsu$07`MG$vk=Mziz3SwA zKf^A4;~z1;p@!WFQ9y83B575fbkI1FL!PIa8^i-T;wt{t%71cZP4eJ81|duTr6oN2 zqQ%f}!8+MH{h0%avCb}6VTGQi(l#~E(BrNj;(>D5E$?m?>!UOq{f?VdO~iix5KHcq z(zSH8Yh||Gxh0?vQE5ls_S&|#(oZ^N_ zTQw3I;)za5Fsu~-5Bl}&)UywofHz_vq~h7vuV;^5z{n502~9GUH#O45^4GC&=!fA~N_5*R;Sa<^UW5X-~<4G)FLyX1FTsv~JC0Tf4o+exvS} zF+(#HBhYVMM;+OfJzrZIIy&t5tv{j%P1*C&!=H`*H&Txyo?67-UZakJ5*Jo+>(_Qj zt*@unyR96?Yb!$Q)#Vh%Q(dGNIi)M-+9T>uf)BPbuj`JqYxz;Ve8kS$-AWypW!dm8 zWG8pbPp z<;eq{ANMY`wxW???Wi@S8~JfZ>A^Dncrs@y4LV19YUR)o^h&V~Cy4AM^0$mL9|W3J_^g5E9P<|gRui=1(Lvn(FdgbQ<+NhG5h#P;jHq-qa6YVdB<~sX@28J;3ckG&WX%y@an>Fb@52&Qm+92>SS6y>n(OsyKeo+neO`gsW5MCzVCASd zUVRAyd+z{Nn;M6hTS9}`74eL0HQDD9QaFXRu@a}->eXoAM?v)=N)H-WCo*ast;DDw zE9=5=)ltK0R4WQ%ciQI;1V$Z~7KuM@cDnH`G+1WyWP*7#jd$|)a_JbOHc+XHq|0Qy;}xhay-pBjGn;+J4@S^j{O`@hMXq1^2$EqU|>Hi zc@YLrk;34ulI3qwEdM~tbx2;W3bFiaH*%cfZ%(dHDCU}@g0(mCgC6la#a&9SYsoA$xt(<39o?>sJm248%Z)u=e9}So?uu))63;#AYa6%Z1dD-2^>8Gwf<8wUEHZBhS!S z7_#bMjI(XR2EJYP>*{X3oqFsw9#a>x2u=5Cu8MrgRgt2Ero$RKkgvNn#8qJ*zZX%2?>wTd`aAR+K7TYv&%YL#%QBN(ib`7F6ABgk8uf9AvOFyd>ZvGB zZ%RI@PL43}k4oOKze?V)y^1$1KYlWV`O^?@*!fe02vD=VcFQFO{`q!(uvI*`P@!2U zvtMS3v=%QRlwsAt&x%tl6kCXel5g-1y*ti=cL^+!k{$ZD{SnPtZ!eyXUr|y`;>ygp z*?I&CQf6#`B;CECC<=ywswoTH)TCoFzQc1#Tm9^;8MluYoa`pi@Nx?zFTqHhLOk5 zS{OD%dDZWyIPK$CUZyxbhgnjm`f%{z!;E@H^=#q?lf(mAaW?HF6RC1uFAxVckMPSW zSnuQ!){`ghsH{7?Z#{ge?zI148I46t)aR;5a)wZd?%b_(?Tp&BK6^*}luLD|^Cvtt ztHWk0V{rxD`D?BgoO4SR!yLlS`F^_dd^^V&581u~T(X#G5y-C@dub?~cd=|)Mp}KSN`!r3-69eC^JN-)6<~*r%&2K3B zLC>YS)BD5KU{qch{V!Ee+0YEpodfg8abbQSgTXiuXrHq&Q)>v#l^-6e+$u_tG`os&Tz+HraMy;PJoB?L`s&jh)}yPte6t) zYw_=%a2GCT+>Y9=k=IeBSgxC!$aQlcpi;QWTsPP6AcC1xu-3+PbLUar+#If(+rV{m z|4hV1S5Xbx&Kx3sl8C)#Dl0pmO5x_rqq4FkTsL*jvK#3PA#J=M)^TgRNACgL+h+&G(v2j&vzxG1V_n?l4+s+-$Z zN5m~$WVeXw=DOz-v4`sBMqbav zIlp7hKO^FQr))j+)8zY!xS5OW{+x(iQ;t2jV#FaTvRnBH5wGJSyQ{B$?$A%&k8q!F zA8;#HL>n=P#*zZ}(F~+;JNb|C@=ki8wEnUN9;G#I=n?eB)3e zt|8)G3y667AmTiiUyz7%tVC>jfnSh_eLrE&EDwtZ%?~3n(G}THm;ryrhtFY*HLBq| zb$fntr#)k6_{2x=*H1P7wZPnMAMCV8mU<)cxr#qmJNw)-oB99v&P^G9$2e?*a&N+) zxO1cbPu{n=q}(svx1mbEI`v-7mdENhuG2~PYTS!=ZOD%a*2JA26|rB}cT+lQ;{Gt( zk*53okeD)k&(8|{(e}3R%Eh{}aYJ$cW~vXkWy1^)wr^N*)*$-#j@# z_HhBgRX%NY%V*|^A34uWiy)~#<1FUkZa zTK%B-s!CMvZMzPaCknw~F9?8%R(c8qeh_$ZndbR~aVA6Nd`sji5PS)O4{?!7b($VH9NTBee}puX9QF{ST38+D03R`4N1`8UKHJjlQL9J>{oJ=y!M5InJ1WrZLdJ zMGPvL0sHDn;d`{)Q|~|MIN>5CZeZ6gHlEU)Qa9Xx&yCysw zfY?>pPQ78+dsl@gVNYYPrY`bb>PQo9uy#_{?9Rb&)E9O7sUrekYz_XB-^5vp-(Jl5 z9X`w)8fD+D@P>+KBKMVlM=#)vep0{Gdm1O8$0{fGM%aB4`nMs25xX2@!WoGrr*bw& zyo;iKF`pxX@mMW>qV_vI@&NUl0ToI^`z_M_mh@7;MT+>3@E3;@T+vSj&pUNKp27+A z>^M)Mn)l~^#zYa&k9;zDy+j^RXIwX_FW4iy)FZ}lQAaXiCN4kj3Qr`&0jC!ywIRoF zV|_+=F5HrvwmT~Uv7x#Mrj$%O!sULH`EQT!d{=hu~^H5)5Q{T zc_Gc8n~V^4v99o?xu!Ne2cZ3x%@GH5sfm&s*%SE%jU0#G0>P2{Z6LuuqpBKNhKC35 z!B14b@5e5ZE@K%!tQYV*j0cn|4z^#~orZT3M&>jdHR9%ktOLY` z4m6aZnqH9NfPvz?AyFbXOxMVbej=yc-CM2os7vY*d-ll4L5~6z*C9Fdr#c$Xm>7Jy zF#A&~MW*{T*2K{vE1I>%(;LaF?=%fsI=d+7OwK}|xBVHX>x{b|(mHVgW=!?Jd3`kJ zW{$_yV;sbSpXiFLk9-5>YFF%UbxFF2N;a2;$NZG;Gmsf+1zYFigGbIg+-~UEkp3W6 z<|oPcNznZ`Y8Q2ev)S9&`$IN!54X=gp(BoW@Z3BLA6Yxp_HoBH7(hukGEg8xq?GbI zsVAMtdE+RFb-vLck=rG5S8xbgEWsY>uhM#CU?+&uqeZ=6LdV2AF`o5qJzivfKJZsQ zv!qkvR&hlvp!-$f`J0o5K$O(H3x8?C@d+80c1w+1V!DnQWcr5ysK+I~uLr0}kH4ZN>3hf-X3nR7C z#1MEZ?O3S6H#qhHh)q)Sygox>Q`kaM-WNfs47JQee0xE9*v{u=tZI!?PwsS`QB z$A)YRW)sCK#4aOkHhL*E>QgN_^EX z7;^a4atT9@b2t=+JVMM+UGFX7rrt-V<)ax$mdOgnJ^V^agN5GLBw^lbWv*5Y7|wpU0`kXrA=j zn6tL$0IrPxiflUF9R}?TfIsQ(eeCvZoB?hb8%{XOoAKTMP5V9+VwvsxDH-U~tGm8P zI~&#?{ZxK*xnkcJ5U#R&@xYvUG1o=Dn;-iJ;3}7$NmvV9<$>a9g$l0n7egFr?SZs& zz6oK3id>cvS?)7GkjzGT^yL1{>q~0%xy< z@GPkap^?dolXRZ`hxF4*?WeHZ+hTnY*jOUw<-!trBPN?JG^J)>4~e-m=Ay;owMR@D ztdESjGyR_yr@HUx6FF(bPTEY|tWvaws+e649t75Ae(bFBWn-6~pPi$(RG;-ZEG+~) zw^OqTD%05{)PIg&*N_#YY86ir(iZP6rjei{X1a8=*A{6CuS_ojJd&m;lMWy;m#Fua0 zO~_P{1~8i>GxPZPwf{x0-OEEF&W`rsgw~R#F*~C$t3slq#4Jr_*6gMcG1E2LERJ9+ z8=o#RA_m+hQ&plqM=4!`sNa|YOF~UiD6L#eFP={?ZlPXKN|ugB-HR;#r00wEgH#gs`&^Xs#tUs5%%l2 z-6%#B6Gp|mRusqJEhcr90tnm@?Q2uSv%#B8Nt)(>Va z8ncrj%x2XSvm(C2{zr+K*W<#T7dHMiyv1zOy@axsnGq?8?^C>3+t_^CgCDBmi;%_q zYoa2Pt~L2_wK@JEA)bY&XCh9NJzicZS!@RT_^kDb)UfRVN(8!9UMU`4v!bcJQd54T zBgPMD>0%tnc7b7J8`?utC~j#4jaW=!2|7PZ}=OUjp!6+%W)Dj5AjuPLrtxjs%9|xGmZpk#!NPn4KC=2DzN*(oSuC@~VsTd9388tC=UF{A6c&9B zawE-&C?O6CI&|WmMgvYVd+)J$AW`G#Bs1Ieh?gM73aM0*YVWm_@FwqDwWh<6x(c->x12fE3LkO)h(w5UD9FOWo}Q|rm3KG@!cL@@q# zV)R2uL#gEAb9)l$L>_%!htv6DCUA3+Q~>&D1HyRium;#w3<}WwT>0?jDM{=r_K|rHJmH zAWh7E#>o(<{AciUbz)F)Ex?ziQ{%plz@=%E15JC{$pcs5>k4;;8-~^03Z<|1!zEBC zc+VlXh1L%xB{Fk6$uJ-F72ZozR#W;rsYD;k{ye zZl~>ejj}!8pN>;e#I_imihOIdQ_*(AVdC&OKC(znUfLg61QwHrsOpR-wN>E z6vEdp_#Pm>bRG%i`?$<^m*D#Z@ue=P?W62$XL9TNgnGV>w)jjCnP zjEmEyq|CpH-RE=gG1DoO97C*bPFDl;MW~yDi|ZW7ca3-x>gM3$-S}H<^iRm$Y8MxC z8^I`RsG!%U<%ewuqXiH0H77^D+y^J+a{}tJEy5)oZ z-@!A}t9WLEa&IBt{q_IR*vg};))uY4f9aJl&7?=@SMV%miBivE$iCyVSoOUz*O}hU zjO{Gkc=sGnLiyH_ZJT^)x93a?;*a%Z$6P433j8ro!jj&E0UhIyh3~gj9_XogERka> zb4Cmt%-U<9iZhP1^SH{e)5`c`W!=Vd{Sd|f z1EjFWihxc90$oj1wgu-V2hL4Cgh#3#1wju8j)9;FP-2CI6b2|Uy>f1ASeMr zXM1>ZGhvOz1NaF=XY?pKQ}xRRTlnk@hXqAvY$!UDYy%Kj0g%GHfD)@%2!c03umS|1 z76Ur!AYPNO#()&&nuKw<7k&60nkWOoIS}-Mpl35WnSf5B=uB$~2#$f^1?uDybn*~7 zxdoj}LMH(w=6(pB`~(DVP$xmq38b)QAcdhSO*mDh(W5F&6{^yNze}A2K^<_uYybi) z08*HDCOTOLf`5SEG<9+bI{6+t34(MWg}Hzfmi-j^@NYD69+h#^A5g;fD5EPN_Zx|W0Bl!6r2d^MVwi|KAa9|$R| z7#t1(O0518q_EbXqYu*sQkVruVHO~TIe-+FZ66Pbx(xw0Aca){DJ=X+a5xWweh^py z1eOjUFxQ=U3m}Ds-2o1-fZ#5`cr^nEtOP({fon0;cVVc36c)A+1aG2~Knk<<91bt) z8WuF9)>_DP5sLL?Qmoho<+xaZ(MI30BU8ofN>|P-1xCheX`+2ieO4;)ypG6@NvA}o z;dya*K*Y8lvr#8RZ0QwhPKtXyCUsDKrs8=mNMMJi!1KD79Gc$?&#R6)9H1sO2e$|f z@UGh9ejks_8PpL@K}PG1#@46vgl} zu8Z-!4lceEv?53S^qdhe0tKGeo`la}LYTv6^x!;HoVHy%A{rJjii@6ZWgGt_wT&d@ z6LvyFDNg%2$VZz>buiiI+$Wpt&pUaZ%Li8&!x)|@5&~7zA#mOK`oFYMm1GD z#)?t}Vx#g=*n3_Eu|}WU?FXkox}_aaXo=kvn%X?G;Rrz}~vB zlg_vTd#iu*N`k|vxT*nR%rgmxrNh~^yGWLFbX=%pH@IS!04HlB;ba}WZz{%kmZjH4 zI9Yz#U2<14gP!f7Zmh?L`jW066Cz2YEFjIc(<>WbMF*YIN$Z^1(8jS1BED zJP|*|bL)q+xa>q)q!@O{cJl&up!z{s@ZR+DDj<0^lM6&$1p?FRBU$iPxOqFHM@Mp~H7WGfl4RM9p2T~h};D)%&?Dtb&2L4o&FYOZiDL_schGnm3{HZDlf9gvY zFR>HoikW6P_%7;pFn9)i%PzQj{3YX06%3wE&ls{@eFpp~6Hd7)vUW2(+Dxn)X)S4m zSYTeW@XiU*Zhv;NPlWsPZ{n_KH(dL+fp3V`G^}C198otyY=VM$1+Dot^KE0kbYfKb zem|`ljw8sX{RI#d^+5M&GNV`=rB>-)2f6BS`#M+Fmxt+A@>BA1Xvtws`2ux-!cP>u z_QxSvey6O_Du2{L`JT8*{}>IkoUz0A%l*u6yTQ0!W;qpMFL3bJw?vnyhDbTfc< zEP)<%VJCJsfgW`-?XVL^6M-IepobT<{S0){K(!PCEilid#&*+aQ}~~)3RUdON$mUV z_3P1R(-x|b(k?t>-qGvugYps@cn4A=$tiRNjdR*aYO$^9#tSCLo{c^4=oo3H?Wqv=9|o zxxSxfq=>eJni54X6&X_E2&XA=3tT?fNe*F;niHa=F7)!^Vh7(b<&eCF(#KO{B`;CH zx}HX-o?fSj5Uemd9%I;#24AZ4Y@$XCv+GpYaguMk%+5B3*)G#RSu z#wOD%xA6|lNK-J!+9GpPsMh$Zq~B|srsF1d`Pb8$HB1ZcWEpg`JyaQNvk*-qxlD{y zSBIqW20~Uk6(RXFONSJLK-9Ns{=E=1=zy3{Aq@V_l5FY5QfasHeijCTr6Sp4VJMS@ zVOJIJhrc7HYeIx!Pl6^4Pe{UWdX5l=*wbjTEBby-oIPU?QEv z7jLX~ib}CQxm*D>aHdAl0Elb2nhnNC5s3rZ?$^Ir+WpeR?pNxEP#?Tc-u?@f)<3*9{ z%N%|~2WfxVBr@!n$TuGR1JiG3JNnNx+!>3kYRC8pe~PG;|$tyuw*-2 z244s4t5f<9Y6lE+uw3eF^8E5ItfdmTn5C1jQUjw4cy3)FY$ zAUi8iBieRM9ntT%*(bEZ zCQ6Kz^?RC#bQ54OyOuBA=_e5|z=9;{yz0J>vttY1SKr|Z+q~dfzf9#i+O*FZf9W3Y zVSI$PIb6SntV7o3Q>F86rN4z`?G<%I1%O!QmgP|D0zmAeR78*z0I^%2{}aJN=?0fz zi`}t7w&jvI#;}4Bk)V%)N_K3;!cO^{MeAwNE_D zTjllUdyt}|7d?MfM`)I%!%dI?`{h|K;6$>Try2SvILjd?gqPWLX!}?lAu-GU-7bFB z3CwcnEytNJrFP7AH=`cWV!PbWvG{pD z=VT;e!{Y4$tG2T6vQ?Y+IYz_$fE^~+cWv8UJv`Ad1flxt$}U~Iv-jejb?DlCgm1JL z7|$xNaixuU3!n5(Ie`?)dnoKNGZjE;;9`cVJvRDzdX3|H0^Oyf(G|QAtxI@ZuWwSv z_4_T~A-gVHzN25H#!fpJp6IfjIFNsYO9zFx9~x@NS7I*nsO~fbOuO}zD60faBjV6= zc0t6fF_jqn9Zm~CVE&}boSffYt7L+fd1$llHGZoMmztL1DC3-K-JoybTV3?=IuM9sb=b^T-c{X?-sfWwl@#tr-P5mW{j$w= zQok}IRxAC2Dk@;uBk%K46Sd|)QWNv^Y7>bo?ZAgbd&foKl5tx~m6XrcnH0DjZQA?< zUNO)pMVOBm$rv`jEO3tSihcG%x=+~?GFGz-sZWIw+tog`i^IHYHl57M?bTbQJpP^> zJ{td^zear^?9_tkhKnvznT^Ajs=guC&%Kc!X(Q5WC8Iy9qm}WVNY^MD zuh;rgQS=mmzA)m>&KV67UyGagIsoOPY+u3&?|uFoZE+m-s6MAby*<*j=PjMKx|?i3 z^!B`bTyn_mfLF>euy1$t+mH7(8(dz{uV0HebGO7x%wK6fs-qTGg`LNvCMj`h(I4nij`TF zPThIZsXI}ex?4A%0&YZxeCqc7m@=F%TFj4Vvnre|b!4Xl!DbG@Ii<=TQrFyd^coEU z%7;8+9DjO2_K5YF$WAr)S{T7b>;hXaKs@GOErmRL<4(|Pd?TQIf)@FMvUp^*(zxc# zJfe=P@UHBTyekh%-j$bxcV%7NF!*k7mAxy8+dikp&UR1IR=$WZeN+OMfqz!O5RNB* zD3tsM9j8bTj8A%lf-th{>iJZpyJ<7->7qz?VTGm$JoCMvF7K$>r>t64LwM#Nq6Yyy zNDuBYhsUsrd8z}zOF+)AtHa!E4O!mLy6~%uesh^B2xG}GI2*FO<9k73?JHsoAdDa| zdaoXXi$*>2$-*@*0IIA3!+q*r$at#zxr`5IiL^G6M>~Xs@rS~BXdPP;n-0JMi`GVKDTu%gUrTBY!!Nxx*a)izMSueyRL{I2{$&R<)A-mPr zxLi+k#B)gXuAS4Aas6I;BKzl6NE8my6OLc);xUWg^BsDk=$7TU7#pG|ytf^r*Jz6R zM0t%X=!t=w*cUrFgV9=8Pn5)u#L^AX6S|+!vBtWxfb`SFQ9ZVuHQ>f z)W%P}R8OQ1qn*b3SPH}Bps`>in)QSwuQ>cNJu$zUtP$gLepL{bMQxz_Pyz>o?n4D} zFme(AhM}TEf2A@C*^x4#sng6m_x+BC1PCy z5%&;r8v|wZGEl}&B2H$YjJ*Vuv7w8IT?~}*7z1TILY&hXDC27e%9ur*{REV;;on5u zY$eXQgnd!Dk%$WzC?kV`GV+KxkWa)`0-dmpBjO`OobwAJc5R~-M7~bMrPGP{V@B0DPQ*=&s_{4x>(>x*)2&4OMG;1Qn@$&I(C)zfpW7vqKhATGvo#>FV7RL@ubC%72vaUaeO*o!*AUZeu{q7=9oIl#p*02d?j zhk(7<1A?U>=mbGC2wb>PcQxT+q=Dc*5Ojl}{r|(b7*@u`IPD-@jJc!!e_Ra5i2pDy zMlM3p0!LupOq_^5vsf~)Vc(uDMJ6*VOKDI13cFl+7un;o12i1waQ;R)=OBhoC*=i9 zNaq0dMiL^%gzrGasE<5}-8+lx`1v``LJkQ=#0bCtGDM6{C-JX&93NJ?cBkfC!QAFj zZT8qh)KL!w2z|l!Wr&MuiPT8ZSNJdSZ#Q0e1k&Mw1 z2xCNy9vmqqr(>8(Ha>v#i;@4Exwnsxs=D^ZCz&J@5?}^`B=VA(gjW?p!fQkzGYP@) zA`VI|0^%ehjfgfNVnj4EnS_M5I@G8^(N2KY)Y99*qKyS~CNCH*Z3oS@siICIdQ;2g z2AkT{a^?43>zqAjAB5gM_mAiI^z(5#WY$@GpS{;!d+oK>{;uYO-fbzlYtR`siQL8HH2)G7hDYj=?pEUJ@MnaDLFt7F2^glF3mB%&1%a~| z?b-oy+->l?Bov7x6w2L>Xf!o(z6FV>nd2$IE^uIG(~0R)3`-CDUTMk$fU$VxDkvDC z(Ty}_e7&fJow+n-3JON{_hA~})C%AXk?b2(?tbQDkSbg>mtsMQ;-GU@kc28wJn!Qh zr$q*py{ z(WB&c8}5xg7nR{gMTYagOEP?mEW_KvB*V&$CwA$nr_HDg&mb9&e3!v5+GX&Iu<1WZ zT=*^&XYhzih5)}vzbg1emSHI{C?c`$DENiHmwUizkVnxMv5LwzL`(p`=#+EJElFHk z`s#oi7nEFa2ES;#TLHf)xH9-fUFC7KW}ZS#viIil=*4xdBph5%m+KWsfd$8P*;DDc zL%fc(#PPaV&Qnpy6($3pHh=MZzycwa3yXK6nN23!lQUl^Aj~S7NAuU#JW@ zr;Qn^DrdEwz%Tp@zB@wMq~h1l`7v!$zT2Uvodwrv;QOxXt>A7gqcvr~x9FOpC>?E5 z_B}rV?8Vcw3HG8=hQ09K(MT`SMf*VwJ5GIajH>Jy4->8?jx@Rhvw_tN? z_qPc5VtbZl*JSUtn+Iraz+Ta`p`M`CNqZ!F30mTtKAcLa># zy(zBb$h|((pU^-0U$M<#c-2Jbfkljqhp&W*aow;2M~8jku);fsEx2#k&5sT%x+c6l zAHNYs#(Vhx{}*ikZ)0UdZGRnR#+IUFfr%$DGur0SJuP5nsQ0vrhtoZ+JG}aPS_ej- z%2Y5ga8K)e+3;A#z`#ANa_OE{;T-9n*4dc!=sm3rbFV?czRT<1^{5*oOE4Q%&3B(7 z?7Kir%$~4i&uQD9ceD4r7k<>{8JID3?by0`ba87_iEWDEJHw5S#x%a3)}9=U^EkW% zVxLL?{siuFc>#5omWR7sfVy+Rq|dHWO?p7x#jS%$KaimA?f`{a3f^K32;Kz2LG)qKJ(a=wljy@9yu}E-1+Hop;HuX4PV@m+wOqKW zm5r-fo+Ep3_8EyLa8;`XSGDSULC{To00-Y&I2_@kl@C|78s_3PAH&(F9|T{5!{^`- zehVBxP=>2oF?l!~Re-><&z3!As>4;ZwlY}P9#@>b7X+DTq7Y5&!fWCtR`xUyl&(b| zKo9_dA2+c=H)AP9?>nuH(4Nxr20qN>_?C1fn zFMca?rs3|ZM}``7TpJ(?AL+e@kH&r@RGg>+TNQz#Qja?MuxvdMG>=Rv!&tm<0-{e+N^C;R@_bAJlBKTk;g z37cYW0^e>O-x^Yu%7eJx|L>lCe^ob9+w?BVWKy6L_; zfC-BkdOt!(d|5fZNbY2rji-$-WRa6p44SVE%nBuDRSL6SFdL#VE7URD>?CH!xA9?a zl$g04F-GLd7CF(i$1SZkWLxgsD~GJk1xap9&)~zl93Rm$QKvi2$oFXWQk3Isn=fWG zWMP~fkv!u$fhWva_)wDXRqMqtqXm2HB01g=G(JWx+yPV`@oL z4VxK1C+@02Xm6oV{8MuHp-<}nYLJTl+iDi#Ri6r=@7am-v5Dt0hDwvI1@k}leb*F& z%^O1$GP8@ePr#z)S$tU6%FK3wSyG+G%&su=gIVmjD6^zGnb~{~F*EHWW*a1C25)Qv z8m{y6um)o$;^nTB6Qg|jZ-QLGx`<%FqStW(F&8+W`Otg{v6&o$&)~gQ$Y>w_VP9jF zS48-*LOMm{^E6&dXdgqR1V_(xeYE6!e6xi#mCzl14!?I6=5K`@kj<^8b!6Q}^Vdm= zM78n_Beuh_ACQ4R+)4ipD&+{L*?@4Y-?#)JpQ94+$6^Ke<9oP?UnrsE=Ltq+i?$P zP@i0ym>8lL)AzNlHr5I`nJO>8j#ysqBwKlh@FC>HFo>4lT6y^e;(v(WtznuzCy|On z+NkjeW2|dNY&B@Jz`QLPe-cY0QaL+F-=mVfbDu2Po4aT*YB=fq48BFz950jSv}NK~ z*PI!UJAMsvr&5O8G2ZQDe+#9pnCP3(zY65ef$@zvUC&EA?5AsS21S10Q1Of|Ej+zMd9JCUJ&p3;hF( z*9^QfZhN*fr@z3J$p3KJ0Hn2cNOp6XcVaEq`u^p>_#xO0fz|scU1bX$O`v;iOUm&N zH6z78cp5d;Pjecf_QW|=pv-(>;{!|&elnAc$oG=J;NzcB_uJ!^xQ8&w7c-=&_==i( zJZYVekBWYLh)Gt~XunZPZ43_1!S8t&sXyc-qHrsKwu&Hc`pt1mElr7shPMXFh7&${ z$zPP&MHF_NcxhAFU2RVcC*{)qI0Cb=HakfBqpXzXgfF70Y;xsz)rg^;S9*p9t~Epf z*J3D;t8R#2m9b)DC)7LS6oLXu8hFA6(RdC|nSZOc#7ldkytM7g`I0>(A*?yRPi3xLKKSyz z?;p(0%j|xyvYSqtB3owXR@jx%1jeZMsjjx=xl*zGa<%2xJyuHGHu^ewv!K|eX}$W= zlSnH#HLVakPSFZ?Ln~Z$zbk6y%i14+ku{+D$g(H8AT>n;kPCpZn?TnM7RjEU@qBqq zaRhvzzAS1XjiH-ddxui`zBSH_?AD!6*J8I6S$0dixus92rPtJ!zP-W4EV@Xt#1^t~ zmXhcMcGbo zstNgNosciCrD^LWE$>Ye%Z04IYKc>m!YNFgnlw&bI!;UKh?9-Fg8jV22Pwg}dWmf2&El(zUK$6pxK(w9%_}(Im&^+v6)u?XoMp199%%#h12nLz zeF|9B`4~7I_i12N>*Q@Pvg0UmA`z`{3r-As<<~eRJ*RN`3Y?Oj(>RsNoD9jY5hv2+ z6@I}2XV+ zVH^z63VAV{Jit3AF}9u;DtbLxWjc*-AN~OGqV4*UJ9<^g5%E$c?Kko^GI1Bb8totz z?c&uKE$)I@R@HY(FGF~E^fFgd`p%*MOzE!-Qt!$0d&x^y4s*K7Dac2hsmdKLkPyRJXtOE(zjy8>} zYWea1Ov@kV>p2<4;wlUay{=ok_lH*Id0ua zroZiD8m2lzV9?3>b>z_Ra|Gf8V0DLl(CFXAZ5-yB?|5DJa|G#mgPbGiKFM5DCaYX= zs^{xcF8L&6n@-@Q6~XmaKd9J7JVt}>r^ycuv*~jnk^3u>wbm)7p`uP--0ctT( zLf4vnDX{7q#8*FB4Fu|@rXwpt`>c9gH?G3VXpMm zWHRKoUb9Y0bp82YBK4;{UAqG45stygdk~IIeT}|+1vdWcPas~M_Q$uYk`qJC2=|)64!;`E$d96 z{rzhT0}&3Jk4KcVML5(x&~EiQ25!!tX^gxT86wUBZyceKHI8lxaeByZdFynPvGXeGanz2?#{TL{19YTd$Bn4 zraf2|rn@scUy<(4yragA4rEc>XkOwnx*G6(`R+{9TYOwNWD}P@`W&_I(;)7W?ioeq z^4)-JT#-?I7p=@*aF7HH(?kdvy>*c#M?dm(a z#5*1$RN5oM@4(d=1(o*x9J)G_+L{1Gd;byo&ZxA9WeIdy$+*ee&o`E%c1HZF6`)pJ zw}G~_KDch3BVlI*+D70zA5%lamuOYeJx_43)}NGx5#W7W(bwO10IyP*aOX_4V9ZBc zLfzti<5EUyS~VT5iG}@-Vlt>(Za5_F(zFa3&9%pu4QI%)mc4N}HQh;JxPTbQGN0R~d#gIcS9G|LO{Y z=zT|=q~^eaEeg&;3)oe>O)W?)P{N7saQ{z|?kw4ApW)7{ar!Zjhv z`z=KL=rTM{Bjb7IGM?xCgy-on6P_o$w7~Op5}v0a*|T!Pwd)wqb28z1X4eq-=iiCA z!Ait|`9%CI5of0macd^PKMU?8;-XzdTs{QE#Z!p*Vj+kV{y@aGkwm;<3=uy{#P%nN z_#6}G5pn;`L_A~wh%IA?xO6OtjXxmb+^2~6{>=Xb2I;Lt+`Ev7zeB{~>uK|MbLL;$o(ZiX;86wsVh&Y41&^nD&Z;QcSyK@HYp>3hdF%y{Y3bn3b4zn)l7z0X_wLH2&I) z@r#ZcV;Fn%oye5s2SzI~?Tn;~BaA&t_1u>A?|QmNjcNOu?>;;~Pc0oW?3mf0n*e7#8QO8tK0~K=OYXr zi!gZN6rhE=K+pogNTzi-wlE=2pYg){ymj8 z#K|2_AeIIYCwC)Go{u>ohz3+f~YS^;V51Az;WrVhl(n;!%LAWaibP$xlf5d;Px zmRb-ew-co4qv#|cO%wl2odm&AV4jwNpce!Nf;8O*4u1#1SJX)moC84w1Yrcyg9xNI zBaj}8Kzd>Zz(D5%v9!J&okW~G07%mY1kx)JNUuuWXB(IeIMKDh8$AU`Q~zGTsv?jc zT!6{i1A@`u-~vH2IMf1=G>s5T$EQ^Jmw>}Qpr%d(VreM|IziA10^d_WECr;gXDQ_2 z1@z%2aCiiL=tUD}LC}*zxr;qy^IqqLMni-xQH=_W2n{|9=GT&nEZy3a)zqZMgr=a8eAoKMyUpaXfwSiZGZ?}%`Eauz5eEION z8ZwM4*rwxKrpy`hJtXzl-IDPQ-mSCU6ZI}e_;1SE00^DX@6)%f#V%NrE@&6jUL z`i*4wTW`aBRoLl}R~&U3#}4G^XZ}I>CW0kHE)mQIN}U?_6-$=n6cB_liDc^VAIO1&$6%QE)q?Z)DP;wrN$bY1) z_7XCTMxe;0A!&R6@6(1ghUf~krHcRj2SQV-n#FKZGvv70ZCq-Au_sOBU>5HL+jj&x zQjnEwU{6d=id zh?9s216gQ}53^V~L!QO&w9_ndmUYc1_$J<%*JAwr@+=-!va@~B&lK+dj`Noby}W9&kZ~-5e{>bvtG<%pS_b8%4&I`lvi&9iB*FL z6bpr66`JIQVo2utMjSk|(@2Pgk~@kt#_{dI`g2#3B5Aw7j1);+7ybDpMOBSm=6<|W zxeQ$89!_EJiXwU^T~Y%sy)GbR((V~Zpf^k!P8!2iK-i!2Ns+|PY{H+1#rD#lKgFMW zJlRPV+4neuGG3HBnUTRc7Qvw@(OaN^Wl$I~W~71wc6$?i-}y7;SzO)EI}4crHRP0t z&LXeH4e~7hx|cJOJ_MBxT^BpcHTf9JdU+OIsa#>jM{nFk`cljyuf?2^@>-n28)ulB zH`0V_vshGp7t~#;Jc~Wm6(F%v3Dk;Od=rXTQFmc^79+~IG*R<5A{FB@*K!9zuI>Cl zUMNp=eF74tL>DD9M;FR=S}3o|3&q{?CJ2_+Ch7@E7db$;PS-sA+Bb7wLdi`6D8NOfr-q& zT#Jm6*t_C>knPNf6xtG+%ZxV!^cf=sJ1y_v*Tbd;WQ;V|@;{E_AHt&ftAX_%2Ls{m z(t)k~Rng$&modLhC1aJ`QKyoS$EZU-$$|UVFr~{VS4=>TU4$dyvW;PUtd#bT2KJWU zt8DUyOxj9&3#E}MQ#L#HVFaR^QiC62t~0TW+n8OKoKRt4h0`aq^C|4O!(ojbVNvNU zE92wLE{6Sw-&1=xm3r4NvkNHf4wLHYjk4*_mk3T93=UE0)=X z6n32C(W0^Y8TC%bZiT|`0P9snkE$=_M(S!KJ}!mDsP@?>8YvX9Qr_XhJN6T=2tF#xV0N)1`P;@%Xz5uJ zN?w#LBeg|7Z~qylS19Hg_*8TH3PB8`Vuh-O_%c zZD|)qXj|GZlr624Gbq-`TUuiBi(q4=m<(c8>P!5eTRRrhB#5WZ+Hnrg15*WX)+e$! zgdrTiK{13scN1#TALV&E#TiqV;o0&Tsa>9@GKD=IF=ag4M&q-Xr*-l?*?0X2G*`m2 z)tNVrm25`(iD7{?I!_IQ!0O*t$oRL-n4@iMk&_hVRSEP@#lr2>EZph`Gz+&=v2YvA1lk#pEnHhxE6G^) zqW`_=Qq{Z^qoXrjItu@Y&Y2fc32HTYLOBlqijKp=)6)^pp}$0tiGpo?*cNm!vP(J~ z2D8}kFI_IIejZ%?o}S96-D7{I%05*5Z4pFV^c(u|iEPtG(@5B$J)J5Uw2n2LlIA}u z#&oV_Q5OY6-jwCB?*R4M)E>pnWs6(q!+2~NZOkoS3f$a2doE{-eA6u%kC9z;Ft&~r z87a!~yh65D`;=i^B63jvrM-J)2uo;ZSk~tGM$WVP*>F{dh{Nsw!Nxu55U{8+esNQmdK0Ex#v}ygJ3WUkNa&`#?P2h%U2>v2CFvyS75YM zX5>;BX*n&5XG_pG84Y46ieme}*_T`H!phX|%L~qc zt9iXobWf;e;iEW7AYwapK8g)v`1~nB+R}U;(HS`p@cmbx1Zi8fiJ--FP6^|gT3(!k z^pLjtjdfV6?A?|0&7}k z5b=241eVEO4aZ{)JRUStg^~L0~pwhEbu}dV^!NnouFfW)CloCRMR*qP-GvQoI!bQy82cJqA7d=nDiHD@j z6k8?*RvsHGCBJ-gfsPKwN$n!}Mfg3w;S9rq$%iN>gaLT}RwSiZeDf)}<4h}}`4d}h zwtrwUkG~~ln;9}IK$O?X>APe>*%wkal8#aSSz=VPBAQ$xk~s3uQUZGofLsNVZ|`2@ z0tvILw*3?QjN~2x#_D&H%PYrv8zK2tlgsNbAtVDSzVjR_6^%7ljh~P9|L&AtWXXJP z=B}__{JO@a=V%(1wvAKKJouVOZJ9f1b2ixFWnNUH?{gepd!(R`; z$DLo5OC!I!l}BC!hAkpr#p(2gTGv8K*DQktFsw*d3hg>YExHEZh!z=SxOwgmC6M8? z9MUftquQ~v^6iTB#C^N4(*Ns%BtosVbig+MhZE_wthaqsbE{j&=vrpv71Hh!DDuBP$ycysp)@Y9LI$ajCL2FZHveD>XME5kZeeD|l`LUrV@ zF?4V*90v31v={M?Tu9A$M!zrG7w(JtCy^Cp*fk&C*QBp~7}nk*a?1M601#K4vPoYz zNu#})0a0rnu?dt=$ayBoc_qoYK+iFb{u8y=Fq)2sJaAnJ*-b;Tp<2RY-c2^t-<$hG zoDc0!0z(zSqO>{)lf$}5o3WTDkJ7|g%w?)($D*5>jn|5u_oCK}H~l_prZ~M@G?SjV zwhS^}{n#8yIn8^QwcgxzF^EDr%^OOM6wC>IWsIUO;CR`-qUdHEK#E_diiMUk5 z=WJkn&R)XjOvquZOUCDXSHtJ5Wqi(Zg4_%-K4;Y;5O2SYh<(@73$7%5PUFvsbNFfM z^9dr(SWVriTTH~8iP*sSoaczx`$o}YD1grRoLP*bxsHfC7@zY+BDOF-XZwOL0HAX@ zKBwpVM7*2vIXj3rFq<lxYcRkXWyw#x3Q>@O@=P7nE_Ri&bim~Y~ z6?+GHiWdwi(L6<;>EUReVjHk`qIrs6G-!E>#pXY$*gMPmlOHS6@)SD@W=HcBo73O@ zCwYo5TNA#Fbrw^e;`&|4Q(S&G=P8yUPq7Pmip>pbo?;8ocz%F9#Wa7mBR9Xe(pB3W z*OgX1pwa<=&4b8O+>SiOAFKd=W)ldIhUn@6K_>`80N6YTG@k7M*!;oW;1B`9`#|7q zZ;9)wM;fBT3<6YE-#!-vkAa{c1YZ&d5KIKvP6%0s2a#pC9a)AyK$c+|z;<#=N-ACL zt>AzxLq|$V)u+fZtU(gt_Fp1Tu?z&=C1|1z1iJ<_JAkEg5J`kJsEfY)7&=*=j^M$W;}cEg=)+$?&;x>Z zLD2YCTxbQLazg1K0G3V-uyl6+4Fsrao}0h6vaGf}E|i80KL;}W4x*}g%?=Qx?6ZwR zRr73AH7^UdW1v6~8UuoxLGUC9tRO&!Up6xQ%8=m~LWW;+7c%?~B7v_434FVez&ENK z1Yd%n2LzoU2qDAoAc~foUPdRs3xWU$O76v5yo0xR8hy9{1gJ?~^K)=m1%mFWj@)-a z(D)m?#k1g$1%kUka0~>GfS?x~xa;8a|w6a-yUQ{q0ocYI>PDfHn5aJUBq?dvMb>V6y7mHqsH%8fHq;*1ZBPrUhq zC67s-y6`?;?LZ-UfZfe+Ew@F317EYb0u$$UAV&=dx7 z)PWNM-!>iJ2biz-O;DK|bbK9)(celP-&xGp!~NCe6aJQ}_AZqB`+ery!hE@ylq!DV zL7scS_kfOXF7pjB-*?doU4IL8d^aj{)=vHXvD9DRTQ_o6!!mYG0(A5+hthyaPprchTfb=p~a@(>mAD73`VqpkEP zLw`=V0P@WRxn4>yTq@tPj2O4k3zWc$ui$z#T7V~ZP#jfdTY3PlMf*KN0G$#$j%pQ0 zDyOy6_YQnF?3*74A*ky|;X8NoyMV(?siwL)R zhDyGw&_vFVWl)F~Iav>`_n`qd=mubS@_TaQQBu!-AHlRl5w)A-`-22-oPjfAK{yBirW^+qVrOOl!lSx z)wI?%QTGg{c$tgd+|{bwL^E7Nh$I!g6s7i16Nq4Q1bE^!_!&Y&iGH#7Yi@ie5A!l8g)^2I2s9biS0_5=bH-UIo6Wm$aU6hCWKN z)ztbLZn?KYJgXP)=i0251TK@sC3;gM4!YypK((9qG4=e>5UoQw5O|8gFf<72Vl^M%qK-%DNC%pzofgJQMVvum8 zUPOSj&EqJaZu;;c7=<-lqbDn|8kd^ZnK!{uX%^eHNkIE#pV{Fw;X~ z6|tf$yd|nAJ0wN9EJab2lc4&RrL2ea`QC=y7T(f9nr{Rt%^5?IAa7}j1X zb|nKfwIl92(x5}Cpl_F@Y@|m$G4!Z{^Sz}DLC~Gg$p9i1zn#h%i|gE?`dQX)5wS#?Lt3R z-=gTpcS00{+Aq&+Z-_hn2Rvr2mo<$?7DLCpewv*CJA$hxmLs{7(^m2}@6 zMfU{?p!?=%y02H$eW4Uh_r>3;=)PX)zW7@u-8V= zUka&p)e+|N^Ilx8?ZpQsD0}f%?8OHrXnXO_7nQyEdcUzGOZt=eAPqwK}8d7aSw zr)B&W#}ZDbb&=XC5O6zDkm|Xov1V*HH5o~hyN=)=!a8oR`VdbjCD!XjJ{4z?o;Gt9 zXE^hr(^{+*n@}0Y%NuxGlDUv%&?pxI=J0 z{>Evc|8wRX8bk@CZ=Ik7(v7>7f4qTzs9~i@3ZEKSSKCDDjObo}+{e^CaysU<6T9d` zkImNY7y{a%_K=3wRn~iNeL*B+? zRxmFc@iGcXobaHA9>gbfX0SKFIesxjSTkFw-~=*c#>lIowwjeBnFV>BVyr*1B>f1F z6v-V-OXd!eUae>n9c-r#I&>YpQ+rvXfVqMFp9m+a&hGWT!W}H;4$jeaaGc!1dZmLr z+C8F!yuS8F*H_y@X?;yl*4L@|SYH#g^|fDHUmGRcqHUqFzGm$uBUf5q6SVcUUs+$C z61+pVjDY5CGVscpC}3icw8kby_gGDZI~5fk*#Q;qlvKD~QQ^S}P~mn-g~w_pMN*xj z!Z(oH+FvDEUGCJM(2Pw#tnQeI$SRhEU$G<_eu=*3%SNd8csBJlX1(Yu8xRfh+ zh|9p7G|e9cHfvol2)c&;9u3Wkn`KAdL-RQ4B9Qmr`H&Pd@CnuSLRL-XP(n~IBUCZN zoby7|6wL{rd?KZ>S%nI%zQ?+s!zLzE1*;LJidDtXk|4*R-w9Lk z9XbuP%A2))`mh!#mp{4K^s+Jgxe+i1E@D}ah zJ!4t);N2k|yqBf$!8^2`txxUXU3iOj@Sbr2GPSwD9{)auVST=o*EiAJOT*A-6E-oT z*%KXUBg;Au>eB7hnWP`{LC17^nuyQytnHO&EoK|tBDiEp z8jG$|z#PWto#iN?n=c!q-u`T&>Ke944U-vIxO-&bwpsaAYbym@3pU>2WynG5l!eQDk&nVq!$&H)G>yv zLP;fURaBDeNvNc)l1l2?5miYCc+ekA{8Jzu>*7{9b8LJG%|J_5kC<_xl1``KN=8tP z*m`zUIkGwg$w!v=i-D|?v{zorODVnhlIiYFAr!pT>FubUoz$2=d5$I&TU;0oy%9C+ z2vNn1+A4&Ck0-ljq3~yt-pD&wd23YTHH`ikLSfShMd3M6RV3DsU8S9d$I3zxs3rAk zK5h^R4x0~Ut<_@<@1Q`X2T<;*jL!P73bY67)!RjS2336|IfzK?$%n)x?Ui~L`1 zDUDqKcpRrZS+*quw?%{gS1^<6)B2*(e)vHL3`HW3&PGa0DX@xIzv53hEQ^eN{DQd= zF*P4qmUhp_RO*bHcUn86#ch=%>?B!I>UR`Ji`7D#)!7mBKcKU&rsaGcEmeC3i>S2Z zOteNV`$Vc2M|~nql24>daUMJNU{~rI8uf`(FV=h_S&~nrN%4vJ#*pzO`9!*gMtvgH zixr>9h64-m(mKrW&*SoUyr(XY=pl9WfvCf{TX7i2THhwiMmnTEs~l2;W64624ykLj zLuxtAuYY9zt>>^!UyvQfo)=e4>7p!F1CSkr6Qpgi;skl3?)YqIK+`FK2&N`qXGP6BQkscndj%h z#7A-_z`?A;Og~zZaGwV|l}*&I<$z45lu$^ z2WQvMAtV2Tv&%7K5iZ>7oL!OC5%-j@E|-xj*ez?vUD!yej(~W|a@K2pePWPYOA*I#*Ws~XUjhS#r z{e98#9{6j9OOQG-C(eZK^Ft}}RJIHX9iuXEr&TPyV#3l}MOb>G#lKdX8uwn_V#nh2 zz=EApi+?>}dU-Fks2XjK6X!zf54GbK^`PR~XQ2_Zr1V1LVIdtnjEieFRbK>B5T4@vl%MtrwtGW9YOGATJ68!4QKtXCx* z>q)J^qh&G2u>X2e4-p#<$HuQ|rAIj&TTV%`n+yE;fZz`%|5%F-2G`Cnp0yd;fipvq z^~bJ-;4aEURa^_lCldHyayPAq<4$~6T?=1!n~{r}RsSs3!7# zWKNN1@R=Rez5PO8zzH^n3q4MeQ&pdNwEB}yF{Il^POYOX>I0LtEb5)Fsae$hyOe2r zX)1(ojhscD^;FWQ8RONJwz;794!m;Xu9vh|j@)}&!<>`il^;wT{Ts~0Lvj{zaLM)i zP5V^!DLl7^X}`=)&zq{HO$P@~-v3suB+%KnCx4bB33SY<&dNu3vp|O)+IN{id+Qg* z_f3RSWq~gGmOy(>9g2Ol{&IMNsrMxR>GYT@3UvLx<+dlMMFqM!t@RX^ajKLR9`oBn zm0SIS<=QlySNyvUX#?Y5hkFUX^wYQkjL5@s!hYwH>+5QsQ^(2I z2ESMQnhg-q8!1j3X}eYu(R)cmL+vc0>+#*!&eRU1**l^g)le&=}rLbB28d|C!Sw0Sa4;$%mIsLzR-SBeJm zI^?iMb7Lue;Y%5fHh1L1;U{VTCN<+~@(gZI7zk_eH3f?H2V+710YTCBY%eqAAP{!8 zTGAN4nZJ%$9~T;9R@EFIr09T7Exvj>-f}@m(XTTip;MO&^Wi;Nwe3H;Oqc^R&qu6} zO2T~jw>Q<+z90$n{YJB`WP+xhLm#vj)OHfa1)O#3u%>+SnsPs|xp=-^pj)u+YnOFj zc;>Hrtqtm%L>2yrr;>M6y;Buqugt)hye?lxIkO=3n}X%)B=&5Nm}X*d!fnz`l-`-Y zc4i(_SsJb-1>Ykpc=yP=3`1@cBQKI69z54Jzvy1%_fw3n@Gd#Of8)Vq+ilpmd5d04 zt~yWZDv0M`*1a1E`&JdtLXzy&k!d8J)r4j{mfXLd)Q3qr$^9F@rYfHxblLq|eu30> zYV9pBZ^|7c<%;_^QaB2avisLV%M|Y4@1#8sg)l|BEns{jqbAMsw5le=X*ia&rW*bI z@&H4u<~~n)IG-R^m28CeU3nzswg*_sZ^QQ>XCq`z>4uam*$Btw%Nz4e_deKqhqi8h zU?<-ouN$$u&3|d^`nBItN$SVt#+D$TPRiT10g7~z1z4r2wQbMD45S*HO%D8V`%P41 z({J*u*l_Jd8wk7;sm5k@H5KGMY5{RWDiOO2h{Gl#b`K`v&I}@+N5pA6h( z=lMjukBAM!iTK@2YPyt&ZF`8gk%&Drh`46~b>jjNyV8lcV+?VAj7xn!NyN6HAkHWx z;y@8`P8$GX`xqiF8%xCdh`9VIBHl#Ap{2}uAra>haqxN~UOx`R1^-6GJx>#H7ZEqC zCgM|ziTDX3?wvx!3&w-E zcRkFUmlE-ZMC_bL#AhZ@pD$A0c3J}wj~_;ytBLrilZZzrfjD~s5uYkT-nMacJUBNU zB;v=2IP@eD2X3Q2uO#BK#gw<*ejWAsMj~GE5)q##V$Y9=*tgs^95O-wE4CR7cK}c} zCGKXfn`*@0MBP-wE#m+fYB0QlzbSQ6|Nq33-FR7Ns_LipPM-BQs?ReyA zClH=&{deNFUP#@Lb2#l{<&2g0IAbF51_p=yJTm1>Xi}^p?b*bw4pYU2!Rs=Vf~PtC z$>q7bVz}U`FLZZn!A%xJcGi%-0|UP)N&f&z*Bf{EQxEi}_8H6uuBn<<{ifekZZNo3 zXZFNjcQJl$5Ci(@P{))-T~m6frfR3py`Z%AWT|h#ef1~r^Kbv1alqQdy%}Q~zACm& zG5j@!N~OMvQmOX;Y_qR1pm^vARQ8-+Z_8ef0;cb~Dzn=|am9WVQN7)S0;Ype*>g1N za?U|U^rI-E+6RJjp*T+$^18!BU`F9iD+s;=4o5(+Rw<(DX{a91>;!u3Nx;jtOa{Sf zaCjXAK#vU`MiJFws*wux*ponyZ2@|08qi}00zK9R^w?~m$9gWH%IfW?k$Q402o{2% z4g}Ysy6Ezf%Iw3f;DEgDFbEQmwtebWz{>{EhY}E=MrzR4hWezak@^s@Ut>@swO}PU z033E8z+uw>4$C!C{|*A`-hZ?ZQqktPu^)KT->hD01qGIe~XGG7n#<;*s9qx2Wk9bFyYbF-!A4$PYAx;-!>iJ^~|?``91+sF%G`B zP+_Z3Nh)3fTdYp<3olcXitC6%iEUCXm~l#Mld0v{=1?W6_-Ue+Jb&X9&)-1ES{-}- zOrAlv7yKA-xGAoYxnV74t(a!kMi^I9b409}*=%Cj;raU`XzjF)2vDqLv}jSOF~73+@T2uA#)o--~?4ez1ru{tNbf?evN zprIbSbOQ;aF6~#rE;Ul*(EJKDr5g2^Ttdvv)bY)$Cm|0p-#_A;ZgLBCeEp1xTEKjd z>iPZ!eDigDoy@n3`FsS?KQ+(J+>r>>go~1HDp`jcgB~hCs1xN!*fHar@qdlMoNSRs*kV1^( z7fS)sNlJh;NXf^OqyT9^36N5e#SrIgSmS27ke*Vh%h~vD4-LMD!g)0p@F51DBJL!Q zNzZ8VSgOdQe=zLy(g9k;{lGYmxL4jp>2~9^i2KfGl!!Z3W8i%390U0}5CFTCO zlECBUki`Z2}bx+z|j_IErGqST5SN~sdP_tO-&>9S^; zvMJZgOM+mgx79>4!)!+eh+CdwTj~Pzq{2o(k>(Z3B z@(qz1+H$OP6*-#hznw~{=u%PooB77Y1E9*IZ(`fGr;{X3Q_?>ma5+-?N81u5{o^Od z+iY8+smN)XiaaH$$Q&j8!*e~nC^;!w`bXOmCH=!l4P8J!MW4f7P3TSQ@}=~TqMB#W zD=S?Ks_3B(%K1bISdR5lpyW9vP_hOook`D0fs#@sP*O{&#-);$^lMtOk^?345`R%f zsZ_c^NiQ$i0#5vD72af39vu|Fw_B@LltU_%V2kiTmDiIz#*ir1h!{K1Y^N?HWu69t zJRb==0jzRjk*Rq2CcI~pEQYT1bui~`#KffXB!RO*D2|~k_n3Ldl?U`_9R_&p-4YG@M709xW!OUNUX>n$=faRQAJQ<3WKm2Nv6&BNT%)aIDUvG9}mI2|2jN$_C3zpHVKu+kg}G4 z#>XW)`lW=EX2spWom{DOvXwe{KNTSm{b`-gtk2T3b(i-ir1>jnNox=A=0|Cl)>BX}97pEjWkK05n4NMj@v0+U zW#WBAuTRDCzQTx{<>d3GI*#44kI%n@>>%qq_^7axX+^=IuWhz$sQq@?S?E;SIZW;N zL_5x@zy`_J#dMx$EWQx!Jy9@ESF3W7DmeZF(i<+~kui`$JhZ!Po~WQLMTWVU<+hCAku}D6Yial(xJ@awT>uu0*m* zirJNDjeD8!0guUk@z!qM(P=leo>QlUAlinK@()N6pJPhI$4SYg$E1kQc_rfGq$K6@ zQpBf8iTIF-+^us?ALYAV4Kx}xbbX9{;Bb?3(YEulo7|pE%g#!Is3ndg0Q8Chvs$7A zfD#TQLRG%127r1v0A!B+9ulG2?vevQ>x=n(Os$%qPz`a^DE=?X3VvOd(f6Clc&v$- zRSnhS4L2a;W{56kvPwB+x?lcKHPFwNyXQCr68HSyaZQA~6ckDYok-JMXNWUQu%H zAvtE$uB7UF1h%G+Yc$`*VIw*dc1mHR;#3YBd6r@mDo$0xM!Y1Hun{jNDQwiKgpDF8 zw3wu@QLz#>3K?iMQP}8l%g1)g?bR)huVYDBnXVH#;?e!;m=-x|QX)sLN!YKNq{vZs z7DtXMU%`IWoh3z%jwz8NvenObOOd0rJ!jCEHE_p2T@`72J|wEc0*RC2M|Lh{_^J{= zN*sgd-{<&|x6Je))X^C^e&ihS5}wa1eLcDgs^*kK(n@4WGrpHg^W}L@B-wv$i^Qyk zp4upfME9R$!{y^F{J_Ae>V1~75y!ZRSEVJeKY{O#u9+k)ftOB`-Z7>oYfB(>A9-pn zh1;5G31pZfuFCU~+Y zIkdF=Q<~_S-wJ5W9&g0-(B&IOKw(@JqI+?Sb9NGpl5{bu70k#qI9e_?C)Z$!^GvEU9ry32cMevIW5=C}%)gJoQ45Rqendpoz|odvTzj#D?hR~dpzk}F zx^Oh&Mu+IETb$Z}kdt}=_O6R~G#LLVk}j+7@=^Rrxd6Lm2*sbcY-1>v|+YTb0z-9TyF=sCLw~vS|!-=?q3jTRlQG^BQ2p~Q{#G&a# zd}sl2{*Z`!x!_-G0YzB05$Ad?`1dy=c5uNze-ZULjcWL1kD&-lkP7~_)N`M?;NSY8 zAdcaJf9^$oCBjl{!$1t8_{tKFui&eQugJCg>WcQ(Eo`V;bfj)^sKfsDuv`8(Z0Vnd z-TL=o&T-2fqwx&IVKSq2{pNFBzpu*v@7DF(bZ_y7E0*;WKcJs#945JsPpv@N#kob*$KNXQ4l}qH6@z^}DS=s_WN0?3-?@sO$GqZ>mky^|M#MnJCrut1go8 za*CpL{Y(|hxUOGK&(w1c32FWv&^I}NC>7yAPci~_+N5Nt(tynYb$gM)26no#TOZAX2* z#QQ+d0S<@Xjw^Pd0AB?>BhO zXYrcA@5umukLTAQco-bcgWzKjwErfqxE=(~DJUbj210uRoqQYw{{X>75M2CCT!vvE zgckTc9svC01K=kP06&QU_(@#84zGC%LJNXk5IEL>0|0(vp98^+X%0&n2+o2a0tBG& zv}hb{i;aAX1&oUDGQJ7lVzz2}xJ()JS1vy0XJcHuX6rK9=(odkko)#;T-~Tq{;jMy z+l1Q0_3~M}%%surMRl=fizC1ziu02@_%muwvUeV_Q0I+T{!Mx@@m$Lw&nQZ&^e9P{ z1w-O+xL-+0l@oJqu&vINnPwLo;Es6MfFpNsQj0B4vZT`4TgrF_Eq_M4!dog%(&s{N z(_g1jCy$c>B3Wza9Q+&IZ2I}B%~dnn$r(NQIBt}wrwL;oJEI%OD`Fylgm6aN zW)igMh2b4*U@mzH8}|D{=!WK8OQ#zLx}Cq0^YQZ=$e1uaPtUK%hw$SPnzfMwM8BeP zAzN+7JyvwLtP7X*#Mss5^BCNS$MX1UpW0o+H6d6gkxGn0Fk+$FT3O95g3yc|#kCJo zaeXRkj$XH)rHvvM1#-kfV426SF5?V0+ z_cv=@rNdd&2W_sJP1lc0vgDCnp8I!@bTQz}$HZMd2K&d5n}4+v9ZDO>2&aW<qlh;v9&hcjQ{g~I{BX2i8#2ZJ!r^D5#tQIzU z%q!8ez%F#grMhzr!|xzz&8BmShk6o?Mi5qfajJwDYgu7ZmgC@G#XYV)8#h9FL zMomVs7JSuDgT(qSbH_I^CWhHm8RtwqYPz{YHY)XYR~4a#nB$y1B=%Vn?1guwQb&nB zHgsGOlY>*~U>4Y8ioov9CxI=O1-3JvwGKxj8mWDq!1hW4J4F@P>&Uy|(FttUaT<~c zO-=!gka**$z^+jQc1bY_>lu8A#ij`CR#{-rHEUfx4pPxoAj~cg zlfX`q1$Iq2jeRk#9}@|T7!yTc*JuKJE?yB>XZ0FP&R4R)_E(d0&dR9v^i50-9AVuo zu$`*F-cXM*3F!njx0S|(k+_T`>tak4ft{iWY`-e7P5D%3WScCoyOpRyIUd)c*+d1l zmju@RfX_r|=@;9ike+=o2RIl7-i_y@p{tfb_ZtRNGKQa#(tndZk-W9e%%(#3fD;E> zPfvAb1`8vJ|8ejfeQhuFC;s{1MSPv=D-d5nYah^cu!9H!g@ys29XzW%W>3gJH}T%1 zK*#XR_7PhR9V3a$tNn<}tL;|)@w|qPVKL{?J90S2iBXW91{MXa#ZM~ADQ%h;!lWRT z5Q&8DYf&ml^2wRV=UOW1l&af+e5M!isMU#&3OcA$?k{6F7p{`8kNzddD)>(zGmEP! zb1gfmCd0?_8ulyf?jUw{!H)IfDoxCet9mh)PO{~4ZJ0>rg;;I-)g!jC+v}b7+9B*v#a?4JgtXRc0IIJ z=cH;|^+W1bok_Yux1l5sI6_Zuz(<8t&P~yIuPZul$)6$X;c67w%~nl8+fedeC?IPt zwVs^4kmTUke)KInmvOXrW#c+~kH`5s2Hv65b_;zpQ%e0bwH#Y?L8?1Q!w6gyZqE9N zWSTr>4_iBxqPz-D3Pj9@l+n!pM1k- zc^uqB!Q}Pu?|2Icjr@2bUGh&){Lx%`Ue;&Fl^6|~C|aaTRP*qtLN|qkPPa$6Vrgen z%DA<=Kr;KTQb%vIAZkjw*wDbp+r6^FRlUesCQ=i)vDg_&MF@3@%!xt0%XfLz%5D|uCyR}v8e5?CW*zF0Vq zOZLnv#h$5}WkZj;C(HKCkIHzhP>*z>AXy_?OoYA=loz&P_=D6rG9xZgk!y~3@_rjD z8Hf>ezdePzim={g`P>~(&zk$0i+DvLpE0U@-nat&&DX8%SKQQJ2mZTciUJRP+v2WM z*pmuC*%@{Dpu&p{0a3kPJQYiJ%VpK#cmz*LBe+@}!8tDU2yS{!+jx)qs7E3Ecd2Hc zI;V8!gh%jmWlsrkbvvDE^bg;JC-UM%Buv>*dNeDrQa!fhTaP0rL$4QCg-N(=e4a7j zEGh=hp$^>T`=-S|htW7M8+r9gh|5QKh9c(3!*pI5CTG%g2wFrIG<)h68m38&>bg>f z=?cbj^L1x1OgdvZbXSCiDR@}CkQgSR|8DOk`I;iH(Bna#O|9q~4O4?|$6do*15(kq zJ2DW|-FZSDroLE;wbW=u-*}j=U<;SVzlhP$*}^@^C-AKGP&B+Gr0FYVn0k{4_@Y-H zrby~m8m8s1=!U6Zk|y;`kw1y5n1pn@Y-ukIlk49vAEppVQ}n1@$_D}a2|+d2Qqo1C z+t=hX#(Xcmw&|1z*7?Wb%&Ir_4u)t|7CbBfov6-Q07Ia&^K66O0Fia(YE@F)KcGYP z_Z>L@yRxbH%!T2_0Xjf-4X3&zdLwW8MX0li$d=2`yyfZNJs*;LFNl}p zoz^Ywv`y+xd#sEAK6K{Y&p6_2OuHx^7GfsU*j;X{M!gz)-#|m|7#LLhXkh!KUEX8E zBm5xA+t#J+D0dfekWfB*{ec-Z3~UvQuBy7qNGIr3SEq$~p(_lh9JcC}k!sEjV5A)K zNO?BY(q7?9_*^GxSJGQI9_NQ;cgq8O?C>Z2NqzWR#@whcF`GJfKyYRe+N*FG9~Pp( z+J1|CTs@P(2Z#Ft0&c3$UR2WrdfoXyIEbte>&NaW+|<=n*9z0G>0w!Qbq;!u9*#uB z!zM_#Zo_ zUv9kxjbtX7ZldDUoN~iTUa!5%`E-|U`l}{ZkG7L!=#KOk>?9c(=5so+Iw$RjYuxN3 zsoEOhhvgmdOD7Ar`OC`}pn8UHp4$t_(3@+IHj-F{O<(K8>PiZ1-bH>`7OSlRdN^YG z@5{xC5AE6NVZ@i7Y9*LUHl^oUzAUC{Z#wPO;pOpjQZZp|{7w&-TuvdSt*}XQi9BHd zqKDo)`jv}@pm>-a;>;;lSGCD1()eL{{Qg!(4||4DeP8wZx3VmS0xQ~$O-Zl7wl&cZ zltpo}u6{l(s^^EL@e6I^+<14=1Z*o@l}Z$h4=P@|;<2STc=%*5oqHbTTU9vY>vYR< zle{cDOmy-wzvLSlJt5={pkjTW%O`|@xf4{@l@7{P(=3WB_&JMq{5w4?FUxlGAL-%M zoamhqo{?`v%7|u>cr{_ zu1kas`C(bCh8!WWib;L&adyOIA{cnUul2LDo++dt99QK{<+<|j`(fjBRwfw`M5mJsKf_V1y zv9=z(9wp&c*M@762y<}bpEURXnIeAZi-6#U0NGSVO1WQ2g{>i^l%LC~uo1PLpm;=d zAfoi_zk*T+Vt&Am*6`pB=s=XPh)$E{^LoN6{OwX?@C_j33CW)+-QxC+y1;sR^ao;1 zq$kebgRULz0sx3$+@o~uLPT^;nc10*$fp^YK#`ErF?82^tId{+Ou6t$={9_LOa$%c zwHHw47W-N^*vE3h^U(~ciM~V*U-Y>}6BY`#98j7VNKLHgCPeV*iL)2M*0j}$&6iaQ zJW3hlQ93a5kW~B4dL6yJBmIncy{_$RZw|swP`alG5tnDW?3H+AMgl@QF91M$3;`Z^ zf_=C&zigxgP~oU&Pmjy|a8x_RoxB;l=LfxbZPBOJwbZAYZ2{4zt+qMyFdpqEQHW1$ z!r9~5rFE7K9@+PtJ)VrEyiV_G$?<&80g^NCye7%(oIRc*sd*9aDw(jE2n*(QN<}-f z$CIAVJL_Ex!eQh(^9_;^R@_TY;^&Hh={QzDsTvg78#5j|)dS^7%M!kwd#4g(W8PV@ z$mSCx|Dc!22j?Ik+y{x2W0jtGI{}j%m2>m_3wcuiI|u+*B_SMTEV7a982><#)I=%W z?Yz{I7cITVO*C>7MsoA*RGJWx*BKPjz0_sx5tCYMEa5z@#z8;T!f5teNbo9pqOXS} z#n~xTU2vsTU9fbIQeE&nV{lA+Kt|cwP{|`&xU*Ga)Eu>bO!ZEO- zOfp4j`|a`ge~SFKjFGo%bqYPt`>DhBp$Ei1P#cPI+tTVh&xh#;%g}AoDsEaxE7Cm9 zlPc+(=gCC4ls&XuCVZO-$L>wh5t);<<2a(P@sYj7OJ=LBl$Y!F zKWO4`|HV{i4IY(O^jcq0FaRpO9WNoYSu_1r^W67MN) z8HTsZD`3Ju8lohIAr!1$@1>fjK?+tMnAwcDU!Gt!HCYBBy{Q=exs);P4$ zykJ`v2ZVUljiizIm^~IQ8j0@7N))tmpBoZ&VYqBryx2r-7*c+rjr87;tX03C0T%&| ze<_5V7m@AENDBEKtm|TD3WI=3^u{Z8<|vA%2UX9N^QjzqST+dyQ)q9omX?TzVGtxy zyf>*yF$e;0)AHYxBPA%flSm($XGQ`2!bAC9gFIMH4@fQ*Mlu+Ahd9V(| z5>>%cGO>6qZYCvEuec{j3GIAcbx)L4^22iU>BpJ$uy^TM@o-B*YX;pk%n@Ez2XJaC zb#~_MtYR7_Y*y#ca6N@j!piZFDyqhd5c%vo`C-}4T;4zph9+zk0)V>=9K!W0c4p-Z ze%!MvPJK^vE_q&NO<)-QhB~2!$s`Tu@}+xnE3P3gyLD?f+1HA$@lALDB6>!4gaxlB zjg+@LzFdgt%~-%YkFn367jTp6^Y>3EqlaamfA96QmhyaU;$hrQ8pUGuh$2=!DY=l0 zURkW%*N_!v{axi8omeS$CW}=>6|3H-2$HB*7OVPeNJOmRZt-wbtd1*U<=*)s-eapQ zR^cR4%;xn`WJ5))rpRKolHJi=lin3mke+z(wh0icHL_T}RtZLz3RX3Wh%1X#rzBP< z3`%_IP$fSsi`DA~=wa`wqvBy9R!3B^x;;daq4O%-b()^Z$U37Ft6oX0?p8A|mj96- zmc?pK7d`CA>K5-L#418!W%&KrTL?hCf7iX5`V4-TR&7OX^52DSY-(7tB_2ReNk{3r z%DQW}kCU|H6LhEWlIit&0fr{j<`a}SamjS7{z*+!M!ri^hhnLJmpR*-ehDO9g8>#n{)8 zJ}8$a?pLZl2xI~{scn@!ai4uj4|~^~6%WH*$v2NL3|B(YcMc&~Bb`2Yhzyxa4O9Ab z6Bm>wP7RR6t-OvOmM3oWfd8+!Z-Hy-%Jx19 z$2i{d{ntL($q8y_=KFr%@8$>RvClet?X&kO!H+{RrX4l>~28+$+ zc|!CLUSi;iml!x?P5Hr7J0Dch2YN}%YhnA9lOO@Nj=4=l=00!TlG!xIbA@ zwjZ6kIF=eDBv&uOcX$oVguvtWIRxC+9UzLPWki;sZmd%c+ckbLq2?#+!8 zu}e0}Te=6!M%l*^C(Yd5A>;MdWGSz2AGaVr$5jjjPf7mSV3BA@$ywjbzl*VWi-b}> za#6~aQR7jOk4Y)zZ&fX`D3Z5p*y1G#r$(+AED1Xg#itjzzDw*doDu?A?c(g}6GR{> zQUZBqPH{Xr6n4$ui2bTd05%7;pafn5uzy}=Od39tW~#(~;--{9`VS?fujSP{(*HlJ z=)1h+p5}#aB@OaC1oE+mK)QlNYXoQVhrn@7N+8;_po(r@k%PtEwu?j{UgGZg6#ooB?TV-T0^mfM^MCHn}s6&K1pGin^Y7Y zxR%9iUd)0e2NLijEN1g~0&d(z!0!>TK9YbtSj^_92-wPEHs2=TtXu-tu$aw>6j|9k znt;z{Q`rs^upyjsuBMpHmW2fDzmIY*Az)b&0oy3RvyugP*0KQ4e<9$u)dbwnfL|qG z?D7C`GX;3w{VU2@9YZ;9kp%oL0cY(X;0_iI z`VK2bHUT%V0M8K=EZIJafLBw1=eGR>Y-7=&r?CLfvkAC%4izJffK4nKbQb|Dju3Do ziw6A!0qa>b=zaoLE+XK377cna1$fSSgMjN6$%{<=VkyF(g=9g7%KDU1bkQM=&t=|B3CKjAz&*D9oYy9`;UNTlw&POP8^zL60aOX72*}y_aU(4OWLr4FfLPz&JO~A(&QZa53aDD~> z-yqvaMiAYkKh0&ZmS(O(SAlY{$2KWk?KJn^yNat-@{a-Td1Eha7^OCOPA zjF>&{vTi8SX|Inz-|Lf}S4QvOstonftLg85V9(FJLS(Z&KX_19p-;tsT3JPA>c%bA z^q+?RiTIziVM}=`{?qV35&x6$9d8`Bq~DNRL$)^W+a`#9+Ah%kAbnZq?%d#Ms)UBP z?A`loX9euOBvjAU9#mQ%t!bJhJTT1nHCgHD#KaKY3%*%p!iN*0<|;bZI`X4UU+$~9 zB%E0taZqXS4UOqNFminA8~$@G>D!8yr(QU}-S(MPZbxA9^P@A%8s!_#DDr&Bh1=ZU zN0hcbV8|5U!Yx0#Sr_wH>ktK8xYb_LD1K|I+o~ZKZU<)#@7#^h+~mToY0?!_`qen> z#e$c(*P0^Fm{ol&G9@#B9HEGdK6BcQ;-dB09UMi2a>F%3VT(H% z^1GIh?v9YJx`Ku^Ri0&t!31z~shM$k}FVfsWm~9<=#vMQ`@GR_zYQv5w@jI5WO0qQrNhVA zfJol?@c@_&fad_91wb(XE twQ+-)NMy3ZwIytWnrt35?h6Wp9H`iMDp%KByRzM zx=)Wq3~X!_ntc>ew3i|V_Gtv_E=C;g3y9=x1;C>5hy{+FK(jwU9PZ5k_#*;!cLLxz z0(I9%f|vNTWTA~#hZP^-pkf9xq|W3=yJu=N%99u+X6&c2se$G_Br&oz$`kag{@%}M z9X6v@`mFLl>Yzbo6ujn{6)iFm`Yf7-Q9XeJ+X6)ByVcvs;JG$?DQZk=DZY>47AECV`hb);EIeO1>3G)ApGm{5)}U61BG~< zPyQd*zd>0uPkT3M1`!Z~4qsF+PGah#?MNK3)67BEH#o5M`Y!o8VYqgBQNHObLr}9U zUt8}PIT}I=kdGMCwujb9*lKgRY_h4s|L`Ocf3q9E&B5^hk!r|STyZ+6%-sr-nYZ-cu~nsXI5stnIQTr zkE1!FlF=pPLu!G^OcZ05)oP*=PpglBEl!6Y^bnCb_S$XWtt4u&d_*OnDT0Gap`eQV zqV@SivCoat`PZ1!g7nl7GxUc&HDHqej9%(z=!tiEu^%V{`kaMQ^zW)q0sTcU^ochK zeFH;(R)W6!G@AsY`gK~VA zM0wa`p)73k@vkd`PRihW-?A99L#tIE`2JEDvPXe+u=Y{G*ueZ3tK}7~kgVR?>fS+Q z*!3sk2|s4bO;V#}*Du|3>&wl=eSXZwh(y9p$-I#Gtd6F?V)?V@4O`D!b3gvWutyY_ z6_M*PnY{OMOofqH?Mbq!J@@Ch_igTPHjiI=8n0*6;~?6eB-xY=MRu2vvkek*%coKW z$cXd(Xu?Mna=yQUkn1;7>`^eXe7(CRPMfHz#66uf*~K|eTUaS*{FNo@peSbd;C^NZ zdQ(=+k)QEKZds8_$eH`1I@;IalCXrlR!)1xNQ!unpRp41wx8pmA}KW(xi08;47vN6 zA?PjHakqGVYmf*V_A3%$Hj>qPI*207LG}7-C+aolH*xPd-Sz57VkD-fa<&l{aMl|U z7C5CS|9DObM3ye}dQ?MY{qoNM}q{GCMN5o9%>mFO&gwJ}M{R)?XOH53vf9oYVJ zdbM2VQzPKRPE6@;U5`Kt+Z?c^-TUjTik``)tSUVgoImzg{6a8I-_`@2CaCr&p4Gix zqv~s55;sP*_;$HPQ*X~VNcW@fif!;HBBqf)fHrn6NAiH|uvTzOB!ibklBI)ldaSV5 zC5a@&2$yP-OjtkaiQebw!5k0QQibO;u}hP4)cH+tphjxjNioddWS?aUM4;!m7}+?A z{=C7b9-*A=IVKA9yxwO&AUbB*3Bnj7OY(0EIcrrJpgCMfi^#?)cxmv7%)~a`xkV4w zrXf)DXm)ckwl<9`YWsbd0HMXFi~?8p>3&xG;6WniN=YNcHwB)bjDnh=AU06{;M*1Q zUS+i4Ymo@JzgSOHX#AU~17C)OPE5q)JL$kDJUVDC>6og2Ck*0dBy`ku zU2VucWf9a6L#2u-d1e*l)LEYxu!*68CBax5lyW_69TY!aUFz_3%BYbVMBF??iq1Ra zYR#Ta(w50a-#n>ca^!Urc~z2tZX1rOp*pV2%YZx%4Sx~SCCVQfpjFzF21w52*&vRE zKr?BWsZCN1b4n8vi8Ojqtp{;3GS$bCYo7b+c30Y&D0&0$WSn7pN$~ZD>dDvJbeWjB zQe9)PVyh-B3ePRbmuR%p9jwh*_r)^cMGu$*0qzJluzE2FasJA{0-rIc`92?10k5jg z@P`TOWvZ%9_U*2Af1;7lKP*Mxkz)e-oP|>K89nTC8G2IDc+XntHy7x8ywE@9^B~ao zOVO)`Wzb9AgkDXektt&M`X`Rp0=+SmzW#Ar`}`;&(toVN76GZj(4aXvpcp`>L3cVAwgz3qW?_{qCA|w9!RFfsPMsZ$l0U z;TqfyE0}E$Um3PkF|~bk^?9p$pH>?pw8W38Xcbyu80a=_&?Gs{!MCwQD413)4>7ch z78aVMFNpR0Ei)xte~CFs^wajjRhlMXE!NjBuOh|Fs2HWD2+z46_dsjw-ECE-qRP&W ztO|O=%`2yN&1iANQZpNZPRXuB@j>7zZ!N`|L}S{WrIvhJnzRpFj_hnovi>C2JLS%< zCFi$P5k#;VqC+`}j$E-wwMi4mqzlw3w?g!$H!2~S=x3)%L3%DwtpqBkaPYW3uUZH3{$#r9T8mU(U2R1-a|=auR0@`K=J)G1WJc_ z0jdKkAAq?mtG-B`k?28~ZSA4%B5vj;(xup_@lG}k*Jhi}LB^Chh|Z#Fb0{e)m^eYWz(?$vj6HNIliMxl9U>d@tP8~r;gh+FRM1LM&o4_=q?#D>DwJr8R7E?eht-==sv{M3Df;8P z8G3p>gZ8F+&~Iu&+piW!iq)G2j}%X(hQBVb-5sPAaVk2+wh}4EnIyAY_UNZ6^ZoLv zAeM1lbl@ea=r~KFW9U_v=vWQWkqpt%=@K0&cZrVl%9^2H&~66PDw9War0akQv7)Oa zqN7m3Mum;HB8kAIY7{GvXDHj3)LY1k#U z!(!Fc5Nk~91Ts+^q?p7Ur@F}f&eg~|&bH7hyx7+MEE~2~n%V9an=k1BU9GE84jLwU zRFDsh)Cnnm$yx&Z;u(YunnyBBSwMBuwTpJ}L*^_dX&Kbyk~tpDqEFoAGK}^=GtQ|m zLzjL%jCJX+AD}Khv>8(2+&tE$D^F0;J?D;k98@&RGER^M??nHoLb2@(gyKNuSG-I6 z?96$HnpCFFIHO6O>ApcZv@>j{d0&^nv+G zt|~s!HEXcSP@ii;uvu@F;jen?=qbv2;57x5Im%4d?_N_t?X#JZ@wl3I z`P~O%FlMS1$4rix!DA*}?ECapHpN_Od76pgIzs1XET=!4!r;Pq?~hE}G}n>QziZ;= zdWdJ@#{ap&6E_{teFbc>--_Erq_Z|RifF{*w+(*Re!Qz!eeB}bH-4m3&mh^ev$u}6 z!ShaC^{I>MJ)L_0XEgp(ZP&0J45;M$&7>&QG5`+962uK3t4hJ>H5p{DMT~yNtwO0s z^#ClRPe0$qM-6NF+)T?Lg+DZuax^B0yFgY|QjWP+SB@?29Cf3Zop!&B`fiakpJJ0A zj5Gf#a%LYmGiRm9nb#$pS?1N-jjJL;w8Y+QcPX#GzChv1@82_zViP@g94sh1)AM%# z^=a0fDsM5=N&g!>a85)m>iO%vaHhP?a3=0&X4}vP{Qf{V%RSnF!%G`b$1}BOf94AQ zxqF@gbLt}{3i{r|K6lWRoxTzUT^motnqg~AL-tIUrSIj(i^@tS zbGJ+1SV!*}rSI*184mAg)bm5gKx!0VAJ)Wi$GcNcP{GPGGPT`+ zZOHrRl+?z0OcKn9_>J2Wn`JWb{($U(AE3?%rc06szo7?BcmR9u-G(D%m_PCfO^mxz z>|>~QGg=g-u1e9>#X)bsUaCO$!AjUAwZ9r%tPq}$f;AGH12u#Qx;V8No0(_a)TIl~ znh~GVwN9T7i*>t@sSZB4IDjmd)T#yPVkpDF>sDmI4JofCG}f^w@zzNc+xNdsR z?oocefOgb$J=+X;aYs$z6qqv5j+#sHi6N+ZT@R{0b>ws9?o~tCQOzBJ1$qZnRA;r^ zV1=KK!gI>+4ZjjwNOq2dq{9DEvIBOeN-Cm1;D+jLS4D8PH6P8s(;4kyWAjlR=*Iir zgnPl4D$bsDz=N+pyf$_%$H!fUXFQpiNt{>Vzw#*Xf4j*~(pJ`*dY=uPaBBZG!b!i2 za0&vx{t?1i@Y=M1k6n!d!ihQvE6F&o3OzX^(ZMSeo9CX@2t-@ClJYj!oMBD3EgDlw)Y)6}#gDP^Pb7kn`oAz zP1MphdF`>9MI@-)ZIUT%6U$?BEI-lm@_iWBDPwdjt=7J|pN04FDzV8fvc}?P$B*^a7Pp-mmVws}HLt#N zZl<>%Ykg$fJ^WZ7d*H$-GA^@5!jJV|48!CsJ}CTHZ>xJJN{kOW{Ejb-%VaD*=oikE zD@~$t+4eZUovF<7>t24WZ)@SldfGntvA$eDeyryfF+bKySZ(FOYAcHSvFo&fpp;f4AtthRz-wbckO)WJN`r|q1N zxeS3km%z#Nd01_=!D=fCR$JO8`~^9fI(*Cl2Lj|TzytIwcz|w$2k59_hc(*C zX?jC>ag7}gp3Q%Q)s_JN&12x;dH>7EVKM+V1E3dHTbDogF`s@RK>lCw->igDR`5v} zhQTOH`vw4(m(z!1^gl0^C+(X(gd zR43!w;Adv`?7yYYp#ByLP=9y1Vl(AX*rVGNo2fhEPx!2Eb%QJloyj}F7BxIqdrE@#Gs=?{Aq3wJBS=y}+i}fs({BFT2cB zi^ok%W-xQdmCgK2S#-+H7)I^)A?$pB(rx~PK028``V{I_G)19}7!(9*R%-~{I;J&} zHXASzULEZ^riv%rLVY-E0yz%J))*~mWko*Q zY0u(s`q6rayOq~tH|Vap(pGL_zQRSvurh5_b;&_GU>)7aSw5P^02m0zuT5J&%f+IrFdMgW6X#V7U z1p-m692G&N5QTaw4X7Y)5C@1={;``GA}Mr^)UwZ`_5T^a@NbeAH@ zPEFiEYeixlG?&>$?K@yMDLR*A9E;jib6zA zl=`XZl3xInsuYKeW*j(8gMb$Ifq;&Uk+L(UC#YI0salOB4tcfK4+lFh3U{+}&U_C$ zPnNLrWs#i?7k#y~{sqo-; zjKy-~4go6dBwCtiM#+yR!8u7|3=(Z5v$B_IGwE%OIBS${CNkqvx5va5sK3T*Dl&`Z zG_LzO?R$TvlJOfeBmCaY zI1>umI_4yjg3k9)W~IdWGyTuda@(%?(w%01>SOEF-%u&2N_iIcMv)$Xoc74+LgSH_VlsB{DWuRPa>`+(fY31Xnoj#XW z>(+i|8o}0c@X^eT6XWH64=?wGyLoxnLJ2R=6nXiS9K1Z!!^`~=Ufw&$=PY=+pYgI| zI(WG!T;yfhh^zRBJ}EC-M}A2}$Ha9UT7xK=oa8fc{j?~qwL?Baes{dYbypkB0jb`k z?@n|v4`bnP$9h=!sDy=|5n0&k3l@IH!@~Vi7Cz)pYhnE&3qK7O{&uX$!kN?dqe`!b zOIX;P(LxQ%q_hRb%`W#+O)UEIomw~-Ftyl%Xu7UZ>QlQpgFE#K zbBvvLg1lrqE{HcTd%R@Z!k#4jWKcWM$ML&*A6wWqdRC9aKp#vWI4h0cMEZk*<{4Gr zcytq6*jA)u)Z)Ovcc^P#01^LSln}8Y;3GVZZO36JMb=|m`B;>y>ne%!G5x$Qs!XOO zX3^XwRSQC%=k6J-bJ$0-AaIF*hL=GaHIgDzL|t5N3n>{&reqkE5CwB6cvXFNEcz#L znJX^rDfB6o`sqKSa9DGk&>?r_E=2~B?d_y|T%;~*hdTN&zRpYGXbJcf#fT+~j-AW- z*X<7RpG}=mW`fuWsc7jU-b*%3WxZA#30hS-C`8=w`H%Gs`BQexj3i{DThUl#kbei9 z5M8r^gEc-5-JX3;@}hg78A-{Vdtlf#>)22x9+#6>O)ot|81@=)i|gcWFSoq!IhQN; zJ*UWj=TfOi^54f+Q%N50Z06tF5sluVDNNFu=H&;8yhw5lSjZ$-Y)!oa{&Q+ZOS>Gy zgt?SgCqecM;jOur+*i!=wB`g)YuY5O=@47foQu|Uc+^MVc@p*UW{#GYqUN#IY$ThD z39i;`*CYYoOHz-_UB{@Ss1ulp2gr(dGl4IO-An^pg-Y^j$_*FU!f_}ww7^Ky7HcUl{YDCTZQZ*u))|tH22>oMh|A_TCGiqV(az!=qqNpa;PHrS0hGV2^ z;zw5EH1i_vE|)c5YPh8N9Ad5wZtt>)Ug#u0urGV{B4=4fJ#L4x|Du*q8U7<$!rP%% zTJsmRgr{gRj%x|ib@(DLEy2?1q%U%udj*d8Lbb&mg-THma|9wES|3mR~ZZhTL+NGcDgV30l6* zrR58Ow9?ci)$*;;Yzgw|Ph6}I%YCui=%Q|;(@z#b1{}^klGq`J$;_vdzhw=uc(lD4 zQf<$6o=NDD%*ukvPjdwMs_FC6=1ZTwjxUex(2S6>+pdKkcAM#8w|)t`^@KCwDO&}0 z>k0Ra))q?G?Z|9eE84Y?v74Rj4raR8ZMm5o%p8@nTX_++TixV*u4nRwYaJ^#+*{;d z>fAzU!#Osb!aD;_C3)och|Om_!?S+L@a)^M;_&Q4h|O=udc@{YiP$thXNQlcy+@hY z?06Pp^BI@eyeubQNf}aac6WA@+V1VUlgvUYEkG;u*GZaF zm_dW_oi@dGujU-EN(Q90bqv?$d_JXtNc6t6#*{6m!3dv{ZqFi0uA5=wwaEDJpC5dy zqrr#u9&J4?Orovd3GHAbd8V!Z0epyHb)vRjxeeZ?G83fU4!&n%To&?28h!l)_NRb5Oy-+RELp3jn~=TV}1uA2bEak9qhs5v`?!x;rl6y~sgDY{g$7>d#ZAk7 zqW#EcHxsdqG@zc`L#pI(QYA0f4hMfGP#n}n;X6RZCbmN*XZ|&sVDSC3nfj?(;t!>Q z-gGdZS!{1x;TYLYN@DX^eWNgsb=ftKwS3QQDur|&t2s39flDqjb2Cx1$V8d*F2kh7 z7%pWZL;UjT&CDJID!@O}ll3a@-;@1eeoYvSidj!4%$D?Iy72!V+><%uznS6o?#Jh- zjN#%O)yo?A?x-0nQ9dlOfBVju%=;ahm-1aGotHA)_+0TAs!5cavaw`m;oW=rzo3f! zj&tC@IA%5Z-+#>d#ZP@w(Zb@GRd!f9W}QG}<~3&3&HvIFduMP1^@$Bsv)oDs8(s}$ z8}qm(Y^N6o{evDW!g2o|EAB-dxu_I-tkM@GJ=SsG{ir>!9_zxM7;8KAV^pVZ5tl(C zPP6K)KW!vfds@=#bnb~c;={e*VMhCG01d}(tKkEcZCEMF?`@-;IvovR_GC*8Oi6;r z$-Ya|wCns{ooo6iI6BoO+D&6OIm1qI=H=!$Keg-O8qYI`G>zTZ2PhaNHg=H#$~vjH z)hnOJ*s|C2!gs4XSz$JZvcim*1r^`|3qa+<2*+ogVQ&q>X#Q!tKCeZB(K@!O4^SSX z{@%|=*lX8IChWJT`Drf>gE7HS8j|&8O2TM&g-6@#}uG{N|BFZ^o?5ptSfyj7@zBgW*eP>c+2 zjf%iEn_%n;ddoe*7_lm#3zXQk4<0(5H5ngJW-FVhW35pCkvQAS4-sy7)v;$QRK~Um|BoFdK6WOUFtt+lc$VwRBoImU%30c|a zKG=A!R6i1f5DPX4lpCCGXZlxalF_fN&XYL8y($lS zx`{i-;|orWK`B>!cSz{t>ZPC^vRDs^nLp@nU2T_`uNaa)wMU+okXYlsm-}`-gDfJb zn(fl?>dpc~T*@s;srv3BDcOhi)-`>+rT#^dnmY7HNa{d9rH7=pNJy$?Kg&JFUbpqF9yzltWSD0v`W_@@k9=bJ zvuY0Vk`rO#H^RssLaS4ZcuN$8Q%|%|p!Nz10UtMn0<~YJc=5Y4C{Vj5kfORj zLLuNS;|aKd#fv{nz&$Kpyfuq}x3H-0EMEK#0#;`2^fChjN}tzQS}Anb0WP!WzZ(q+ENR z#rN9mQhV1fzq)nx`7@(Tfmip)I&4A1O(CzpChN6*7>!}mhcf@u{Uc1FfBSHN&oUq?EsP~c}u_eY`Mal!L5hi`Ym z^D~FhvMtv!@*f?-n7M7RWhhN^cH{MRV1$mURiA^uXfdv zR|UZ*98dSoNRob6KFmn(?rcQcJ!uWG+_^~~YXkboWW365iVGi4-fAr0Qj(gMtWDNF zURJFsNuN}lK50@K8nMtgB~3diwNRTnaq^VZ;-ZJrO0>lj*A`AGoK%ulUAkpM;npc7 z8fWy|Dsl_~nl%51cEO5VmIV|j|cYC}rCv1t9~ z6#e4)DOvNE%vq8$IeAL5)^(k|BtK=z#w}GvB`H}OK~N>z<{PU^XKkq{sxI4DvH6~f z$wfqxf-F=vdPumhVu)H91G<&_$mWCx1x*W@4xy#L0Qy!Y6)jlTt|7_5Ld$lqeG;3NxURiZX!IGu(C#Dt5&z_fr5(}Hl9FPO7nmgj!SoCUL&<>@8aEzMc7bj>XNoV;a=bA-*M8>^~?vWn`|^flE2 z*citx6|V9kS=fTZ#5APR4ZnuaLQ45Y)Hgf0o~2KsJklrP_NDiee*_O0nlAsLno9{0K$ODx_giu+SJVHKHh?GUihRdR5F|rY|QL+Tt7?}#M zQpL;2pjj*NBIg#NSg^b2MeWR_JFBqtY?iLVuGt}aIH0p6wgpjeXM2FW$7uLtP1jPW#G(Z@-D z;{6nNl6e2dp!@$AbpQ3B`&)zVg9qV@A9PRszW5XIP&(ayl8|jSBfCyX;MV{Ik3?aNnXB4WH&gfJ=r!y&C$;BmwTOMCy zEG(=l*(xN1sj7wKqKz9iK)MRaAkt)Gb#+x);g;%>%^Y}hb(N4@RZ{MHx2$6AMj^Rk zV|7XL>;=n`Hdmut8>BDoBaqz3fBu5>O%RCKYJJn z{Fx3saHCe;jTO66l)$z6YH2$DfTvBI>!4KO?wD_zlh+ZiVEU9?#n#7nK^Q2HwgDOlylqRd>>&L!y&wAz-m4N%b0 zlcuFbJ97hReh!dM*gD>cG`b$7kIrQxr~Zl5!>pp?srW9b^kUehy{C`)HDl$nXY$~}Ld zbx3imQlC?>pKI4#AE!<=D;}v7jjLoU_b09#)aRt+tBq?Caw@yZoP+7}OOrdh_168e z>LK;f6yCPFi+`2Nscbjim~o>w`vHH&KK|qo`0nKy%f~fmUROL{-JvkdKh%!Xx%OUf zO7hz7?!@-NwfdnxjYXNvQif-UR%NoLw404H=Mzg;9T5I(8N8y)xl%TNon^lMp{92y z7z;5bdUK4gT27g`mBS`$dBW2UW#{(`WErZb-aT}~Q|+&+=AD1YJ9f+LI@xUZ=e>ou ztX^8SA?3}DO3&=Wr`%(Cbq{AaK6Q8fd2aRR`&K_LuI3+{`01P3nt^2+xuZsS-hFJI zF}`V)kn!om_G(3PxmvDYHM=gOJ^R4*-;;A4LV3y$kExmE4<;^SEmyvAkHe@|GPc3U z*yD1>=1gRM{v{61+tt*n+U4zRHmY{pJ0GZ(?`rMb?Nl{qsG4i#&1I_l%aHEO>*;Lu zs&?1PTg1-1+D@lWrV)qv6vq$snnuUc#(UHH-Dzh6rciIvnRL?xm&RJbwx_e% ztGZv};L2C+w9CJS$kb?VC&U0}duN`YYOVF`P^)%ZknSw-%K5ZYy{1#{q|-R}npm6Z zw8PwQHI4L|&WPsz^t6%Qq>~WFopzoRh{LW7l_;oosXKR|U9od#nQEtx#0aFl*Ovx? zQSrRPe1@6Fdrc?P)6Rnri4FNptVONb0hw9X4%DiZg9A}&<>*3_uXCrjGw*n(i-&9~ zre*zU@AW2~?n@g6x7d#F_IB=u49-q)*nYTk2bAXPbm~>$wrg5%P}-S5T7Mq|NE+tM zXM7OA9OQheU56ondS@%Cos=ex#kVt57@A#I4Z(!fd;toP#6Y^AGl$aCM#oIous?(NCNdj&lfR6!IOkcJ2jRziUc$FJ4}Oa^J%0lNRvv> zOSR5(Y5i7ejx;}&a6~B%X)LING-~G~0#kY-N}P~}FT%l13&#iVvUdresr_E)uXi|jAV=Sfn|V2KvhoUS(Zx!v<* zDmk+iEZL$O%zw#KJY*~AmY4W#Hy?8szho;pE-yGBFF3{(9P*SLLfSTG*j79wFFEEe z2-xNvv*icnh3vdudr{E6&-CNv+K(o+p9ZyK0d0d_I~i1dsMlT=wT##f+X{v}B@&0i zgPtNk_ccVOC^+CLf*9cJx6SF17ay}0waZIw2JO^O{+Dohl90rOH$Spmd;&xjR+6@d89r6?fA%;Ap{WzqBz^Hgh z&^0jKr{&snUhO6DA+fb%7Ohy&E-!-2tdwdUTu4ptPVZze^5o#nXC&(i%eAv5@vsP zVzk~pPJZ+VKTQ}>}(Q2Uuv^*%;FpuEq5vC!4~sb5WY6%rFp-MCl# z8D;4v%5@*|B;>~y8rJ*lIuvUbbd%9^i$#lCVGf@`u@==h76Yk3gI@c-psNom&)TUB z66e4KqOzE@J|@k_q=lHYB_>@SlaA%-l<2(fTtEqJeCpLrT67;HZPB(!Y{w_HmtjSd z+Hnvi0~q6VAKG=T*W60ofv7afVMU2CJ&LUu?jtDZuU-3LKpU>LLz4DdeC$eOR@V z?`-Bf^Y~7eA9zD@k6pA7$DpGr4+%tcst-Qj4BQ1Q1Xmmd0HU-y13CC54rQG!PF3F5 z5k)isxZ>>u?gHAGhIvT$$O~8)dX0pU7$6@|Q#zIp0J9_jhSLCkc5>GMgi{Dg-Qw+Z z)}mc`Yip;=sRAO}#ejK63Bsje5eMuESGLlOoiF_2CN4lx4|zR?@Sz!50equQ+1ZZoV1ND@S{{3yP<=9b_V%Ew}@DUf+}#c&_N3h;8cH*EwxMXf%q1aC|i zVC29>VQx{Ch8snTr#amjRPiN^jHL~tq6EEgOGvDb#6uePk8KDNp>)$pt7#&g(y$y- zsohMq?B*aqI7ur3<7k3Z7Y1Fi_{t&SrIALLbf9;mBs^8v8tq zO%v?`b_$YR**kXv+fQv(Ml8I{U(fI7HsxiUBBZ4g73G#R_HDoQE?G#^CaO=1?^p!N zS;0;#e;6<3s%7)aEbR0! zMVtJcMH!ttYCW)T_#kWucS0tZxW3ahB1kK-kIs`m6_zW^o93YM8CoQiAJ|nc_)d!o zPP~a%wMyyTdR4Qi!uqtEMGAi;Re6C5Ul#T0sntVeGiF%kx4&9ftl9pv3om8<;MW)a z`1tHE68gsmg_0|kFTdjW?52!uzxIuNvipmK+n)HDqvi2~FW>vqClWuKo%igkA3T!Z z@$xet|MG!~2a|r^_{%G=Z+YzflfS%w?d`w*-KF17e)!C5zpvkMSL2aiy>j{TyCpln zv$KpDG)!QOhdvYMt}XUh>q#*MykfZh%k9NmED2~VrqLfQKDd<0e8fsR(CEMa!h+s}j{r-a zqexg}W31ZEW`LGs?lGp$SnKVMUwF$&g>Ck!Ud_Wk#cFojpJqIh@2I-%cXM+*XL5{O zMtfUEciRmIWjB6Tc0;mk3p=Ua@z8-=b;R~4?CDWlbJe10aLlwNg)8Z@<+l%Xuaq2X zOHY#q>+y#YUeDF6#FhiT*tA86lZtuf49f}20xu4?c4JMtU#)_FcVcmJ%4yfZccu={ z&|dUv0U#O$-ML9XMs2QsFzr38tm$dkCT$V+0@)MjJSN8iUrg|NGG&Kdb$_jDIjwf8 ztDxf$NyNYRj8J?=~Z<&Q$zKL)VhkXOQWA`F3PiSww#bSk{8 zI+SmF3CM=wY{Bt?`~wldy#$S3X)Ah5UgRIpyi(Wc+=P|ePzZYtM$Y zmw^$X0rS1IPQz8fb3KS1F9c3cn{+5Qc6joK2a5c*5-8+KfAP4js0+6P-6s;z)6Sic z2Yv+ciyn7HcuRu~&n01g-)Sqh&9mSo--7^UsYQNI$uZBI_JRCvY_SH4kM|V-*}o+( z9xgl91Vmz2HA%~7>boZq2he>OQcm(Z;O6&v9brMe_MAX4`cjB?FWA3*F4)G-0HgGp zCOJuR$LhD<8OX9Q4~*FoFO!}%{E{sm;P)2L#*c*c}6#+uD#ysb-< z8sD5##?N^1I&jr-xLo@@=rgPs-ov%UlpQN26}hbmbMU~>b5nuRD2CBtIAebv9``tQ*r0Ub-6he>q^%w25;N82IscMnnqV4 zk2{`j>%fZ7%gSEHZ``)6zL0k`H&oR-8n^QIEUT_wUa(XUzV$cDersb@{r2jb3h>_C z;BeJu)o!WZ=5XcaY<5YBH8pkhn|Ca$fK2qat+lSdrDA1WV?|b0MO~zBi>tb>(Unz; zer-pB>TYjrsoUCEQ_bT(Lc)b|v-4tdTWYs8yZ&$WVaaqKn(}ftQ@eRfH@oV$L1WSh zgr?l=&92g&j^-`=H!L4_ z8n-tPadYMNx_S^?&1kQ_u5$DCM#m0EUA?2SzJ?@l)>Ut=Yedz?s+!GPa&xSLHQSm) ztZLRc*VL`4zO%WerN(t95mwhbwr*=^taEO2VdQyg_|CcpXMGKCTVlKECVt~etMw~9 zo3fYTC-sk%Dd}*VGdnA5NojN44l?AhnMQ_oNfpFy*$g(4@nqF*bLK#T?e(s&a?Z_m z)z-Ki&O7TGzv-xV+P1aevZdJpYi_KrX$2W)+m`GtIn~+KIi-!ITU<2_PRzT7?}XPG zp-osiU+u|l6N=}n8nk;oD!Ij17(>zP+LF-$GziWwVjVb#1_R?ZB|#Oq$~ zH?v<+4Z4f_K&Ithiry{j>(_8Um+o?n&zC3u+?)7sp~PIxw=I9}(+ter_@FSr65gIW zEQtMa3Aq}d=>^>}(*jz`!`kIT;@Er-XY{k9{eYmN`D2@L+bEYM_nWv|=V75(*e+=bt9Zd~~c z(>2-?Ch=nllOejDWV+)aWn=hff5%hUrMS(fNOKmtlQYJWv#i>gx2PHex(oJ%&+NJ@ zVlwFSkmXKnw5YE5w3O~OWVn;F#*%ZAdwdlmBNxw93=O_faq`0I_&d_)=jHt>@39w` zKVSG_&aB)Q{V)7tL-%GzTaG5abE8!F^w6o%iPiC^B!}}HY$YAaO-#U3v7Mr7_a!a-PzAw(w)3Ww2#DZG4DGej!gy(B#@TZ1`AIE;vj8WTEV{(~PVBO<=f@K!#0545 zyFCR5Z4`(^95xb;9FQpqWl>0FEbU}EVgaThM_-fnTu=#g3d{o;-h`#$ksebG7bCWN z5Ybq9i4WmRTfTRIgmv(Y!FMr(!j?%!NoAL&th^z`=FsQLEN`e8SEb;AO3;N8VeH3;&Mn*`JHEGID82}Lr_zla zYn8Dr*KzAcOEXL_JnUQ8xH4srHIBz5sW&p$@s4%vETj;!X4J0KG`4Aj=}c_eYcW(g z4cncGGFGp5RW8aau6F94cIpl}bw9N0o^k4)b?Oc~b|Ui^{1lsC&oJ$cTWK3S zY)oMm0~0Epj8`k`m~s(O2e05P{t2gnb}qOt{mt*DdSp7&@{qOEz=Hew{(OLn7e|YS^x~J@R<|m39kblBr%W?wr@Een%swKj z+qs_Xj7h#Xo&~HkzD)I0>Vo1z#ypBETQv`t_-bV=lYJR4_szG}D7Ok#Iq%E3+6#|OyN$O&$VydTKm;5*r$CQal0ko&?cpB|JYE`$Tdgi5Q zk@H>srrCb$Y%n=gKB8dN!HeyjfCu#HYUS-_#l~gpjO+zPf46l*f@d8Q-!P^;t4CXk zL578w-J`HCd{JWy8t&OMZ*uQqdGeLPM;-Bj%GX$&<7kanc9fKk!@)Dw2qTZ$Hu-9@ z?E_zaX?3T_v*)w%ZdP9W*v!&T^xAPLervZVodG4zP13p9e%W2@mwu>A_P-NX$^NzN zw;>7pPyhSbKM>Y6_D`5=^9E~aX?7m^*`7DCP~8w(r#};Vg4dkB@dNcE-(&ri+LQfS zreQO_!PpB-{KjcV@=~s+{dHwS(+gaHrfvdB_bBHA%g;tRxEKJJsPXR}jg zI%PqhUo?n!t@0Wi8xmhRGVk-fet(CfoEyUOJV(jTZFCsYStZxKrv0GaM3jHk463pYceNXExT>`r4sT=IY)BWqsz`#xn0cn^}K0v$1kOoVj&_VUF@x ze&Q3x$JB*Kl?xp&SQjgp-*LF(?!z=${c)JAuBVdL_HXgrttb8y?Q?n*Zz$oq0(%^b zN6#+KQ{*3YSRQ%d_}(P>-3L!()Ek3OEhuxeS@!lR97fE=kkZheu3f11nAYm|6!!3% z9fH+(+1@5xM1ct^pu%`Y=c+^e7!%M&fh^rFoTRzxot~?D<)09rU*V&<>W$9TXRYtN zM3X4MFJfX_8K!2cCI_>|`WR;Bv%Q}@N||p+nYB}yTr#+E0DPzZ%c@`N_G;xQtAQ2;EXsVZ{ZwArd2-IQpjhd3j24OvO{) zaml&<1xYy9sNz^$RB7r@((7+;N1Qt2<@Uiq{z|S)9fK)M7&a((h>%L^UEGt>^a+a;?dstvz4#ucR7#N9G3lJBxjSgqCR!0F6%{21r-w}LzS;tltetu z_edKU@Nw{reJjR)CxLb~HaXGa=ric>2z#6&Hv$#1uyZrE=t zB7Qsd@94L4v#<5r*z!w$+tBW~`}(w-vkl_y!`!A3PL%wyFq8RjD_q;Qko|Mu6Mk`X zG2S~PSTiDHu4vHd-&D!VxQUWYhvO}O?JHf!&ghKy%7h=Q>-!f~kM(>*Q9NdNLD1aD zzK5OZ0P_tluAip}EdtQ78!AHvmzd14?lp=hjPf5G_S^%X>e0`vJUW){*>CLF&v)$4 zh`V3t*q`6A-_o(atRqQ&*MY$s>WxEBEhu+X%o`n?-J|%f>gRfR-?5pEV>9z+$i$g} zgY!9Rkw zhr-ZlL5(3Phl#%AqG?Z z8S`hw;j1v!Q3+F3>hhlerrJZ8s$RlWBc5zOe4*V)2H)2t^2S44>f~S1fR~V){P#HN z;C|SsV*QjutM-!wo>wXF0i0G~{>@jOoY7`ysuOy+%VM);x!VwBXidFH4DH0w_IsSB z7^clRc>DLVGd{B?)yr6h@g(`DsMG&n)CvEz)9NHMs7iz>A@^fmdAbM7- z;l<6mJx`2GIcQ(fUx=ZT9CU9iLssnJ6$EiNVPp#PDr&t-(u5J37*Lk-nn1U8Otp6% zV>b-Ii~eIDlr=HpeU6)h(f^qp_*OGV?-m#w`C#Tkz*mY+R(0=W20!lNqjwPa)UddH}jdVD8#_mAMps-5&LOIe)Q*$Yac z%BwenQ=}gsdK!Dd!+Z0N?_D0*3u2*GUMFJX>bJ5Wfz>>KRmJ0a&*M(H3t-hI8Vv2F zc#k-W{qB+Mc?qmw#W1bAFjK~8`=oxV|3}!tXqDK(XdcJHyIP~4ujmWi;1M(S#LF#P zH3l38^M4z8ttxpO3lRg!<{TKB4 z1NBqC{E9vo{4M(Y|H(cP)t_GUBl^tE{!jE-UU{{B9*ElK+W&$+U-=vDvj|2To$nMo zO8J*Btz3d$SndDo(`*0IZ{+CVlu`-@EiiV^X#dsF8^4o?8DS!y-;G*fTi%1Kz%Ie`Jrc%j;2JhET=WKXMPSngIb!GtK~oebppBtMa;PDRd+n zntX;MeuIn<)j_ZP$=Z%55$Ua%7aBB84Bj*Zy`D?v7S*m?^p942pny$ozExGoCH?|z zkCz7a76#)t4dDxilh@1EkGR(lwq+vDiYCr#UGrvEbA+WtL#xkllm|4XCWhoscE#NV zV238!%@ggJ(I!5#EUJz+(WffRPJBMn#N)xecX`o7Uh&PJ80<4cu%K3F;6)FG5*P*|X)NYQ8TQVKP|`v?PhYDS3wrocmtgIh zw70Q|J_U!pvy7oiAO!_(M1f5`JUb>>S*fFqAri(N?}(TA6T#C2%@<7j*{VrtD=5Xo z?w7nR_Lkx_b`z9e5K0LUO7Tzab;GeTK`B9^mtyloP5KvDV;AS=6G92c+^Qu&;gLN0 z16Q?SXX)yhUmR6d5k~ukGbC-o8EwK3gh7k609>A00I)Ar%3n98yc|T)YBti&i8ejj z>sXQ*(_XFAr*MqV7t@}|%|qE$`F?Ia0~F*4TUuvdnZlN~2^5x~AaU2f4s@VRLeV%c zf?sDR$EKw_65g0DCFT-3 zM6aS8y(uV1(N@J6B8okp_UXZ3s`*s*A}L&)f{Z9zpvkT(KV)k|h{NO7z0LOs_{1j+ zUZtp}ppt?Q6Vgb(bDV1gliW8)Fw@zr@c${6ew(l>4ac zWrni8Y>8h`-U)tdBz{)ieVB5hH5uj^S8@>M$&|JH7e+nBl!G=SB(~crglyH#nl|0O z8{U!(;WM;0K(jb%x^{6d%5KoaBr}X^ZkDEGJoykhXXdcz`w` zC~K^zPKV?ui*Kk%uAA#Y9#9UN6mnR5NDg%fm0?E^NXREH5>T_ma*29?BTgC#k2Ij; zR8-oZg}uWOX_RbVpBJQh@~_Y1$q;RlkwtlCe1qmp*B~ZBRJLHahc8hN%VTBhY9Q@) z4`P0aoLL&yWXyJ^l{9vu%r&L4?$SNe{Pc;1)PW)5=Z)ou$7D3d3pg5MtBTa;RnQna z?BSci*%-&rENL8*w@L$U?pl$|NwsDuN$Exs$vYS`Kw_eunj5F)82-}(bVzFMdTK6| z+TBK6uJ5C^rH2h0l4C6;tBdLfB>zn|Gi50O$rgPIX_!-`rxeL^U}Dbp8!mEVbK#3_ zTZ*vKLdxk)Rw2h_6V#Y!e$JiHM-L!qu|W8#V~qkG;Ia|N2IdZ74|G=ud!Q^Q_CQ8O z0l`tm=3@V<(0`;({_!8&V^WFJl{bbl|bGlepvSOrG**7}@CjA=Y3#`Nt(Z5}t_a{A)~ zKA9KKUS=+%hsU8rJN2`kXPZOu-ybPeTW$xy8Cw{#FPaFySx&>X@A1+c`_*9ECHO+!L*C* z=FcSzHMVflzUXpbmV}{}?^6}^s$P}=&hhw?k-a685{Bwucd>8%#i=HAJKA)+(L~bg zw~MPbb)DGcU3FK_iMxEO?mm3t?j!eg>lXw5M>POP?KuEP{Q@FXV~9}M%xm?^n-Ri#2qCQ1jyA#DujoxG zci@$h9}Cu6`9JjV$GF97^=ASz@svhP#N(P^NBqh;m-`itN$}wlzy}bi!KX!5Z6~r` z8UTk2#Nkii;CTQX`fer;b4mYE4_B*~f=SYXK7~1)({4jlk`b>6((DUId!*2kv^tB* zFX2d549DgGC#Skp_)X!fBAk(1MUnjxUcRq}*da>C69W{pND2FMk6k-H&4G2lu*lBNoKs%F}-li3hS@ zTJK0`5lEVF-tqCaK7Q?T*iDFm!YIK0M4 z41VeZ)$ZR5na2`d#~?)js(bD+vWrP+0sRoENUV}ozX=YXB%DUkxPxHuvj7;3>QVob zgx^fpw`NHAoF|lE`zW^lzn{cfpLhyI;~ie8HS++Ep~)%~8d^)PAcc_%s>MVkJ8Y1%bV4SHM?HCuMu zy*%1Dky(#6G881!aDU5m$3kJ)B8{n?hAG;yS;wqE?8hc)L_%E<*_!yIYOauAs(-5Oe1wzZ zo)R$_w<(S5#}(c_1T2wGqVzyGT_qX{xQc)ZP5G*WN~+?t2^7n8@9*nG-oY8+yg;aQ zJs!{@+FLQHDt557%Z*&NgW{l1>nU8?lsFvIsU_Vsz5?|}PN`_8qDr1@8zHI`VGbe{ zjv|Ml{-kV_@+7D9mArllp-Db5nrBZvBu&0w$4;8d;RYT8rxkDE6dgs&^VXZ7gnV*^ z#-%ifqGt!}$2ylrhSSE(6)xXPqU)tjkW!*9aGf8iX^ZGuDeVEtA_U2xy9Q#WNV#?^)$5@)rA|gI=^xtLV6Eq*DYB`fpQgyU z@D$0Qo^*SpDZ(ev6zTTR6fw;}ud=n%Q{+T`>=gM!fF`p14>;+fjm$bs!i`Jj>X(G` z#tIkIN;Bg)30DpQq%~voM$+B}?Yo##2^>wS_b1VMbhKacNTWtNSYd+p@wA0igc4wL zJp((T{JuF<-Jy+{7S>{EBJt!QY_NT4rHS*yv#cug_F)nDg2r)G;W4BmO@81f*Abol zm!%mM_N&9vHl!D`;aqe!OvKCvq^D;?Ay*MhjLrsBiJc9F+`;s4ULb@=9O2o(v{%iB z_odmePm9?=Z@FC1qpx_&g+<{Ek4I)sVQTxc^fs|t>9_1)dFD6hJ|D$w7tmtUs z!{u|d`g!fL5pCI|+8y_0a&72aZq@TMyX>v*_%pK(J71;uz38X`(A|n_viyKRaeY85 zHiUl;{sdsD+$Q|#plcHT-bm%YAPxA%X5hXFSHjG6MDr<4<>|mHWB3YX`ijB%l3T#A zy+>>j|LB$I>P*Hc*hb}}*Jyc?iRjU5lwJWEJ#UU)qx6OdJ$jAOAB@nW*C_p;K&N+u zqSq)Lk60vn^ctoA1avL_(JRqOetNk!3IFIdN(X65Pa32pI^Le4bnHJ$r}E!IMm4S& zqj@w&fcG2eWo`;?PNjkEuYDV?zJ5nTRzpn#Ugpkn3U&Eeb-6iLl|fAZ>icOPQx8$1 z1*Vj3A(SLUb>-Hq9gh0#HPYP{M`cSEN@nL|30cC{Iu~D)x3oHM z>Czn3u5>KV5te3G3fZ~KmSZd{yry9>T3OtP&k+{a zI4bM@CpTDM`+)?F3g*TP?s}~uPOjA}=fDPJGuf>MxwbH|2wM;N0w&kqoS2ANshFbE z6#Xv#G>D)}(})Ir`jFiiNpop3sgy#YpeaCy%py@#3OsNQAF@J;5~onuqm&ilGBR09 zgd#V}6lCOd$cn<{)iPU{z&OOI|9c;H{7=3y@%3M&pvABGEQLv5frQWWVF!!-vV%oG z_h9(6g8o;RkiJZi%FuTT?5Ynjs5E_@!KA%2gC!&V-_@0MHT3NuQ)TojKC{8{3s_tg zJ}#+gUEHvgDU(#|)GS_>pwz;hCd*P}*RffgkxS)fb8|QoH;-GuE#$~C;Tspm(c5Wy z4)J`L(h;0F?yuS;oH;Tn{JFn*Mnt~NAe}?N?_F2*du(ue2Nslf9{(56W82_KinW~7=cny@v)QpwT6#<(vx?(_Xrz?EX-E;+02VJpP)zcM@td*{?wTI}6#r9#kQa~-M zn!ZKa?5M14uGzt|V7o4sRkf|50pA9(ELdh1eRWhZ)&nf8^B)v~Hs7TCEQmALL|pzDA6@Sr4A zH+2NoF!s+=chaPh?r4h9(2&mQSQ@@eoui{u1IpA8B5lFnOS3$35JsVc%EXonqRcWW zCwz+L(HKS3loNLgWBbEJq;oV6kIW=I7|qH@Ke}*3wgD56tOrBRvhb%m0{(P727Wh1 znc$w1^uI20jh5?&k>rCGJ)-4q1tF$7`wJOU%n{8qg9bf2qghn$o6#Fwqvi0xaw<*P hrkK%v}5lBUq= z6er9uGoAOX@0)5>MsX$}Ggd`SngSI&8kCu-PQ{=Vt5YRtRYvRZ`>cI(l9RME_&nc# zet*0?a5(4ewbx#^z4qFB?R|2fcr{o?^t*x>v_GH&? zb0~JT*Uq-rRvlARX==A?YG-R|E1k8KxG%TusZ;E6)Xvr_c9+|B#VGdb6t47EYsQtP zbgnx6ygTEPKJ&e-^vqaA^foIV6MC}J-?nDFXCh)c z%QCqgz|c^;or~FFuQivWUFq)KwUuVYUXa0cUC!ttf-}ySWnO7Y@aZ$pm!-d5mI*vW zc2;`3L|bb%5zUrz)T*6rs@+nw=dB&r6*-k92Lt7}R4yCDkb znxs8jUAtGS*a68xNbo!P3-e6uONrzzpAB=a)~GY`Fyede5Etg(Dr>?=&T}mu32_34aA&S;}5Z!e6YOA!&u1vL6@Gx9QRyun0c}b@!M&jiDB44MrL?4^2mPw|h)jiJrI-#bF zdqdvMyRverF6ujz)GFgfRcI0=t7ogv1FW~=pUlzOEsy-4m-|02_kUh4{Qs-j^L^rW1Ka!Gi%g)y39*WnO)ZO^VW0>Kp0Zr z#(h)5m8{HeYj_|LjD@ymE2=1TVUdg|gyRS;Lbz@W5QHJ)O$-f#z5KT^9>N|Bgb&h83K!yY{ z5276|1{L=p)M2sWwh}fv5ZSe6O(=YNoNB#i$vA(RVn2*ch~+RkcQ++`k(JTT;!i0b zL?Qg!@$UmC9YrIEzIynOQXE54{AR8-n``%ogHoZR6&HPLc$z)n)p;ozKUyBXF{4SGimZo-(MKOCd z1>3n!DQ+rT6x&M_`%r}7_E_yMH4#Y@0laZ4c32d74T{}?``SDWYT0-U`=AF5ZxaW-Gka7o)&g*uia*{K^lA7 zK}l^D?lrY}gjTv8;Vuh$!395gLHN4MsVIneBz6d%rXIYYTq2HGmkCtldBhv=tF7H- zB2FQke4`eos9JNSmwY=8Yy<%?QrDCqYFVh|A z(Sk`I`mB~_Oe6$;{w3JwOJ(<}edul(Al>^3U9z4C6m@*6wdZ`P`=X;gh)`4vvJ*{i&)Q}u8ShFqto;HXq* zH{`W=^4kshHPZZ3);yOX?UW(cE1k>v39ag5jp`eQ;Zw8n3zvM5mz)|+d;v0m*`?fU zQu@8}&(+F43*GO~D0Fj=8uE@x)0%NLnSq}78MX?};) zL>Rs@D!DS{multbXqQu6wJX2S5gC^J)3$sNjEWtef>V-$c0*phVQvTT5ZRzVkGrB) z_JU?k4SF`CqDlEx7}s7~!9~zelYiQf)?&zQ0Uj~SeHzu*X#KMCs#pG%UHOSm`LRy9 z*+H#e)vCm-ar4>@^FRzl2QJzTxh`ozvn{_dD7^rx995rM`H_ zHqm{%=)OaA?-ku&6y1Z`Af7IE-|oppyY;q$ZcyUM$GzQyC4;n6lDyN>c`jSQX=xr_ zg3eR=T-b1@G&ACn*a1A#GA}5fh$C@Do=3a^zYT_bCvghlWDvF1yo=I&CuGHA6GX~4 ziWZxtnWs=ipXT)B*P)_5zZ2rsr$H}AZA2dKTMT(HVBEM2x%IYOFDzf52FoFlx(le5 zyTD<{CGM;w`QQzSj=kw%l%p1D&BL_D-%B$BFQpj*zf5FQik=&E4o>TCJ|b#Pkd5W;cUtS@zWCYdL`kDtzIKvjMyJjwH_OxN zKI7ix7GtezDAwVU>UF7gtqt1rlIcw`HP-C$=~k`!VAE1fRGDUc{Li#YV;Y9&XJnbj z#~;=%RcDr0`xhit-(T%7Ns8I@_%lN=p9GGndv&ykv&~tXpIy6)_vYnNL{wFXZ?FiW zuC46UDn3TXaM0E7P{7e(Wo5fp{*+z0+bjRnq^QK|nohCVq}ryz7)AGc)r##F#b&i~ zFBbs*f>n8*fQPnrJ2MWwbmz|de*M;(ulL@Ta3K1!d2K`HLpdLOr2T%_(HGWu{k!KK zh<@_Ve{<&DwrtI;zx_FSU&4RC)7@9xShVJys(a^8eXZ`(ym`Huzd5|~+lK#n=;cqQ zt=+p!_42t5>)xOD)YBh)@#2F8%~3B|lQ|7%;nZN$!u43P?x@YuaWQz}|72*JpOMB% zqI7|0j$r;WsXTTf=i)ethQl9;S7LZ(J10Gq3>0Eii%XRgIh}-)XaL2)CjC$6*xNZy z%0=n$hKq7Y53Q=YVAOHx^vM38#nFeCj*jNEAWVi=8g8zsq%M4;s)8dT&7n_V{L_81Eg>F5<}anFaQW;Hj2Lsk5d=@jw5TlVCM zk}NI}j}rWgu_#k-zYyDeq=*}fM_Myhb41v0ZUtdFF=2n4Hgr)0VUKJWK-jO|HKtGG zEMcN}r71U36u;g9#X}`Q!XCC?ITFRCf}|i}A7;tpEnF_u zVt*j9gA3C@m;=<3Hkrg8I6C#wWGF-=v0qKyM1+YYHfe9`0K%pW+Xlj7Ihh5xc*6ER z(-hI-lRt@%mbr*b_6Jrm>E-QbAxx?8iqzfwm!lFjrx0H06eShv>}NicbM|OrXBhrX z&umB^F?6ZDe#rPmXJv-i^G)%OIAjaCja+O~@*_=}C68yHe_XrdiQMx~=$15>oNqQR zd2;pnCrwM9DnI{}dCAke&p&NBmMYPd$t{kdmJ!?|8#(R-{J}4Qdq#{Uz3p=P#$?I7 zIzw)ar@n|qhdUa!#UmWy1BDs!MVYO%ikiMr8_mFMX&q7;V(X>zI(FA%IdeY$Ez8`feV8H!FC3q=;Iyp( z@lA(68NuSda>bl-L|uwG2>1}^nJ{Zo?7AKev{jbcHCVSzMLdn^kaY^eh%bD~uU&{L zq-)!0R>028d>T1C@#i+xnpb1Z z-ByXUX;W=wYHg*XcEp=2RbL=7K)7b7$l$U@*$XZ-*;wGFMd7!xRBg@d@}*;n@|h%a zW9kZoO>-OJ(2ZjQj3BPvt=QeLVridUMH0w-fX&LXGO*_O5Ec_ba8`!DOup)%Ew{sy z?=;MVK>Aw?x)BH9(NljWmL`5xpa&~}*^2EA*3ypscJu;?8ULfX9N4l2dHLso2IAzDNB1EY_1%pZmf>#w`ejv`U1P zU-{&pvN-j+)^Pc~EXr#5C5-a2g%{uTkD$G7d>jvdLlJ;xB38V?{nk3?kQ8ecigpn)wtp@ z6AzF5*lzj%ho0 z&U(N+O>Z_YD61@+wsh;dvWjW??VG0=%ho-(W19Z<+oly{m*1KvzsXP8^MbW`Pq(v_=jn=!rgwgtD| z0=$N;o6TFdY}iscSA!=FJ#MPluw65IYR)Xps;yfq=4#5eZ(dhXw&i}!J+s!YpOZIJ ztG)OCneN3~)>Z6Wzo8Vk*K97UET3AwW7GXxHmujID=*s)Le|`-UsbYZ=rBYbx2zf~vCZ8#Op;fAs;Yt{!$WKHFU&E|@-$_-ON@F2yjR;^jJaLvM1w{F-} zv1Zq-Qpk$_8J6moEh;VAw54?F)Y44>?d_;sziCV5)N)wXPTY{Jc5VqM63=G6xd{BP z-LQT6#v+ihW8JD%>sBpXw{X>(b(NL)w{gw7jg@6<*W&Mv@=Y5nQD^JsEt||+D`Ak# z6jp8mu^TjoX@<#@HLHsB`ihEOo2OQ6-D;k?bIbOv6%|v#7YT82UWk#k6B`fLGPw@8#iu8-@T%xQH|rY)#^HTOp#VTCPp%%UL)Ip7Y%r!j~x@^#tYq3+G_4G z_<|a|D4H0*Av%6)JjPN!$Xq9B~Ls zJ|xLNHU_Qot8{Keu8C8-79^Nvz34UQ@~FfWZ_Vq_*0s)voe|&69d3;`OkB{c^%!Gs zpV*;2+PVs_pVa-fHQuc?N>*_kh)j`lgsR-cr4y^aG z6Fn@wh_okXDL{ONcOHqCjrA7|^Ewv9Smtq_an+M6Ajdv6=Q2O+i|xwqNthSIX-h}9 z6n(4QH%2Ap;(rtKd*3UD4=ze241E7p?cA@8Jh;iPd}^gi&S2E?tFF1AfZy=6N6i2k<9njE=%`O;c! zPLLZNKeSaIlnmM6PkLwQ+0>ZAoQ$=)q7gdlH%addlg~T~xJ9Y!w5U`kN!Y+ezqhRt5U$l1$R;pwqt&^Pmswp5}O`J%KCq-h^#EHasQY1!AoJfo(gJPuS z%Kgfgm5ChJ;kw?o+)}){?#ya?@m&pP?s630O{^Mu`J82ZoBP`WCUu33C}2{);U;?M z&^37OIeoAI7Q~fuGvXgz(%2erD{VhBF<@+Yysx|0t9XxYElHsNG^~uQOJFDr&T&YvgUrpQ=U)-zst5bFd|8m2r$f5j zysgjpt2N_x2E2I8jVovKh~FW$&w{k#Vv&TXvMBbhHa8gNA@p@(^~zIyCH*WG z_mOOHBLkg*gg0W*;n^P=l~=GzXjCHb`%0&Z`-K^~=WImvSOx_KZ@n$A(LhUJcB!xs z=82M11WOxDE@zy@0%%iudzpKi@*}Stkw4ZYLBj>iq{+=FItpk34uQ9Hp2JpvAl&XD z_fn<-3;>d3OQ+DJ8(xS@zNm}7qiEPg$z!v)Yg&%0#=FTeuf-%>a6UZDv!-6Y>9|Js z#LT6h@s&cP*_R*ldrtFDy*ZlubeVoKckH3qyCu3GR(fN(N1CdC!Yx(TtZLB2Jfqde z{G_Z}<7}1b%MT8z_QfXExQ369ao@639aRc|7qxnIWm&bl7XZ0G8&c$oOOi=$86Tsc z27omU8rf^W-~vF6N0z0o9a5xKCe>Kd0gwlP9RTPBfDZs20B``nr~<&K@iCH;rRwS< z02rI2k6E&|TJ3C;Wmz5uh9m%t2Zq~#;lBYe4*;zIu%D4-WrGI$@T3|OXt3S`3@-q{ zoTFF2w-#IgpaB57l%yKRQ~=xy46guSA23`8hCTqK9v)I;9+_05n*}x>0EWK-5vME&7J9yb-D(e}%Y!a1q4_>DJ z0);%COH)HUaPJQeZvR{i?nyX5Rz5?1@Qd}o=eXzNB}2jLODkKVFucQ7EK;p;=*2dT z(xoeQCSs9YI;?1Uya|OdD3qYEuZ@$t>f92GCbeEN%GD3t-tTcSuvTWsqdDNJPfh1y zs@mIbseIBn>9}QY&Wo2PFz3TcA zw(6>eJ2}fxo0QXQQ*HB&w5g@(TU-=>$7R&UctnEHTxw1(r@UYrl$Q~}* z1bf%TvcAwy>SUVt)axZ2j7jKB&0b=`!FhuY;GC1Ufl+?i#dYRiKu(d$An0zRabBcQrBf^j7kNmy*t2nsj;U< zV%MvaWe5EdKKD|AS%MCMeF7lPSxB@C`r!poLlG~e;d+POB^AkgI)8EoT%xMVBM&eaP z_?3%AZ_(SYaVcaLAEX|55gBTj#ijL#VMI-zmt;mri*U9xTU_~?)sYJ-Vae0KC`-ZN9eRSEo2GxLm zfCg%$j0GD>NMNt=7m&oY`599HM9Lv*z|;pl5lf34lwu6btGcx`jCtprGr`dKpD4r-X(&6 zIsM-lH?B5|c`JW_10JUhFh9( zN(zC0lqBkdord=SqWbHM0Cn$M^CN}o=Fyn+0W@71PMgSZzvKK?XW?OBKK zu}_9^4n_6k`nG!gh5WbR#{)r$en}6B-X&ivSYfcBn+lvL2t^^yvP;zR0~&GxFBVO8 z!G#z8HH6KN|;t5_F-&AOzM3N$DPVLR5w_}Hdp4#i+m5)D1et{{?pL#>93Z^}Q& zkGLbb9K=-35{*Z9&@vKJ(N!{SRGk~(&PP82xN&HEj4J`2zc&{{E5_!-Tqg=IvciB> zs*`>S3JQJJkrC#qPGYv{wT=`Gy*VdH5uWs8A|qBO?IjHD;ebb&#xg4)5kYiIj~OB= z?xu>}?%5&c+iK>z7@{8KLelVeV_qcpnm$E>-d=ozk2e=ny!ivgo8F;_y`l~zhGH-2 zf3bL?O!=Patb^lF5GQZU$^Pd4ZK3e%*pOGy@TeYFLLe^Fj798q=7+JO0Ax0c-fS7C zA#fI%q$Fcx=y~hIP(W|y8Sj#$2SjI%p9|GrC?xgFnuRU^f2B<#f7LNPeW6IjJ#8O= z0M#C?B4SMHS-=XAm=4L!x~!gz1UrSq7-XLkhW+7yM+wjy4p_qgn;78GSxw;cM#+g# zl#+je6lKWt3Vc>|*1$Vx#-0g9J$|05Jw}1t#%)OuMvXB!G)#JVJL<#M55D`Ehb;49 zNG@6t8j`Kc`>6AH6;(n%FiWkF&pP8#^)R67(seH&r#!9_jW@cK1sIUk!2skA8ve$~ zM0D8?_K*;s_6l^x&lx+P4CPGhynzHWFD%V|;Y9pV*(sy(?3(P4PsGpJze7(> zWQw6$hW~WMYE|71IEl|Kx#x7n_C)rOWu*r*Uos94LU&!$Mb_ks`;(xoU;%SlUKE7- zZC^2)y1i5K%V}13JkYSY`EM=hP=OMY5=*mOWPC8 z%y0qpiYR;EQ1U%P$fPE;$igFyB`~bwA!5UNY8wTchPnNMVR;f_$}Q+!CyMR_xzPug zrE()=Ib~c|5}d_}B4daL>jP{e??TE`JTy+d2s-#kdL^1W7$|f*uG{7b%>{-? zZyHUN3hfK>L?huVWq5bPGv7(G57m}PbF^-4q;jX~TS1k9-ecX`MFl=pFU+xPM6VoT z8l5b1ApN|k|78&rozP!({=ew4jE7!n$-r z0O*e5A8r~!nPRl zD=a=o+k#UKMj8_sANV^ zobxz{j#(_~9djP{MwbRWk~vF1CNhY%jETLk?M$FQEJ~bJ9XZbBJ?=XgL@;LP7(^<8 z&{ge?Nk#AQBorT}Ww;e=upiRn24h34!6Bak`fSZ{G9B$QG`ODaaV#;wNQP5dd-a9k}ifP?1JP)@nD-{Ak7=h9A6F3rwzX;Ubdww7{f z>Nv`!t^PN;v?5&`GC;_sRU?;Ha}On%w0iY=q=>qaOKU)q$pHXU3Nm5HrB&YtfLDT>$t30G9yZ0ze%AOvt5~D3?|UfQJC^F97@t0Cwck zN{~x)Bm!U@0B!}quK_R}X{s&Ag!ur_P5?-@nI-^WH2_WmU?TwD1b_pnvJ&Lde3WcM zE=_`5TJ=i+*ad(u0N@8e47kuBmu8v?fK32+8vxG$;6AYFLN3h#026X)8p@?@S*n(G zA*c8P0QLglIsmQ%fI}|LQh;3A9^}%#0)|fj&&eg$YeXbr9aA#lO0ZIpBx)^*%_<6ouh+COv3 zUAlwzQ8qaydGru)vHHvwir`FaNrnOs7FxE4CivZas;r99N<+BFXLs|dvi*aW@u{+X zgO>5BGJb6+5~rS}QNnNvX|%h7X*B7)5(?tol;f(UMOcF1SEdM7CQ`&cmM`+Wk1DDGWh@;gy)0h1r}B6R$xr5`}u-U zztY4ql#EO#Ba;T$lUSSUlOPECDCt7T5bL9uVH_YDSh58X4QGMSG9I#^g?}zM6;f+6 zQ>*6#!18H8p+9%&uIniR=`{c|G6e@HOBVfoDe6X~#G=2~i4gvd$=kygdDzS|h|pfo z5;9kJFoa{9DfMvX`^s>H%W04fL->1UB%aTRLN>P)5C+0!U+i3Bw1Ikunl3aES~@9G z*zU}8NmxUgT)u?!$vHS&icw6}!``{ba)CWPU_ zK5bzLnK81QUWBk+aplwuTat1K!tS^wQrP-wKC)~xTUDW65EQm8E>hUOxLiWX*2&Dc zyTMjJVA(11mm$G3*Tv@g8l%s{=(|qU0`!^Jx%ix;sLOClhL~;nu!|9U5^APWc zjLwJ!l{h}l|&BYb@tO%JclPa%YcC?!onPA^|H z5aDStLWj~s2pb8PHbT-4gfxNyJmg$V9k0M3&jn=6*<5o8%Dmvu9_ zYE|n&ePJ6eH(Y`ky6mS|-brv5U;Ic5zHVW8LuPar0)z=;!F3(${bZUdEa;g#3L?d+ zpYNcWS|Y_ZGvGFJ`UZ&eJJyPaVR|Rf%HI^%28i=}9*$9SF#z|i;>!Wz>=(iPB`D6C zd17&H3#0~bCQfx?1*qq<&kjoCQFzv6?+p`Y|Bn>scnOV89+nOig?XmLlhg)v!5c2R zFsEA*DNgrd7KN#)bFk6sTpi^_J{%(&tsJs0)K{^=hDbF(>r-J$S-)J=^X!S#1qze- zL?SHwkFAA1w#6efnp&5zwv6>cTQJAPV)?B|{Bh>!PpqWIW|j-XrrGcdR`oPlG9-D7 z*O)NC15tcsH0p`n9R&cyQ!6>W`T{*i*AdQnPeGjOM6CW3$AggSY|mav2^n;?B(;E z6}yDS%!ci(svtApkCa(mbPuRjeUKR;GtF@F`Gw_wB`>%c?2(5DUpvWtz;6aaDyKuEb+ip9fs8KtTQ#7YB)?+5kd zj|?O2EXx6p>`7RBmv|sqJN(BDBwPxG9)+j$lLE|HzBUfmLKkgf!qq%fvsHl7cBxxr zMEGllKZ}z4)8mlbw~l&`CHLH<3s?>$8#*C?J7R30pFQh^!; zNEHvX>I^Y-9uPzo_6~B+Dq`x=53Y&IAY3_TE6A}{uX`hO0MiwNBosy7IaHk{! zWz5iKN2!sxcZ}htW9~LTO6$=To2FXkpwW{b@en;xs}WMH$bU3My!$>DytovnBIt16 zcbeL@qad{4)_ageY`d`%5+u-lA7kIAcv1`)eOs607V+fA%@jQ9s3?8pOqp56&})_@ z=b-tXpN}Q4V(Mh+vLAl=I%#5TF-rQDXT@>4g<9m-`xmd5a0}y=oXl;C?{B5hthS)# zAky|63Z|x-&pJB)w|F>bYV&bAb^KZ1v{HZ^@JdS?aUBX#CiX0qj9AxHPw8_1QfumiO~>ihrN2C4 zR@22ZxNXrRUA{DX8>P$l8*>-lmHi~$I*jEDmu2sv+ha!E+11%kAc# ze%Yc$-rT5S51+CJEgl3Dt*NE83)N|dFn*Vzt_T2 zl_HOEn$KbSEhP1v&(O254MxPTzlnOEZEiEmn~{q+&L@OK$lG}2pE(5N>3_*Y&#Dpk zEX^pI?H9IqmIw;?^**>Jq$5M@y7$wNcf+Tg5@c6;A(Mv7<7&pPt|gF}4QH2yX_Lk5 z`f927slHLO#1h!W2AD6Y=N^o^mucYj{S*=*?H#TG+IfHkL5L*%fp#Lvp_(m_Bv|GF z(lOH2)fY zbVN@;VUp7eKyw8kOo2y+wkeNO9oyog!hH5zBjp1%V@1|>s*WsDHNK3c-auh``cXdp zC<1EXfhKJefEv>q`1GR)=yU+cDgdFMPD~W_)0#&H?WckLDIy&mX2zgN+AQ#DHhjpk zjzT{@T{2idWriEpPrK_(N!tY^AM5wR9>YAn_0gF89F`Vis*%kk)nNM{I_C57LlR+Y zKdhvZN?EhMrA?9*2m=DoR*lHD{#>13mLh(RpUa+|lA)toIbfRGOqkNt{-N<8Sdxx+Bu?HeOq)+HE3Ra_pQy%hPV!s$NQeL^>T&BELi@{oC~Q*FvfpYYOW%4TJTX(y6o{6*k;E z$H(1m7glNRRDCCb2IDt~XstMTs(6zi@Ggh4oVNgq-ky&?M|33ZA|cvKOFJ=X2f&-Jp^x7{#RsRX8mt%`8Jk2g*`O>ay-;#v5TbcA0L0WL*V3F zWd)=jK9rhUoy89bYWvbVJ~9|nPl&(gn1H~O(Dq*>!V^WS(C^Gr_jnoxkJB(HTHs@Q zrH+fkC({J=y{AJ+hBQ)-7Gki(3FIOpV=#s!TqzTh@AC>s?o6B*6{(c{MPC}86IW24*q1i z1u+RTcGv#>2y*Y#bfY`J>rouCr>3O|mn2n7qBu)}{ek212TvV(@U*<5?NCLBeDlRa zo4e#&x(~f69kM>=NYexp9RtO_J>QCvpk8zbu!ObI%-z)k%#xtGJPtA=UQS zB$<8~va*wqTmA`h%g<@`>QWqNJ>81L@4+EO7UbA9$gx|eA_;#l08S%Az83&=6x2^A zUU62`M@jfxq;1W}Eq@4rvy?(djy;=l>`2102ct9fdj32B;lhD z0AL)_*Q<~rKNUF8sz#1ohXbwdr2u#g0EGZ(1He(xphb?|j2t_M1FdcxXpN#Adldls z0MHA7>i|$A$8JH6U5^}l)H?upI!CWwxUO1LhZMRIDRd_R;6SVXL2%ItfRn(m5h-*p z0K5S3BMGk)=h*uI@EHI)kz;Q`j@^PByM}V?IM7=C12FUh;5*9F13-fmx*a)o3v%pk z9B7S7MSeR6`R(<{kaq_Tw5pLpx8Ojl{_0f74f&;@`Fq|lv6q1%x{*HH@ne*iEa z08aqG7)YU;kwW()g|7JtXrL7OT>v-*fCm83i5zL{?*{% zL;nr<;V|$nr;$(f4~IyERDW?fOZAVmf@2K8kAp3zt!}GJl{!%azAq(7TXd4?zclcS-hXnprtnB0relu8{{rJxlAMP+2It zlw`M2J(fr|uzKRMZYuK*Ue8BmzQN17sLVfjStph8$yuSjDOQa3{mRj>A>&Z5!JN{n zE7r-TWx+B^oQZg_L~o^s)#l;sp^!ajlUjwD%fySp216%KMa(xwy@Ezn({U-Z;3D2G z(%5>M%4Q5&R?W(04q8^k%4Q8(7RAbD4_emxGG43Zh|5Z|C@)^~^O+&XVu`&<-Jrc6 zaBAG~sakY{s(Stq~enlzS4hDHEls`NPXJYk^oX9eXlxkQbPd$CyYFVA=e?q3)2>@LkAs-T()A zMHHN1{m{?}tSYwl5TF3rp5rW${^>j5tyK0oqFd`^oTf3mC)3ta32g-aPK@bK7S_Yw zB}{ZDIA?P)O+~&hMWbwgVfrx43EcC&A=dO$d&pwUl)to*h}nt|SZjQT!s+?%mj@1` z*3!D)X_ZJZScW3Su#=8P)C?*{2=7lj$nd2hszm0xGsHxwhA<;X2Jft z)ICxB#?Uh?b01LaW)~boXicn?L|P^msWq>T1j+Vnd2ka%j!mLIvXk3n%d?Xy52GX6y*pqT{K1ktU{~0nA+oD4EKKen zP}3|;j?VJQOz?Gj`J9n~Gg9nG)>Wyf@3h(22A+pdt1vrY-@^2`&GQx^+e2k^9x32% z^aZDhKDL+0!&YTbc3ZClhJL+7M9`Pa5ImO%#~WBL;6RW#W3rY-hQufDbZA=;41;bJRT>++UuC)f)nH*RjILeZo2-~O=`&@HkE>&XrDz+<#oL%X}e*O@% z$Y>mV^K6eDxjv@as#uLRQe_jZ%z3g+LoA_ALV2vZ5Kg9S{zcrI4LanzDesm%j#i=% z{*%1cgs!M?FG|)P?nSki8D?7FQf-2dV&XR29%tF}X0mwmr5C_`^es(vo$99RFc`(B zV$ENyVQ!dxR)kN2!$$9yL%} z@lCYW5;sF4g?H!|n|eVR^HKjK?sf*bGuYX-jDF=fiZ-<|X!%-x9bC0aw$1f0ebXfK z0%ysL`OuE#<>IRakB5f6!V84ZL&{g}77*6)=~ee~_DK^Tc|>WZ$Xgelph|{7rO>ij ze+~1tWV3}nByh|h`jo)w{W;MUSkU2ypQPe0T#(|7Fi}f1vvXZx9dm;c=c7rW#5nZ&5RDw+^R>ClU~2f{$)rHC zmd5Z^vd5#!QMe+X3In2$-0dQV<|P6Q*}}jaV97FkD_$4UhKd_%Q36?VcMDab;1rca z@+)UTw=oylBuW%HqW=z1{zDcuqBNvs_=9-Q@21fxze?)+F=|QKSqEqFa@>+?EEIPwPYfA@cX$QB3aOjjFtKyC zXUi@u+tLTxWGj_+h5|DGWTS zULR7aGllJ3n!=fFDMr<3|!JeanXeDww3MTk0P1c~fsY($V&%`Vn6@)xVD0 z2Bfb4+0;n4j-~F@ADWKSZC#N>adva|xRWeN?X~8lEvciEK(L892G6QbT=0lzupew`@6?cT!noLJ<2qR!GQXBakx z1G3#Sj&Q(3Yzy^+;ec$9Gp8Vojb(f$Tx3wLwBL~y8uaWmFR7}1GfPbVB4hyitqg}r z{*9ojtQN!^SiXnR2wnZvBi{j$ZDg0QaPo9Fp9vS4MgKxZ-~$#x7MRk#$eiPl6+ne4 z-4=>#RuugPjSs0H0}jRhU6c=BAvR3?9=3PIsQ8yam)Y=TTBtYNeu{d-hpabz#CijL z5F@NN9IItR;vOx_Rg-%>0`5-`0sLoy?xZewT-*h{nJfV7wJsKV{jw(zCdKU(dQjjK%+G!YCfISS0CdWi&|G zGLNwwJO-6>e^f+zruJGE{5|9p_-g!wa`1IVk?OUh{scUQ*Cn?Kj9l6W<^zt&;1f>! zUZn^T=lVgygc|w42oa}!Mbv%AA90`5AyDT@i2W%!JZsTo(CW@h*in?yRZCZ&0?1NU zLBI~Nfc>LrtS@YdS|-pH;iA|qFw8|+>(~MW7nhGQkU5jQ!w|{Ti!i>>#0}?!MTCwk zBGKEXTo(uxEP32?yuV?adP;O+*Esr9qUm(&5{xW!tb7()WZ4ZdENJ|LpY1RpJ4&zT zv(O@-Mjq&SjwP4@)Rk`Mv(O?SM*v720}{SqTbaaR=#5lO{WVRJ?!`>{E;>g20F&H z=hq-59C~KU4tD8k(#T&1Xrz~|3#htv!L}!M4A6+52kJ2jKDI+lz4Q5tbR zOjT6h8Cj}He!Cm4KjN@G5j%tikVT1PW#QFsl0)ol< z3E=yv8XX#H>=?o?$3;IT8j{Dih7HN-i)q6`xTBeH?pB}BBtG=;KOxtDV!^Gw zIhg|bySrk5PkU74evhA*4(}H5nWf7cW0^ItL|2J8r0-m^GwEXiQW(#lU7fokGo)Y1 zvkUUVpG)S3KQGM>e>N6`KkLfEpI6V$N(25oo*rNf&qcjkvq#XSscBNAG599gmo*A2 zlyinZJHQzJ5cR2SuYh3fwn-vmI2IdNn|(%P4D-T`p^MgL!{RgRbE`LA6NHVGJtyr= ziH@Z}Ng*$Df{p0sAE)an!W5l?w!?z6-bbDq-X} zRG>d1CS$~f#bo-H-CLSQ1uP-G{L~QC46}r~pH-!NFSH&w>pv7Z+IwW&%s<7K(jJa! z*ux<$EqMZaIN~10ODFB&u+FAE9NqQnN8TepL3=o~v+1mV`*;8w-jz+JZ)ek=G7ZPA ziKYD*SW0pL0S`T*c33PTWzMMCCz`k(D?UJ;IeTc7I@8s%p!4wO8DAdC#BV|UCgx@LE4E8s8|~UCoAXjt zXWE`r+Rf2>=v(Da>9hIR>e=|2yS{CzLskKg2t9-w%0Ak=U*tZCF_J z=`!mU?wN;TE2YLCRyxuwk2FQSKgj14vKp|H!-y}ByYV@NqMsu7kIyN@e1i=NX6(bj z=M=KM0Ptd~hYLG7-1wYA)HVq7BS^a)0FBtFp~FTEJAIlw1Jce1KotP40iYWI^l5S@ z0L<9Q!QpcX?)d03G&W)Le>MfL{S%GH6&0fYYL_9%0`u z&jUau?c@M}9~k`D3&KqS27GTJioUn70RUbAG-IoW5nDaH*bCwk_JX_!fE@tn0YDc3 zTmZ0R!-{1ZxL5-WuK}P501L2DqaIs5wAkuFA2;W)7lb};9`#oMJO=<10NMax2Y?ZK zL0q!|uo(dF1K?!<>;^zT066RgQAd7|;Zp!y1i*3Z1u+ua6L5f#`hqW%W^ zQMv(e9RM7CkYNEfYSaNB3HvZ6V57z=>;*ZF%_0r-#RmEy!$JTYhBngxkPCoa0Pq8# z2LPP-^XSt7un_=n0zmj;gBJiUe6hieFE&Kc7aMi};4=VpV!KH@wwpL6plb)Co$Y>_ z?n_Tooc1g(EVJfJ3kJd(#j6O!3;D!5&ACN;KQxM0sH}x1h|U3JbnvC{)Zk?aF9+L0 zu;EEi1U_8;GObx!)o_k4t?Xs%w@)PYL}`s#^-D|==`j88WXX7_Sz?h0(OMNp(^mtd z--)|O`pt^hh>i}TgQZ18^qYC!yn~l9-dN6EsHZc~(V0tSyF(in{Eoi?aV@P4j#`V$ z0a`{i%?a*&;8eS@Aa~#x%^tF7b+UGd)KWu7XI}C6LraC-l8=cE8(SWrQ3A{hD>vPe zKcGm(w#2l97ja&{+sew=mY9B8`4dg-1NB&jT_|hRDKJpiFTWU4utUKV#RQ}!Nw5_a zC<5Q_#YC_>>FW?Fy*w#wSC5yGg88p&3+EcT%fZq*uSmEQHRaD77@f=J8@C469;~CT zlZCKkwuh}2M6h|?I{PZM&dM-A2VxxSC<_tAw!BDbRxDb-vX1H}oGjHIEX&wy!BBmD z0Z0*SjkYh)hX#h)jN~NPx_)6Z8p%hPVEb#+lts6hK5n ziC3f|>C{INa@7xHZ=?~H&?e~wSaD}tB#Z_!R`G_OCp1j?tc#PKBGWnKdm|NVY2!lBEX71=ChcPGRV&6Z4(5pOrB zM8;x?rD=H~o1%s`Y`|CqQ6DqO8UHmvE;dF-)Qi;I4XVwS(L{#oXk~Kym$13$JNJG_6wv)k`X<@*|mRTYU z^;GNMQKVwH8*nFP^Heosb1dE#b`XssHcu6?vN7Va#^M2N`eNxg6~DpFEN1imUkzaM zG%wW&gpwsxf^0r>Gd8VL8i-97vFV{+#1=_KZl}Gqi{3Ka$8jfSr9J8;Dw~K)Abqb-R)zF}EXZ+!2Y`Sl85S#o@ zDD>kllFhEx_vtOe?ZTay&8}+3=2X1pe;`D}W>*m_yG2}|TV zR_iU1&PP8rL~Llo4s_C`XIoePlk@TOw9ck>SZY;hN0=*4>(zIlkWWa89L#AKIUjy^ z--lFNT;^nsiPaOz?v$T^M+tYZuQ(Xop9wz=azO{XLBoGVL*znyai5XmJ4qQVn<^;2 zs=FH9_{t7=wNf*iv}5fD`vF9r>oQO4o74{3tk8}&uxh7PQZL|fv;H6&?A6LIs9hgx zm-VaA>K=ZioXWbyW#$KrM0?m+iQn}kxL$&zU?b>)o|gX!LJ4=XABl*i3Ieg_TK>!V z|G~|gcv>wqqWi_|2yXU@j@b7wK~T9^X;(NJM-6Y`qUwz-V;kf6-zN~tzLf{|TZshy ziH{U2?Iav583}GJ^q6y@m)gC*26_3$UiK^8sD^N zN!+Pq`-Ry7F*N|hai~+tf}Q6@1 zHzWy`=;!;1xQuNkKTc8-%FGWLm63hTq+%P(Sw7n@DB&R!!G$TyEP~_c>m(G()Y6Ao z%I5!vF6Q7#^b#4`Ks7;G4*tVptZD6Sp_Sq9EUagBp^6GW4iN%po4MJh5)S&C6Z`1^ z9er=2s?ztVD*J8=WIreNvjIBl7s0XbwvdjxZmJ_bt~OjE^qmnpy1@cyzUj^o)qd4? zq@zv}fKg<1H|&f_Mk$?%JLVmr8ZMFYh=tu$)h>wqm~Vh;)FL?c;TRTV^83R6gKBh% zj57AMGjD`ybcv_YDBrYKq?)mAqT0g*a6ZJOF7bP!lRfXTnb20p1H@^#~ysH*J) zTvV3=vin5U~HEY3q$MpO8ZBwr?KH0qP zB8=??JO8O3ku&y-+Or7lb=}eKHZD92|^}-&8>}BoqT1*sg~PL0|y| zxtFpqf*+F7L<*Xb#pVZWgTcEY1>qMZS@XoF9ekdP`(c2BW{TR|EVOs6n*aWgXez3| z%|c;=mlTBGQ5S}xr8iTMC5cT<*iwO-ZORix^*$Me!~ECf+C&PnB(XUQ`&fxjq@dg! z#wQcbB`D~!Ix*5w+ePhZg!W2v>tvA%>Zxg`wol?x*b!9F#hWTZ>p__0L)j6132>W!sucqE^ySChyAz_ z)69MuL-qnNVvnTY6MAmS(ORB3vVGM)!2|*(XI<^ZYyMMro=g!(Ypui)zbH{Inu*k% zVMNe`x6nZx{lh+hBkl0B8$#8G4MdA#R8A9;#6@_PqxWEq|fm_oMBpk})bVdd!s zEdw}ej%LU%6Lejxpd&l&!uyYYyMiZ<`Lib@IFj7s2Ri=9ieaKiM-^^ns!R;qMI1TL zFe2C-iuQ4uzgF|#iV`_G@9;@PV*_F4_fLYO(s}IzIBGe_kg<))jX{o@Z_3d&o;dO+ z*d7@e83I~c^kz?W)C#cUot(t`mTH#Z|?V`q>6&m~0 z#(yzPLpN8S{igvC#Q>IfB+cC~@8Z)$A8F_D4ZAM{1Tw zMhN;fE<$D`WH$CAFD!neA9?wYp*1&>5!XZY_-{dp1|W-kCA~LH6{*IdC)Mz$Or8>{ z#&VXeJg~V>1AU-r;?UudqmNqDm_twvzK2h{XTz2R>kctwWc`J`LDlr#R5efX#1YXd z_7y0RYV@1fJiPF_NHxZhv#AME*$t<7Q}L#YBuyv1_t1{vPZBo_P|Z`K#$FRt^J2|i zk*aZT;?JQFGHI?58`PHHOf?RkIQ2&YZeh@QfN8m~UbJB17?}&~g)*l|Bgf9NH6CsX z=%16cX#oAtmazjHdSxIk>{@zkfFhiS7>)&b0@>#_-HdEMPs-Sy2(sM|nq+fDhV+Lf zYTmX&{9UyNyO|MR6sgERvX3~^guNoiU6@W*cJP4^J@iGglz227whXRGn@iBze?-t@ zttdYyrsw1~fZZ?@iAX%Tf?i3(UY!{JMp&&A!_U+63rTaEf?1We=s_tlrWF8PVZj#> zl;}5`AIS%fdFs}v*_5=}gQV47jis3O-@Nh_QcsPmn<<0Qx9(afzvDaf7O<&S6$Dg+ zjX9kMX-CRSzxw zId9rpqHsOi%`5IZTiJSz`CXC8dDBb;Tk(m=gtCf1P*%~-|2*9<-VdIHkose2T#&rM&L!`Xa)A%vZ3rdu zGzF~~QVz8Du>%nAbKG?P0K~}11OI{%2x`BOaU3E#QC`i|oxt`kGFJ888sC|zbG5N)* zvOR3UMI#RbRInAe3^Ej9=6QublypuBT83Um2gkna*FyQ7PxR!CrKuKS*{-UNb_0)2 z{vl*V4j!#Z&2|HW`^KD1+TG**8Y?q;wgpECU5t~7>Ky0!+n^Kbly;{;j4tChA^Ey8 zHxjQ7J=+S6u}F(w#bsZXVrqrNN+2=p#XmkHwQZ8-MLTZ0eCj7GZAI8;Uv68_FL^um zb=_i%TxvKPH9ZcuKH=8v`6_cg6Fd7!KBt)JROl4SlsU-z{LY8 zpD>*$mu6eo#%j36ML!In+!3IH-xUty?#dY*VJ!n>e!PUW`=pNTBuAanGid{Q@aUar zyUKB_yGo;k2dhq0OK86XX9cImI;u7;lww37emo{7o3(yUb9=q zZ@GmZc3=*oiUvo5FPr)}MSHf1jRLE|f|FF>K|yHg#y9$RA;dwzYKCD_IN-Accr@xZ zk=x{(l1}s@Wnr#xjLxMm5cYwM0NMTk+5C=piletW38+pC#Es#3D`MN`3FrZ5Nbc5= zloXSU#DKA)$Q#kqT_Re$Ujkr`erVHi$?1Nw1%iSOEp5?aJHc2{u?9s&#h)`IKvY@>o3_+O9RzJ^X$=-FT9ofyYtNb4XJ)j1 z-~Z|NJpb>}r=&A;)>&ttwfA0ot+n5^_HSHUVCrU+9}BnKwUy({JxP2-6}9|Ncw@?Y zlZbpOkwcZi;8B3AB8>!VSKmRj(eH?qo9jV7{KAsFM!m;XE=t}>a`OoyM{=!7RAl9I zn392^nq#nxc`@Z$U^5ejQhlx0s$Sc>$o#N<@QW#hy@AaTpz6 z*H#x#U%8-qS+RBX@?u-{f~9MUt=C;$TygdFQ?D<+e8iX$CBe^?*V~G(U%7Vmf(?$vEw9H$e-l@@bzf8R3yP@aHJfUAxfXBVIL9f@-Ex)T z*zsuthrd67s%e>X=GL?vnv+N+uquJy9yt6|wfNmLBWGzfikK07;?{R&C9mNsTTO@i zZ9hDF2dWpH%(RXUct-!>V6LJZckDP^4u z76hlk;oa&w<>fYm?tTzd0u{Nt|ByuYL=fB!f^#KS=NBOO5*)q)fdQz}q;jEvLa+Dy^c62m+t1?X^cNv05amuJcs4h&8%IlQ0)8S z3y|?QMTd!=x6*^ZjtYN*Fm`bacsP;4Z+(NbPcb!+GZb;J;*|2(^4!$y0J&@;ZVz5T zPga*#GAw+b#8cN6n|uqg^2^sOn;JgC8PxZ`)HEdw^!vnq3Uo$_&gIX=9|rnaMk~(j z#dyn=C$@y`-{U@xa~FZCj05Vy=36!yhNQt4+b{F|2lL&;e98F<@y#K=4w-L2@EuNk z+bO;k8M|8?%bbF`tbnj#$U6Ub^o)x4V2jNsiwf`J#bw)~<k7j>2N)X;%i_V}NHNB(cot_>9QzCbRhatto02aD2y#>>L9+MmQx(Jk~IcKJQ> zGE-)PZ$Rej5Pbc_w^Zgkj`(_IzCD7koA^3qzNLl}WjGb!5K3{B%(p8AiG9AYK3;(? zZjVQ44W};d8?3@}<*FCr%pw69_#4=73{Fs|kkv~j~a zdSipr*0`5r`QtE*UewxXZ`#1C_YMy z1w883NC7LxpMxZyKp~>)v_=q`5o}81XLivuJ@^+AL|*3Td65D(3BH6z*g#Y4I|N)J z__7u3mHEaJ@aNwt;E%M?L6bine>N0ixl&B2iYJ~jIOmq~+%zh6_L79ZdpOogQT}w!z=O7oCCf)EL)sw5 zVD0hpVEu%+yaAs@0hg+59*K>zPI;2JbR5F$cQTj8xpGyke+IZM%!P|ffy>Vlex<-6 z)aahGOeahRE-nSSwp14iN+Af>XFDv6q6#ZjV4GvY6@8xjTIC-lCG6t!chf9T~>VIwC{&)q?L3}uxvIgc>Yzwvto$ZM~vkTmEAF z+2B^Y{W>SQ_DrAOhHWp`Bqt3t#(lN%fmSF@w8=25l|rW@cA+#;M!jCKmSor8%QVfT zA&g6cE7qm)QC3ZlfcotPS=r3s>jz8?7vKi={eYh9(lwZ8oii@#jZVtwi+WN@!sANR zvT}h{kpKxW0t%vzHIP1%2GUR`o>I_PDw*f1;w6p|;B8dji>%J~;)cU_9NAZ{8Yt+* zmiCw+oui`YJfDfRoVxeusFW)uo7Ua_F5WQxb$ZR(h+cMA^0oirYf`sOWqYKRyKPLk zm)-4bG8~8^XLO-oC9kbI-sq0(<-*N0mhwphZs<{aIRTUV&>VSk51GM={Zo*oGu8>x z%*$L?Jt)$Ay=K>G&}`~qruJYjFNv}roSBP2Awbk72Jt{bv3hxMpKvdyuvIG?mV3mT zb4f=xqZ7WRmwz%jq%L%NHY;RxF=89NojAMhb`WweZ*41%>gB6iXsq+((dVf8`Kl7C zu-yl~7V2vw4f8rPj#(b&eqeZ8o?-RgMaSzcBVJ6|ZR$AmQ`bdtFC#az90VJ0O*}RK zEl-+j?y`%!3W#_TC3046CgR~4Ahs3}@s7!6ILY|0+N>yd#8a%`Mmbh+QKxk<#|nM{ z8jcktHB84x<#V@A`R~RG7F4g8zi9R9>KKuNn3t4LT;Nz!@;i!%3(TdsfNt^jge9iu z?>CR0)^_<%Z=P(IA_v@c-@b|2vUa*?|ax zA9xK~N2X!w3*;Lx7+V0fL5LfWU+R zf#*fM#R?F-4-URVh+Jc@TUJg1D`{;uhwh4M>}GBW=?2 zd&D62gmUj33OhI9cmPciCG3p^;|SjpV_+EJ_lhyJr8ef!61^%X3+lM2A`?sWp&FA% zr`(4ZkSm3N%=a%%dcN})$BKkU_)5b`duBE z|LN>;M_tnf6x5(WXOmPp?GsCkpn;+W4W2xKG=FuaKQ28g6f{tHZRMcB?`6LKV7?JS z1LDg;gQsM^0l}AFFBCKY-%z}y{{$(Z5PtQ5PsB?|z0|=N3$V zaKld1X;dD{d4&3;&B8JduGN#1VKyAgxtbmm{ON{d1mTb6%%SBwjuiVd+fcGYefx91 zaBPBMcchikN@d8EM7u}O216VBDd4b2eo3b|pqR@Plefi@5xPA=|0Qm3-6jPm8U*cF zP?dE{wB8~eUa$S|NW7j+*Q-7+F=C)Ji5uBsr!)}SN$pyMVOh{`Mp&M{LI%ySp{eKf zGlI>Qy0=KA6Y2Sk$CHeN;6ck1L)Z(F7|7B-=3so} z=9$w*B0e(t@hs%mE~YQK&+$cd@qTS4OSXay6n60<=6E29Q=2@rPzpp?IF1-dDxo*o z8T%TC_t?Tw@ADV9s&E9|fXjDDMG9|P_a&HE|BgRKf^G0|;|g4oI9M%{mz1J@irUsz z5o}@Wh-_+h%%G3_1!&_Fe=eIU2Fs|r8)z12?c!<_wY)@ATvx;X>PK7jlZE0hv31_MQ0{BO%Rjtr#)_w-5v&MGN zb>%DksV5>%q?Fdt?fNeIbp!sCox>j)XtG^|`|gW*t&Qi^z4Eo%szAL1uFG`;lfq%p zl1)27lV6TM8~6bnMDi=jkMvPpwzGnR9sEE<0eHc$t?K4r$4r^2MKG--ritVaL9>|0 zlGoduBbAJ`jypxes)vVFu=_*ZG|pM~0WQ)B&?WOlJlUP*@e5k+oyRo2V@cBXPTN6w z?Yto~YVBN<`CV)0f%>=U>6~+64!)~>H963}oSUfwgDdb)GSR0#&09?nlZE?qRB*+W zmQ1_J7&X~TM8sncEr4EiEx3w4?OlaEkd&F0gXL+Qg$4zn4%o8_yOX2_(|?0a>?a*b z5MsxaEYfU3VzzXOJczQ?0hMp)8UugWEDA{T;B#hM&ul6Ctg9sHjJ#A%?ky32;by0g z?U}bJ(j>L-3s&EHaV^}7_H+hx@pCENMtz+xIMyJZi|iaMFBg4`!C1AmFdUT&^k=*n zhZA&W4OCFvQk=TN!5_@1+A2pQg=aJawPO>9XGjntJVOJuSJYDVm5C+I zZ^Gw@OK!pelc-VDxU>>(bz4UH@X~KqmABFli}Azr zDZdr+46YwnXJh?cI+~Xs@l)s3S@hE~{FI$YBWK?fIx;2Li%KT=-({zqqJ?P672u*X@cJbC_=8+52)4l93*Pd)0UaM&k4oqJBga`L zkHNFlLP~;pBKajKkH%${(h@7qFRvg4BqAe{fQOvmXNqgmFcNIf6WboJeco_Los*yU zrbEHCOT6pQ#c0=2F}%P6x4Y7zV@5UgE|>bp8HB_OgSFiuh%+B!~OxtwglsHgM!6yvT~! zU&vT?m#T_KHR$&n*ovSvHX;%1SM*a0{vdlSyxzO6a+7#C)Y3*9c#9_OdW+0@4! z&72OMuq+p*qy8eddHu2ATvYpa;z(L2D`-ro-yyj|XB*z9pJ*fLWk1YfjG==V*7uK4JElJ8xY_mnrEya2 z5}SA3Vw{}TjFY&sh;cIWB59n=oEJ4tw$J;napLH+4(%$VMdO0Pxiq8}VweyYug46vDsK(`2EI7YoEL5aVaffWpcTsOtz1Suur{$-b5#(=a!z;<0;Q&NWp`BjbsR? zHw}%DtG|zjo|7x5ll1*BCudT0gX6YvEdqgRKDo8lr#Qa>$1js}lpbl7t4B(T`B(&;Bh z+Xh}fbHU}a7Ua#h=#24Q*Y2rEsS0?U|K7Z|Ntc?Ndc0_3pAgQR26stm<*N>wTcM(zyMh!ise zDONcILD*}8f#7n)d0P+&ZbBf~ia@aEXCN2}f`t^uMWpx<5X=NptQ%)3>JSLt^(Y=O z0Z1_v*i$|S!B-&2Lj62Tk%SKmkZBZw50h%pDn?E<|CZP-=~{7iUkLD}T6xBA!G}rD z6YA(PJn~ry4hXpE1xlu z+e21^gTvFjD@=h1Q?rfVdN48d%5V=l(W_M`Am>3T+>qZuGT`82J;mra+z{%)kvR`bJ-9X*JvdUn-IvcL${ZXHqa|Ox zJ>^QnmH^dZtsxWlcd6yQv1qjHZ3>5?8xC^+mExvweAptjtgwYz<{{SgJhmX`o5lJo zkP*8|c-(OW;#FcJ32_&XNl}1jPvkW>-6sn7sMp$3uga%2>P+8CG@+=?PN4!zuLh&5 zpr!s;`Vq_2g+|@r({*Rk#K$3Bb$jWfCBZddd;K;L^lC_O4Q!~Nk$%KcKQl?>xNvGw z%FN2UEro5C$+gCYQOI$5oW8_oB{htyt;)7ha77G|$&{?9nrqMY@F4gT8k$b4uKaG# z2Kd^$bd9W{*aJlAGnWQP3C*wqGW#M2h`sqE{AzpwfAVYbhtGnH9w0@Wgls3@q@HGx z+QVXsYX`^+X;A~Di05A`J8aYx^>z%9P4WPVqhrlA1ElldTSUJMf6Dgb4~OmK0Ww@n zZEhL+pOTdt;~K(~gZm6g!BpH@BuV-uA?Yr9)(Lu6%;~1|y_KZtU}@r0lr$YamL~N_ zemnkri!?__ZKmO^iX)aZFHet><_OkUC1lF$*_03J+y5-hvvI6!sH3%~05%2vT@fVa zfUbxzO45|B3ICLXy;J+LG=DFr7{AW=&HDH0)p%(Qd|JXiH?`Dw+9r9 zDdn(|w~(%xXs}pGL{t|wfx=_EPDskQMZC{_ASmPgxNlhjE~nV0b_E!Bl-{(2R72=| zMMtG0k+xslynXSW*^IlaIS)w6eLUw?b5=KPzG!4Oo;R!P#IgPZ{2|$G))h)idmwEz zelOceFXVts+ER7ng!Z0E+MBUuhvu0OPSWR@CMo+%vKd<@g_nB777Qc8-VkBA0gFNNqrSAC5!ag)FMsI};4RiVx)EeHn_Tm#68W!DX_{4rkWf1 z9~XNdzXtEJ31OvihncoBtT_bDs;zezBl}4=sf&8IhuTy@C^Tt|>n5>FYN8=rLB`jx zmN-dRU$RjkD($`#7M44GJhedp<7IL`+YoGmu)$?c;v*wPH$I=zM%$=)nf4R?Dejb* zs&u=}$>;D`H4@e%s`Nci+-HV$m#&O=6j*WBBOS`7Y{ky!=v)avcV7n?QPs4L7?%c@ zV-;L?pO>z2ym8ZlgNS8QBzSQt!nEp2{f(0v-gX*)(*H<77mTt(Mr&`GLVJzsj^YUd z#BJF)P!80N#Z98HCztmkw;(rTP~8Sv3U$~^pFSpW8t!r*rqhh)i@=OIa~$2p+wZO9 z!As7BIg%_B$1CkpDYAd$1B z6TIdD0AS68-`xSn{1^(`o412NEo}cX2<`%b0e*KO{BG0L zK#4tqzE-E7gFUGVHWiBkZ@MZ*_U5?wO|%cqUf>>@717t4!gwo8B^4XBH&bAv zGAM{O?OU-?ZDtKN%0rJZk)jCuxze7lU%+xosQuCSr<3fi{0$c+D?*pIgEg~!O~pq2 zRK_rU;$=VZVcZ^0sG?0WPN*6#!+Vaq-k^sRQ!@_G+6vu6yAWzZ)z4(U|6snGm@jFa z&;~qXqejSl1A;HVUc1CMm{3*h;~=A)P*p6)9lM2ec*z&*hG>>|B9%87DU+TSq`V&c zr6(Z+Za!y3?J?+}N<$e@Pg?DXuiM+(klNI2HTT+U-y%LLy`Hyk$H%xgtlq?z?R)6g z-Rj3K(?muZTX9k} z!k)z4!Kyg=+6dy-Am4|Ln?zu6b`6%XJ=Z&E6?4%VW$eKp6@w$NJy*%tl-=xHirrFn zhk|Xz_DweU9@Oxi$$XocFWILmUxDq>@O?@+t;a!?eiDCF88|CU*aq4c zmL}I#v>ljjrv1$W4btYCUqUS?^yY#3R=}~Ms{FL&tW)F~n4vzR8 zxSIb5@R0Jduu)M?8x{NHXZDC^GWtqvLIt;1@-lba0KRi&zD~iHcebkV1d%PH^4%}< zH6`<;VED5~eixD9alp`*7XIKq<1>^kbD$EN`A#3@a2?VJc%O*j{29+nCo4)7uxdA0 zk|)rwT=#-a01PsJf%X2V!7`g;N>+;I7|GrZb*cfj&t%OrU*u;BAQO4u>NAl)^GMWS znZtamnJ@WF8orGhzWbT4a6>itsu+WX@m0aWk{dNxT9a9AbkY>D;9t~Wd9{S>zWVp@ zC;dbG5rgG9$}!UnmO%U?xSCIuhd1oTCvB%{28&-j^Ef?|G-K$Qd71lWM-G-A!I$>J zH|!^36>Y{&T4VI6-bFh49=PB|5xTKSPr*@pOtWF~Q6eZ*#V{*fskNL}ya(-^{v<7P+uJy(xdBYdXao>+ zi7J8mSt$qYTU**4be;3D0z?!!y+0CO7(2x@WvItgP5Y6VJ?;{ zgdTA%>|g8`o*6$RH|$@y#~F2HQbc2( zjTO6_AS7pGF4l=XY@(4o>d9RvSv^@dG;)Ty1RXolZ7N-n&t!rnA8UWKz8|?$Zq9$X zo7|}r>Eup%DE}qlMslZY$>dJ0X5Xu{s(J4sJNsTeM64S`#O_Kd{{_NA{)?6KU+9@L zv*N4^4CchTb4JdAxgEcWdKrdm@liSF?G^W5_On*5UcG2pH5Jf^t%f69DUj=5@dE& z8(XT4B0{Jr`DWt@huoG&&1^!ek zT(4!*(ITcKaaZ^gnYUQ#Fn=Rq7lHR)bMI}kGz64ckiSUIupzq~bN*YUw zWvnriJE3Q1Y7aiis&$Qtu8ECI_1ew3fK(sHjuIIuMM&`PC?a6B`_NvR=B~X?bGCV( z8|uT-=LpK`4NN#3S7))BNG_mYapPEM@T%}>4xOTofbI7m`uG`vItqd1L&sWlw@ zw$OU5W`jfT*Z;_F-+SppX`*R%sS=F{E023STb-ngD$jx78_=}mZ9OU`zKe$Pd_%y-f4g}8k& zsS=-&H_b#`-PeCRDFOBX^q~#5rUYdcBf#?Q+~g{}h4Zz#tSZ2Ee8$GGPSxd0x4#QU z9OB092z#YWt8;ynbbUKs>^__L36L0Hc8`wTIJPX=V#N|0EbHO(49cGMYx86rOK?W} z7%tDjTOk5}Pm2NbG^gP)e@Oaq)=((jLXvO`ZANA{P7X(zJ?T@(esPkQ!6-J4ph6zk z)gdD_yRj-v>P>%&g}Oo#sxy}Y(k));9nOn)VB;-7i(&X3bQo1zeEGAaYt@QdkFegZ ztn6@DPj54`)5B|d7dWuJi5%d?0)cI~l={pWe2A3B3&CvZ@a%mIBj- zi|aqk8i7I(gA&jDvP7{Zc5FBpVw2sthuOTOeMAmDB0K)a^lKOK3y?X;#@(FkRD|aj zQ+VE1Vy_(q5e!gHYiQsw*i9p9o-Us-UKO{v-$vlG*eBFu6RK+{ke4&?KdC7eK_LTc) zHu3P^5^wM+V>ev`DDA8QmR3n0lRq`Dn## zPDsRhA@VnGq~&Qi!h!mWY5lVB`nA;5fh0uyS8Ya(rvI=G-3Yp1F6LGEsQlCMUyt{# zTvM}RQOqSM>H^_&DzNsLQpYwuFgCdMAl4UJdtNifZ&25s{gukrt4E&-4Cli!J-*Ew za}P9%Y|Jjp=u?*d37lPNHSV$o7Y}9YI1^U2V0Ptk*J8g*Ky)l8(<TC^GF>2dK85jnRQhY%s}z%rs;@+@c-dAROJ zu$2$xWl6DwTB-Tk$2d!|ip(BbG_4%EYrPF_j#~#EG(UZilvFjYkS8E12;(2|C*<=| z^3$RI3#BLB!B5g31(krQ(|SeLzspR%e*d`=?VWzH4;)$w`P!9wsuyu5^Qk{hd|L5` z1!GTc)?vj+rRAZ^dXjw>irP>>(NSp{Nt<<^7kpjiiy`1C;Bz8>dw<#poZWBp@P>qv z_e$cCb;0Xo(xe@LUQS6#Qw%1^NPBh`Y7zoGo1PTixITmG7@ORR&b zOaH?(V0+hx{}1Y!DtuJ_Zu)QQnT0hA!dgaDh12{L!v3Y+(EY#-LESP-(k*{af^L~* zZJM%l|HiBLWKTJHxqU&rHD_-@zbi)WR?f$fQLE(4so5Pj(LlI9OZ~9a8$3oG@PToQ zYaee;5;#C5by8vKjqae5Icu$Ht((9&KucTtwEBxqk&@Y1vom2y%f=;prhJ`NH>_df zkMa#SC8j<;KK>a~4$jh@T`+p)g{*z$i+5*Vy13C_Vl~0EHSZ2?HW0|q1O4NI{%L^z zN!$+wwHgYl8wAIppu9u?6rc;I=+?~xOfUd~gCJ?Qb64vr7W9f8wh=VP;>1IgSwu$N##GPfPc+&)x$i`xqh3r1Q^ zZ_ckX??5tlDIIYO44)I#-mF~h4UJq1f=+N~2Z0*|C3FVv2%rFw%w2Z?9PYv+z5qc3 zPOvS+5w}j9fon!Gw{M*yK*$!RaBb zCPmImjA93;3_r^&(i$fN%c98(h>(%^Vdv=ArW`fA;mdVWt6C{urjOW-@#UVUpFOd! znO`Q(tx$w=vffc$-M5gFDH%=fS`eGOlq|>?oa~#UcxzUax{aV`r=^gH2o^2GaPG7}T`S&?NNFY14rQ^rXo* zJ`wfdGxyZv%Pikid~scLp1)WpCaM!`7uyIG+y}3sL2M()@75H@E+lUNF`5AGxeBys z62MIkmPcKy>mYgY22a$ra=u1>wLmDyJmdHp*%F~^xyR@v;D(0`!ggVqZxM9dE}p3n zf#SpYJg2q@&BGn4T-1o5iT|$O1iT*!iT;|Ch0wUk_vIZ zIQ7&??-F{?!S|zP{HMR8CyJZ1aPt|>&F17w>1HxJFR+FR-K@^`qD~&O#8?IYg)~7C zgTEBISx+V&*^^_R@c<)pu1XT1uZR`8pyQWS1*i(*c?)ZAdXf+Xw8HJLi4$sNf$j6K z+0S$|NkSucXFMO>njVkQf}M$HxkQOWuS>4R5ZUoI{k+qjpzRQAbcejEP&?}J??U=n znSaWdK`+GYkX({!M293_Mz7Qzb!-qDO0-5dMPE`A$ zRFs{fYvRz_u+DLnawSTooi1Wpb^VTn(WIR^6~Dp7bnXKVBFPH-q=>4S=zc7rj@uM* z$e;mYQ|G-~FsLA!5QEzj4p47@X`|l8nF`5-f5(v!0jfUL_$3eCUUzuW)Lt%i2(!eh zHKVXKZ9KS^l`>3^W~ig$x-_a_+R8mQ*A|*YEVa`bseKwCsZ}(nbLaa=`ZM7G?o;nk zU$Ud(J$gM7m5jD69 zYV5G$jDMkh^|^FhohqH4Ob1D)T__^l&U5?!bS{uLq@ymFX=ToNA*4fwB(|gsDspkDeQmPYH3GQcMTV0aTr&Do+rL4X=m@% zLV&rFiCgNTE~)6RJrr_15q4WOgv~_Q7D0G75uRtlE05A?ffoiIl7{No0=_A)BQ#X= zMmJ+rBu?Fn;iRr4BInp)T%)$wF@?^H%P5~ASrx6K^`~`tA-T<`v-Fy5S<<4=BgWp{ zA`h85vn{pZYQkdAD4)qDk)^AWx_xjhweymF`fG8VssZ9$Xrdz=ZcwnR(90^fZWaR^ zY)O1zBPr67E_V+Pong$QZ&v8;S-AU9lIHH4yJ<=~lQx9jjr3Gw@>)DX=&2`2Pwh9! zZ_yI}0d7iv%FIc*^cKd1ufaP$Ws%&n**(PDl>C^=yQItVlXxD~JdU4F*(8ca>7L5k zKC<7m`-=2~T~B2_xUJut8}L#0bk_aH`n}miANyzBW*8mdJLzL;B#X&p!Y_`M83cuW&GUlFDRvwKNbu=0+ zlTGPOYv2g{-C26XGTEKUUkY6G#UZW?#uruT-O9F}Cz%hyV<88XXKUZ5@s4GuldEz}olkH&kF2+wK>T|`(PLHGm_HtC7uO~>gVIPIXlXBFQxqVu4tU-Rya8no7V zjP~~=zZepJu*Lqq&u~M^DXV8wfX;#%kR?xw`{t6r#I&SwXU^-5>f7KPKXlcGr@-J2sPxEC&7PmuNfpg zM};#dXahbe3$;uuRJH*lgxZf(epy$=cvUR0xqlr~sC;*XQ2V_?cXwCtnQCO+LBBTo)4%kjL8ud7j27xj_DS98pGOI`-)U-H zPd%MCcW1)OE~uo$$&zldid((ue0&?5kGI?U!RS+mr~!wp;i(S&wti&vZTHj2-PzMe zYxMCe4%UpDQw8i2PCXlXMMuSlIQndXZ4dK^w5^9}?(UvJch^rND@)iu>{E{Dq@l?^ zCHKV%%>ZxhPdkoft%j*;PpYQMo+G3|(?=CZNM_$%B%2HF%zr`DT?^<4GpAeD&aFq- zwH!Kw&nzSDe7o@!B#0B!WC`BQJ?76Gg1ro3fUmRlk6N(y3uePtg_vzJo|K2LyT2H| zS)a(m*P|W2Y#?iguPvYb(Llph>Py4C{xs(B<-4`R*PY)(B0JqMUA;SM__9H-9lqx& zWOXODzj76gfEspHSjN5-3ef`p4lAihfTYHiEvxG!^tuw1g$h+RN={x3%n-4YHwcGiCM>b?=}j~+RRIhekg(J$9o^)+f88?e z_j{IWzdK!X+|nsotpgsNtNlLT-Df1?Ke-cjG(jbs2gz$g(K{rqirL%w050mq6Z-q3 zEIR)HntWyZ8u?T(QjuMgS`wr5`v}2ojyTPWnEkc>e#9x2^|0Rqny2EqjAw(rF3Ps-nwB1sdms$6#S`T+9`7f`OEi#y~sOw72i-(7cYc z=)|+MQ)5Ei*~z!4&+3}K&xp?@+hw6mtaEP+QKXF7S@|HDt;fnZCjOSANb;Ei1FxRf z6_RaJFbw`s>3ysELMMm57jDpgFY;)=mo#g?4|-htz4RU)6O+fnV%3`LzJ~_gL`D2N{HfUW-ijzlw|DRioIdG2}gxlQ|f+#QsE6*Idc-8b5|~S%ih;FZP~?V2hh1< z&sL#U0sgBtD@qZ7kl%KG*d3txzngcqXzhY!H47J2$3jEKz81tmZr#?D{3At^cjiu* zrskdX3T21AMA=~p7dhvN-QEQQw{Bba09B8PTkL2VnYpq+6!;!v-nz}bN$eW8>uR<) zgdiwYj}2l7%H$yaq;o3O_nuW3v%YuJGGvdP0GRPj?D%>BNvT45nGayb0jq&o`3Pvo zqu9`GM)ufs!MZQIvEzFTJH8&O@BL2@bc2A>%MMEEWiyao=AruD1=vijL{XM)$Q~Pq z9p46Q30I-4i;3!cqpXXe7>G;S68;ScoqEYjAP!>d1Y0+iOD>L8WlCS<-D?~-#4$UWH@VdveBS3WiWOyKP>PWEesL6 zmAX9MhU$rZjdRsF46fd%=9O)C$~Ct|{?`uhkC9h4^cXV8Ypd)Z5)`agQ5X;sNMk?< z=Yr@ccEnIY06BIObaGqf4On^w(t7AfsrJ+<9ZPK_ZYb-JUROzQ5%~R5okZI$XgLNS zoi8>_lP@MnFDJHsi7nh1kuSD0I$!J~dlzMf;n=LillD%^4BHX@_$0E(Ub45)uXL`~ zUP>s7$`|wJbBfq`&KEl-lE+?<^To`(4e&NvmHs+4En@81MJ;G2E$6%^DD8R&bICM0 zVd|I-=8|dh%=`rflrJ`5u*V-hQsjD3vzhF2O}?0!(w`?!qgs@CnN2f*^|>xCIgF^R z5{XaLKO1-}LCs~klqMLOwN4vw$|6Pfglg zsmTKyMY0ja0;uoU!I5mpXPhj?KjD&;2j-?cux!pkN1Wu{*2qcCBLf-m&*1N-*A4{iOo@+J9{^|Qw0_nF?A#VgfYNmC z{`jBcj(o~H-{8cjNGW|w=f3QqPN?7rHR&65ENeQK^R_gd+nP*0QO2QF3qD14?yJ0) zA$DuRd0Tr#v!%`r#FJZ?PmXzvyscp87Car*x%0RvKml!$Z=x8%_v>6m95lsPUpMXw zHTyqw?uV^BRNu!P+I*~el#jJHQv+8(iZWW^GJN?Z zD!}j{0}Olfp>yL@fZ-MZ3{6QcDbnH*wU#}^0emPUjDKf=4SBj1*ke6p? z+FH7ov&c-6XWH%L0C_hqrb`CCGi^PQyQ$4Yo|pNJlk&neE8aUk22#HRn*4@f?$B;d z;R+5TUDi=(Jk4@v&$|yiHnT0U#kpMD zIhSiD<#L%gmuoTQa#a*lE|-IIxz2DMjp$si(TQQKD_oc`))k-6lqkyY@&7^JWg0#z zJ(mAAwsmp!^5r!vZV!Rz1-v!#*WlU4sS~;%m=N?=Zj|t?zai{A@U00;_c6Y;?ebd* z-`biHfo~1y!uZw+VSKC07{s@31-^Bnif=tY4|$`x=_00vrD;2+V|Ly#fRTckO~4UL0vPEdkv1G~liQ5comh1>DuOE(mu$ z1rBe5VD}L~O#ydR0C%;Nfdk;Kao^w(UxVO02+o5*0h-kWxT~cS1e-z72Vm9V0ISXg zn)Lwiuuc%z0C%-q34#Yf&>sX7L2wTUwgB#G0o>I+1O!)uK*htZ0>Nnzbb+7)1RmgF zm6<@)?gob$;P4m-Y{0|r10L4#6Et!dP`x+eE%x9oZU(`A5ZncVb4ej%b``@0h2O|( zHez8i2|O(OjjUBA+uda3vzpj%jJ`IVJs{>OEoiH}?5{nB^ z0>R7XmS9DzIwnC#*9(9vSDp)1V+;nqthwjNNZ{1#=xf;mj6tiJw7|E!bhHphlb7&r z{q9I|-AVElL*$P7GffEA0nJKr?hu-lzSuIljyMU;+Si_yFvUw5OFnAAdytVV`onr+|u1e_Haq)HnT^r-cQ|JZatOkiyaH zEr-YeF^6qAj-9(juXAyP=xdyEc|7)ggTSqf_vu6TT}A2Yuh$>NV}UsxYxr9MHlr&` z`W>`Pb{d|gFEdN}DuYo_wlLgJ@Pe2MSn!NGbUwHvP*D$^oW_t&vt6Y$3=H};Fq4O+86EvT_N`Y z_jv_xy{dh1(`g+->?eC4llr5%hy03)5AY|Qw=GFYn3EBZ_ZhKc<-WEOpH(3>9ekbW z?!})VHdYl&pyAni@C`6Z=fKu>4bPxJy&`PEMz$KZa2y>P zC3t@l=bQ08;GKkFbLwCxl85oJ|X z!OwjmDN68O@j{G;Xu%zlkSx0y=c@|7{ZiUGh!Xr?q6Oc1kkvAqhx@fW-3K&+?^P62 z@XS*y`0j%orF;qO1dbP7qnSmXM3zO0V(XcVr)LdmEh6$ygD7n!Koe(aH-R zji_`l4d@9`qIIN~(XH+Hb3q|=DT{VsIv@s356N-}hzFe^>Pl6#e>8p?C0gsG^W5Xa zv7V1*CedzC(xbFTw_wS;<2af_^cIHiM2%=WzprS0W$cLi>A`x&;0kShN+a6MlfJKL zgNGYi`A}tI6;#reOjh7M^c)ZUAvpNavMABoGH=JlG7`pxKuEOu%qY?B5N8P!UD-XV z99~K~A0=Af)SWcdOK2(z+w+C;vH+@+PRfv0Wv6&<3V~q6bnCJ-F~TsUjH|w{GTtZP z$q&#uKs+=6IJ$%p8~>h5n!{01mr2h#aP5_tl5@==5c*i!+x}E9FX^KgLEH8`0R%L* z*Qiyj^H#$MKeCSynmVPlKL}_Y4ewS4}!#d_nYLi0IC=F;VjK3E*kZnUMS**IyGQ zKTDrGc_iN}$?u#-e(l$OU-`8hdY|NXyMUbv)%(UQx;wNKxhJzR_Mev`*PQb-ds-8o zP#fm_yrfSFzO-ws$peQz;Ac7+>MEY8yNaJVzLWI8TP2Cqfbkvp;@7+K|1ckEbdTN+ z*Q49zO<#uP44|go#@3E*M8-F zG@#?bfizBM8cxN{f>}9Z{DYG2bN6L~xZ72vDg^ZIlJ*W z$*(;nUg@5aB$=?IGOt5=6L;TcdXv+>Ldi20ZXrK&p=E z^Pn(sa>nU+!V3W$O(mSw!Lf^x!WH?a4{p&$8eEHakXQr8S0%AFCGeKQhE_@buEqO^ zP)X7dZe+s8m@wnsX1v#hsu#k3non@|8xMqW&26U=HyRQd7(r1CXiD4`g+nHAFb+qpM%meEaE!=lTNk?lm?pIMDc64n8VLjkj%S97P|U zN%0H!HNKPcmZSc*e&Pg;K~)$9n64i=O8{gyr6m%?{d4}}7GM5}FHON(ODj3&)PuU< zs&NpsYI+1Z_;lPPX$Zc)jaFuTaX<>e_4FgJ45)SuAur+jQX+ITtiW(NQzj1=r9b;# z-Q|*U-5*TncI74xD;f`z4Dw1Vl6pDHaJ<0$yTXh*1ONsaPg!G5U!+K{rx$)cYpmv^uQ6%CcOBC zf%1$0;|%x4xSj1=f(l{eUom}wgOm9C1b=5*VaToNybEhIQdgvDqU66$o!DA2Ez}=} z1QJ+&@Ae}Ugh@Z`k>0!gF0!BZmd_+^U6xiOi?lZ4y~(*m+KJRI*S+k%S<;Kuc0p=h zzIz1U-IhA_9%2oUzqUizpWkni8+KYil+Rgut9nIMn*Sb&7GKbpZ6Q?bmV2WHqBoyC zx#Ja#^krvfO@7osJS+HrVGr@YB;hNJG2p4?SK6?X9_C3OqrQ@3VQW5=V3S4(o)^r% za)y{84t1YKirwE=in`5v=#l2kiRvTC8P`bhjSb&diY*=N84f96a4rpNRfcg9RE>5{>Wv1Jq7S=0dfd4oAs=sP&;$w4iWCs0Ei8 z2MJiF#LW5tGjnF%rZU68+gT;gWyAe3=Q0nSJ({7@(QzIPOE1|QdSqK_uLm@9c^|Wm zn#(k%wR73GwTs`fw?l21W4*gS6pq(+BNi8R6%2H6fM#q4LraDFaBZPS9xD^kdAFIr zG?F#|m^|S`rjc`X*<+|Rv{?7IyUby8$@kzXdak>7E#S`TQ%)yRzoeI1CBSWvuq3^f z8YmSa^*tCM4rW}yu@q)lBqxj)0Rf&s2=Mz9Ai7)bAq4n2mk}tVatoo1@*RNSTx@|m ze9jk_qtLnBCyenxdkoS=8v$ zJ!#U6dhWKs+>$U3|xb7v!!@>8AZ1qAR8nI`2!$m)qQO};FT z8hWmuXDwX?sG0DjpGd!;i?mC8KhJWwLKJPOpSCjaSXjnKN99sINpe)~w2+BIErc=m1vL6gE5yDYlPc4jZ#8*1&9 z=_}C>T5apwnZ42%qPR2N5#3XdX0JRJqQCH6x?MqB!+)yV)h*!~CWdhh%_^>e>UK?1aSf>3)kC-js`Ev4yVC!^ z*X=3+kiiM0gB5kV3{MjO|U<^P6wIDbRf^HB50AvUN$l!Vy1ebweF$mrV!BOB8T7gq=0HioTZ1zTh6RJf!8M!^bXK4DuJLAyMSk>&Xy}CLg^oHu+^5az~r)yXtm% zMBOfZux{7?h`L>ixo%f|0(|9(BNwZJu=aP8b?71f;#@9T1?04~^(DML%KqSU)H(8i-*4Wp$HkUW{H7+9MkA00L9?)FV*6sS_f2VF&vnAXI zuiza*#f4N;CwQnTnZLn9Rl{abLmlt=pNM&_oUbvEx;tXLH;9^ByzLlu?cnQRCn`3n z*K&Q)b-RKX25uM6R2YIHM|Vne-L8@(2530JIf!JBn9;sM7HbJLw2gx*=*GPJoEXku z%5}SRLm8C8bfVaqI^f$<>$P>e&NGlAShs7YHmN#B-L9v&Be=p|_%s_)Qpem9v!qUp zx?KT*bMVr8@?I^6d`NSBkGfr^?lC{WBvz>w3q1s$Qm1r$uKauxo zMYTN$aO@rrjCD=jE?1E#mB@moXkn=Clj=)9S3;Y!q8=5G5NM3sUyiQZ^}L%k1be3h zQ3{RRou4Pc4+@nw`gO2w*O?^p6@qoUZ2!4#*DF25zXJb)+r{dvM}Tx+%nr$ou5lDd z9z{)4XeTPvKu%5k4|TgvSXc@kdVHu8Rauw1No8>_SMd9Xb&j=^5!Afds#mW~WV$x$jHrJ2P#j~Ua0}q2+}4u}kI;RsAvx@+Z_)pTZjB?F5QEzjUZrkV3wQ<8 z0$#7n1-#aJ(dKYP3>KZXfENl>fos|5(AzsI%D;C3uYWKcQD3X}+4m9onI0B-i+Yc$ z0o(QO(d%(IPQvS1CvcdehrL}a=3Q;k1-v@Mj{Vze=DTC9C%S;wQ9;x@T$_uld}$@^ z7ev>GiQJk3UY`aFcOMnPUl`|U#86!IaNQB?xxMi1_u^u^Re4Z8oG z0$xoG?~*hZ@IjOG#K6$*7zMlrHgmgsJ@ z7@Q!LX|iXoq<8T6#)Ox(9Swh`Cf?qI4dTBfy$pXh{_9y(HY_;*d{cu(x+pPOQ=iF^ zxs15sV0X#PxnwWDNk4pqfvb6`Ds%)F>8i-viI9`laJ|Y)n!{wg zU9vv+xAW^d90_4H;<}2nzIqZggq2KqrxS7sJ!)0j3hj-p^aQ){csLLe$oz|+83o3n z+&`+7J#-5Fo-!tPDD5+aDn?uvsu-c<5q!1hl2sCXb^bwGmW`#hddkO`TQJ(0oUjw; zX520lEo7oirL$BbrMwPdnyzaIs`aCV!lFIm z(L>Opyx1Q*8nCB^GlBtZz=KVWPXHU35pNl$hhlbg?-p?WDMS zGot#qE7anhRw~TZpefANEn0t931c3}$p2ZV0pV_KX{7Ny_UillLu1dOpV3<`jbyq) zE`xGfyAaI1$QxM@z|`jslBc__zba3xz~h4(ob;~Y(nz^|aJRM$imealVePETSMQFh z3{WF>GUZARi}x~is8U>(oI8D#*@FcjG5C7GFWUCInP)-P%Gn zLu>N$(xB~*XTk$oLdM+swSHPr4X&h7(3Yydl|rGxLL_xpX$z6? zj^}nwHIXfc`Q1PE^2R;QjkeUPdBG?*As4L8Y8ey8IcsZ?>=Y1#zba{}viVsdOxXRl zzOc1KRlDo43Sl!vn%b@2m}os3+CJUK7YuxxLm@@1-`eyaK3_)$NuhoCdp)I^E1 zHK&zs^5_`|AP<0G;jh1WNu0Ml5hYID(qKbEg})qqmwnsD*A#Zxzf?koX_QcdyUnstSBR)j`ah$D+K-Oh zC~Igam4y0AGfe~iq!!x7zjk@FQ2V_~HwE+)Bgbg4@YlcHX%On8x)lMGn${Fc^omQ)`1zU9K8umpIQK3ENLf4sIDBE6!v8lrDq1KM=Ko+)yASw%ow>AQQ9j>%GGrylEsm}U|VloZxS#X zUDHwgcEQ|dth52^Y~of_#~^ehkEIuoHehv49G9@;LU03CmlWE7_0R^a`f^R|0H?pw z$}fkU+MPC9xRYuSrgmFrL&FxX!^Ui>;S_2kIODKi>(tAyl==G9XyB zX|Pq&==teuwuPFB(XOHlB38gOvCk_TT%$9OPoATWuqX@d zwTkGWzBOf?E>wH!Uzy!H^r5D>s_wy*4FWR|8YK)je?P ztsT-%ynA3{#P`Dy-;MLM^oGUS?{C~f-R{WP8nse*2DaaFl(7J$&K_;w8l}!!2e#kp z4^cd6cn}m3O9m)9Lllo2=qaH^xGPv9=2Bw&=Fn)it*-u3f!o&Dv$P>u}uZyhST&SFcCgpKdvM`8RRvQr~NO;5|j` z8L!qWw_W6_IWT2i*7NrVM&CMc!u>Z-Mj_dP{)aC8>$=o;lv_`xtkb6|Y05U7lJ|k4 zgerUe*l1XyyfVRT)3?rFy!8X+p&K8ndq+vRRC!%d>~ja~IQ&JPv!d)dD|&KXDM;7z@5iiT-PWyt_HJFZ*^~3wnVj40X*y+D z_EBH%Q96qCRXU3G_k~;kSgK!RQtb7fey`0qF~gqY9Q9D#@05sR?e?RF7>>1zqhswl zus!WYB0im%YT1Wl?Sgssx+Ihc8X2O&Qfu~b zoiBd^*_dT#X??eor6ZX>{)E5LwVv454*gGvr(}dKw{^!RZ+cB8Z%aLRF)i^K{Y^`7 z26MfM!Y%8vp3u?xJlTd;?DJV}G9#ne*4L)4+t%Fu#M(o!#(MT}Xg==Moe0jX37N8c3Y-non?!!yaJ;BrLOmwY{ zMaeD?L^+F%ffn7ba-N2flznw#UE>DZda3|ZV|o(zX6I5Nr54{~xNdKy23q@H4JiiA z8(;sUBqrXF5SxHuG4TO;r(N9Y0n}-pTI@S^v)p;xTe-S-25PE2h zqD;9gKIcMwhVKta- zP4ch9BVsotQ!ZXU=*2*ex3(hNASGZplZbO!I3bj`Eq)~|%D>IPlrgkJM~HI;J%A-$ zi?d5N7NP`8{l!&#LNy{A{sShd4LpLiR<6QFbyx zcJv`|Nu9tYd8xn(a7lLHl5{vvux=6vT0lVcQ}zItWd8w|1i$tNToTR``~jEr11?Fj zJb%C?h0z#4;F2iH_yaCUd!FDAxTK07a7pPu;F7|7B0u1ge!wODfJ>5sG(X^y-6g*0haY?rnzNX@m_|QOsOIlKRM#Uv%Y9zff-4`(*q|L%BKewe)#C*S?a)2QB0nWO#lXkGaNh}Kh=Iud_w!Z z>Lu;>rZ2SLJ8thY0{nK4-x7Uv;Lu(4%Jnxlg`g;Zs@NQeMCW-1FvxHBp-^MzPTCQD zbl@<-Y_>bZ>_ppU8P~ESh-*2tS;DoHuhimN`o=gqa8z99q1mdSaIj`k%%cP6#yC3g zc!#s^N)-|l1%DLJ(jFZ+_PXxIx$3j#PTw7U(A>D|8V-jjQpRj=*ec+7F^&#w9eBS4 z1X8!4A1u0CTgfE%9_@GYz1r`C?$dtH-lhFsv_7K`+=0ng03N12I#Bme_WBti=+)0M z$3~&itPf@1TOFb}k~t0(S`4-e6stlMFJ;nGLLfA^dUW788HCmo0YZyDI?y&GWy!hF zKylQ6#(=M=Luq3i9a!_(o{d~)gw$~q{4B=Nfy**q2%j~Wdvi~8k1o$VwlQ=XVesg{ z|6{C@5)-TRVF;_VNZl9CG|ZxX;V(RS@fG8WZ&y}tf;+g)V$i2s~0X>4Ls85 zZ{kX~K5R>UB)C^RpYceGcTTwmcqIGiYX)8dJd!2niRRvi?)QyexZnzLmSF2{U6J2u zoTyBTqZhp*>*Jn2D_uFCwQe>!J8`;T-Qs0WjO@KKgH9JTrT$~|Z66Ld5SVCJMh<@8 zJyQHW29I_dR{!`^lRFNaBYjjOIlGurCIv z3_pUvD5%Jf4#q?pBaVc`zoamXs2D|}m>O#$42(eKX9P4FO)|reV%MhF+Gl;Xb~~7~ zvF4|kn%1`FDF_ z!8+pa+}+BUm(m^22QV*BGLOIjV_tqhdyt2wP8qf=Ak51Z^Aco-qo0ov zm=~q*`b5-#lhk)*26m@=LN)M^tLu;GT3j>%jX&zx`s&PT8}bQq zT<|bjkN_eAA7TYQWEJ5#IiUQ3sS3KL5c(xWa~aHy~mRNlr>q?>I;`tPi8-2t~@sGZC9C@KDp9b%@^v^2+{8*jHW8oyDvhBO!U$d^6K*$NTPy zZ%)I^;yog8)27n$)FQ7Qh*R0y{xy^~y#g-hnH^Lq8K*Lj)}MB?DdEN4vE0dhR1uBc zh0$x`c7P(0yZbYTX@VaQmt)ND?9;gBjF}5Ct=yE8eW_cK`DS1A?BlL6BKGRGBX`fe zagXqv0Q*#0HSGnYDduorSp>P^D;Y!gzWcWO%55zzuKN1s$}m3}p5gbAYTUAw!9Fta zfq;+nDn4>d@sZ&1f{%g5?l*|8+2fU!(ql2d;KBDO9n~;0 zTYX_%oehT8IcFMJu<@SW?&QOgS( zeN-9GY3#>%{+%kbHLgwk(XKqcKZcZTHfafu?;rT0wc9(tZ)w_6S>I4sRqJYWHHQrE zk@H$m#}}V>V0^bE26NN-qktDxr6d~Tdr__XNRMH=abGFTzi!xWPk-!@eq(%(ODwZU z4!WY+kG!>#gARlH62U>Yb)O}@$D00BMUsYf1sy|L;)Saw)TF|Zm;U_2>EGR&e)K^4 zvE%6}=4=>!8%F##jQDY{V8nkMBYq!7{4UJiD=^}ZV8kC%qUw2`*5&nalkvWp4b#Nq zJ+qgQ9a{q9y;Zn-9`D5<9auMJjh#uR%!8-QD_$9qwN9!s;9F+EKQ zM0%;8@0y6GxPX`T(Rg1N7#Y1{Oy}{wJ!DMxiZPv;e8TetlNNa`C6CAZSBGo~&8gtu zd@r^b1M4In?|a4t2G)}%9`7F~r{a+Vt7k~l7V&t0T8Yl=5uH3pPSxTc?{U7y<3FhK zvc%#X8-!{3w9=sC^h8K$Sz>XH6;kPcceDQ;U}JlY8pJTR!c{i-u7_)t5T^e?Jz`U$n|~x)cp(+YEa0+*qoYXG0@Z5mU$NROetDqT^&IBT zt6wm!=+VdmaooNYiZF*GFBcQN3hyajsju5wC{abWN z@Pq*y|DtXTb?C&KYmY}a6oTwi%m*~wAKi35a4^z*;HwI@9zO$dOuuzs;qTLQ>2s|# z&!@PiKbJl?-n-u{8B36ckNgA(8LPX^w8&z4gh z-_&%!lj57cIxi%?=@kWUuf#V!pyKV-_@<*0Z~t8KiYmt2^F3Bs`fl>U^$Wz^Re9BY z>z{i%wtrHQRWA(#3imPh<@3Ue(ag}4Tys}e$t7eS~z1oO0mdCDLy#k zGC1QtIOEgA3`)0OKgR7>E1tEC;#tcG6oyEFVxST;s2d8Gp)d%A{UH9dg80)%F;Iya z^Z_XBgu?q!xDJJnpb!V*Pb-)~D~K7CLY)316y||bv)TzpOfZA`pwJ6u&=Fz=MTpb3 z;p5X56qxmFoSlyCYV9v-bM-MfWSWlg+efcYI9MC$8m_)afn?w zL=kvB4}$o!5X7I|U-ett0&c0l1HD0~D3?XDDa z*Gee--3a`^Gp-hmT`5lvUm{;Z&PloRwFz!eo?VKY&A>=#6WpM^Olx+H8?}DH z4cbed-tusN)&JiNQ)V)=a)fe!15w6aRgZ_%T zO%rd%4caHTLE8;(&=J86>Sk_GQ!I0Xx@ALn1ve-+#K0&u1Pu_h6kCpFPuj>}#Og49 z{-!kllUB+T6pLYb7RnPWgJF5X2$}ygERT6S9YJ{!xIr%oZcri}Qf`{R5SQrLLqq55 z1E-X8*v(}Q@Bru^kyZATvHoq;Ar46@X_$#Vow-4Iv0`{0-|G)W-cLNGh@8hWnz5j~ zU~bUS&%zCQq%hD2KgBul1+AW2*J*y*%KV>#gER1ov8#>q-lqM+@`^Z**&W03qBxHx zFX8*0{u#u{9QH%*mw6$a8+58xIwLg8}vNw%s#}w zv(ZRi!3{b@1v}_2TXB0{!40a>&VJs|g)jSXj~~QI*)O<3g&v=5sK@w$?R}InK%<0c z2zZQ|*TcC%Pjs_~U_H9<{FZw-Iziz@1Ha_0W6mp z{xvm5*H_I60T{Hw=*fNHw_oY7-(gD70Sg}(JQkZZ5&u&ypj%La3f@%Ne>E}8Kq@Ig z$0Q}_h)M~%y$@9lkc>p21nm`+pbPv=J#NREPfZE>=OJn}2geEVzvaCJ3+pv8TR0)F zH~9?uoS+2#B{hU<=z5Cex)b+!dv>H zpaeY_Py%JDLVP=BP=ZcSDM8)0rUd<%DM1Im5TM@7`5>ZAN1z1NCf4HA3%cx|g%WhX zpaiY%lSdr++-L+!(3wmLI`HpOYy5okWvbUFP=advR7%he*i0}bs9R8i9_4qRK{Snr z1tDe~x1VwC^p`LprYDBzaSqSEN_WQBt6o=T0y!6m=F^c$FqEJfp}vACF}2uA>?$qD zCjx~wS%`Gg?Wjm{VvM?4`X6xW=kpNcoHj0#hc7qp)-3~totQ}HjW3UTUbhVt7Wd8Y zq*1)yKL%Jp=c7WeG7G3_lD=QNgc2@3`wmKwM?59y8@GFCya<|y&DDwQuszeKKAIK0 zsDpaCGAWyTnNHCZqS!z^K4QN=aB`>2i%tda*iSnSWK{>8QU61}_6$0rXS8ClZ@;~# z;A=!SHS9iWrkBxwGx$+Uh+#mGZnm1M&ieYkJvgbzB;RjzkE2QO^tUS#@%Te?gDx~T z&3)E)>Dy-%r9(pLipQ@MHx7?>wifK80~(LM3sV~DG=}W-I+m?rW7!DR>K_!PGNE+Q z>sQMBdJ-D?`fBQ`jrXP?STwA1o=%;5&p;({KN|Lzv%jBqm)-rhq_~1aE1o6`YJR@Fv(i4sQswU5nI`>I*B?on09JR*xs%(KXoXg&8#AX zljJ8V9Oj6p28-PZQ!tC&I=l}EX0h|GzeMd>7Qai@4uQSK*mS~J?ABxNU~VGsqs*o1 ziGN1!9R}lifvAvzS?rA9s>iJH@(PNL`5Vd(rk(O`7^Rw75}uP~BmU7hm|arXu|K|{ zek}Fih4f$SrbvdzQlFidvEpe0&cB%Y<*gYjo}r)9Qa4vz>AaQ!uF#6u&h)fxJ)>tq z5ZYa&Pd(l<{04sdio8?5*K>+~_7-KwoZXc3E&=gRl$9;rlJoxY@$+|YwX-!k+L(#7 z*pl2u0T2J=S%Bz=-4l5u+e;g6k#i?Dq|7Pojs<>?bOgXhg!{uG zy6qMmJpwN6MV%(jrp4W%6ZHNsU826UCZAA7p_lgX{mk$2Kn-mD-wkU{gW9t@>0Q4q z8_n65&Ub{pj=5;gCwfGXQq{-2Y*gfszao!)59RwYex7teG-vsq+NcG=jmmStkVeh; zAvMdeW3g-&%(-8Q*r>dBNTX)(Lzb(K2jt#iU_W~}8}Lt$bE6gv`OigOAdQDm8H5*n zM`_g2J!IXKbDI79oN}u2(q8hQ$$8nz z+`9kCtB`?s^g}fDaMd)Nw5MC;N$eFIqn~@&Pq$eUdj&0ssA$TlX5Ym3;vZD=^#z+RPmqJnw`@8+3M&}tct{!`pvQlX zXuiC6u=#dRr{@Xd-eKlj9B#fJPoS1_tiI^)oqcUv;BSJ-tcRw*M`d?+a->h; zt;`<^GV5x3z#r(QnwA-KXI;=0Npa3qJ^=+4kWGwQH%z%yg4;_&vB05FC`L~auumR) zeHYle^!V|FrXRwsgRfh`5*=L5hW3*Y$rUOs@Sxzye0>BYriIhWu-}m~aE$sa^lmFV zJ_YZ#lK9=$kr5PEkISU1h*1s#Na30B+ebV6K;$li1og{1Y8g`P->$6--md>r@V0wP z@OE`m@b-?)mnXuRj))lm`zIygoZsz3lI9qXul>AcB)pFJEpa ztbQ=DWUnafX!N79u;B*}6YyVh5qtLuF5)r~Wz?9(U5^Q##f@3QhDhrjy z5)yy;&5-!9jQS5j;^!$y{LF|*{JbC}e)mn0_+62Z_%lP0_^BaC{In1xer5;~-x7kv zpLr7`zC}Ue?~RDWwb6dSDv+e8I>E3r9*y_MJ;!rn@3 zjt18V!ZtA$ID;B(VI`<)$Nni z0YPxvpba^oG<#_9aL`lgWS)AHyHegjT4QICw_)g|#M{`639VJZ<;pyXgW(Y;A{K{X zJ%RUtP*kuu5_=0YPoRWQEDo12L^DR7d5IDv7Dr-lgGva+;=E3ec}GG@kXRgvy$vcM z6pOr9e(FxsH-0dVW?}4YHe+vNo~5-2M=DT2cM9N#MX8H`|C`Wm((Jah z_RlY;N5a|viLcn-;A=WPt^&G{!MB|``xzfAW(nTu{NA~n55_MI>0tv7#`VgMuY^4_ zUZ|6Sxa-X$zzcPqMn8L&aoFhq61Pi1;x1pX@h!p$D@feSZUuaM*=@&6!hq#ob{As< z&j~vv68BT$aKmsnTdiR7#kHH4WSP;Sy*P2f$PKS~@7(phH`-%{%e&lfb&mU8&C!vM z_awZqJ?_Bay%T=7E2saJG*8Mu9nhESS?QihL%n+K!vz_hDSth!AG)wQ)02Mg4gKVV zuV#8O&%dc(y6|!qisz3Dm3$Y!CYlcB5Wa`7z!&h9hm-(3b09}oXfh>v9L{SY5tIn`69QeFO8I`s>AYkr$pBQz_4c zoZ3gGB&UAKft>nLrc&PlIi0UerF?@?zD%XO6>_panM(P7$f+-AT7?Qbvbvo)Vj8Z=hU_Cbk;XjZ3)k5 zY6fR}C9Sax%WI&PG7j3bd2@@aRc^VPo&Z4G$f|B?-Q;d=s+QYTO(5?L%nPNsx~?i9 zr0Tl1Rn^y32la+gVr^vumUGtlD@59%5y@HhiM;ic8_pI?(#Y#Xxsh>JvW-7sF&P!m z9KL>n#F6po{+tjTCCP)P%3Oh#t*|<@fiSwJ8vpNVXHD+*%KB|s&;c_=J?kiIUS6&( z*H%;4YIWV8UwwamejW_OSvfz?mOsyFn|JSh^XFApEzEP-s_)(GobSwc<+avsYjAF# z?`oKX3d{l7ziW=G(ph)=Q2k-+cyp`h($w?``khHB(WWFzOa`v&D6?LYl8~?{VR1CZ z#T?C)lnL)(o{1lyPe{OxQ}kr5B*l~@6f~^E)Wjfah949jgHrK{T7y*A|EdcW{(gqP zpXTqU_@)slzi zpsrd=T}`8_+FDgx*{orE(<*!U@=a^kIUL1n*9HXkHOtnmDlRSGRJ>-*>NNrR%Hq;x z&<~NWE!j}KX;sNul;v0+P%gEXmXt0HY_BaTUAAteT`8`-crDzZy=3LOHO1QI`liZO z@lETqG0^;dt!!V4PO2mu)^x;X&>XlV7HZK|P0g*Y9djD;wV1@%RdL$5sQ8#9Od2Le zrAAHCrs!$Y7gDghhAb+oT~F0GUxzBEEI9GyLoS=S!ue zv8sMsHSCOBKm$|e=#Iq?rjoRpxi|3Cup<+ISM^P^MNQ_rxPi~ zRY~)nC`{6Lo&$f8L)%c!r4)zBr!)@BA}GcDppa7B`jDwa!I!M06osy(6mEtBtwg~I zZKjmgN#$0%oZD(PRXUx`uI*YbEU8t?t!ip$fD_PiVJEqjt%!Q!+}7%9k;*Nt%~~!- zQe)q`#?4JyZevrcD|cDxx;dCnRBe%}^%xzsT=L}3DlO8*jOj;nYo$}mZQ0pC>1X(O zq027K*eB-=T|1!cFPonXo_e>$cB3nS0v$dd$xzA}I8~*7te?ZqPK$ToE+`!mU*Oyh&BF?)T1@>@;7DPeMZ;d c?ff5^w+|ASs{iAI9vMgxV}A$q;Z7n$l^ey#IHkYYCIS z-)!y7d%xbFbR*pU7CeER)I5<_`Rn&e>0zh7_wr zZEn$5h3`XSYk z6uo(6kNzh@Zf&=2LDm9q&ZGS`PwGz&0q$NsV`)fpXZ6JD>vt=XXCLgw?LzkfZ%R^C zZ*P3}V3oEfkYE&(SjupsF}2d5&AE$-sg;(IbqeM?s0tcaCnmU`Es}Nb$t{&vyqRXI z7K42~vtCU2iuKK`?d&H1Bmf#=atFY{vMa8#i$Y4!Kd5 zRhxFslyUJu+DT`|>CBmDj2Y(+WSn)Tz2;0i<4AiekntAs2d2E6nQ_sXamJrEdSJ?# z%(TlwI_pp=JNrECOx2#H+|i}n+32xZJOu`2SEIXArQBsyG6MVVfwYT(3=SdvjX?V8 z&#GjuwATXZKm(9ZADA*MWSsG(4>&VMkhiKYvPw^TmZ#m~w&R|qbm%?yK95bS?9B2M z_&l~sWoM(i4aitLJJ~QGSTkM|^uwl%fdlCncXTZMtw8!^pdr{iJ8Xn7I_~WA6gZSS zvpj8;9^5(F9-Ch2PaANi184RE^*ZB7e{GCv&iFH4v!-1hOTTzv%GJ#DA>an;IRLQH zZMP{q04-|b4osYII6Ibp#+d&8fpieWc@_4{k+C0BwpS`2 z!6VG^kf&2iNbbDUOSB*8=oCfej-DplT%F}#~i(A)jXk9<9TIqxKddx&6lqot)t_RatC(}p$*3GG zJnk+XG8gsA%lgfC9(9%;H|L$;ia~RUX)ji*ua2uf8c~n=)h!nF_=xy{R(;)}W(0QFTr}h^;}DAXyG#0o&!}XI zqJ8cXpaIDJ<{5qR(xax5Zh4sx`C!_R;8kC$R$uaq@8MppW+wG}2F;jWeWhC6V$_Uz z)mKKu3qZ!N{+RUvLa=n7+}P(X?KYQSTz|0Spu1!QXvjy^*GAPq7#%-0Xj+)&qiXd9 zuli%aA+*(FMzy1;TV4X3Sq1evDwOOCQq56k*}h=mNU&tcJmajn*bCg`Ov%R7*G9zm zM%C{ETJ*%rhRkKa;aCtIi%*$LK(rBaAvWW_U}=PIAX>|)dTdnv@rd{?)3gu*n#*j& zU3v-#be9|_vJ=s0Eu44mbC(_umfo@i*Y%p;fFK+VcpvxGvi)pWG)gRf^myDVggL=GL+b-PRV zn~O%UG-gny?3BBdCiO6Sji^7dDKBC5{o=z$tc9kzpXSwOQ6h8Mrn%@RKA#YT7aIhE0)z`6$#?=>5$v425 zUh{!P(>1Bcrvp@Jl|zJbM9nC=V`z@3!F(<15B%y-uTGBbyVV+O@-Rt9B$AGZG$=;& zs=G$eMQrh_uj#2HFadc%=TEBDt$>L<_W!v0Dl$wnW>B9uYTiR0JBO3>W8UjyhkA_9 zjwnBo;iRJ)1IHY__^Ne;5vCQiOOytZvHh(kj~>N@$^7EZq1i@A7?WeBGhVOsyZPOiVP+?*2(rNy7H; zy?=bs-~9Of-#k104{-xygNCwCYk%~r^^=8J+kWgD`*7DE;_m+X_pBYy?*GvjzWep~ zPo@`q^C$0pv2gc~zH#l9M{6ES4R-9xtGa8(KVQu$``6B&Z9X}^|6Ib`Z=QVRk*a%s z{7%O|c6k(r0Ap~b5iBtJS;?F|nIh9N`eQQjbf$GUhxr+Epr|$Yy-y@O-JQbpC{qIm zvp6z;K4Ve*d}<)*87{%k%nQmkOP~9J4SZumA-Z=J@{NK84Bw zl7uco{*kdRBM)_isuJ`^Og`H-1vB13z37*l(U+2%Smo`Fop;wsg*o@N0|`&~6f4=? zJrs>$>ZtlRes~@7+9C3{Ltb~#B;kbbK3on_oA)~hFSg>$k*z8_{ zK|ghsoRXLH2NPax^4K;P!lM zm>qZvF{ZI;r^nLI0fEZYl74sDQTL4QU|}yDtzhY~KoRWwQ}WW`%A-7#qih2KH+fS3 z>7@FKMf1U^IIh>gdcLIBkVU9fUoem{{qZRIF!17T7|dg5GAVE~E^y4PD^LA0Sct{y z4=y=vE`~SKV=l(5N6dG!oqEQ;BQVyUQK>igB&5c+=T_=xJA0pe^34jLHmklgC%62| z-pm(uarTjR?7a`Fe;HV`Xyo4e@7Z`HU`tr#EL3esxoch8>u=_N^{vYFmXz{Dh4aIT zJ>_#&n&u3;(p087qPcj8aS%+|aHs$j(`+-?(jCbFtpq z-cr|WZQZJWU}=5*vZ8#0;lckj-m6;cnzz?CECKAzEkHcGv7>2gYeT)huF={KL^iK6 zZLHk9;a;MRi+52jVm^6+`Q7Xs_NeLD^@o&HE-@*T7%Z~Ggq0`-c?iH z)LN6BUDFi8zox@p-_&Z)ZUk+S=H+g-BiYit&C2sUvmG@#1)J^LTfy?Gm!f#1-aKomwnAktOru(of}--j)QT~N_b zyM3#ERqK{*Qq2x(v$>;bS3`&0YHzCB+`gk88?K>sdka-=uHD|$j0$@@)}^_rcJuaD zYp1oT*;?D&&{ems<34NimUb(4SZh;jeM6VEwsi~7Y-?$4vTd_t7xDGm(9~jUZqS>T zm=`Y8Z!9;NI+|M1mDZYu-QHolXS=<&$=j_?Wk+vaoM6l*P`Kp%6&%m?f&I# zA%Kq1y8>v^1k++b{*s(SHdc*R{TQsy6&g z@Cq@4l4{>x{HfrdsCCAOexd3VyKj5EkKN#dp!AEskIPeBF>WIQwx`PiAeFN%0ac4-f_)jzvi`h z`j`9T|Mz(Os6qX%L!FoK0ux^}1dVZ4LtI|MOM3C3Ay^$(S4{<@_!1QwEWj<8Fpzy^ zpsJe<$_)=%hPD1cLU6`NaQ?8N@;5d#{=}mBronB1^iIxXH`uVDkyrnV57qC~8mm*g z^@4bpDrw}yGkiv{?Z3uI{fAD44>#- ze5JWHWp?^0Yx?N}>1Q*iQsZax|1NjgE9+c4fb+Lt4`m{akHagG9S-HLO}0KnJ%iBb zMI%AiyJ;u!ssXP)0_k{laGC4xJF?DKtKS`0BV-6=`LR(G|5clTl=M!#E9e7+kzRMv zelvx~5FHE$$$~PGv_4*aKxdysIQBr=kTuYzzAz%fT7nJ+4sU|Ph|bF4QbZj0BSwhe zB7$n>LT`}hYt=KBf=sf)w1~{!IQ;VW-3-8Pty9eY=V#6@TghDdp2udhI)z^4^67dL z9Z1ik8T-({*yoYXx@*Y0F0Q+b>VDnN*ne6-{V~1D6&57n<}G=-*>xn*!Ue{@eK0t8 zqs$h&!K>WJ46I_kz-%(MWoqE=V}py+zW;<}ZjCGL%cdA_5@WrqyPq=1pDEn^po&>) zW*r_(Jyx;c3Rw0+l6CHgf9`tgG{$t#s1`mcW8ij&8J?2 z)QkN#s#mHmzpi>@;7AfP)Y_O{C7#>&5gxmLikqelx8=jS6xNT&X_>n2$5Hs9uV2CH zEknJgw)j`?#`cn{s&vvY_b;R_d>G>`!?1$7>g(m-2W~61gxiuu5!|ecsMA@(%@W;7 zmqjyj$Y&f@uwGpnQ@Nba9HCZ*k8umWgPSH#jA3|OZy4@1C8~dVH}m%d1czSNHJ#6( z5N(!^{wwvVt7d*Ywwbki1HC4bdd+Ia)Co84Syt)BGjEVc@Qywu*e!%9@%(Rz67n}_ zm`4eYM2Qfgp8qv}{IiM2pu&8%w66M){O>W%a+>Du;>yT0odJ%Lg+SeiLdlr45|BTz zVH(#hJ@V{6174h;oIvB8F0^X2*5=DJT6oT*;{kuKX-xggyO~iV7T<8Cx(Cv~{1lkj zkzjMho+#e*=STqla2GtdkDj~UY0jVTcC?1iYqPO@}#u%A;Uxjd9 z<6V~!qpGZSI#e+-E=yLS&7WVEz~qa_5)??5ya-v+xVLb~QxujZjUicbbTA{$9jBig z5)W2!ai;QumWzkId5y8fI?R&9!y(h^Q>L(ZsGaip0rknScxWC;>NG$slXx(?pFZYp zgLrU{B`pz>b25|ja!k7Z*a1Bx!GVN%s*|Zz+CBMw`hsp+DcOb$nb4HrgYGXc^-+|3Y;C~dRd-$V!^&ZM8?!PIGkS+ zoG#oX31JHy1WpV4(9}464}cwJao`x8ZWhSh@0tk$k7^x2HW1fBqBSgeQ?GE!5u?k0 zMKHq?tFc__HGN3bW@5dKuvkc9B{c!ij+4Cahd}!$C``or=;w{@9zu&_R2g+1kM3N)QbFvoVx16GMEuwF^jnIFj$OIvFV z6f}R#S0SzrTd3*F1gu|{25!74yhhCEBR+Q$pT{h`L42Ml5c7^i0e&vP?SUo&eD)T= zcL4DB^q6A3#osO1oZkRlpe#=;l$2$JFV~D~9@vw0BZ@E8Q8qZmTyM3(Wd^dsRZj$T zg36`O!tNwqydUlUy!sNMgoy1OE=<_odpCGJlWlKBcF6XAVUq1_lx**cv}4`Tw)e&; z+xtM2?Y%eJ_U@0ey<$Fn6pg2Tbe(6FW%J=E3A9MSBWNd=w_P z12(xqnXK@ur7czVIa+gWi;YCv-CbI;Qin(mhXr|tofK}6TYIh437d#aXs^=*%Xe{F zWSg$wF#AdDk4M7{CYGCSyb5I&AGewrMN%QhNG)3o4(u&yn5QDcv_}skSYUZB$;U8_ z3NrF;3`B5y{w3;U=Gf|uZBD1`(KT5ql^BNjxa;R0byfBJE;B&q|VkUULMKiE) zjrDbI;G4sDBRn9~Hu7wrRt`MHg| z2$v&|y9KYT8r)S}4NzHpnupyHo9Fp|q-nY%#<{cv-HD)035{Q-J7w-6Q>#>(#f+P zNZ3@aKA9QvtlRuacFA}@z(#n>nfR6)p{;O>pMCq| z5zdE@`9n@@{Hz*mu4!q|qxF`-31&UVjqniPMH}I^_;&2#EI+YbOwZa0|Lb195&7tb z=#BWCZir3ak`T9{@ID#K(nSprL+lswR<}oOBF71Z93#xH2UgGN-mt`NNqFM6&d<-| zyvtQvv?1%=L+rAk3w|+)ggVG$?a;=w@fW?O&+aq_X-@V27-C3!rcIc`(2VkmhtCcOOM691p`== z1D)^sP}>Z2j}1WVYPIqx-npA>;?G6f#3NX9iFaa=uTNPW;vI~*k<8NAZzl-rmMXjwn8&cfu2VH2N8Q1h=3O ztR$Jk?yx!B3v<|ELKMqygq;#*mK9vOb6@oqJ$L)#QHhsgUD&lVIWOrWHtDjLi65(J zx0=u5CTW_pE;yH&VdwINh-m#eSHk&dxqLXvS~D)+ljDGM`9jFK?2R~=JGjRi^6R2i znI(suODlISmy3CQ6l}Sz^EZD&*!egk-r||oRiN{eaTYQH1RXTs0s~m8$&p)EeQ;np zZDD;6Z_$JnVfFs}|KhVeO|y))B5Z*diTk*7nQbBF#1FTYbe;b)BSb zzv)}CUq2SRe<=33)3MKAj{TcWr`VL4gM%4|6@~omOaCF^**kk;@7%QaL81dtS!{SKl)n7P8#(%+1fL^8YH(w3?0{p9*4ON^976O8 zMzt#kMzv23*Et=ob1AIuolv`>>TIHhOcO>o*-ZP^y6i5}P6DEw5b!e-Bm zQ?5o3*(r4Eb=4Mq3N-rsifjaL7U{tg;kekVPtjOU^rzXSxE z+2IVp(yNe@dYeB7Al{x*p~v_h#WIRT(Zb}-~31C3sj ziqZEQ5eQ0*|5~JLeLtTc@5&AJaM!R5$w=kCg`Z0jPK0F980Uby{{zFzq&RzSLa4<* z8v(e*AHn5d6fR!p5zH~W2DpURfwGlTu4AR z_0CaRBRx4Z2c4LBOoNxg=wBuq=3Q?bhMCq!^Sx-{^A%0>0B`5;K$k|Lz}}$l7&eVp zEJU7=u?f?m$J*3~06Dyb=h8Zp&xy%kDX7P)ufN_vxCYwPh1tKV&C=Q{tjib~@ zBVP%j@Gd%BEs$RVp64jAR>F;q$P&I*#;Cu4#?O{6sOo5^FLnUx0jgZ~QQhzpCJF7e_2euf-rDbdGWnFg~#njANqDD`42NeSE!K z^ne32#1&33_iy7`Xz%pV-szt(U;%2HV*s4Pur?0Ue43oV48+x)2BjU-zGyy=6$~sK z2|rk-D1r}Qh&b#IE#{#pKi|5vs1n_Vli(Gfs7wKd@~Lj^X$7D$BM4(WQI{mFVz6iW zg|Rpn0}IUn&WjSk6pBH}$52ekg7+_CX3_)V=T+Au?_bVF>EkJA%VWJh)7*`wDf_Oy zeDzaV*=)Ad__m5U=T;jpZ74or4yojxkV@W)F5J%TLK?Dj|GYYR!qDX!Ixpu>q?1P{ zbh3Z4PG7OtZj?++Y3)1msz*#R9FU&vb$p+v4_uVeIlm6}ZJ3 zAVwXZ5TXz1xE|z|dGJ?JoL4h4S)?SzI5M zzm+6%qqZA`9CaHCUV*w6l8|TO6I|IQgFp549^O}VWJEQV* z7-h(uLDbaK%UVqnMzNXFFT#Kc%!|^!ofzvx=~;}6u>fG4Ys#!RZW>(Ea{P$^$ITpn zFFC3os_O`vGh-Aa*O0a1bqe6Sm~Z$ZzW3i5iEqt(>BkA#FeiE2Y1&rJGZ-Cw)HE_}f$eJmxFq;I8ijj{PG^sN-) zMf_VSMYeX~o~M0#pVe(-^M%ldPs?q4bF=n_-kN^uT;1nf#hugpWGOZ06CSgM!m|fP zlbXik8Ee)*B%4=TKF=8oS?3R7^N>hlFA!_ipRn`^ZB+g!fCN>)rk z+~|xBzF=;Y9?Ic*h|4Zt^m~!8Zph(!C?tsa^ZYe#GtWT-JdQV|%!1bp zA_aPmTaJ*uOUiTi<8$r>;^XCmcp)J;yATn6$bx9a$^?gtt!0% zZCg#Bk7a%Mv=gsCj>Xi@WyY-uPuNrdEUOLu-;U6?Kx^q+p!xlt#qPPlm@caxVHBkQ zL-?Y3+tw()2$%lC7yn=I#fJZv_@WJb5eL4=RcmK;%ioz&X}IZ#Ii1)zTH;i`F|}u8 zr!)4N?wH|qXTlNvW!p?1h-^S`^>Oq`lJ6U*s2o-Pgka!Tg&*mhP59A8X(E2(A@#(5 zk37C^Wl1QvAf-4hUD9*PQ+vAR;0KXDkwPHc6i~S&TrZ_kJ$=Sc?WI(zUlFdCQmGy` zByTUJQvCzrdMTCax1gTzl~Spm&i{~qE2UJgS`?xYwI^CpDz*P&xGbeo{WIZuDV5;7 z7_OI6sr~oD^-?Oe#}~uo6Y_7{DV6GxouIdbj|$?E^3gxu9`*Q)oYzaKR8MdoM29-0 z*aJ6d{qZtrBOMeWsWy>ER6CN-%Zd76TFRouL^jlb-YNkG)lxRxfM>%E!twIv=FXPv zI(zf3?51$@u$xX@B~ybpf)SD(2H6=FwY(mAs^|Io+O63*P_n%tCpX)WZP?mm*Eba8 z*B9jH=K^}Iby=<!|_UZWD&f9zD;pYGVfXC3FmXrFPO z4{SJbj*dmnxrGkWMJjGRNf)V)K1vsf0G(?x2ckJB+6SEC=DdSm?f zR_M;l6UX5AkvArtnPU_6)LA++$M``x7HWzIbX1N(OyGXY0XZ6tPR%iXgf0S$4#9C? zI6a4Vd;g&mkj%6ayT(dv&IEd|W`@)#Vlj0%+t<*wxFw&7Q~w%q8s` zU=9%(I(SLI|2;We4lgi(oRDNkv`Dc^LuTCnzJgNNiNo9!|p|4k@5^d3V99}Rr(|Ov>*4owV3F2-rtx`(Bv%}uQhESpS` z+B)`G3O*aa?ABVA-LbQU(tpS0L|d+f!+mO?zMqWhFD*MsBg$jB_(f|KeR2JW3R(-P z4?Q>Hhm%bc7nX-#)F!$eMQrgmaY@hU;GUGHhpW-(Ung2cp3(bwY?+Cxc^&))l*l;2 zR^uiHeY;G1jo=yZqpK2|>Yt+qpe+5cJ#J~2x0Xfy1B=izYPTxf4hg>=M!V=s0u6Q` wI@_dY)b2j1fK+NnmW!09#{slEM49jc&-M7xdJwJu595HV@&Et; diff --git a/STM32/MDK-ARM/WOLF-Lite/fft.d b/STM32/MDK-ARM/WOLF-Lite/fft.d deleted file mode 100644 index 954b2b0..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/fft.d +++ /dev/null @@ -1,63 +0,0 @@ -wolf-lite/fft.o: ..\Core\Src\fft.c ..\Core\Src\fft.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \ - ..\Core\Inc\stm32f4xx_hal_conf.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \ - ..\Drivers\CMSIS\Include\core_cm4.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \ - ..\Drivers\CMSIS\Include\cmsis_version.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - ..\Drivers\CMSIS\Include\cmsis_armclang.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \ - ..\Drivers\CMSIS\Include\mpu_armv7.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdbool.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h ..\Core\Src\functions.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdio.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h ..\Core\Src\profiler.h \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h ..\Core\Src\wm8731.h \ - ..\Core\Src\audio_processor.h ..\Core\Src\settings.h \ - ..\Core\Src\bands.h ..\Core\Src\screen_layout.h \ - ..\Core\Src\lcd_driver.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdlib.h ..\Core\Src\images.h \ - ..\Core\Src\lcd_driver_ILI9481.h ..\Core\Src\color_themes.h \ - ..\Core\Src\fonts.h ..\Core\Inc\main.h \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_const_structs.h \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_common_tables.h \ - ..\Core\Src\audio_filters.h ..\Core\Src\fpga.h ..\Core\Src\lcd.h \ - ..\Core\Src\trx_manager.h diff --git a/STM32/MDK-ARM/WOLF-Lite/fft.o b/STM32/MDK-ARM/WOLF-Lite/fft.o deleted file mode 100644 index 8f16528691235dbb6f0e663345da23b0733f5c32..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 91644 zcmeGFdsvj!_5hCWxiB-}00Wo~a`7E79Z_MphF9s7S4_6u)b|!@$_*d_K?fd!FAP-#@5qK4ajQZwTL`?BLGliDG8%WtoMK zdI$nTxzACV=&JCDQYX)%DTw@vSpJu5VW#*=fYTJTY ziKLXPQLtl)XR1QsQOXsC91KhMdo*qE&zfEle29oNE`B9!LG``#*&o05)l={Mx#FFo zQvtaTmMxlV7C^o|#>wDgXFJ;|@o z$<*ML5Bykqk)Lu*pjIiK38on5`Iz1k6MS(`H-~Jzt)x6F6^by=#}tYQFU?l}JRr@E*hA=d>Tk2IW3|qN2Bw9nE(8gp-R)%%dN9VrDvH z(g)Dd*O0%wa?Iw+-BL@bkxfLl7W{-!lw}6{T4L~rsmRSYZjtFm_y2Dn0^RBC)QPKn zD)Vv&AP}9K%NTBT*Ejdy*dG>76bdSZ3M|u2iWSsNdPLAW z$x|ThO(@tY)!s5x-ratvdTZ^TiB`YL&l-!8f|ICVhkB7VUJP@Te)q)p2X2GHhX*Oj!F^r?vmOhL6Xq2V)BwOK^Rx?*67J1 zKRE8D%Wh_Z?sgD zi20i|6G>s6eu<7#lFHwl3gwFU>WU2({w5u#l&*-^Rp_n!GGdnmcWOh= zu&9oj;7dIr*J?sq3?W^%;O-jlE=h1ND}-zSvegwO7XD_2LRkWTy@vB&Dv1Ettp_oH zvZf+l#^0bbtrPG!DWF_2)54)b&eQ~-F?e49SQAW)!56flEz01|n&2)vv_%rqS>xRf z!Wcqsuw+PKqm)m}_!|Wk>wqs^u|Ac*-il(_LM}IlfWR>DhBmZ|gkGx&ZkL4I06Hi( z$RA8f1^jg&GpSq$qjVggfHgV}sIbObvEEV<-&j#bfoy!@Ls~*E*LYuO4zU9@cf~hZ zDmH-(`U;@1wyt6w7|mLt7x97G#u2-L(YkCQEzKZ+x1AN*Wd#939V{zN13(AON?ZSd)k`1COyx~SkY+R#p`cUv>|fkHbF(FS+3+{zNSveK=r zbt@a($~A7~X18(=Q&xty8@$09*CgY+4WXBz4B9EMsiP;P7bNcq>3~Yc0a}!yUCp8W z^k2{+QE`@?hGO}SXyiW7sUy^YzP8{lLkMtzQGGPBv)6=zlMk8H>N07KH!=kQsE~eZ z2o~s&%dk4E-XIB>7wp^zD->v=kRfM!LM}mpgtlmd+YF%>psav0n)C)U>IN&MMTzXt z^1}tKt47Pr1uBTMc4J6jEcd!9dztAQqF}&zB!@yT!J2J`_4{93y>b(*IGt(Z27W2} z6@T3Zj4-xfh$pZ%Y46H@3{@NlkLKQK=4A`WW1R{SyS`9y;tDqKZN9|Q`v1kT{~E`b zFan`W_J4$qOOjxio&PO@nKq_Yl)rHOxY24*kiBu?1pIht?TVnAJpke@#Mu zG6Y{}#<&EJr<*k)Z6G8p-kM;jaeDhm%yIg;TTpU(HFmIix4;tw7f!Gp)+xBXCK!l- zsNHVmD{kd$Zsi+pWn40@u{Yhy?lga5>aS^WMYk(MZ^1(A!LZPw^+B?9@MS}2w-VwK zc7SC#9AW-~V!(m^?$KsA%sxEOsiQN_*lR*MK~osj?87YZ;F}PXATl_qxlHP03LG!6 ze7j(X)w{DNqzwi#!3R)`Ma?K4lrPl;!-E(K-ICyTWpJ+q%iuX^QcWn1(u6wTF@(}G zj|Nu(P3H_d?h!`IJSm*<%HRr9&PRr;e=p1(<;VLBPad})>;Bl$Zz%_;M=Hn*!d_!;Q`cZ2)R|`4bKAL0?yD;fE6PxCW}F?X^C>^PQK2eIV4q;AfDI39Gu-x9FsJaOJEIJR=nWJu^aMlP{x6mP z`vweV4W3hUnY04-SMjL;abHoATCq{UU!#GL%Yh|Xrm2V*!>$RoJ;NcFw7|nIxC{7_ z(95u+FrXL&c4)8_pF4@xkw#SnUvnIL*P?1*&y&5b5_ zgssz=2XJ)$`~41x0nRKUgE)X(*eU zM$A@!XrNO^r~!Rt73(ypDHvxqO3Nv&<3r7mNp&uh>P$EmIHvOF=$Ha5TM-O2t*b}# z!j^Tjls^M#LRnt{GNTGKY=-%;nJw2>LLQ2|dDWQUd?E}-d{nrcRJ7=^P| z;hZ(5%%3$>$)DxYmzg`9N4ky=PfWdRXqmW9PecBBC={RCn$XM5_^`7ZLM}_-=;R6x z8je9giwmi}ERo53=Pist&Rq~o!4Il>l#HQ$;zdGMe>3k8ixhHt2zCx-+2ScRnP6i( znt2oaY3_3RX^zAXo8BNmXI=7rBt%k4i0Ep+&n?RLy3FT}&F8LzcdOs$*KVI{YM*Nk z-ZhKQZx$>U$$WpIeD64TH;8YM!>32#+e@ld3H7aW_Hh&z)y&4$*&V9cX3p#e!%Ukh zs6mzB;LIZZNY?i{<@*~$;Rn6XPd46vsU#v`@e_yvlx;pm8lQd#??;i(9U9A}6kjoG zpK9hlPEajC)l9;jS=&0tY)YtC&1_)JHLGUTtAeUP7|v`vM}rh@!!cLub4%p&Bk(1@ zKU;i$QlJ?0>?YG}5Eus9Tjw;e=5(lLR;gy$fewld^3NnUL_U2WGZFm=qa3_Gwa@oZ zk- z@&RhMN9+bhYcR|-G?udMD>3yy%d|_&?!yKtWT2Sh^G65W*vo&NN%swaR zIBTYzGpD|J9O%_%2!VpNb*@Du8>1bCvC^nJ~&p zq0=4LnSYUylCqRFY z1k4L|?t~QzG*QUe`&wr=VxKwY)`UvV+*+)J@*L=IXGYmMv&@Xq(DK6t#&lTI8jO;o zf;emTA%U^%a8){(=>vk!xr2EmNpl-<&BFTqZ?66;tT+encE8UJ#;^MNF~ZO@A)dh6 zBtca-F<9{x>^g7ruUOTWC}WE|6>AYD|YbY=K;s zm|H+@e8bBdMaK%*VW}L^g!s`bMclUX!vR>6ZMx!#-TZ1<0{@_WQREyYsHD6VvQ8^) zAP1Eqk#ZcZsaJZMfEPs>4jV+;8OR-? zIkixIjzXGdpt)LQ;3-9dpxto-WUVzF;<1M4KvtB@hnyVd-2;r87E0J=wpNS80mhsb zJ$2fwTv6@W_9bA%|1wg2z(SaGJC?48ZXu&nuP-hrJ+OiCdjsvNj3r* zSVBZHo6qTx-Kx}43c^-Eg+Q#S$n>>KYCR~zqM!oB@Y+%1M>IeWaO{xezeHj?Era1h z9p3fq^}CYGupk5#d3k%7_qG_gkU=geXZN8)Ty-E1upv2L&&Wuu6FM&{7&*VKo~q0& zcU}mgoJ%&RcIqQqom^4QALJ9#6)$QT5{ww5!(Vu`@(hT9ACxmJV19r)4_p~PD8@IQ z;ey*TH!+ePy~%w%(9CpT%=KQF!B8Jz@?&a{%h8p=(W2!@1l2(zXP;xcE@BuiH^(Lk zxy#&jd9>AHaG0dq}UV!=#vgBUQEoX0ae41~bMK?P1+?ebT86&u{SBrSR*MDR|G z8S(Xlx7>yK^o2yN?IB?{EtLvCa2KX!wb%A$cVYbRuQ<$@1;s_P%=h4Y zKAQV?6vk=W^2`MB)H;P1xPgM1c?FT#EKdtLg^$pTYv4#i#G2IiD_A{VwIZBu8mqX3 zBRo1Nldd$3W@`XPKcb0-mOL_)pP%aU`urUG6+yC zS4Wyvp830YYQ4gXrG`56O-8~66HKXNQY8eZ0y_%`PrT%8E^TE`h7N>95%{odM0M;{ zq4WvD?na#XfP`N?$$NR4C6N&a%mAVbWwnWCsYH(@s2f{B;KN4NCj%!m0&5H4Bdq6` zlEwmSxPKmD{no1bU)Ynm3Xp|@dWLmGfVVV@+75Ty&NW1#)~_E{@_ZCzDt2N%!1|Sc zQp*3qddoQ36<}?HPQ$Fd9ye7618Xm+9M<~!)7>_<2wUA?HA+VIjkM{>#)%4_5s0 z*Qq&HW%@o8grs2fuzkK<)PRAo-Z?c(eIha`53nr77>=2m_rFkb;Ngd|I6vqW z-!oGKg0mG^$#}&t!RkL@$;iORho|OPEvI%ou*S(AX8nFyH_d%$YElbD(mB_;#KK(G zi=k&sX!V3ZKX_5Vb7D$6SH%{yq}%2od8 zU00;fvnTHfoBTrJJ@}bEGS|-1GW%6t6h?=m7!-)~EXGa_{MjSm9@n%cJ zTUR39VNW>`cG%=cCswnl1Ab&lM7Jqs%7=-Q6_sIA_OO$rDzhK$FEtybRqV;t?1(0| zP^vd3PLi%QW>1o?HyS5NHyK+dNw*r=Q>D$uu&L5kW8zflDP#6j>E}k{ROxBs-f7Y< zW6Lz@x5g{eq~96Yknl-IyII{oZJdl>T5m6e<0Wu_aRalaU=Iy=6>{ zlKy5iMoI4)4@F7u8Lvc16{fH_X_9G4oHW@~5+_YH?TwQzFufZmO*37HlP)sdij%5M z{?nz#Z0XJ`(!JS}Uul{AkFbc^?1;KU5y!7Y)F)2)z&PcjD^ptNM4_~uHVUO@=oX>$ z9L@HYUZ4}brC-xVZ)q3Z;w`;Qvwfsj=tLjN=toDhA9-i-S3%*j?+>p1ESf#;sjPtm zEO9tdiYB&ds;Ea#i668JmMp32dEP6T^lG_uSTZS}^@q*(rozHkhBC#zk>XDy#r~n< zAQ^pYr1s88?QbKs14G3`<>)^~iuXo}gCj+S5*eqm4_8O$wI2+O%};wJI;Xt~3iel$ z46Q*2W~|v@ik-BgicmchUp!Zx+BpCH*opqdOMa=^VLp&KH^-lstTcIf&x+GItVt%G z_c$!fo1ep_6Ou_C{81#6)Lbr|noK(KxU>!`S8+ARkFR_17?%UCF5)WhU0w6pJvkN* zJbB{aXS092eP`^I7uNsX7n-?B(SzI!?p;J(v777PKw4W*bZrQ2f zj*cTgIy;^?xbeX=tG|6`n`CABm^;u>A>#hBI%WN54;V!@uTbCrY@E;eKgVJ{45zmy z6RB?Mp>^jE-uvzGPrh88^;OXQr+>GfUOknanSS^COAmZ|&fk9^8dQ9>Z(H%yL&A9n z?rr&_>YwM2oKLuaM%{4w`JG^G!ST; zVugutEef|~!TB-|rkg3q&Y9bxnp?%0Yu`{+rsr>lv}r2j%K4l09K0E&Dgy0(K7AIS z9+_{k%olPr{c4|BQ5&WXJ0&4-m76cyf!QtxXcW-M!4?*dEll8-m$1Qth@^OKAX>V$ZE;Ln=dHg&R{IuV_gOTQUhn#n;>-+ z1gVoMCWqMAJQK1%7aYaLA4+!?i+rxid>|!yyPNj| zlVcr_sd~C3c*EV#VkFllU;L&u0Vb=yHL4R+ld~!@zd6gHdW>wO2&scTWJzc$rK%JL zdX~hbQsIWGJ$t@PvWld+bE0DscUvTf1^(B_AMlLJ$m74~P%I9gd0|4)?yCvsOZkt# zD4yTUR1!wTr&W6I>U$ST7_{KD5ZuMs~IIN?S1XN*ur6oBl^YhChb5yfdrWKUs ztz4R!9vibVJ!#=I<&t#O>LUH>;=JOrSrlLtq6LL{B~*N5^mHn7_3FY|l(wWOr%+qG zih6Q-ZtjekaWdK8RkNZVFU>0{jas{Q`qTO-rCy(;Ez?G&tHMWp(<5g@%7)5GOVv^Hi*pLs=H^8K?W`hgnJ!Ydwz#xlRdHS}m7~*^z`(4f ziT&3nmSLZ9!BObS~_n@=KRHrhHEk&pRZatj4hp)Hc$0X zd(yn6s(Fi&<}XSa?xb|pxS20XyEeCAbyj{sVHxNgsY_C2CC^XGoVO%v$?~lE4`CT2 zSmr}*fVs6LCuh13L^J~!CY2PdL#r|~lpMEVLsqIXEz4PpCRNn><{ZU$|OZ79W>Ymb-dwc3~ca#>QloF@=SB z1%+AbrmqA;;IAr8xhQ32VnOlB$jFri&dCDqxdp{#kvedswNSvZ!?OnXP!;^a*64V* z)>7T-5~emQUt7vF4fS}qAt9@*5ZeEinVejbx5j-27zbWomY=nHZJB;;Syo{|ah|>e zh-6{q#)9J9ybamfVz7vwY0D}r(mUH>BpND9zq+)btYCHV!?k&(Wd-1WdAV7o1)K8p z+QPiDvb-!9<0@va&&pnvm9x5VbxEmP9a8;E<*KYzCEDBqSV}Mk<;%(|&UF=YpgOO_ zg_V|RONM)`FUT#^Aw6B5HaD*X^~qkFpPyHfl@CTJT~$($%aAKY6>`>=lmIDk7_<=l zS?sh&QJ%KANV{Q~ws378dQ;g3cdTRs)XgtO!+~9}eSR^@P?VK}j0+Gc&H=r_x$?`> z@{0ADAXRQ*o<6alY)Rg_OfC3JHdBPgRb@ICIoDqmtl3q0%q)N@M^r#ZfJsFrLlfsz zZPV)2Mc5HlPcA5V0#G28l$XOy1`3v6P?D89gg+!;dhyz#Os&3DuUeg#pI@q9S_acM zfBurJ?1D9GwYgbHD+^W3Kr%y>Ioi@3Fm6_EeoW>=)PNWeSd~}$-zdy4(&E%38zfi? zKFrt+Oog-&e~6g2aMf@!#B%+w-QoCgcS>7FIvuN$3pFqbgLN$a&G`P4Gd4AxmvUiR8m)coT7)vlWL z7}=S1Rtj@gkdsxiJ{O)Ec`ygK_<(FdAyky1)d~x;v(^@C*J%q1(f0~6A<{0LmzfEn zdg*F5f@JZ=tOV{ zK{tYH2zn9pA?QbN2f+Y>dkE-u0A>W02&xcR5bQ%xjo=^xD}q`CbqJ0ls7KI%pb}0&(*kz4Pq;ua2VCi{%&0gH6>BDj z3;0h|QNd4xv-N^+)q}IK<`>o}_BeW+MaOR>QA$E=g=xx&LSj7|dORJ{K{6P+Ac*L$@`s0BfuVO;AG?M& z!O&;A<6T4b#JVe}ad>Dj(fyNG95BQ+j8D4i4gYoxwZqW$vSF@e#OfVtU+Cf*LUc>{ zw_O5f!_dy=CfCqQFtoIOnCk{&O%HAx<{Cme9w9TB(>hUTefxCGt;x&~T@ zxsE5g9A2-B>lGMkQGSbD!!ugB)&&Xh?!G@EW_*Qz$XMHeclj{6*MXeavS>(&os)?! zoLB3Tq{NGK*_wXO~o=?$8%p_}&X4Cov;2G+k4P?me&fT|5&moM*ba~bn9u!Z#a@X!RJ<9NO4 z8tU&ux>Eb$K8NwZFu;xMP$qT z_IY(#wHje2F~E(_Baq^>&EYF}9&E~%U}kyoWIkHDMN*{_TWQf%5l=dy9jm=!u=qkdP$$uD8 zZU>V3k6Uk-}krUA{NPjp(?J)*%IFUIFQtw1&F^I*9Bru5HiNrIA z+KI$5h|Gz^Fo?*B$g-niZOxx_FZ{Iki%tyk zwPdLEC-xEuH{J|gTk1Xt%fvcL@EGK9hU&nz4D4e~S4lNo&;aj?Bp?20W*`FIiI*|! zIgsx`Cv=$E$EvPsCib&YW^gwU3&;V$ahU$R?DYK%PTV0n;#xxWX{>@e9X_OX3Hb}LlC9SStZ)zT3+5~@9$6d3tk2N@0)Nsye&3c~%*dR9!kp>$zu#XK$znu8G3A+bO zS6ua~yLC9}fNd04!N_=aiq)&yzv$f=8iK}x9-L8i{%<55s2wFHR^TAy_OR zIn6$HFNW3RnwhgxtzJ<9tC!4zn8ui?o{sn=t!X|+9H)_i_)h4-5C<#73O^{F-8f{e z&75R+K{emPv>zdM7x870)-%OkisL|%O5@479y8Var;r z{(bfSvBIfe`b>##b@=~e17zASKGP8CcOc^D8yT&Vr5zE+`6;ZMH;O2pJQ`x!^jnX` za&2j5(&j5useNOGcVzOY=vLb2&TSZ_RUne%``c~*-vR=D3lI1$HsDuPz;7!5UzY~N z3WM%9wFymuj`Iuc4*%QGH$yI4BadDqkI#_LP|6bw@|jBctPJ^VrF>3?eC{6kV;OS! z9=T?ZT$>@!&XDJ1$a6E~c^UHj4Ed@Ixh_LqkRg9sDSswIUbshIq?8vc<*Su)y;8nL zDKAmVOO^66rF^YYzD_A$uas|4$~P+Io0Rg+O8FM0{MkM7t$XC#_Q>PSs#j(%`k^!M3Eq-sHiKq`|)A zL3`3*fAXLsY4A?+pe1Q=AbId&(%`-1K{{p7oHE#%G+3E3Sd}tpNg3RiGFY85crazq znle}m<)p#7l)>XEgY_wc4Jm_{k_H=72D_66n^FdCDT8e(gB>Y@_LM zW$;?cU~kG`U&>&A%KbYjg99mp_fiJw4q;+tWrt8Tcn#)7*6Vw}v0Z4o-^n10?>Dpy zRrfERUznC6&Jo>fLl?S76COC{@;|MSuqDQ5N9?jo&oh%d=r~^}d`a;7EnnTza@Yr~2 z4B|@WXQalx_5YP+r@;RM&h0e)-#;sC(19a|Zq?iI2MRO;aUi_+`_Rvm1KTGz8iHtJ z5J3E4MOJSII1Zt+#t_uaUd}X)qdlb6>^bmLX9@yXZ=73g1p1SKa=&RFzfAG}j~~rK zpcA~@!8#I9W;1w%=x4FU+_U=Jr2KDMeIjdoZg%@iSzcwfm$%Qg8df+!R1bL_Cq%E2 z_=olQN3y)`QT~xV{$#n=B>j0E z8Zo^Ess)NHsAhLUbu$@&>I8Z#REum_%^iShEh{y@L!+VhLiIRXZn==hPk32k>S3v& znkE*g4x{p*+EWD8T6Q8-Tj@Pe9ihPLQOmJ6dpT6w=>1R~XM^f;ZyKr%oNTD>B`-tu z98Eq{%ZLtY#2gzSyckjph?GNC0TN3}07>8|5Q!t}08w*jK+30+O@L@PHbmmdRzUQe z?q{J}0%-%p!qF5$%S_S%h{(g5PmYQSk-+jFeZ zYrNsB5BQ~LNeF!L3-0R1NUx}UZor%7Y9F{5gV)tZ?bX9~u%vM73E!Lm3XUq%%!8`A z@TRkcgYY1dV)O8Z556G^_jB;&Z}^%{hqif*&sBJBAHKN2eAoR;2t@FFCVcxGcu)Tz zTuj0%_NF;-Noi??EdbF{gj|3PTmbj+sTi~HKAWo+N`6^``6F)JCpHs)D5o z*>zZOi>e;wSLJsxH|mAUVrBYoG5^`+XWRTk`(-gpV5IKt^6MLvzMzpMD}H}kyfufL z?{(qls4Bl}|M~IxPoc8)KOaNoK-4*=a^sn|zY`n2cz4ynF26gEZZ&-;R(|^1oj*tA zaKm)B55X|&<^}J3CvN`f`IsMe`Q6iP_!xRLeHsT`Xi9Kp{B-88kpjjKd~>*z=T42l zB(40jm61j8;kN3X4`j*2NG)$Kc=B*qM->iZx(ocFyR(Sh4XbY+xoeuxw-c`8f04od z6l@Td(qYMnw1+~GEQ}TyMM5tnmN65nF3Fo;Tmacs$d1AVK-sDdvf|?6(zQi7y1eX- zaDh;`F-M=Z0e*`~fO(nYMbE@3eP_5ek8H>@I=nuKQR+OhUZxsa-boWZ!CIOBh%&gw zJ4^81PO{rEF{*sUy!vFFX-wPku+;E|`J=Lz`8D`;Sv{iP6kHM%b!1mR&Mh>@=SABi z*a?Y2?~0k>XBUaz+u6O#Q9jB1IGm-YbFF97JA4twJj%Rc9+jf| z=h&9xVY={!6VK(GQm34lu<*T|id~L3rtN*CHRP=Sw6hWHxWofZ;$@nNpPI9at6>L!)t|MyL{MaFSqblEyd=sH=MWNq9&``gK)SIBra}dmxMYQ#3$5DSa3LL z!RxsTj+hr5tzGbD+k&^QEePV>uNmLz*hm?Kl9=2HqgMzU{H*=i)?H-#81B>Dx|5VT zTyg!BEs(V!YR)-N|Fk-B!4s~kAsX;jY&;A+=S6eRYgiMU-aQ-UdQ5@}}iWHch*=bH~kHM6+KhFk(wp0-IjJ&TqF4JeRYZXxh5r{Sl!eJLLj= z@oAd^zeS*ZB^r)A?rjciOK`TyNV3p}aGS}5b=NN8w-1&A82^SRN7H7jTjD?UUh5-C z+r9IJUiH141U3=+|MFV2)RyhrEr5Yl5sx;v$XC{6f+*~%6F?L{6Ne~e#B==1w}B4p zE2Q{LyA_gbZf)~_hw7e-Oj`>PM<>9GJ{(KGUm4VlUxdQdPZW-j`o))#={WEpmyoSf z-YvP`B8A6s5Yq-C<$IO~nv7F!)|LETvg9<~cR z?FP0nHe=dsy9i}^1FUCHd^&tsOhLd}Z6~Tc{)rmDdpYe^ z>!=vuZL|8=fS7fZ43G}G8$|l3w@W}aHPbQL61#YGnXTLkNd9oZeA;By%D~HVi<}2*1 zAeODNnMG4#+I(Q(E_BlT2Y@LM#39|uM0QDJXNdvH-E+c^*keYkfQ01~w9wxw27CRk zJ)fl<6!3U=&-+VbdGnqU>fmM1N8X~mT7xEV9$`=Oe#RoqY%nequCi2#gNeY%O6{C~ z6lM7szQZhUY_3wW$TK6-u1m!4+;HB(9>plF!cD+etH2>1SQ!C>P(W)kv9OhA#iO6m z@}7p*yDu6B&I6)EBrvY0N_k?;YvbUcoJ|eJ@=iTrHH-so4J%1{s*$2u*=ea(V`bfX}gkTfw2;)#r!p7C#sB0-@q$LxT) z&?nXNc5VYHi<){>((lGB3*fd*{IoWf}V2{_87-%oxw)_-RQ9@1>1>4 zfbBQ@u{|2vUB)vncoUfVUPt3yV~htfzsEgYrR*6~4qPh@8Lv-3?X1s%qu5RXIl6gD z#yOTkN@o};#U>_@Mqn%L;I zx|-L~_M&9yWA!)zEow<)rXT-|ON}=#q8i6a-7ixR>miqx}d+o z()Rj<>K70xC5FZNRN)53SJW}E;1|lFCvrd4>7d-Mdp*W z=v5m07O^U7NM;Bv4IG@LjeB}_2=KG~D^u0G~SxbIc)JbSq)g;>EQ zi@0krz9{CZXZgX%Z6|smlx7NkR_s{@gBUk9ME-|yV_c!c2i^D}^tnx1M*Hq+Oz64Q z#EFaaJDCdcAS<{OEHAnUjyCSN6&K1ZW}%Eb2?)6FN?fF@QBwnelU2gs#3c#!CUjcs zmtz14=e57M7Z!becMLAXaT9BRgkgxp1VqYbJLyRdxzmeg=+y)P2_4WoZ1cJDJbN~c zOLW{@3=P&`0FUrE1B=D5v@c-2Ih3WC#Rd1SDxcB^(Sr8HXi?Q32}qS#{I>(m9$#VRijN4T{@1DD7b_Z!;{TK1TGztg(O$i|&X8(WA#rK7rcLL20AMO+qAz zU_{jQwE|;adIIp`eF)WOMdRP+$*T(A_>Y#q7IR9Q=CYxz@1*Blimg(VU_q_dES^>DfbFvF`Vr@q`^bu zi-p~CErdXDmhAWp0eS|_x!!~li26j*b z+1}R#q~Ax!Ll8?`H+G?|&0xQCa0r^2)HY%?CSoOR)1??jP^v*(wy9EF{$ z%CDt7$-5wUib-VyKP?646F6`OaGzk#)6=1js~(-F7MxQ1%u8l_2)3$i~ zdbtnZ_DRlE;ro6idAX}f^74wa;hnmi)y42$61=+TD#W-7u`nVrg&6>^KsnzrDlN;? zXTfmhFGGVVAtAIsJAI-c>6J8*U^*O`24qUAGxxGtM!BL`kKx`2| zD1w66j&cvq-GXM)O+=DMNcW=z4%!9g_(-u}mhS|MB&od0-0p3NWy869dScL6AsnS` z$-3J{gX~Q~ub?RL9P}?X$A?XV4zm)2o{Y_ZgcV$K_ASr%cG9~Y$>*w8;L$sFRSU2? z0PNcBTYs>_KcDBfghke}>GEdUxa;eV<6+kDh83|n%lzzqT?601q5mgA(V|^1KhE7? zj<1Uc+H(?v{uaa>`qNK_z|s79NBbqn8Q4;ErNuD3H@rbP19Ap;{JI*0VEAu>qMJn^ z+G=yWY`s0gs7^dk4Z{u6nq77Y4BzLN_gd#BG000 zKf&-ibA0IxMzaH-fo6sX$Y6nHnim~!+=0Y^ZGkRllXLin;x8@5sLo!xam4ty^1h9>%jBZ#>v~8XlNv7+yJJE)f&`7_LGc3AQ7A1QV~9wEs1_i--?%FMpHocuff#KvPi)td(? z_D{Kx7ns!|X;8*)Vp0!|44<0xSH5IY4~E@kf})F6Pcf;7(gv08@TyH5Og&VGhbA7F z`%j1=?T@wu|0?S0fB7<#dbpyiaJ=y^2a|dbrOYwMe_GC@9?UbkfW^!*hDDQ~Z%z7{ z8kB1PQ|u#p|08R{j+De4DNQ_5)+M9W_wRgOuH4o;DZc$tU*Qjs z*LdJ0u34xt$5Ub}BWLse7H~3|f=PuKr|p0Yh=z8iJe~yAB+ax_g%9?rbW4paxKc!F z^D7+fTn=i@xv=P`JB#{9FTNGG_)deC)Z9D$yf;U1{rP7L#R}=RJ2`mGP&z({Cpz=m z{Z5b&ZbnXOC`Dxq5LW&~LCaalU>tupYV~_*c0y&U-(BUq0ki;oP$vPut zb8wZG8px=m1aO<52MW@35qAX}b{3y|;Hh)&h4FgahGpUgtnSNktoOLE)95i8tjW)C zVaKNDwpC5f*002aM^CsEyS6c>PuLW|vF;fzwUHYQPd}TcpI6k744dCI1~{X(J#WQ- zI+Wm%wiO=5goJ$M2RlE8&M)B)zP!OSXyJhzJQfd|_d2%KBVBzup38cizUaoH#l1jwpoff!9^K4i_9`25F)`X-pq_OO z0Kd3}P(BLaS@K2)@N7*|XqrtT--^b#t6`tCCPikR1Pg9I7+98>;<7=~%Qk#WGd57c zc8b`7M{JNWkvTmzq0SCBo%62X5yx}@fbX|v7JQEk483*TCFJRyT215RtU8Cr{6ZsS zkk66oCF{OQ+@c?e?}48036pTweWV?SC9K4KSZ&wjYk-JX;!Y`-f?dG8W6>K&gl&7J z11F4TCJZSNMao*PYj%$Bs6v4@;%rnkn^}5|e;XXypI#g~qEYk27;NrFjTAg|CkHSb zYwnUAfmgiOeL+;%g|-IFnGj0;tc4z80c`q)oR|A*H`;w*+?N$0wHpM~}@`sPQWKy(S5zT+89#uhScf~?eg8fI?P)U_SV20l!^vki12cURB^ZPw@V*1@K_etGFmtf) z-+q8lV*3s}oD&Bixe7HNTex>&=Nu@o!(@D6k!qmt!Jrmsb}3cq^)l*Nk2dTbw&9S8 z%ykx=vVIiU6zXQ8Ltzu&c8&lqF&w;9rED%XkN?U~uZ-vgP77^reQR6roM}3aY_p&CSSyfDa`_7%7Xm_ zMoh!WzBezy5au%)k@Za=NhAO5FieAzDrkX307L_p5>9Ej^x@nWlXN~5`o>gLLbGe? zKUwk{aBckvfbaW%wa1rJ@}OQ`hw)iG3hZ%u0XUb(d1dX$6q(=YL)%!HcsvcwkIuDH zGi3woAH7qRaYGL?R^+h^?81q}F8#?P3y2=o1@*o^qUF1pG>zNCf!j!XeT>bG5J#+g z(T}3ntx|(sG_p}l&fxD6`#_z9F$>ZCawhq_4({j0x{Ju~UWjxhG6(j~wSYK-VAZQj zfPl-3tDj>66_eQ-80KHr`Zl)~>|qJPzIOj4&|XnN=GJ=b*&pkW^nRsMR6{%^3Y-&% zr2i|E;uSEmi)h_KCMi6CN>#SHBCE>_KC&<#h6htq0?fB-GHxyjV&Z&dD@KL4Au8-y zcLtn9ubcx>LC-yT3G111^9c~hqYb<*FxZ9>Lvwv-77?fW=n!`+!in zlxW14lRjxg<}xOCHQO~`X)|mKWust8eJeQ%HanLnVvh`I`=m1EG)}_Le|3;GQB01N zNgwz7xQ}4csSK0W*`Q&!m_Y7-dN)H_4t&(ybPzPqvr)cYiAuLA8A zJU+D9{g)#NZy=#K*UAFheYBo;0z+$*#7QZdS=iAdK6kN-S;bnEuL1TQ&Zl`9$IiVC zw(1M@UkCwr4p#!yU2b~G&J1BzdDHL^SJXRu92dTV2@nh2+;m2GSPn920!!1)rw@YL zNw%H=Q|b+iK?_=CXC|~65&h?OP*3))=;Cva0f`x042>H1(1?RuVX!4^4O}42`}1Yx zG?)~_;tq5Q!(w>wS_}#|?zyGRy14`r_|Adn_`{FG_}_8%+Tix=fh_=RJ){fwn@gw* z!J9$OVxU$Xs}!cq5HzQPW&0~Gf)}}_INyZyId~K1``BOUYvY+EZAbduZuHIHbR&HV z>6?(gxYLdf|N9X;I()-+aN-2|tT(ibZA9d6mEHg+G3HP|Y>qYCTBkx#QNvfeC1Zv1 zq$cW@=4%;=k4|yzE)+)sFQ*jcX181EW{vLfbT(Y7DMWCo#^!O3?)rLo!%iPK2;z*t z0v6e(cn%Y1g+J_vdr#-Kz40V8K44)`<~P8J0FDa*&-^nA7KwoOv}WOlT(zEpUA~YD zd`PoKh+{)z9p-;m*}=Dr@cz$*X{^z~aHya-1~_ymWCNThKYA4YOA#*I2#AD#*!CBD zcF;BkiG&u{A%nfT?Ha?i5p#0bt2bJ;$nJd_>?IM`8>W5huV^-aL@}buKvNjk6jT5D zlW>}`1*|(910Eva)%`_0K{GWP#3K`oA|RRQ6olfi;RKT?>u^`vkrD72C_KI&l_DUN z^>d;2#EdBr$}2VXybsD25)XcM3+Yf$Ah(~e!zmtT#X!0p#0wK2G(Id|BA25^@hpNw zO}kapZ1Y8SLO~%S8P59iDJ|I@{HGuJ%a5v1@ zjtW7M(_~U+-UE{FtrB_#2%m07`U(Q{Tev31I5DDXL^xkSi|aI5|LVI)Oaow>e%K@n z)4m70j~4oaYTd@m4o^xpnpCoSNzz-qa&?k?RfGR_AAW3=n2WD)7lMehXvP=^#L(MB$4m>XowI zPTekgMfnr~NHeMfMVA7{cSrOdj0j91s^tGmHH`gbW`B6M!J1~`7k;(dg7FsWmV8pWu{(T(T7 zYdc$|{3XZ~$s#p;1!&Tu5|()mt>-yofZ%Rrga4o0?_t@Q`9Z+k8EbYcoA&-Gya}~c z&RS74<{3Ei7S5N|Zx7u2db7Y5E(fXl#XzoiE`jZuAv7DLg+~NP(t83(l?jdeZ%op^ z2Fqt|rvjuja^N9ZCT!V%b5$kOCJxnBGLKH_6AO%nX}y+d-pQI!3hw3(evZoj#uC9? z{w82d<^#b(_k8+Gr2AHQwKLq|D;4mzMax_+C}Z$a+A@1#DG=%<0`B8@T|*eTa~Y$_ z&O9&j_qzbg^oB}-FLNjwA)WGsApBnH9+Bi#c%kGmZXDXU*4h1|=S6I$`%Ucb04|TQ zp`C`;0pb6v!Mlj=st<(oyX8;rd{jM&ZT>)*QNQTP@?Qmsx-a@em2-;%uZ7nina0`5 z{kj|CdiAq6H;MWrbHPc!Tq<+|tN57x| zYU}(5&hPJ8#NO5w(>=d?@ss6qJO>NDsGrmOQ}Br5dsGg;AGq%eb;?yKTqWGdhKmY6RmgTF+#Ynas#COL=bb}_cst%X z2-l8omvopYU*?^=BB0OQCHN=7OG$+6nIK{A5S-tFhBxl?+7kTEz4P1F^IhMc9h6I2^aepuNiO84XF{Ss z4AR@<6N7>Sm(AyQT@=yrcO~*aSQ=7k@gne|47AD;58RhQ3R+yVYX_vD6;+NKN#;km zfuHyV|8gGQiW?%n&#$ZMbiFa*aKhg3!N8XUnp~AGJnRQHW|hg|pu_`y^O-Dei|=Vp zS9fX?ld6S(gx-;y((QbqO$9maY=8K|N}<#t-E~p7t5X+}ZKhbf6oJ3vmw@35iIzRA z@$Ag!g##jMTP_@O@+NX-ajU7MyD`ejJ9$Q`4$`X{%)i3xW`j#iM-3;l~ z0m#1|KL`0&NUzqd5P-@F7txZ3JkD2@wp3aZ~Q-mT?t%M$JW1r00AQe za0%iPMBH!*ptzur0HWfC)_o0&h>D7e)>^emKtu%vSF|p{rEaKLv87hquxO*AMQi&g zwLYNMQfpiE**>&>_WPen!c8Rh_4g~@n|tQWIdf(`^Pe-`J0d|CWkopCf{?IML>MI& z_4O;^+TKmLs*~qNAg^7q68KC@BgK#t^C)ynl@9&AjsQQU$c@GK@|wm89^zEx7~zRw z=s#L{T{U6G!iS{?*l}9wux@vbb_JX!qOx-w`rabL4xCWDp^#y6ce}Hr6x*c&J4c`e zE){ldn9vGKK!IAL>LXaipR^%q8~q+hwCoAuB-xxD2X5qHs$ z(x*4USc0EEmv9M^LW~yK;!q(sAQfF;mBGJJ!p$s%J5e5|P6rZDFtB)Ie_=5O*D6B| zf>y$MNSPAh?n^GR8p9W)RbeY=rSUDpmyMbLT7Er~aQCF`(et(s z^ksAn5nRf~EG!g&aj6h_VOTmZ-sp~hKHj0h1o^!13w(<4(dPzue4$vckoqpg+J)h+ zUW(4Zs%}5fe19hKektMF1D>nQ_nl4!W(4*K^J>^~m&RH9VoG2VBh^mR4kqeQlGf3V za}mMWno{Of4{xn+VPyniX0|=arCO+_p;9U#U&G@}f5^XYJI2U!zR93UQEr7P&iO8- z6vHysd2PoWu*&_=1{wuKnKX%5S?;=8#0}QyN&T%2N*KaH7+=ciYb2N;X@@7|Z{TWN z0=}i^N4gE1ZnL;^T2~ZXiEkvdC=|Gh*$|!C5n0cS>|IWfKAkW0uAB=Kp!}4 zm4FtL6*)~#N_!DlPN~~IAuLR$~8dBRgz|{qkyNgub|T7TSqHG z1`lt5)r<Mzj&q}Bxa?=Ii{2IEu_u`;{4=fSuMy-+EgvxpZ4Zz zQSgt|B4Ms&wP<oUb-;Nn9IV5149dG>rxMIgTodvo-xXtQB!Ak zBd0z66XR3vsGU+~>OslvuLqjM zSV`nmNt9iesesCiI(rYbMA>zxmq5|h>qX*B3?y-2%!WN2#nCCsD0 z$Dr{73hB`@@-y$5hx)1iNQCCDB6zQMbU%nXR(8Z59nlVFDa4CW$kC06 z#RTxaUhWFwGply*$8#5CT;I9um%D5u#yJ*%Idcu4TeruZ+t;-(+Ipt5_YNM8*s!^p zlvsy9VU?^a3!$z|y@8eDEpz!l(+bu+oy)YN3{AC_A;%IrJ3;!Sd(1DHFai0b=GLx! zK~o4rx*XH=V3{_SBfV}TPV9qPUcKTwpU*j$|=c(sC>|m-vsWlv}Fevu^Pl zRpC7UNtMz>3swFsBXFQCtK=(!npq_)n^G*jhSHaWK3@IO5CyegT^RPo7r_K&L6SgIn} z@bZnSq_q7fRSqq&P-SSp!M0UV*XIn7qr0{4!j5mDA=)h~iH2tkDZmfkbtFhZ+|!-3 z(&;sVl3b%NF+PPe^S!@FNt?OPl_&-VVy+8{Xrn8i%>#>E$tUeLem=2lz-YhH2a;RO zh0}kjq>_^iY8vE~{V-rz4e~l~i$Oki%hYZ*2l+4BIwKp?+^^u4#UMZRaMha!`I99` z^~SlMYM#X)uh0GUO@n;yV+F=hjcF9VQ?tlie8-v*_i8<9hE&=$-ca%#<6vwaCS4X06}a&nboG z6~@`LA)eH+oK3&Xx0p>YxVF~zZ2GfYi`n#Fmb2-}dk>=1dc$nGBlacUJeyvJmfAF% z?o~SuV%nNbo2N?HHa~ z3RUo&Eg|@?(v=Xs_mqJDW(*1B#f1?Qgo7RKizf;!}{P#2w`?O)i;e-{QMZ^s) zh`5x9BL*>Ih86yeh+{hv@m&HIXRIOO8n-*rMP8Ae30ORmh!q=&b5C~=m-rE}A(A-n zC1SNFaZV-Tv~ff%*-XRRX+aTM@LQJ{y%UZtt0fMiiE7cXDQclZ{rDRDAE&Hp zr3yI|8={T%77SE*yAL#Bt+k(2?MiNfTbs@~7tlFp92lS=e+s;-bevo0%siz|UOP%am;-nx^Nr3l@rW(FZ0_>qbCw}uXR{wDqAdb0zD5RE_OjIF2v$px zHW96H8HI2(KC&Q=%VXo?S1ejIf3XrlAX)TN)ALB8MvT$x<71(Wa zA~RJJBeS>@c{1})Z!KA|EFLGV5kqoiY_j=RBjM}6PJptpi0T_VZ~o$Wp29=Gey6{0 z#4CT~4wr2Qz@6c;)n!*ECIY!#6O|MZ1iW&NZ_$aJ@CO5IePk2-!F8fV6NkYcoSGhd zqI1DE*P;_X+@fzH*HsDi3>H438^OZyby1v=`M?0ie9R><$MNkCCIgDC9-b8MU$#lP z^_z|RfprE5{0zPVsGTvz2-N<{{|g4xe$pC1ZJeRbyaAy0E778>>y6A`7@2$TFfz}+ zj^uQ>I}ynh(MfLw8DZkLy@0f?bgyp6LUKUR^;eC_6XT7tU7+ON`D4Dr(Q*K_uP)ls zI@14>uR@-D=~BA0rpJNCc@9sae<>gP^1N2<_s-sKzHt10BAW4TtaiRGkrtJm`*3(bCp$3+Q~e;kIjjc7YMR ztlR=ed!oZE&jed{+Q2=S!lLg6)k#c$|5x~D#x=MXzTQ8iH|9Tttl>7X+ ztegD|j_y0TwuHQZ|+jG#)?SuAv4nFNEj`&F<3`{rVDg3mn?m@ zVcqP*)lsT!uJwSS3x(bHdZqX(z7_BG7WAAXd?1SXxwCAz+BrNj&@(+h0Y3K%IOX@k zYabk>l%ynT74;QDO%>ewdbsuL;MTXR8ZF8EaF0xq7^IYa1A@YvLJeTmcDI8)g>isY z3%|!Ph)+SV0vw(uYGn;LTHXNMHHV|+;i(|_8656_;5ax;n5R`7za`X6-R~Tp0OWQZ z&Ynj;2En%=C<4I(5CE91slv{I3c&0V>>LQOb0GQ)1TR7G63FcW0JHS~X2(Qf=U@x= z9y$S-JsCR(pJ3-;-F&TV-&aEAs$WGu6z3kfxJsr85Efo6#y4O7@ zWaR6JTs_TkESyi91_spACWRzG1Q_;)A?|7Nn6xM0{ad7tZ8Kh^ZANY06}V3#^B*K5jahzftZ(a2m~*__HCIKgciIF8P+7T_=FL3fbY$LrAV7+WUcAaAY}jAfH@H z+l(!oY^NF%?EAx5xymaL&p_3Bs^0)iUsdI%hzO&j%5RaNbe5h&ma+D6PjYDo;Qriv z5^Nv~LLlAVk#hNsR2@i4!1d0^O@R$I2GkOmzJ##~B2tpk{((3Kz>SizhX$y2LBQEY zLzYI2lrkPE2-i#S4RL#7&Y9qD?MiyoG+xNh}{0Q-PofoC0|i`$#>5@G|vE4su^C zF?7LV(HuVEu8_R08^)6kpT>uhgcxMb&pxV_<5`*ez$5K~|qScNm zCD~;JXVHjJ2RUSCE>P9>y;9=`3u6YKaAx@$umWo$^b8H_*=E8U9TVvUA8Vb5nZ;5;qo!fdseXP;O?` zYZ6FxW2B2p3lY>t5u!;1VuO7k}`zP;$hc#J@bqiPN1|?U(fL2 zk!}->AApg`><6I9p~(ut)~0YB+Og!qes2UTCV zcI)}p6F%+^38*Fkm97?+9IB*>;zPJ2Ti{nF#azrlMd{e8AhWrzl*r%~T7FFBZB@tfpKHOgA?R-Nwg~Zi0W2ZbO-F zd5&nB1GyLQPzb4z1lkRgm#k{VI$McY^FTFBxt$Z2fU$IABX&@2x)5=yB zx=qqB-R_ZY>jg)(`^?Ijqf=R>gaCBaO@hs{!-e_st>&8&b4osc^%sp zC+wVXFy6ctKi!!fw_*F7N0AOEgait9bh+TV)ZoskYS>}o0+;r9VW$YLoK!92(-(%b zkRc4}dYsa$+tXHcVb4Uo6mIf?5Z}uREuCqG=>3q~jh(zd&M@H?>^_Vmc4>^=NqFGI z1H_lrfMH!ZB?Z-2Ez|pA+}4|RkA$bI$YrT#`_KMRlOvtujQ$j*|JrlL57=WiYg=@u z?IYT%Ed(~2Cw!ZJVo?Y5F65QaW4~vBSVP{x3x^yLa^bxAxWtA0kN)-ui1Hl!i2>ao z9Q~B;w8}(l~Er56EaOY?%?F8utAS`BQgrHm0 z&ZBNrq38Tc6dfuZmP9LCUB$R{oW@gixJCsT{ycP>-<+v&IY6byw9p$7^+Ik(un_YE zL)&bcCm6@f&Qltwj>b^!@o7WNijA+bA()8FJiZ(G&&i6h<0g1(1HPAt!6?5kO^nPX zd$yiRwvrWKZz@>@PUl}?!9hy;Cg85ZG17P%GasS53xG+DJl9fsu57g0>$2NJu0t{FXJdpM7)|p zmmcxH79KP~pA)y4z-%LtWK34<(@vwEZTCR2g>uCf%CU-X5*v~xskf>0t_^iAk5f<; z)*CQ~hNB2Hy=c>MeBdG~j}nRkFznhu3(KSX_TJ6*&f{J0f5`a#~ja6@@2oY z4?A7P(9sR%vR9?Bvm3<~-WFxA+kB8DV&^c_Y)cO^KXaj4vuaNus~N^Q)QGlWAnf#* zDzXT*lvQN&*n4MgaJ}&Zpao7d31nfHSf}PIUHGh*ROxiA%WB_L>5Qyn+E(WoKTuz0 z&n8$7+cCjPc!=mnvgI5hk}jGMNr6EZ$WI>=gFZmFl#Zr630!7T?Vj{GM2)tds$B|m zC0b~E>BcFBNH>1CA~rt-q>r!Y-C6Up2alp8F2z z@?h%|UC!S)RTCdmS<%44zv$Zb>$}!m)AReXb6jjwU&i+G!8tWIf5ju(*IeM(!_TzE zTjs9xqc}_BgC_RCQX{RO18T`l*%2YveJa(Ys)`OfJUCTrs!6dyXJVoe>9@-(X+jPA z=7n$YEDxvM=Jhutk5b5fzJ7XEob+C*A|JqRBuS8O;?yFuM46*&!j--qb+d*z(SkHbDj ze(*fhq#OYqYh93L(YNZe&O$u50r(T9WBZb5C1ov3Z_ts~bHO=1DQZ?Uwcc~V7OgkE zf<@V32Yb_^O`WB=*7yWH)zWZn{GgBG)c)={VIQU|UR8P6KR-N5@?dM<@i}3o>B?79 zPp9XHN6Q{;4a&_4yOSRNYN}_8=ZDA0AB2rkL)1mSg$#$_e>X^go}l7p1oZ@}JVcc! z>6z|&`X%I24iHd3r-^mMVd+zn!9}HRG+grUcj&#BU_gwIVb9l|3(ZnK31Y+X4z%vS zQ#`3VL|&=eO4g>*=H0!ADs$bQm7wc%fZz)se>>ILgyTsmm$2@wc+6FFZ#Q!0Ly+3w zFM0|%mq_Z|m&7|3AmG-Bx~&@OFt2F9?_7#|Rl#FI#-$`IT`_@7`$8ee(^@%(GTGuC)hxW!QIj zOVC)%J=D*aFe?15r*0~34oiX_YGg(`m;LCWQr=J7Y3iX;b7MC|&7%sJ7;mm{W$qCy zXkBfv7wq55-YZLKHjO&nD0(52HC6U1i5++?H_960Xk`u~!OhK5aqAYeaHCm6SOMD;WtB|g`>$qZsT8gJXS3|nc-b~f z17x)_OOmx&@?SFxn;@Ib66aXT0`gg!Me1^{xmkpb`>46AFpmChznC}6fa+#u$=|a2 zpUsli?#LU=a`H8^6k3}Fq3o=i%0f%C%$FT+W|lLRAi3#O0^LG|6N7_B8nj`=|8D4O!b2E9#_gFfySGli0onPHBB)Q_&exewB#OI&IxUPF_3 zQje!L#C!FU#FJOBLRvx)vlPF-PT8f_}|d}*W5TrN-}k!J(+A>de{ zHTZeDG%K_=mW5WS?7$b=J~qUe3ayQ0p*2vUwRv-)t!OH=K>^{-3#~%(D;3&zoA^Ro zzAV34O(sFjZDmG|5 znnW)poy8A+i)Pu=4HAkv(J$T!^KY{oAifKUR@Uw@Z_vVSothc6rmdwx>q|QF27PH^ z(E7HP1}$IT7%yx0W`n-lWYDF#5zP%+FrJ1Tz}O(1^QU3EeF?dTf<397 zdr*i9w$9)lI!H^fY*q%XRDdkb=urt6-T=|U9+&Jz66)5lt@6^D<^cWvgUdUxS{qM| znysBoNtDAw&$}d31t7POX+9wAuv62s@S24OW_Uu5kqYr{v)fiGU zmQyJ@Q;FW&w9R7mJDhd*MWyePI5Nu*u|K#h3B);wO~n+0=7OCId^l#?uc9;Ne@FQ1 z5eHSkrLbXQb0$?f{{?C=xsmdcwT|l?&oOs+ynTzMuc;B)@oVDL;Ib51nVlRD+^KtY zJ0s~v=7S>%(4(XEBVfM8N8#`_op@-~Oqr75bP_zwV7LD@WlDx82DL~<4l_4bHdkhC z0*R2;>n^X1Cd*P8m72T;cP*8v9iH@7iQ}BTDPs0aZnG)I;0R-CqM3XWZ2M7DX(P*hmOfzN5{VCnwF|Nm6Q>NVi$LvA- zNSTd2nkgd~MTx?8*q`K;d1j@|2l8ghME3f%<=`W)D|4>ZzKD|+4%~CXya+Q_805pa z|E+_txAP-?Pil_vV4K@%<+gtp*q;L9p9*Pz$hwQT(B*iTsslJG3?@PyVy(CGc^6TR@dU<3EbpnxH3GU0&7z z5AR9~VMeLpD;;*WJ))l4_f9|Ae`=3RO^?Eo9s?=J3+wq6ElL)&oZyntLvpMp$HMpS zKKA<12Nw0zeXMgRd%uz6a#G@J<|^|2R7g=j&Sq*l(E#!2ZY_0YdS$uMRVjQ z{_|?cNz2>}XL}*csk!hU-fc)bOXVQL_o#14CqbVq3ZPoT0-Bc(>i??2T+$UDv@hY_ zCmr7v4$32T>1D{~qQSK7E`J zw6)sMmkp?W;<;$@&VAPN7!BiD?w7`~?xd(YNHh1JcoOxn>!Fgc;iaxIeE_J~2R&t6v!5r@BC9rTm=aSRY zqFz4fk{@}|^1ao5_TF9i0D0(cbVb)U((%rMZb9(URnDdTVE|}j>G($K9_(8+OuFmr zh`I1YO`63;0ju}U)XoA4m&bNP6^^tOJn>o`?S?vb#(2mu1vtlt6STl`%n+)!eAFt! zi2dAV#D1m_icl|;;skXkf*i^ndTjkjT*PpoEDY==76vw#z>I1SBA!T?%80QIQ+e7sJ` z$B2DIe4HU4-)6|iiNtwdG$9`+GUTH_iLuNN#E5YO;(@yfCizR}b9Z(LW)tz+oIR}aOh3_YKb}7?|_(;wU1jTLZ0x@DDh&Z)75lcscSbms@ z7k2@%W-AdNpFj%EAmYMNcijY*C=g$-R<5HSXf_J_flQVYM z6rEu16m`ee%8#gSvmhr3Ts_6*(lR#rI-qK5z`j`#gmrA5`l-(Y{(BrGt zZZh6^^NQU<^D35MKUZxK_=s0vbylRo5%*F2<5=;|)B}D5{wysvJ*M!FD*iEsf7J1h zh5VzQe^l^~mHcB3|JcAkavn_?OZZ0_|0w4l75rli|ES_0Q}{iEY({!!0A zR`QQE{9^}P8YPK0Ds()Qsg0c>yI{F^TbUAw#T;R=9rb4DfEhX7Vw?^pEB zek5=7s6M_0;M`TtizW}B>enIZtq89AUiPTkmTGp#vHmR%%-1+CdP>mU&(ed}5_ES? z`1_TB?h^AxFjw!ZjJm?Ebs8enjam19JJt!>vumB^IdDypApYEs5ddkIAw)$WV84U% zZ)SP{HN3Afqa1i=#n>dz#481zrQM3g2SBjnp4A0;$od=SMG23Q^)Knc{Z=A6^onqA z?+_Hr;QZ_@2rjMIT^Y49XWB4sTvAX- zktLI6BI~K)-l07wi@W(vS>FGYXu8SNClN5aOMz_SrZbN=y*F9nKWxg1D`EbkT_2wM zwC-TblIO{tg}S3aFxQM~R0kikN3;!uiJtQzVmNm&Vt=1)3)N-+vcr{bsEXYU?CluRi;$62S&ye33NLqgsAn8A18Aw{&7a-|p5@SG*#XW#v-e3ett40k##VI$!i>Co3 zecRUvlGaafMFl*^B0T5s#3fi!8;v08lL+IS7EK`OjE6^6JwHfT@Ilha50W2!kka#5 z>Vjie{p<$eHmnD`KNWI?O70$oxej%J{L66t)z5v6@aUZFZu!~oeZrV)_Tl=gS2v8z zA3ShNvX3*uqh;L?)kG3u1bGiy4=}pa2#>xxG7I(Yo&%?DIbxh<($tM#PtBb)ZO^33 zx72+E3*L52b&mPLS29)|-bs^UclU+po`>%7@S0tmcgA1m4(_Rv*N^+Z%Bbf#>fVBr zTZGBZF{}H@MyVh922QhU<<;`8hps+Jw~IR(XID3I?4~b-Gl8^4+MTVzn^ko^n7i~zB@vt>Zo(6V3cQi#4aF^dykQ9 znYCBe{xUd}gCOrKVXXoX<;t<1>AnZLioO_vEY^VFB{;kUhB@o5uy)`H=hEB>KtUhv zD%vo5jKp;>vKZ7~IdJs?ZOY5L48vRs40Cz}FwCXEFuUFdK`kK4*B3&_e<8s2S!b;* z&NDr&971kF7Omfxi3SEMC8fz)S$(xoDftv#-`mLIcM$vng6~04J3uM9zeFp0_HUtb zYKaQ>g{kC9$z6G#Op+`GVtjy@RCYwsJ z1A-qF`{eDUU@S?kxbl>U7=IODR#+k3mwrau`R_^y(R{8YA(~%21- z0&&5xYR@5K!4m;pK_-1-y z;U$|dpk<;I}l;j1k7@vNPLQ3zQ>l?$uk+?O!2rS zcyo0QY80Qks#nfigD7GxmWFXh6k~rB8gEt-#2um+tYca5^GhxEAR9LZ=Gw;)LJua6 zCog`Aa}nVf6k z6$~qElJg;pL%V+Cta@I~$jdA;a|6SY8H2Hc4=$-fUK^c>5YPfyMSxkV7^PISoUMb6 zk+%Q4jAXZG0c?LauJ~C=-7`v%PZC_R3{~3q`#eah2tuU7uT^zF>SDQKYx-=S$ zimEOkMS@HLV{h*Ni$x)ReuR9vI%+r(L(RsfD{MsU8XR6bSzdM>L<&@#IaAzW)uHM6D>Tz2o0#JPFBhsM8s~ zTfUOL{2^V1^UGdu*{?)`UCKB@uou^=oC86ZR3WaWc9n4zp>)(^11oNQE`u7`vA?1M z8{+|SEFk068r^<0$6#5GicbHwJIs>V&BL~Az#;l_T$T>_L9L@-R|ERL!hoO@2$1O2 zu{+w{;A`rTF6U7I12R5AK^w#C*pDLIk$0N?+daE+X?E=8@I431UK4!-o;S22kwnAY#wQ%RcojJQO0+M|kqTCslQgONgyKv^L|hg{Ktkh>|P z2$I?>7cEj9N@UnQDM9q|St=P);hso;A?}G(`7EL_;K~`Kkl70W6Q5o%jeR!;;9UHW zIz1->-*lQC2Z%SvIx&55xTM!h`!*{nV8G1B(@74A4t?mAy%t$_o~MAD`MvIxwNF

    dFe(SnQ zXsoKp04a{X05TRO&h+;ysp(Kn*K~F`xtr5<6AQj@Wx zyZmlc8pOwR#-+PAT?^1jffn^%{YJuPB3NT)SAwj-`WdA*iw8+!(iI5u_E4rGPj2x=pNm~U^D&2~ZqMV75LK*U|WE`i? zoN3V0%NcqpnNES2j9*d&0=Oc7Ee=PH4w1w#$OR0|{nU~m3h)t;+J^j-cJCCE`_M_PM;nNkTt?u6X9lP!lM2Irt(D%jaTY!XX z!vI(Fr1jw^44&$evE=Ywno$x;EEC#r7&!-)>AOV_JAeqW3+ScB4=-GMI|5@}5H_T=WTAKzUBW;CwQx#wmHjYp^({ZhG79OMpSy@+6b<6uG7vA2xg7o zZ#FC-?t^QoSAIs@PC0Ff1ExXPTT8ab-rAL4 zR4nLeRBPmFnjje?qGfKWB3$|3I+moygUe~bu0Q2|b4Jw8i#QXuRydQQcE(mlHXZ1Z z?O%!{oyw`w*05N~YjCcj!CQioyYAHHsWUg&P#B@3zzRQZcY2PS;Y1Ix9~-(jXB=0l z%;@OR0X<6hgDHk?6gwFG;nQ>L*I?FVpWYIu?dtMZCM97AArha1)QpnSFzbBh`2Kd$ z9Vjwr#XKae?-S8-&n*o0`@Y;Y&eUoz-bjf!ei*s_6!ZYaX}V_h%JT$>VBR=5Q^p|W z-$4Pl4`{BS#_TyIZQEdqF5_Jml}jRoAUOvg z9pGdR9BRVD;bK%G`B21}#&9cA4*z6(2)4EY!pa~F+KR{ys#ds3k>R}wA?W7|+&Wd! zdcasS)muNK{8r;nSPetw6%+h()#2|6-Snq>+Ph7AMS0Y9+zvgKGLFkBp|B~xmVv36 zQO>x}iP2$V)W8^_pn)Y@LJ+~)&@UiOYFdrA#leHv&{x!!cHqz7HQv;g8WAdYOKo=P zPtfbZJQOu;nJ8(J^ZW#qoWLQBSKPYtngbm7v#&^l2+L`b_aZ|dP-Xm25QL%1C_y#4 z@={$gRKQKIB~;Rt7Y!QTFy${86g`HvsmoT8VT=|Y8c3iiD+?EI{3i>S|FwgfG>hd} z_6{*JT3F{~Y2lwOE&L>&;O6#^@yG3F-ono^*Zyw{H-woioFD!Be?s42VU@JVO$&l z?ZsEbD1w@{+ta329U(c6$dNiv390t?HrDYM!hVu_s?5j;qK!rFU1gsf@o9BoPaPD zYN9$>&OmY2wK_eA#n)rmK496hTCMhb^?I!qocPCDy(8u($xkzmuxDoZ%UWvQv#iw( zoh)kg((qs+&cmO-8?ah4)oQ`6$ol_Xs~2`L)#{pw;eJOfYqgB8)%TTu)4+5tbd3rH z9%n=um4pv&%2v^tvc)Kqx-EA1SOP&+vrfVkYwm1kTAcp1uXHGmtT~~JEpl7VGZI9w z5%3bpuV#$UDlx+K2uHWN{;&41z*jA`n`k69^_l77=hP4+lp>8G>d+7>2W8A!kdM(N z=V0vtj#euyfcb-C7rSvI1hkNN&iWSNc9{_C57+PlPjN{Oyb={(!m1b#gy96S`j5RM zGVJcsjgWG$HRP5X31z{uE!#}(&)ATcZzCX|RYR`d^p6eMbtf^>k^E&@#K_o?D@B$K zxxa@+S3NX=1_*mf;ntsFJmCBINm}8&;eR*elrg4;96K(gDB?{G*}t9v@T?nh+z~$8 z!IZ7BA=jPQ@Ondz>GO{bIq@zV;A#OCVD%IB4YRbbU7m$~h3!6}@<~xM{10otna2VK z|L^u2Vs5`9_T+l?ctiUw)-yPtb^9&Ky+=hmd=GEdb>W9!H>-a0KbbYM{nsQmchoF4 zn(bc8W?R_RqS*!vUkT!{5es>1HeSpA-`12*G+DD`!qQg5-(by}fqCxDyX93!XmXGy zWDqUZT5FFyY}Q);`p4EKSRqI8|n7z zhyQmYP1tE_r1x5NdXkH*Y&Ft)*AyF#wEIRH-Yb7)MnETA)>3eaoeK($rQYjC;R;L- z&V}0XE3JrL@XNgV>WL@!EZv7Y8j3~p%XuvufwJZoH;v=IK-aH%pZf0Fur+#2P2aIY zczlv0|ZixqadAfpHN7rn;;OOS9QK^7iKy>oG0nk z;Ay(WJvRIdG{c2m#H=3*1kLoEmKGY$9l#mgaDj0_6fjvsoh1iq^qiSr7tkdWx#8|% z@L03+xIO!Uso7hr!@0QI;T@3UIH`8Vp`(}stp5f|8ojl+V-BN3ixtS=Sx;IXCizT< zqz1JP+PBf7c)9i!bg#yyHp}QmETo0v^y6)zdf-4fDGsgg3KP_3sHRk+kW%u~P)HY* zH9N^?5G=dblido#fx1skYnVfoXF(dfcg{Hac{7fX?#o{4I+p#aA z;uo#SLW$kUiovq`C$ouCXF=JHC>^J}_OE@}Q!JL(gbr?i=OxP7Gu2Mn!H6x0dlf)yi;if`tK4|)AB(=2- zlat_#vEvpy!wX|PMfhkweQ=s$`~ZQQKAhM!HJ{;)3UQ{tU)ijZw=k3A)FTAA(C4?F z2h!OkCU5(VV_wKE4p=$S0ic}Qs!^OeJ%q4XwGpcn{Q5X|94+9(%EK?2R5H&`a>r3; z7iKViFb=RU-3h4~+RCwrc48LD>0o7TL}_op$JXl(lt}k|>2EHk$J?ndcl%J@mqx5A zHY0JS;W_aEri|DC*(lvdacW|tIzjfIq+|ULlIMU^xNzMEO3c?%)%0+ntER*Q1`50| znx7ZXQ#rzLED^52`ayVJn1qvfj!80bUa}(#oF~e(UP<%l@*ngH+!549SxZ<&v#G4* zErlZOzOr%Gh%q+-8O4l6OJ})$YWxZZt`qJ$z2Ya}J^HI73{)T}Hmm_>QU{%vYd--m z+^qPNaO_8|_gahf-2V9Er?+=TPr`9MI9-hb{MhAT>I<#dg<$ve4hc}8BJi1@lhm9@ z7|5Xu*ZcbI;%c-95NX41YvELCfi*`d+6XQbw-2$5E3@}dw-u^AR62>y-0|6TmyCex zO151Nx$1Ar*{ZnTBJKl?)Li~mcYkp0gQ+5?hjq;JzA=A%8B&aeuK*VjbNdqwr}OA6 zfPB*nv^(F;+2N919G9J^rK@W;G^lqqIRLfCx~|qSwJd7>s!b=I_QILLQEM*t zk#v(&>*+2|LMQHZSKSixrqT`RGsyKK7;7Kgn7`EQGHmE^vSTv5mjKyOS*lx-b6f7~ zgep+1RM`g%^r=GHtWY$}TLH)0j&mpdP#2r7s4C?YD_m#+BVDYv3&i3)(W|DWCGCW< zL_fpvp6FZ$x4dhe0z%#I?$rZ+PeuBG${OlBXm&S(`tSCr1oo|+y!0B0)8#bLxerh7 zg&W^Ep_X&EoKGPPkm0!7OTJZC^%O^G$wA4XRl^VWOig~cpk-(b8Q!v?(lk#k(p1#j z_u%T=+pjy(+LT$-JyY2IEI-DE{94DpGPb$t(?&(%8(1QEXV>(f3Rh8@*)e-DI&EhX z{E)0lt*({#Ng)^5yXlv3BwB_T+v*l|R5gairA=W&!{TyQF48C`aUaP_s-ws42V2z9 zjhCx?F{++8js06z;*3i=!SuNkJy%hq<9Z1c zzsnXUpM4Mw7B39M7_q>EX(&CkRZ)UEX>zZ2F1l{$`jk~$bOHC3HPSiPzQ%;@S#B&C zqmNol3&~-fG+LCSxg96%`Fcz}u16AZg}7ZI&2a9sYJae(_bmfsRJ8kS2n@AzKslN% zeml^2Ulnhl{P=bKr+`J1f%G;EbYyPSpb`rMeer6+nSB-p%Cupi=o?w7hnDaL+N$|x z=1>a*$())SC~ue7uj&8h4b-v4=})wl26Am~pn<)E>NbAP8z{8v^yRHF?`kqohvo*- zOb@){spAc_F1hGoG^R971{!R`Ko=6qyM@D($#Kj;YOnb>zs43;lYv&+FwlZ)hH;s< znhYd5e`l{hX1GlT`pt%czTW0uD8)@qcAT*VC4SI<%GVYKsh0yT z`77Q)i62Z~zSGh`othg+Fe7k6o8!EJZY5uM7>zk!lYv5Q80e#f@?H~o1L?dL-uxN| z>6;9+&W3@KuNkHt=M6OC{5O037h4$Ul??-ZyUo35DsQ0t#54V;d~abOwU*}e)?HkY zpYQw<3j^Jpz3|K>3j>K9ns;#vMn&B{w1PL#`z7DZTx($i|CD&@r_H_G2R3Z9_?lscfwxiS`Rcv?v=QD^8QyAM z8R9-p5cWyrD}!OrqMMUw+S$}C^lV=1N@fIlv@POm-NVNpJ-m()xM_fpwQgR$>wA0U zZm#C5cWTCr5L6Ct-5BI zRnMEraQ^;Y|6ofqO|fC7O9{fhD|s{3?^$wllDDOqdNntba(3XhwzR`!8eac={PDx< zl?2L7H zFRa7Nv*G7EXPkBqqpDEk!Bzg&o-K!CTsYBPSKN`Dqfuj7aXBsT!e1B9LSvbq-BC8z z6VU)N;zUbOnwOX zf0EG@{xo%6(EIa83yJYY1)I9sMW9o6nXtfq2S20y+vDuF#jF}mrzwBz!WS#%U}>t1 z7Dlrve|u_JITO5f{7hV(H|VzGD{5GkDD9BU8Q-0K-jf)2hWMC?bMBZRYj;$0(9JwS zF0VKd{FE7dGMgZ`d++9MtbcMmG{y5OT(Lyrpr z=w_OR3peifT-?B#)M=WAS6%q~&{_C?noJ{YZkp)F`<5Mkk2lR(jdU#>?MJsCgIY7`R({yQWn$0&|R^?PuZ^uj{{n+#HSqR!>n(oa_ zGd+27>EU$VG@s;4*N(I>O^kE%Rugx=bH}b&447KY_1;(N7ede`(~N3vnzrxnd@?Yf zI&WjES==*f4k3}ut!8F()99-Ta-3Xv(=4A6@ZzMUX*`>o=4#^6ArpAh=)5=I-i>aq z$uxVKn9V?z zH_gx;b9g;(nomolYv)^-rn0$dV&l&r>ez=jO?B_9^$W3* zXfn;X=BDv}f9D^oc+=D_4vd zVwhLPdMs$HDllwl$rs(~8v!rcVUpF=F=q0 zdPGRiySZs?JzuvCA9p-_mZDoX)w=#R%v6#1W9UlWO!elgL@m<#h}Vm>YFF|PahY1g)P)vV8|EzMM8!%Y3&-}y>( zxT!l8EK7)*v)Iy1OKq5G@6Ao`W!`QY9Ymi59zHw3(oBPFnCWKXk6~ANGiC1EbGvPO zOEa}^Zl;pN^LCvRcr!))R#ERybK9oY#5`NpW=c9g%{e!aH&d4liO>9;EL#)v&{(&o z;%^HwGrde^!Z8w}=Z^3*ui`zM*Big<5dK-7DkI~JU~{|K-AUWdJ0HvVSy$an)#%7u zq%Ajzrc9;l**5EhYpxUcdFK6IS0f)}5u+Hmt%GILZ8A!*Vx+ansNe%DMw4waN{Y6W zDBLEaWNStfY%)r;lE}^`qtVuk#@b{QXC+Z-x~(!2TQiEb$!N9}BdtwF6-TYgD9R?I zr^Bqu$R;E494kiQHW~e9#mLSkql(#9j9zuKRgWH7F)Dq}RvBekGkRf@(L*anTAPeU zTQmC8CZjqlM&ULYiLDtuwaMtd6(c*Fj4EbXmC`DqUx@jI0?wvdQQhD@IzI zj7D2CdT5i;9Vt2P-evtkr(labh(QK?Nvi>(;h*<@5P*{Y1z*<`f9ic#s?Hp|G8QGnu} z%&$b(@oCm)S4U)(VoX%|l`up}$^dygjGTvdhSI@Md%F>c$Luf!v+~&^8{m!dt4h;& zgm70BZsh~G@&Tgq0R(vB@Tm&3n#`lL!+lZN_fNZCiOw%oyK3ED%6h>~HXu661(zg9 zG;Z}r-LNfB139*-D?DBj`YmRuZgeNnI`~d@z8h4j+HA42oYJ>S?1&r!AKAaxy$zTEjY}lwkmu~+VuULI zD{)?Un6MlZ+b4LsXuD(W|I>?#{+3(g^8SC?P+-ET%^hkj6!_Ls0cEhY0^IULwiJjp zDNq}1QXpDmsla!|P(Tj_#+FzqAanSrhm``yj0))9a?$p9=34i7a`pgA1sZ}!v}|sH zX`b2r#sjov#~CfqV5xv$h_wPW%L{EOu-2qN{t%M_69la-Ebz4ZR#>2QqSs_)YfB3# z9PW;^Qs9(PflJ$6v^}4>9{O$Bn4y*m6b^~Ap+L&J*&!ts3jEhnfs&!t3g}mUW=nx@ zO$x+@niQC>u~guB9u&|+f!QTi3aA{O&bLzFtWkl+uUxeLfDAml)iTUdft1iSHWYaK zhwKRf$C@mleV4Yzv{~hP0;_4n*JYUd8Yp54D;OM%%Y1*Ewq z3(T&uP(TnF-X+hk0Se4%uu@=^Abqu!0)34Nxcs55fdaa)eG$2q77)AVHCLeSrwQe= z7xN0}##kzlvpp%q+h@;*w8GT`4p!__ z=uapw!w4}jQHfsFdO~?=vmB{`IJUpYHhr5;KQEJS02HadOWNNjX1QX$+dtz%(>dj| zq1FeWmvxuY_U9nUga2@pMP7F{3!|yIdhb9U9 z{Vslo6F67yD~KduU>{tWm(vgu6yWtK6{`yI7z}DX$lIjhOg5Kwlmh_RrOhvNK-(OQ zp)$mCcgZR06j13Akimc5-%T%``w(q{(JeB-1G)&=B zxwN%Pb!U`kUTssVv4K^phPUZ-PJG#59BKy4$v>F9X<1|FOnypw?&LJOr64f)9G!@E zciQa!YAFLrn8!&wjgU^I-j#k$DLsmSm>nMC4kb z{;~Dhdo(Op&h0m2uA3L_fj28Z{!8|AgXJm#TDbxR>`~QwQ`!OWmbXh|M8<#Q@7i7pZ@2;^6E`vZS zUf)nu1@p1yi~s7Da+iLTM*xrYJY;dO!i-F)$uVCt|yak)*Le-zQ`(Of@_fPYcUf9 zogNt5@0LG0(;$Dff`TDnG4^LBfRmKcPlxM)4qeTpE<~r5JQVD18&R@Mj@O%*3{g!FJQydBVkXRGgGSv}ROI8IuLVh%Rh{fyyyW4A! zbY<2mmQ=q%@Iv`om!UZL<}Tv{70<(%z5JlTjpnA-F%-gIB@A0~7dzg#Ko<%Y`&9L% zu6UyOEo6&}^61K+iK8j50oj&S#JvKXkho3f6fQF)UhC!D_D?AH;MuI!UqvC zaV2?=qPg8S61QIN$18XFbk(-14tyL5&KU#+GMwkS7pmU{JDF1<*wKv6a%tgxCF%i z6~!gpkh6=!|767P2?L7eN?G_!e~)x<9;TI62Uf7~nH`+NlWsKlD#eSuu2T5Svb{nd z6vcK~#z*4i#*4$XxZ?fgNJ)h0;_$+rB*tvNOo&lHrBSt$iQz$F%w%GWA~D`}CNcVM z3q=%9zob*WBR&&$E}ARaL&ScbMPKg{%(Zi`4!ks)i1#>GFSrpCMCH7$D-?{VA~7WI z6LFF!WL7RBF$%`iAjsuM&Iw7Q1OqfP$ZDbv8;^V>K29_~D~aarSTJ4?7xW;`eTcY<5r4*r_YrZ zH6xzNWIjd2iH!I(lX(&mf6O>fA>v0wTsC`T*9%8$PN_0P$US{B7cs-}D;HEq-E^Xv z><^l>g~TxPG%+k9hP59N!}`g@@IEo*W)tyMM*I#Dk2p)jj~Vf0A~sAR;ul1$oII$Orkxj$~Mm&TOe?i2V(}*~bh}DTi{0$?1 zpUHflIHxk=TTEs~9LhK^AmXUr#Q6l1`2rCaFygP7%+rbUbjEoh5wB##XPL|&6Y-Bk zTyl?ybu&Qhh{g@sS-c@hnLPmgEUbqW^*=8Nrf72eKsFG)E@P$k-*O zzV1>2jRJyhX%vokuov}*_19$RNbRRO(m-%8kOl?SZY2c?zQmHGCsLBwek{ocEXjzQ zl%#ACOR_GAl1L7)B!93Zh4Uy$?NFBFDN9oPrDA#y8r3*1R>pCN2#&a-GkQtz?t%Y> zF>SiUy!zV-k$XRL+SRd*gGeMc#Hjyk=g=6lGBw8TXdnE3rH>-Gzd~RN-FswnZ?V`i zCT~p#M;1f%Cirz8ZpazmCo39{-1u$XcMp?}_N3=1#6gWsOr5(d#uP|3E_QLkocI;X zma&KAxrwRlVcGn7NvRZ4l|@iZS+ab7>ii{(=PXY}gj8EUFG-U<9 z_eNIfqWpLC&+u&6GvlpsA>NnU*0rzOnW~0Zw4o^uE;GNWk0P&}HRDeOHdnsX8;kT8 z1RYB0(fHm&l2=R9W{bM$_F2iR<>Kb({IuDf+$(2%@SrIF)m8l%duK?M-%pyWw|hxm zIosOSw-0@hyjm{ZppBbWGhyPKNd5}l4}r}mM=VpYtkXV;yT>K&Nl$#ylDMxv@j!E; zfB(OA?s|IPuI)_$&ou3NrZeE#rhq4|=$fDSy{>g#Y_S%+>p9BtJnG| zS3a0=Wp>h)$CC*1GwMGexCer-L2zwR zRcQ-+XKvr+R+TlamrAh)ZYlqObIm_N5RC4~(nn5!RpQv*s?D&}1!`ayxcSt^@nmh6 zeB#`QP5gZ6vrx94uANBp)Hl=9xsSDl?$rp-S3?l1-qU8i<#0=>TyT8LP^xllUvdX@j3PJv33Q^=6cp;hCoj zCEqt}Y(y|?Gw5M5Se05GPR5%+9kij{&%fWX3Dwu=OHL1-S0O^BdtjKSso`e6XRLT_ zi+4Dcn0rWr)d6b?sCuL$Ra?C4_^I>ckBwSems(!_WQ|>pC>iAUxv0fqyfp^x&1l0dM`MwD)=jVi;I0s?X1$~WM zEm;3sGY;DpyF+(un9)ogdOB$dN*2ycn$bce>EQOg?Twlz$%bvE680GJSpMaTpu0o;`>y<#amuP zn<@tJw_d$#>n@iD56!|h4rgxpKp@a2Pka5tJu`Qwf2(fE>OrQ& zh||`dcndlzrY|E-vys~-!{wpm((qm`Z`?ajfPh;VOqdm^pfCA4kHst6b)`v&cxPRt zH#wthmBy5++400z9c>Y>r1eY;qt5LJC*RUC>|Ly{9|dM6^L?bk*f?zjuWa(9tS%qk=OHCrGu%B(=O!)ky%fE_!&~w~7^dAvBu)#7 zq)B~>7f|0X(fM)asW(Wa|Cy3(NMZ2S02WS78M#8m9VH+TN&(3^?9z3H8TIai6uz63 zWCjE04S0)W-CE?U-&=*slf1#!9N_1A){z^Q>fy){OGYa2@9;Vu7V6yJWeXzeK207O zg;}Alc9eJs8uZ*R(s_u@xR3i%qF{g!r`1BgQU` z7Wc!H#(yY{23s224vDUHh1JVJchF>;<=8tvzJ8r*wVlSrcX{wqdYO-oN4s>e($?H|llN^S(>d z5Lv3{8!kaKD;Tx+y6JI@^(PxK)<^A)&wiX)r&eM}+IMLlXoW|MJ@7x=m|FBIj$G-r zj?FFQY5xOhH^^HqVu-726Az!kaXij%x6plH9y!cw!yNdhEj>I0e)540+x zBjh0gu4EUtXx;}pTiTPG67nT+?ie{dYWEry1$qQ(N31|Vd^_v8VjMiq%gXrvF1f7H3m+_N$J48+tf#1~WVYpH4T*5W=K0)n z;K}U))z>>_fYY?0gYPg4Oe<}{Nl6?2K|Vjd(>f6lE$92tUy;tVIt+AwmhyAZ>%7^5 z1|RgAwt5^H1&3Mx^U2f19hhwS=BcO`JFJg0=C?|LY2Tn1J1Wr=$<=^3ux?u#Qy@RML^j^%rv};(*u+{-lC@t{T3f)YgE8X(0xbd+rGi z!;rEy?PHwd2HJ0r5?_LLuG>jq`Z^$AwpKjz1ZC?MWh=s#t*RaN*@7=qIa^(F+V&egsZ$3elQ_>H@0pykD;CE> zpmTrzSuTvL!4=kLF>Sf$g5pyx{bfnj>PLR$0=}Ym>iIj-+rIsmeGB}$DM@aDlW4)P z+yYDL!XE4#7d+1)wVo^RGwl%f`hkJqv{zn37;PrwgNp9Fw!qFSmLYru5#ORl+u}pR z9UAS9&R(`g>+(tEGg70is-X3na-;pS#r$A@I`+&qq!VzTO0ztHS#tIjMqpXE|Hs>rWH|HKPpoCd1?c-`Dmt$*sM=SyS|GW4mM4?}enh}X?0 zvwgdVVoZ@=YhXO=f|JOq-LXCk#D8k`fOxPn^J-YVY~zQ8si`j+s5*kk^7IH9uG$|X zBi5sV-+u@r(4MJR!*;}x)#`HHAFx>a6LDemFUUqQ5qEMM{}*gx5>Asrl^0=rDwb2V8g11)c;F+?R4^n5j(1e?7T^kJMrxN!cyZ@Ai@I+ehl`7AanH(zkNs$1mOX zK9%e`@RGqFxjEL_b$c7PuCC?Cl{JLhuMUDo+jN$yJH@`7AT{&Gv6k{>HX{z#_1*p zVI$k&eYGwFiFc@r5@*aew0$_D(dSReMs^u_J2{|n^O6yxY9kYe%(=M>$NI$O=RAz# zZ<=6Y8)J5^oPMx1@wafC%cCx8c?@}5Su^3rHbh6>eu#vzs(+)`YPZjP0yqDrD7x$} znU@N3qw`N^NQb7xzkowuj+SxrQdEB&`qH-TEyi>q-WP|jZ;yLLGB1_JMb=E%b4EJ! zB@BnY6pSJB(t@Cxtvwny7fFY{RIbOlGA*NUuFRFXds_p3_a46XiQ$`lMr?cHeJ8hE z_13`P#`uF!7}sXb%~S2WJW?C1Ka=6-r#N@iy+xgT-0Tu(byFI`aVpXxSaIHm6=xT$ zIHquerVWOo*7sC~4j76`BVh+x20PGqvK{D05d5~NO1r0BWoT%0tLlt~9cT*(0&ql1 zI*unff)h|q6;x^K{;4w5;slf|9B^WK5wDmHf+HYUKHi|Yw;1P_Tvi$CUUjQ#$YX5W zC~_pM9hI{g-^IXAFTTFyt4wH_W_1ldVA@g9c+$!wwO{+>zppf758Cj9Q2VWmKi5Ng z%QrWWh6(*4cD@(HcBek;HNF_HD>GdB(}v;(T6a*H(GP*qr#qc7)MolERtD(XVuIj{O^yLFR z5KU*LDc*Ub%JC6z&~arZbAL>K+4bC^kEZT{SfwGSdaq{urw&@TEcI3n-H$PQ+AUI- z8CRD$cR8ppB}_h*x*t9FvcH9OpJXoyI?IpH8)+VcdxzaA`7umbvK83r{_VD5DA%TnDy zhq8#wen0eOBECO54E=)2)*{|I-8~U>tB$xJuVT0I%Ba4as8tZb?`0Of z)R-`ZlnG}#4|z2uUH5hyE&6=rIBc7P!d1(%he286qFNgx@#O8G>m)ALO;j6n4Qm&E zQr*&9pG&7RG{G44c7Htl){2z|n!`yXE(W&uqGNVoCmRePq)feY(iIX_Te!^xmZ;mg z(hAIJ{3Oco%S!lDaLDf=pNibI-<$ zRX87WoqvtNbCw$U8Gcw-3*lT_^TDQb{rP(5R;ZOsdJE*x?Zxw+c`A_Nxzo{`v%*y` z1%V|@GhJS#9Qm#_Z2xYc_EjJzt*zmkz80@Up5LfH2VG*%Pp+jljyLV*&d%RP4tvrO zu&l+8`v@RsqbZrmIKsO$`m;?Z-A*Fr-aal!WnfLb|J+xdxPdnU4z?Mz#kxvz_x4Y( z3Dx1~^C_7o6^DM17>68kuAtPcAslVW7Cfb-NM-6d6l$6 zwD}LVrAt-Fo_m|C3M34h`jV;;!BvHEbTb>-%NTU|# ziNcEdXha|UwlNInpI-Kc>cf5F5g$K4 zs@41r`)b70d;^ueAST)(9d;X7&cgZf~Bd@-1Z*GpLtCYO^$xJcSz8%;vLrY~r zMK>@l_{QDjL6x6S9F2EKT;7?dZja@X{}v{>W3+4uEV>j6+hg+Ah$$_tMKYIuKxyi{ z-f^ZCEf;@r0%L`8v%)}(Yorru)>|kovOOOQv^v?d@4DWh<$ZPH{FuLNdB>hR(XHkE z=-Be~6My>4F{Gwd1AI#r?(zUZI%Wd$5r$nEr>o`!SypgJ?^-=);Q;fe44bHjmJJP^GM z1_LeWM3tEf(Lj$@oohkBkhzB0wDQ34JmhTJeNu25xPr5T+pk{LW5kRtFi96IwlT)0DJDN`+h_K z@-2^$^%TnhSuKEYXY1YS7uH}8xyAoG(6Q0Mvc$1!gc7Ab(aHL>^}aR zuOn+coAEQv3I}}(wum@(a+2NHSDn=(oBOzwo0V%|R9oSKD$y~OR(xA?wHkboE!Dra z^hCbpcKU2!vOhOW5SPho32Y=knfzhh(;p7T2q&D1C!c>TnCX`@e_x~-|8-ByhKbM5 z7whgJYwQ1wpMcA}#xR2d&zPYt#Og6&VD)zMiY=V)H>qbUhFpJ#rVu_l_YT=mo*0HC z(+_%MnE7q19~#z@mC%`|~`#QM@-XF8% zHYB7OEr{dPNBQ=c&st#GYz^yiLC#FfolEGae=_|48EwQ{SuzqkH4`1?MrOZ(^aX3+ zzOys4^n6GjELG{+C1(@^6?)geM{6xtPEnOwG1e-rkl^Ghb@F&qgWMg<7|@QP=h;C0 zK&ny}X{*xSsRL1^wTG+isxk34THJx z9VP1QoxfWx~rMho0w!{_=L`*TZct2bShLnDC_bwK*X1=1c4J{u|rqg_; zX^)8NbuLlB@Vw*^itSbD+l`$y@)zz#=29frZm6AnbKu3{H1;;o7fz~c^Z?zb`nbFp z)X&R(T#*jGa4pjX*SW)CeBm~=PRAE6`)kA$U$~7|vWXj`oH{?juyJIe$tE8J{hcOJ z)-;^z;^oiwyqrh_&8Z_T;0gSm<_o{45|gSmFVswJN}=Y0Tk#$>L) zr)heeKJIK_m!J^s|K{Tm6k0DEz%n5yyn4n3rSf(C(h01JUGk4pwl7`G@2af!K{VQ< zJ1iJrRg12H*@o|(q_%#V+PZ$N{?UymJtuaY#33Ny*!j)~J5hY=G?iZ~Kga>|yu%K; z5GyM_JI_`rG+j4kt}A^&{ES2)NnCZW}D`eEao0NtUHEDg`3k*r_74U?;cUj^#kt2BU{N#gKESA4pOt) zhdnwH4fkK})u4fzwQMjI8Wk_Kv&eT#XRl}m>Uae*FVw8H#VePq*j$%o&FG9pC#%2( z`5V<5uq`U`8b3(D#D`FS8bX&o+%EN37pcDr&W%#qIQPcG%xUkARwbgP47+0$)xuxHz-Pw2FVZgtQ! zVn??+c+Ig6bZO14e_aPxT6|P^a@5&lnN8Py>z2omu{es>nbpvsSsyi;oY|Qkj`gL} zao6(Gaoq&pMuQPNdB>7Wx6rqHD0XiB;>@dtF#cbn2qP&sd! zkq{b|A`rWQ)~?uFiRs_b2Kd&ieY(;1p$?q&LbK0te2W9^E z%;$65|HcmMozi%!nVp|s$ z)nTkE)ORM5DI|8)VFL_rwTe?NBivlRZ<<|L5&H8FC9k&zY^juy~w=;P&4&H75Q{rxB=`gjZU6TCb*i9U&I^F>s)fl1b1WGEsU#M8ehJf zfPA-}N2(-Kac^JWCpuBX!vIFBwOAtfC%lUC*qyVzYQc)D$PG?&nfDxJS7qrE$f~cJol<+7oYI|<9c~BD?ZJPqVx$B(km~SyaF*Zoa zcK4%I5jAsn^X7Q>Nz(pr9JvFNbJz|=f1DE>yJ83yB=5|*GU`zu)b00S)PuZgF;v^J zOYg`ox2=)8j*Onc*t4rDoX-rNxTvR@TRr)e;_nwjK;p>1 zU)yaIL%?cpoNR#XfODR$v`zU_X$2--tIF3L$<^esDhXDWU~~N zLM~&a>XIu(KVsQNk2+B)_qtWeV6$DN6m{5FO8mh+_LY*~ty1Vff^L+f~X5#Q?iXi5OFFUnz`xaT0CV`aq>TUA5~i9Y5&+ZMe;7--a7f?b@)$ zwal(k3`a5&yO;v+@!Tm@l&BP1b=9p>vJ)S@7i1QdQrE3gX4qHCfOh*zxm?z*Qto!E z6mk&Ttx_6B{Bps@R;r6SAKuBT*;deOd8B)8u-dU(LE85}ul0JS>!YpcoyslK|Ehw- zxXyopV#qrkPne^~8F=EIgJ4#d$a$|G4c}hmtSy`rL)`-U^*SOPoH;Q}q0 z6pv6-XAmHz^G)yx3O$+))TfceD{&a$8z&$$ilwPbA?mKrw(wP`jqF*MM+`8TbTexn4TMX)?Dht zjXY%6xe)fy-)Il8n7moz-2bbk{D=fR+@T2vZ;xG$m1GQl9No*#q#>X7I#ny%Bqc+@ zz?!zPZ-@Dl4$n<1_7e(2*Ja}qE?X8bq0o%Jqhv6KhzW(bP0g@L1|98z0*eWS+A$Nz zejpE?$%jAM_jm*~Z&FF0>Ts)7;2aBDv!TVB4LvQEpw$%BKG-GM=!UI(8fUD*sI6|n z7MyZT8}|0~q}@E*qp)YuWe#oG(Rt7Y$KpW5a*CkcklOXM2ICt|EB46^BI4W8M7)NG zOMQqqgI?h@lZab35V48&SvHO);$yKy{3a1M^&{dx`09>=_@&Ke{btI>0dHg@Z~_r; znoe6MezbTVa9^*sVh$G^T+L-BBk>Zw}e?Ds{Ed{wXxD$JccB;a#Yw$@T zewm038ARNfNZBZ*osJD5l=8J9l;{7UJa;@z#KFOze)v?QnYMej(mqR<9d7CQ=R3kr zBIQ=T&+-%OI^C;(PTJeoJd^hJz3GO%eaRE)?RPoNby|pWh3~~&P5UfQmeAX+e4piq z^mctD5ho5Cj!Ll8M;Y9mKk5A}*#)nWuX?g9*NT z2WM$-aIBK=;QTFx>LP`R*$m=X{s?s-T9&k~CJhi1{Cp1;me^ojjaBQcPPY!~owY=t zwIn%fNmka9(yYl@*;8i;##s6 zKd%0LE4@>(PJZVl>7DWI0cv`ui6;VK`S`7ODa+ZuF~|d!WNk8Ou4tI56?@H4R_v?B zj$!Ppe%NKOuf$Sj(lRy|&${z;S#O=R2&w8A*6}FRRXTqxPt~fge;ol@;;A1X=c&8O z7q_gp5_TrDC9cf8bapWqoGkAi6T8S{K0i0Xv#iZa=5yA1mQ~#n()rp34Nez-XUHk9 zPc&DXO)ONw3e}eUs?r*du3_*$uYLuiWHg`pr~NR~P)r7|=elhD0%#oHWnt^Z8Gn~g zz4#e+zwCP)8m3qE_+x?cir-v@F%FnIV5G$m6FqO;SjV(|s<7wdx^CYFf1F&Wp>6ut zb=##t=PqpB*S4)8Ta*<_7GiX)V{9u^@jwRxS)|E&IZ;Ad7m3F$;Bj;vcpRjWdAwB1 zm&-tU;_^!D9UH!$DzAfbW40Yj)TzKyN_m19Wxbxwwd) zE?;Dv@+TnJ`=??sW3)kvDpx?lt4A|78F>74L5>IgG$5UU7f|41fT#BhDAGv=-V1mE z1^yA>@y7%d=|D_jeSsHH(8D^!^Dm&FuL7RRE}+0a2|SG*0ur9e`yB9aP1XfDJ<9tE z@YLP~6zQ}9Pw5LN()k2<8fOF)^fzU^fCB$D@cy6|P~aho@calU@cn_O{0PYL$Y(h4 z^j-l8Pvv?Dc;df+BAs~PDgOcr{Cwbh052fNBmG6dQ#%n*(3i>d0t&npczUmZ0>24( z+W#t`z&{T>wFdzO{-B(WfP|-Vy#YKmX8{HMNjV(>1^#{DsZR(f@Sg)80K9+#uaf(Y zfP|;__5of4dI1If5a4?PFQCAW1D?t&puoog-v@XB2~X)i3_S5cKu!<-=L1jmE1;lX z3Ou!20R?_7@YK!)0t$SFj2BSgvt_)10$&WgEB*x(_zIa`K!L9Wp2kW639m+ZYk;Hr z5|HpzAd+vXTmo`D!Y|^V+M$4gz6m(0UjYUE85u92z+VNv7ybnl_-}xt{0J!0|5L_y zK|BD8dE>)C=?Ey&84Nt7BcQ-f0G{|Sph!Oocp4uBBs|!|(&Tgm6nHc6J@8yWkxn`A z#2*0(PkjD6@YFs9~KFQB0R6L@MTT@Vil(GwqV;)8%99Y5fyzY8evLuI^x0v`@M z@mWBDHvmuLvw#Ah1U!Z~CLrOdyeYs_I}uRO=K@ddRzQJY2|V#fK!M*3JiS*yf!_}N z0N@1__-5dVZvqmY^7B6M#5Vy2{dM3e{{jm7&t!T51^th}(>N?3;gLL3qO;R`1r+HF z0G{3}AWsM3(ZExG5m4Z#%6I_KDDc@bUO<7j$anz-ew~aLP~f-AcmW0efQ%PV z;7`bS0R{ej;HlmP6!;r5UO<7r2Yg@93rKjXFL(S?I~0)96C>q|`Y>HT;d_I>uIv8= z{|Jarp+7+e{&gTyAl@d(mKFSmJR)3MAsZf-)oTlIX47B1xSMxh^%8@m>aJ zJL&UsbBuWfg;arfkvw$dn96g|$c?#qVd)|d-I7H%c*gPioYIxj>#59?2g+Y=34Xiy zD3>CqsI+i}k;Wsmvk*$t8t_aV2HxWv1eC4CNRhV#*4ZVN6dmTM!lO zHlX_pn-V45&7YA-hNo#vNQWUR3Oy^X3}YvT6Z_vQ&-mPca=%a!dy^D3?K?_ zBm66)mMJ$knj9VR7`fStLYC18I5sRq7ouBKV9}ZT3Jpg znte!4NJ6S%=2-syRCp_f^yua6)I;Oqk8iJX9CPZC&}*7!q{n24U`~S(oa*6$TUB3{ z(95ZZgm+db67a=$2?TB;L@C#kiInhT_EZZHDtzT7xu2kPL6Bo? zB>oi&H%_Hg*rD9{eFA^%p-LxOTuMEsbXD0=dh+{nJXI*XoUMQ^PvQp_HP=l9aXAen zu@Ko%k!rxyu69v+*xi%x4pj#K3WbK>pX2x5s*mUaF6A^S14_H6KBTv^AMuZ+v0O>R zty7SXIyp{aP40@vVR`I|b3IuwqnJNZ%bSX&;MTQlg z$-sD7aqme#wl^C1Z7H5AqMYdwFT9gdBH{RGPQptS$#0)0>W5qp0MO<1o!VXL^tGDj z;T(`o->9Xm5}TdARV$e5WkLHdbq~s%!s$IViQ$qk&ZzE@TmI|Be^va~nYl`QL^@xH zm>u0>N*NuCHz`t_i5(iMJ#PsePv|(;bk1$0Ve|o0Gkt7KUEm|-l(X&;ABm5gsSAD7 zc4^Gu1%H~7TkQM-fczFOsy6=Yb$S+KYiSEO_AFsTovF@vj4;mL4h7ep8Fo=Jg-+{C z^~)bm6pwji7gU}i$k~d>Qj=6kuj2S%PBMZ>{5(Sdyu$J0Dzz^ZwZzk1<7D!bDg`Mx z<# zw+TcroZnugG{!k!2jC^`%~W@APnR;1-#Du{;jctE)|sM8ERo*j1ARgf&KcQ_Msaebzh4f@pcWsL!ZR!@QC@wEp1aV$lP;6lp_<^)$ zu@T8qJ|Vi)Fb0{!WRZS3wb5uuO*PCnrX^&?8q?<|#~O{c2+-AR0Vr#>K#CL~bxPoFHTIx_N#*ROVKP(UB8HkWrp-=GH%29-&z_YKZHJf> z6_pfg3&+PAl5L@cS!uDU=?SxE*-$BS5~63=f@!fyvC*8OOW>my<`$IMXkud1qGM;p zB+Qy_!x_3zUGXSRSD+h%Y+5q=D~&jT*bYW7GMDoN{z?|^mfl|_lENNTqM5xq%I&-qKp*wij8p2pd*xg{0UX80gEVH}1c8dZ67rE%&1_cH)zQJ6+0 zT?0ZMZlj^POk&PC7%j~!#uSZXE?%xPAsZ>(U3y>|!AIF!*@yL0_$vGq{T2S$Z5pH) ztQg8AI{I2DXx2reaD|BD1hE+3O~tQ0yzoo+G)=9r`|i*e2mhgS%3(MQt*98kxHQyI zT1skJXi^E3$52CAQE0L$XGwV|5)X}@nU;_iIzD7#h)%wbNlOk*D9$OY$TiakO;Q!h zL-`jhZrq9$M$TZaKoT9JmK~Bq`IrIi&bVy+0;Eg-(Mg6`(-%Y)6fXz~Sx_LQxS-sU z3l@ju({wwHTQIxjBLtblRFPXyA}i(CEc@`cA}FW#t3wz{gnUWy`#6M0JA|>kt{eS$hcL}sNtcu!%_rH1GaSOj z4&h}E;YS_9c@E)u4&fIZ!f!Z)NsqG6&!-OIPKWTzXp#2xk}gabseH>Eo)Du?hEhj6Py_ydRVcMjpt9m2O|30ahXq(gt2;t)=B z2+wl}FLnt3-66cmA-v5Y+~yE|-XXl#A^fsK_$`MpDN^?J@wG$v7l*K0*T^H)AFb!H zk3Y;I9O)3onz3&5Q4ZlWhwws&aG686&>@WV``yy7bqGK05PrcS{DwpLJ%=!@tC23L z{NFl+JsjFgkVBZ(&Dh6}aR{e7gtHvNB@W?79m1O(!aE$ohaAEu9KshJ!rwWB|KkuA zrEzljBssxIK=X0Re97KM`X$YCD#0(B=TjEQ^Q1^GfWjaiMG$NaBM1u_t>GXAd=^1> z9O6t6hKL0OF=vOQnG%!QYYDQjPPymN;j7Ny-Mh(%D&7$cRK)b$~VMN|O8N}EIg2Bii!NH8t*sUCbwHpM7GWHLG!;mk6!x@8pgegZb_65O_jNK&& zCjCHgH1bDq3}b%~41tjaW0x`%d?l!3OiK_Z-C+d5)Tso+8JkIP9AlXT$78J(L6pNn z5ap^Oh<}=ES3*G8PcVY9V+1Ej>jjmO;1`7_Gxi%nhEH{&c&vdVn84U)1QQwiir@^a6(yJi z^Ca4qawf`65RE33;B2fpA(+e<91$^P3f7_#Ol6G58f6+|G{z{?8G{RErbHvN63k%i zF@kfk7Kh+G#u^FEXY3HcOsokb_%P;<38GQbSfP9b^+s?Z>W!cg^+qrY^+wQydLx*P zdLx(v3mN)=G8gmZ1kKpQLokoAp#&$udn3U`42zv|&Dj-;jHc}DGV?MP0y)~kXfCS= zHX0TJVKc;Jv6K~LS6HCV%EXw|WFckdLOEDaoL9m^ic2i!kmpXc%{rnbr~rfe2czOsnm|MgW4N>oeNRhpw<)m~?`g z`~q7zog8F(+-xTkqf^NTPxEZo3>rd@tUKs-Y;?YbxYz9a>U0H4Jr=+N9HTb}$t zo26j1_5#BXUoS%W!%)T7p-~)kJAQSfD3}YzG;z^0`p0m?p`iO>F5WAMOX5KA- zgKUByrzRS@FzgVYOL>68phMH`x&$4XZMXC+pz{z9?VkM&_sXu==nL}|tO4a9(#80v J@@nw^zX7w^^%ejC diff --git a/STM32/MDK-ARM/WOLF-Lite/filteringfunctions.d b/STM32/MDK-ARM/WOLF-Lite/filteringfunctions.d deleted file mode 100644 index 8209325..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/filteringfunctions.d +++ /dev/null @@ -1,113 +0,0 @@ -wolf-lite/filteringfunctions.o: \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\FilteringFunctions.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df1_32x64_init_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \ - ..\Drivers\CMSIS\Include\cmsis_armclang.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df1_32x64_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df1_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df1_fast_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df1_fast_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df1_init_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df1_init_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df1_init_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df1_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df1_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df2T_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df2T_f64.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df2T_init_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df2T_init_f64.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_stereo_df2T_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_stereo_df2T_init_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_fast_opt_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_fast_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_fast_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_opt_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_opt_q7.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_partial_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_partial_fast_opt_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_partial_fast_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_partial_fast_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_partial_opt_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_partial_opt_q7.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_partial_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_partial_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_partial_q7.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_q7.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_correlate_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_correlate_fast_opt_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_correlate_fast_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_correlate_fast_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_correlate_opt_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_correlate_opt_q7.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_correlate_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_correlate_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_correlate_q7.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_decimate_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_decimate_fast_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_decimate_fast_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_decimate_init_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_decimate_init_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_decimate_init_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_decimate_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_decimate_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_fast_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_fast_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_init_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_init_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_init_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_init_q7.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_interpolate_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_interpolate_init_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_interpolate_init_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_interpolate_init_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_interpolate_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_interpolate_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_lattice_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_lattice_init_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_lattice_init_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_lattice_init_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_lattice_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_lattice_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_q7.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_sparse_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_sparse_init_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_sparse_init_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_sparse_init_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_sparse_init_q7.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_sparse_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_sparse_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_sparse_q7.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_iir_lattice_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_iir_lattice_init_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_iir_lattice_init_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_iir_lattice_init_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_iir_lattice_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_iir_lattice_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_lms_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_lms_init_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_lms_init_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_lms_init_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_lms_norm_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_lms_norm_init_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_lms_norm_init_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_common_tables.h \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_lms_norm_init_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_lms_norm_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_lms_norm_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_lms_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_lms_q31.c diff --git a/STM32/MDK-ARM/WOLF-Lite/filteringfunctions.o b/STM32/MDK-ARM/WOLF-Lite/filteringfunctions.o deleted file mode 100644 index 23c79dc728cfaa548c4d31c428c7f314ec29d7be..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2234368 zcmeFadt6ji+dsTEGt7)I48uW18E`-|G=%|_qlXy=6%`c4Ov_@1lVAwLK|w2>VNg)f zMzf-_8q~VUtf;(;l5#j?s90#$&240$WN4;jYSu&c`>wrbU}N^YfBfF}zn2eg)?Vvc z*ZN+^b=Z5xjk6MGGYmr4s2rScdPwyWG{ zV$eiO=#zCTb}Emxg6|e3A)Xi{EHJ@EnXyUu%((sKxLhc&v3PqX?y7PvAD1LQ*s5T5 zt4WYe!T5}8CxI#_)f{7+htVm(%9MysdoOD05qSJ6mc%p7A>{N-@0%OHeSXJZ31k0k ze{}45wUe_rTN5f(MOl@N%qq3`qNHrGPpLsigjUVWZ zKjXB>*5_)R(yv_vE=d~;zRmX0H99>Sw26_9m?X7Ig1 z(B&~X`!7{o9ql3T4vJC-&eQA4oty=g@e`!FD2(_*&}NfN9FZHP4%({MwJ^>uGRxLS z1UXxS^w&+5zYBzWjol;3Tp~I@#^vyzJ7>RWoUOcb{)1BnTbXm(A{W8rNy~iH!JCQX z=v#LSH;xE?ao_aa9muC1E8kdiAv;PwR&)%YM}?+Im7`x%wVyXSyJqb37G)_aJ}vSoGZ7)Vm#>?)|7 zGeLTVO7eTqX2w-8Nm{2?yQJxwjc$U7+zxe|i=NmvGx7W7qKI4{^=t^qHpzFT`6R2< zjl_BXex=A?TB_=5-0aq}UnvF$m95Fh^z083M@TzVpl5s|~6A+bR8j*SC1VqgzLCJ#BpjLUEqfOJI7l+Dp@BWb$Y>;37brz)Ct1o4EX8Dgd6VV zMwKnTpVR%!;{Q}e5w*!FEX75zlDcE|@q#W_qX4d^occqQN@O#+`HJK(6)K_xT}Jpv z>&ev)rw6mK+ov$w)%~BX-p9aT!hd;-&M&J|8GAa%CwbhLI71$~(G+hN!u{*$(FBSp zo~O5dvDr<~`o%pMwLc0*PR`|EbUi3pWxV0ttX2>TX2xex$zmJrw=h)N!&qS;r{WhK zQ3H+H1v<2kv6;o=YJEf^rnFB-JU$M0`2G>~-Z44j1K00yuC9dd56c#w56ScO5ED4f z=6U)q3!Fx>C|ah7A-1wj%>Ldl1_I4#%ZB|gRQ48(_`8}zCw^|4Erw(&qvE?!4nIv* zo_czUm&f!koDy4}o^o0^#pxGq$@WSC@eWcZ{17F-gcRr^vg}{%lYL0vO!h8u5$vSu ze=bkDf5P7KW8%PzA(q}$V@{m#{G8D_PNPk?w|zTXKwL*iQYJ_<-qYzx&cscoL^5fa zazP~Dz9F_L0ZzZz4U_yb)UnyE=M8Vs45p*br2fu_hwupbs$9Z@Cn7H1mfFQWKF;un zzz1CfuhPhP9r3gqmX|y@K`LDjmA(-(kWQe|)b+*$hwmM!U!6e+LXJ4M9Pkl6yu1!L zKblx+S_+)WL`NF`u`ApbUE#LGdEA>yQ5Mi@7$Xz^Qw!e+mzcmUx4B%iq4({b41u!{ zZ_8U{KJ^r{GZD$(cIAi0YvRgr4h7cujUr@PDN!?*0O?WUKaE2AWnzVCxo<=+yzR;1 z&9=$TF5Xh5?gv%fPmN|VOjPGPz|UjcA0u@y%1w3z$aWqKvYl)EL2uF4F>{1QH{S@$dxbvBM7m};b>)8tX8?)kpRTH;N;NM;i50>XE|m$s zBtgkm9nn0n$&^V$JLff3U!tjuiT^pmk(fu${$n5+Q+S(PGTu^%-i9d}n@AIQ{0IJ? z4EH&l}x!t3ZQDTTr zz^DOdzq2+)SB=}*07WlD%NNx$V{uSLA!f7$Tq_ERq%fgkokU!qt0>GCtd~?2>nb9A z07ksFT5MB*!^Ii}X;SnAD9&PB;(so{uhT?fkdjhy2>_#Gb;Y`DL8+yp(5Irfn(_f` z;4dU?0g4V_W|U{qtH!OPM)3t|YTO{gQIr2UjsMv$|I;RljYJViNohqyg1AIQx$y+= z)%ahg82rxFxSgr-KMSQ9{hKu4qqsPzHz+MzP$ChRR98f(#HHD009daU8~uA~C;%t~ zYNtwLZE>SU0n=O@VgjppT`g4zg@Lj}TxzT+HdGYd&FZ4bze%t7riLp298{rK^iplR zwbb~xH7cMPI*?|}amFSvS}P2eilQL#`fPK7v0^=;?tw1M7}&j+D0>3{Lvh^X57Qin zjcR}vLM=0+*V?*@*dSP69I;GLSS>EqnWsXoNWU|n6~%S5X}!8)eYUs&AWOy6 ztjh#XfXrfEXLOXS1%>Fvai|j)8O1Tmil{o4AlqyRs#vEpN65uREawOxFsUdoilb0z zFIVu&;%HtyuPlz?)$__?pdH}DmDl-*BcKgS#gj(y`cjl3oq)1ZR+LzQyrM)&E2#rW z#Ot!fPuK)^-BNKt&sv#Plz7^pMEs7NjI<`IPEeFBUd#2W6HtVziuG`Ehe{*tDzy&^ zgvB=VS|~qT0L2!79!Q{G=(!FN3ZAs7B&edqKntakiUPTKeF817ldvkSW_wkOizTem zSj|&0X`y1UK#@}csnx3OfN9z4sI;=?vv(B7z>cJHy@AGT5!{1S!cbSgjEJjoyV&j5 z#`;x9H_b4l2>ArDNh0KNWRh>ChP3De8gHXdZt_-|yv7(K$T+JziRsY!FmtucHqMzlm8%CKix?B+3ri~`T=-uYn2J^H-+Ne6MZ?$0BAx&(#V7gU6DBE9? zW!H7?l!Ha~W0x%VUX?^6`=N`%0Ou?1qKm|Rb-S!v?cR&BN?b_xYom8-qw6#=xb7CH zE!vniZ4~@vx7N2^8?|2$d#EuAVCtHuk&EDT_?&hfd&C|e#!q!Gv1Ugx_jbbLJXs^{a*$)Odn#M#uHo(!6}BTeX`Y7+0AyUv>S5Sd2my?59qBtwn6J#uZ^m2 zo_5F-ZPWS!K)WD@+)%pT1b}YYHHXruEv)W8z%;5MCLq&WwbP*x3WGs8$|jh$-yFN= zZdRf082v(>Y&DeMM^yn^L))vBvYR^DMQDZ{=no9)PlVIU&C~X1quaHyUYRrzRTKuWKA_X`W^i_#UKkDLNS6 zgG@}PAbP)fI+`Hk8EtGOKsLu(VAjUb9p-48S5QA=4m}Z z(j1GjrCCAQGSLl!>F}Zk0i2U_fT&h&Y=^-Au3IV&=vgasit6G?#CndsBPSzjAaAuc zwvI{)<@iywrsy+pa_EZV=1}P&4eg5&_Y3?Fv4y(k*h+NN#CFiA@r7M>n<+e$;r|V0 zN^H|cRhgsOwJ|8ebErxir_o-G)2!MkDxHaHexN{A$JVs5$kB^ht(mnNR~Go$D+PWI zd0E?0JF3r^kt<-bXif=3U44-|B5t+H{hE&TE1T>ZG7PCkK0#~>>KYTAbj$&_&4I)< zdA0I6W?4l0s9)I(ru4Xgkfa_cyJ3_4-Y$ZB-|Uk0B+Gi*MLibTErcq|`4{*wKd}rj zM>2^<_&|Y3AVaYAv5t+PyTx+2WRP98iSAKJ!+mJDsX{~U3 znh80T0)O;i_WK#V+C^8AWk0eR6(ZO}-azv?vNtk6n}3ils=@7_^3UaD_n(oC)rfi- zxcxe)H=1)yvE|gP|CIVv?o?^4Es$GanqP;Qpxr#}46-o`1Lbmrur{W?nI;f+`fy~? zUopB$_7gHW5+GCoTT^X|&L_KH)XAV3c+Mp&@@*HR#&poyj5%UDLfEFAPN;jJi;+$! z#YB!Yc-?@hjb_mw;A6?`3`GvI5!BJ_hl$`2vKE63Lvs-qhA3E@r(@FTpiR@Q+UbYP zQ2>dk-%V2-8z#JD#RUk*fyM|I4lDse6;ltwq|!_i8VXfl(u};V7%XdfWizkb%qz38 zX78)z@iFtt&1~5`t%?RUQp60KV0r_}kj_L|S(;O%NCk2U#JGP$EOe=530$}=nT8Z*B(6_O@+5|D>tkS6F2MVm}xO~M=O>K6-+!O;= zT){3cXFJ%D#81Z@U2euACLin##p|#{w~E)cRzzU6Tq`cYo>5tW^>cAuMKQ|R=5>|g zb=Dz^!-1__nc{e(AKiJe8!_Gv)JWN42f7aHTX0CID8kD2UX}m2Ma7~WD|vFdMsd7G z(V_Ii6+16%doKnk;KEpxV!v}5dr}?US7Kv0uqc%jSt<Hfw7P@;>i0(*@ZB3UmHuZyZEq;{+*#AddZH4ynmsle9$O1BL9JZp0M#)u80 ze}|49CScWwLVLGAJ!+s${_QpXXEhY$ITN;-Zr|AaLDr-5?{btc8Wrfpak$c`=rYM# z17ufNg0o5mG~Z!$YiXo3C>#Y7y`qcZl>>NX4X>={l})^I4X@nDD|fSHjsH=T8{DHO zU`!Xz2T+D|YTDG=?bi$B-F~g8WY093;KPmnea5?P?NF(QaEfBw2PNWn!|Ey&1dIe zqIM*E{X6ykO^t{_{`r!_KiAXq8S}bQaS@vz)|S%4B}Z~Fx|Mq)?W8%8T!F?7d&9c- zFS8lR=1#W!|CxtwtD*d%nJ1f`5k=@K3Zv)=UIdb-J><<#B!~;ygFC{WJ}Lb=8x{Y2 z;*?B}aZ2eC9L^1Jq&8p_?%=C4KmoJzPxHunO9k?zkxhMUx@Knq#;T8sn0f@Kvn?Uy16W0s*ukrDEW^(ve;Adg{Q5F>7Jx+5V?xo{!(=d7aRI{1W?VQ>0;^jSPSR+^DcTU=h!-8sU=@Jc z#VeoZm3w&Qi@Y+8HAmmeyfUBXRkprIPgB8BjsJB-XgAF#t$G<{YgFKzkUcG=1k{Bb zsp+m;nyEm~Pg4W=d1yyYMzBI=ZmaR@fTZZvC}T5&;xe)sQVPe-p;B&8jCh)HKZ`C_ zHyjPMpd*{La7&X|BZY@DX5Mp+l%^{{aa5z|4WMOs4pphnpZ3!Ex8wAZqGjh4M}ex2 z%UAr=q-UoXF437B`Hh=v9Q3`gBcsG=IaUj8IBI5}imYe`z2=GYx%uZ?7!(djbjHM^CMCr{SZbd)L85%Nx& z*W8VVYI@6*$_VerBPlYW2(hC&ni&jJd&2z%f*F8@oKqjJ+TvCWxKpjiEkL?0i!Zn6FfZBW6O_S zij}T;=W@fOSC3r2`Qqq1E=}Dns_Fe{pMI{t^*~Vm5o`A^>+iVCd+8m0(TjUNUG?@$ zuD3=<{Oj1IZBd&(ef`?UPp;T7>Z{!K%U@ah>h?9iRll;~@Q)$sFD8YY`0DEW?_Tx) z>Z|=&sXQTfR~y`&=r4emU)v$ ztB8};!HO7-0bf7*WQN*>sQ6^ZBW8pvol(c*_TZQ?qb*L@S@Q3!2q(&9`Lm|@z zqP2jhEnaUq<&ScF+yLY)^&jCh1Li13JajT21fL>MK(4>j4u(ydEOc!!8FVi4LNdVVlL zvloI`_<~G)-G3?I>G*nfUb_1Mz?;H{xA#ri2*6YD;eEDryekwaBSIsF;1qb~fql+U zpoloBQN<~6?B+(mGYSZ!L=_9~fZyRVAOK4e^Hsg4QtrIf)PQg;m5PgeKwlN?>F`PJG18e-}UwO(1VK zZt}bvXo}eK6{iAjQ~gy5gI|qnL94p)(Vg|zPTR^ z7C*BbAXo4~rv0dkbG_T#e<>#2f+mysAm_bio<%h|1mxGn)>>znTSOQohUP%NDjWA9 zK(_MDJ^Vk7&LaVGG!Ys>$AL_at?va$wScF|uk*zt9|Op2KFIg3+0FwbUVL;?qcf+; zN&X2NpvgKu$m5?2hD`y;Fuu7P*U7htpvfU1likOE1&~8#pIJXKn@8WR$&Tr^W#+py zIsBN|Cl?@n_#n^!uG{4XO%5YYYGC9*=8s7{bho+Z-7q^x0wf;BLt*wg=-(U2C4e;Y zee%FLcjfm08O7J+*LRq4{&$1?W2UhUAh9I?A_Ak+?Ct6@eEr=ZJ+(Dy?$D$=aZ-bj z16dNZqz@qb_?p~0+&N(eK-xf~Cir2ewuT_}4N$_~yty)Mj{aTnG}2YV!02C3cX8c- z(G7e`&$%_H+?Aw-^5cFmU4~4E`jZjbunx5UsEsWmQ`6Eq&{# znXi}k+9Wn93GiWp%FBYPMi~QxEO8Tdq)n)93UCf5H*_N7#5PhzESpA=vnqjFl|{0< zq-4S#Y8&z{a6N~=;S!^wrCX8Z%}lSqdR9onShITww5KL>J$MUgleco$z;wQ4jk@PfA9BHKQKhT#+g2ZcY zJA-YBu_6_FOds~8k!sTBPjq5@axlymTWRdwKd1qX{SRW{Y}$)@Zb`+%~hYdRIJ0E1$eMq z!2x5nX~hLRYQYm;JS9<$Z9J{Pxz?VEGw?}bM=l*lokS9%fczUa%&yIiX65**do;TfAX}j_I4PN8vV_4++ z*=PRS%sm7T>7Y-AVk+sQrI>c@jJBwTb(RX8Ka}{0$vP$WK6pR7&_6+`t(1-$Rv4C` z9BZoDx${)KRUVWvEi^1{hb3TN(AfG#+XRGMOa5=;q)F`$E?>Ch-A1G8^JMQiDGF!( zIOp?7N5tH6`yJ3Wy#*=y+N8}AE8EqzH=9QZ&oVj1Gr+jHtBtu zl`6q$=Q&}LMwQghRD33Gk(ZCqRii8;BAsnmrQT;V_uE+TQrWn9yS(T9dz`r-`M5FY zXhH5v9~dNyC5nfLM!qp+k`t-+(LNtq$LbORKb5la521f>~?B)2Bb4Xlb2qclb=_#;_p?#>DrhTi8;lYD^ivug@>(3il09joVEF@4f%PQdBrhG+$rg8 zPHtwQG9oy1s&Ywwer}9XU${CwSD&|1`N-6ajL7IID%GR^*U0DQrRT26$Xo&5sjKzH z*}>UGIV9;o^bn#90gG zfO=?U%G`%%r6$cy0V8d~0On6n}-CvQb?@QNHe{-WZHoV?=TZ0L3k3gKa?#cT5HqTt?Mg+B%1 zp{d0M5#gz{E@Db*ac({|%3f$|=v1~LG$OUQC_BHfxFC|fk4i1h%FWlaeAxmWgc1!5 z!cx;(kPcK*CB~QN3$v6<;a+fv_{_95E0uHevhp1@C6p$$C}(|UQL(-_Cq1>WBm>Tu znYU&&txQc@laq^z;zC#`HzzH1O`d+OJ||b7mYYd!mX=enMxT+It}jZ>$O=sj4=aUC zIeA5?kP|FYiuEfqiwyWvoN3VJXJ%y;8S;yYQ?hfiic|7e=j9mki{W#uwNr9d8*($1 z+7Rsn4=9(!X*Bx0bxK1%M9M5Qq!gwb;){yYa`V$4PXR8&+&sg=HO2b$^ksRW%ksjO z=Y=lM3rka_g{Fn+L-k?H@`~sfMS98;lC8`HX=*OLWUpa|&t4qXWsn$8{dB1B z54bE^a&rsuo4GP|O-XoINyL<)9Mkm~nNVri5>D*A^h~N(7&bH`G$ZW)nKohy8$fjU zjQll!b7Pi$5nL`a|L>zvH`Em2Ut(q+Eytl8N6T~bLh&!mP-<95>qF@!tZ2>Z0e=l0 zD1{A_!dE@cT0brKacv%TWflHWNy5`rrK-}<(y-ESLrQv~zBoM_9JxXmEwFcC#d-t! ztqWZjW;e_-o~7-YURF8~-tW_k{?y4^$Vrb+_y zC3=HBD`?jg8cJ1$v>ZcesG$@Z4FBiO@M-8&#p!Fo|3(-d>fpl0hJ9o%4`D=T+m3Lc z8NB~qcMmoNk%MG3G3DppEnlJ`TVIq3uUJ`}Z77DViVMRWRq0{r1F*Toc31x)Fz&%0 zLF5=810!D#)vbZrYGo$eA}f7OVWBE3FSE2*g$b2jxf*&6W$Q!P`cRfKjI9Y{Yr@!? zaJD9#tr@`lw=sEm|K2u;waB0^EE)=xOLM!S3s{74E&-t|E!jRNJj)M#M}naau7s+ z(<_h~9=A`XYq`Iyl?-eDv5OtfJ=wvfSc9|nW}Sqx$z5GEB*Cs;V_2M-Wtdf1n280& zUF~-EL;Bb~mDc6uQ&H$7We>#6yv)Lt>l|!`HiK6o(gvKto}2&90jx6%3-b$?tzLsA zYuvh7EIaBM!06~&oVnVtRG*te(-~ce#pNKI<>nYt*~M2rU7+Z55trECUOefJDC!G*Q;f)XM&N?sxAYs9wXw8xB(NV)rbanDz$8IIa8gHo5f zhmh{(uNwcjQH(>6%C2Fg!JXxO0lcfu^;i3I)Vi4*brk8=e#QKL#zEcdPO9SFyhzAY z9C;)Vk{rjugK(L$?hD^AZxAa^c4X|S1ZCVkR;IpPw}xm}`{9^9uoMUILc1!0p$Zux zRURx0P8H|B5VEQ$6tb0^DlFj)&d-U>kH^(ZecQ68Zbqhq>j5E2nLDSmO(>7N|DpL4 z#GG)Qo)qr$(dA#Jir;iH!U{jy8Ba*a z`F;}1Qui2-<@|ikR0l`$S-$T~j9_=u&JwPeR0>!8es<*x^$e%~S|3vNpzyF~WLTbe z`f%o*mXkYtPry4Pe`H!tzUVu7>5n2C>&upOqFU;4dtPVN$BWS8h-c)1yh-W98{cW! ze$e*>8zt92;KoZAu^>1_SFUD=4)9;%i}2(lge-$^uH=c}`VJR(efS_Ce(bip46VQ+ z{>5k662s8IQ@_b)S-X&Rch%#3mUqAsO70)cWBJ}@4&@a-%iC!TvEr2S(?OQ<$k&$> zoF9|+!aDVGpn|5ZUoc}sNU zf?OEnV~Y|pE}yGp8l%(VDy`gVQPAq3W3>827_I)Q&Cq(Hp?&@*9Vb5NI+3tjP5R!O zscujb(&NgFDK&ix&f5hnXSI$fZ1HZo>HSVF>LV~_$6 z;~Ww!tajWXIU4fcR78#;*7`bcYXMKP>N$w99&Jc z(Zm|2qc?QWb`zsUt?{$Q`R+_Gn{+uE`5;Z7#zw(E_j$-h(lP*7E|M5&PiIm8d9kgH zGPFr|95E)gS`v@%kshyWJASC`gsrNry-nI&Rmp5`Q=Mt`X>XO9w_7y0=|~vWL2s%} z^rm%GXREZ4YA&0ElqQmO$WIimk@{#kPk!T!;o)>RbGI z{3}K`AEIM*(teO>=m5n`bO~Y=I3<^alqB{HJE^3(NG0%yNDGh12!B-9Cbu<8n|=4V z?PycAFlW13rCWThZaelT?byG4hxH_CYu#wA`7C#nbhek?puJ6%kZm3tF3tZnA}TDI z*$*kc@;K@vpBeh7Ud{aG_0=fcq^tW|iZ?OCIu|BZ_*iTj)I-pJyYwx-#aQpB_@Ret zSlM=h-oU!lf?bdVKb&>?IP1VFNuzDsI>hVGhv;Z4Dz=Zdoj}FjNF9AP0ebcOqQ1CW zrdN8vz)FqOe6BC)`!+*;f>7XwMB z3b}JWB;3PEHN$4u(slpG@)?u-Zf_%``M$DOuf*N1FYZEqXj4@#{Jr{1si_*hH`3l; zvAqS|wD+AbuK;pcK%4e*O%e*Ie{M**`$F-ZFapkf_aBzenBjLDNh(}^sd>iF7iNSD z)5BH-8jnwEal72;hvy|V7*`8O?6~E}gUg>z65ny6Zu4i?!aHqp%Q0k|O@f9iPm)SJSYnNo zg+I2L^v0f-{^a6P>fAMRrXZXZ>MsH3ttU>3_YUz^*Du6-ox=I%-Sw-fwjT-0I$+-3 zCzTTs+FjJV@scg=lAY~{Nw_K8))AA{$!B{IhQnUl4kJ~|&t9Q7pSbNv{Ln}4vs)wa zv>M8ljOg=)5OP1&`!}rKk)D}oqmzLqo2jyXPZzZ-O|Uj;x$E!Sj?}k9i4Afn(XutT z-2D;poj_^=^~4hSj9kC^c2=ct?AG3HS)-Z=SpUG9p?_N)4X1f3zu;HrmP|%za&;~^)<0CEP>)A(i+@-k2iO78ddPQRo%KIulP+)z=+!bIE< z5u2)oNY%qdOAPFd2sZ(u3_~(>AQf36@9c`avo{iP-Z#fadp5E?ZD3Q$cqro0N#Jy7 z#}WKmrN^x_3N4;+AnWnT}&o52wB*uKwrXz1%2*GbqIMPU+kV>TM zZ!v7KOnhG+8B`I*kTzwx^ff5nZWqkEJIgA@S?#>QW$isB2{(Y?hUn9WxDq^q|xzj!s@*K`0WC4T_bXqRDh{4P)lfI_2lezkC@aCw*ag7Z@rU=Apfbqmg#4s^;fPqTh) zmUVlfqMoY=m;8{iAY8Z#0l?0Z$0?P4#@X-1I$F5W81wtKnBQGbzyy%i7Q=)~v(1>4 zxuBXO@ofDCvo2i?*|@nBnm@SLtDwl-7>k!mnn^=SD>|yDK}}9?_Q!R0>EvN-#y_~& zwP2rF!)8#j@Ync-)HK3$c|Q%1UxW=7xs_W6nhO`&t#KjbPFWahliR{JV`s`wx99(K z+x7VEZS1@^g9%sub|d`G_3%4R;oJ;jTWG@yArv}&$VYzkPfqQNkq(AK-TEpGbdN#3 z>7a1B;j9ywnc8QL;J1$TIB!)mGvI^}#5k8hf_rvEU*PM)c4R6p>#SlzX3RU}a#G1@ zu>GKOLx0eziD3dp?pQiFy&nH24H_M*zGd5=*RzSheM#O|QcP+*}Zf?eA(i@P_EIYEVX|J%$0fMz*A}?9AdviAQRww;i zcKr4UIADi2&5=MGG_QUL+WkS@L(uNsTmB|p84RL52nxDPhA*iErj+Q{Qevkff2?If zBIl8J?D>OTpWI;gvPe2uW*ZkG1)+zQ=|A(Oja{FRWze8{--y1jeJyVeq}Y=W+g!JM zz7rTOCk&g&AIE{fyoTd+nToYJxM4xI4HWDYM^l(QZR*mD2bUsvgrclIy7XH!vajrW zhCF})ITlRFO+VY#HZ|_)`UX1hC?O9}okKHJ6By(-Kij->7zClyrrQ7P!*6{pt?=!a z*=NKy)u9LJbf|rVoemFujWrA0^9Sb!O9^Q~pMiN>{t&$ZQ@WohbY}MxQfJjeZ<)i` zdArz|rs0Qe^Gn6=QYkqANYv;3T3n6~NQp#!0u-lX9Ep1EnukiMqSrGaSN+I)d`0)N zJA6*jw#OWb?)%!VXmu)8^!p?F-aBVH*ea!yCZ|T%C&cd!T`8Ofg=3{4ZB8{U%}zQ) zzIn{HEl+Gah<>d4;MARKRS&?aQ9(^8>MQ%U@n9WR7&;}bPxIBE|-wz+0`Z5;O zQ$#K7#Gzsn$4*g$Z8CGlVVR^@Q&8tNx6df=@=pMXj7 z=bvFsLVZHr@?7r85v!q(r8%|}=RA#XIrMP@`k1VLU|_3s0q*x<`CHJmn$}xV<%j)i>d6&L{L%kqssEcGe2VkS?danzR=0kC2b;&P->I_Q&8uoZ23?oj5t-<3ZU!VnU)G=^Zo~!nh~5=B_Y~ zd8F47#?;C4Y$v|pc;Iji6({p-u3wJ$_%z-AAP~ZRt~sava$oANvr@ySFXux4E@v3dOuG1z@Hy}f%*rv;rvbft4RPF#?3e)ACp*Xfa# zlUsc0Rs-vT2QGR<2IU#05P4kxeQ9H7r`?y8cK_zI2@xv-Z@ZMXxV_{%neORa+QXDz z(cj5}Fy#fh`Ld;FJ`M9P`1PWwRZa)JJ#T%B z+aBM^DRj`T2QGU=7UUhOqDaf$IXI`Y^&=)sOp$)t_jKRb~^80VE%FT3Fubvep>LYG}Vaou!!_2OVcCPrbk6kgQSdy zpWgKEEBw$|8B7&#&%?1IHV-5BBYCx~4hSAdUeCa(KipJ55UiM8PIQhqKXiW_2MXT) zxL4G0hU3x2<-04Qh7MFrhUX_>O!KMq8Mo4PBeK#W=*pT2S@j6H!1Hl+mX2bh?ugm$ zzauUT@$t+@sd@e!F}3oMsuOfRL`-o$?s}HvG2r9#Q8DP_S!=VhpUYbH zK^BxVd`w^5$IfcLa#r(|b9=RBh;puf==>31Ij+4UdYXnD9(aE2{825IiB?V*P)=nY z%cE;RIaR0_P|hz|h7l_ZBUTniuxm#@ZtV+bQ^)iLUKJ)gpiM!3`EJ`&d*x^&D1Mu-9!SYZw$cGEak38mKKeY^D?)sM3kGx44%ol^gF;&2W z!Mr1;fOQF$`OZ}bK3H{-GXIkad3z!0buh<_zxNN}$9&``E_?M3-NQA3qSt$)upC9Q|A7?`TSh#EpM) zm2MkcMmC(>(Qxu5EGECj`cbMq%q=D#VON%iKRJnGfWBD?7Seh2JkbI7Fr8>F@F*12gY=Pz*-K_Kmg^Q6ql3PD2-wfkjAqU|-yh&pvK| z+bZz@0mk1WY}gGp)cDJ28e5cqYi<7R=kouE&|Vl~|9!uX-{*CIxU`>Dt}UO{bU*6_ zwtRR2S|VaFdD`+p2A5b9SRi=G+2(Kwx-uRs9>~bEI;~lagGpzfsNk6woe%RDB%01z zP&jrSP{19J$TyeQoj9gu>ipB^mYz{0a zLIqvW>o^NnCv(+RzWh_sF+>aBLJJLZ z&$b-TDquE_-{?7_AA2-ZjUy2(9D)zXBgc=jBqD=L0<>XRg^&`PwDDWNWlWk<^!MNF zp_O;tQhH3r`Vm`Re!6ukyq=lGTe2EOMs4>T&rn+o;movUQiTyQw=6=~K zyR7sK?)D5~Tt>_h7u(#6)nfeSvDadq3x4}SW0eJUdj@O7J-pUz+b@(eWnOPes4t&y z6$Tl4jG{cXEL$x@p}0!~R+qsI9Ms}HF~%}qN-qEzV1ov&Y?ERp>Z{9qt>yFUh4YL~ zGdYCT@|e1^=>xsmnSXf&nLM+t_+X}sVrC^J_Ol2$ab|*&1w)avGxk>S!6$2RtuC8X zkAi7Jt(nC@wXxEr9=cV!LRdR&qw9NJqV-l8q<)>1`Z95$Bii1eV*0i-(HNrML^*&} zhhSbkGmZTP=MdD;QA{EAbaV=1K#)F%mRQU|cin=FFUvqHCkUq*j-byxARWuUYyvB( zeXM~&IpS&uTYE3l1aRhPpyw{9M;vaz84+^X3sj8)SR`Rc4HY5A=T}qLQnG6Kdw~PPbpgCOrzAT(&Xm;F53~#IuFDQYS>bn35Qf7>T?ibk z!g)49zJm$vIM9o_S^50CT5AQPEoOgQ>rGX{@j)XaA`VB440|{H`FB5FR2F-za{I}* zPrml^_Jcd#YWu8e@|D~??|oUh7qblGR~C;SPKlqN@?KaZXkTXDz``N`lZ>kizGkun zUjVtxfG_*OM>_CTRqf4X7ZpeGB{BGV75XV~KYZvMpRpJbbUs;j5ns-fOuuFi-|*zR z%Ye^+=SlNt1DX1e{3;zQ&$idKDNf$Rdl z=?dTVg|Dw8d?KuYMMWQx^W z(j+0|7Ztv@9`}KBS}luQTJTgKWe?H+ho2Q-Utu16pWFVP?ch7zImPsyso-?_+SVZ1 zkk`IB67uH$=BvH3y2FcG4?jp$B)(5b?#PH}xUG{Rb+z~g5n_CW!AgYa%uJ`>$$n`^ zd)xRp(kJtIUb5ytqO~hsN=6b}eSGcVDRqZatfLrm^uD<7-ZFhx)AXg3sDeE%FL1jO zJlwXK7z?%}m*nb74yePONp8@Mylc1LzSf^tW@~Q|cGqqhR+i@FRo*jxyhOYJ!;KY* zNtv#FOo8nsd^X=)iVyF*-}>{fzh<`ic#~wj0Fh=ON{Q%C5AosOQCt2asC3`s(p=6A zwtY<7jQ4K)h_?09FIoC~zk1qso8Q(xQd%*(wy{cc%4POwNN(kCo zN7ti`akaO3(>9yEZI~}@3w5+9Xgudd(=#kD;e6Jo>DFnARetsY`w z^5{I-I`Ohl%VYh=zCRe)8vFIOf9?JAnCS*_TP)j;2J5;0Q{Qh5xOw@@I|G5%27Ovr zQmyK^GwCR-6yY_Ms55r9?Ja0T<~PBomJmlyZI(Q)cL%m^nUSy&%z^?B`*PiR>ml8sUxT?O{x;cZeLsWsDFPsI@A$}5k9s81n8{*|Lwdej@ZXe=~`sNqo?L&xN4wMWG zk(@mJkYk7~WtU%b!^s>wg!ht#tNZb46_sMYb^WRfc-%yXc)?Byl=47sj3 zDN*kEYPB**7pn1TO)FFF`An!+ZyQz?HqxtH6FgorcSWMyyB-9^Ah?`XX6*n$JqXG` z5D+q6vh}e<`Q}eR@FWQS0Ed1M$hQx3iW}`EY?(4%qJ0<~z5&5oAXt%JrfN7U)EhzI z>FXtoj~FjGo|`Baovl_XKM2*R&!m?b4}LDx>z*Fg)a8#MW?+aXF~sj6xB-HB8F=xv zRj7~JIjqSN=v8i-HC{4r8#s)7L8%N4)2N$3Pb3--BD>BQhhmHfov%{L|CIaMx<0T0PFvKI^P!A3r znPtX9Cxm*#^TV1*h*!D(vGJ11PZH&pCtgsFvxaICW~Y}~b{`c6G=jhq;w8*X9WQY? zf+045!(ZT#l~rbJZxiZkUl`V82#2ayLJwa+QLg~Q^l*)O0|?qq3YnUhAVs8Cd3WA; ziTT{%yi{a(j!rg@(|PH(V_q^2&P$=cWWJtZBSmqNvvwC&Kn+cIcd-M!mb zo(J#U#`3J?w_#oyhOcm}(gZ{(@ItBcu%m+*7I7F@URD+#rY}+|CQmii)iVlAM(JO5 z`w@J#f1=O8WL%=7LJL@-`*}iRAU8!D2HF{s1s$jxO`&>{p;Bf^w;npyCnGAWz^6Ji z=(I?No7qx)u|}hH<}Kx9FcY8d$M;REaoGq8d~TFjLwo{btmaYXxYfsTYb+C_H<4By zogY_?6#=1RX|SP=qS5A16O6@$T^jIA&@IlTs;s0cQJu#HCNM7aaKgm-?UOt{8(BW) zY|3XGkB?!Oe-~BCI?SMBIb#u*QIzUyq-)~L_EBaha$QC?`#Q}a=3xR|y{1o~ zs#c*wl+0E0_#z7JOsv#?oH^U(aiE{*<;g-MfwLmv+y#O&`&IwtKcYvuzvrZM_0vnRk}d z<)fXD(_y%!nqZ(2_fbwdTpWfoZe`MsS}nf!T_d`dE~1u8|1b~l!B>WF>^O-I0Y`DE zuxsEC(ipXAlsPSLe}lm2VHOaLs`u%omJ=Y+OrzXK;W7pz6DZHBw?U5=XCH$e?aDE5 zU`r|3YF0T%t%QKr47GZR{;1XJJ7EyT1rCMsOYk)DQDpn5FvQ1;c*5ye;VRBi;a2g4 zv%1T@LxJM*?BjzdDmfHw6h$2m1sN@>8fD&_w|h`H_vNf`jgi1m;mZ>aDxNI`io<*r zXK^aNK~WfZD2$t_itC1`cm%8B+pLN|r`+u-u0@uUZf&T6aA7_KPJeC^JlPREAE=%O zt#&de3&ZeI90zK z7k-5FI-j9!m+#rO=|8ls_nvLepU}3hd$w(Apl#>w*@jn0(AIg+woNR~v;4N|Ol5iA zQLFmpz-vT#pD-*vnHbM8>e-TvCXygALG8aQE!2{?c3d+S%Ufw8dg4DNwn>fHJy;j) zq_2S2w3^ySHmf(Cmo+^p6%02|l>8bhT_V*fdp=VohZ^E$qOx-Qm7}U;lP+$V)M5Hd z@)w~iZ6!5*9?$eD`<7~_%u4g7u@_WbG|#> zaI{MEZcNoV##Y(L7?nOZK99Nb0|=5|#YM0O7x!?HGwJdp8Ae2mOGnNP%vh!Ix}#R3 zU*cdE+2?ng<}%4C^w4~PizCoHHOg?&XIG-ui;0gqkZ$gFygs-P_(iq>v@@%yHq}&& zX8T0@0<~|`TE!=LJ{#zP)RH^rD_&~hCPvnu>fktkA*m7LCl_KJsdcPBo8hx*Qfp-4 z3=?$irjt@&uweDI+cKNl)5P9Hb;N!u2$pZ!6B=|rtkw)3T`w+bMM&G}tdtHnES)3C zUty+#amDY{sc+%JCVAbpKV**Js}rB4Z9UYfJ6M2CB&x!@_^7q=g!Ta<3ehXMQ z#Em~s#TAdjqGrqjTpV+nauWlCR(`o}(4e87?@*WAPYr6M--zlkXk@DscHci};guOG zf)TE-2$$B=at|##=~qf!ZY&Z&e)|OJzS}~x ze9bIeDwg8nNV`4}%Hv8Os4MNM(IB6pR+)D~8=d!`9@Iv{u>VqfHBoICc-kONW;&-B zUY$MY>e~AN?I$TqdXig|B^=qk~}foA8-ZOC8FZm8^MD~+5eV`#^blhCpM%e{jx+URwjx~T0E0LiaXRQ8-R@=DW5 zAMZeKUgEq_&YrF1(ZpFvEdpjVajIPeh_0ZTIfHJ|d_TJwRel93eJ3ssx5&#DBe^73 z!rfYR1R#TM0J$SI8$V-5$fP6e=8a%;J8wkdbTOGA+pr%Ew0w+~ott2q2lk72&XT_9 z6^ti%kt(;BDi?zgto1P$)bx45wOnWMs53a~S1I)+9<{pD7@D43pUjKqMI(Nos_LSu zvhr2+lu_w26V$C1N}a35ff~jPi-+p=(|Ka6?WW*Nk}FFzLQF7zr+I1Nv=a#as+d93LMJaRkU!PKX$?V!AiW;E%JKB$3 zA>jXIaAb&oC)1d1Or&ikxQxLHtf)`JrrtxJ2&NPBgYtn{ps1k<|$H#lYm zwY$y64$r3LLH!GL?PasmR4Pv|E02n(q${Z4$o^fr zYjN)NU1N~_D^FNuw}NjFp-?4^i%9NI!|w>gIwfbT?p&ir=`ojT1Q(GD>vIWnxJiMsOAOFUPub7NOArPO;m zc-~|5J??}x%R_tNgzT1c(*x6aS-JjQI)e5cI>L0`2nO?lE|_P&&I;L@7IxBdAuXHg zV46v9&?&*8+`L0{1wiNoEa)+zQ{;o2+Hh>O+rs2pAhz%9Ow|cpE-XH5*#*NT+k_n| zJwEO$4fE`6=1MW1l}&6Z=&EOwLlnXAtuG5}RRHb~>IYUREi2S2yHEpdp;Tb)5i0QC z+5*^>&b`|_*tSpa*^i-Z&7a(}?H5)P_ikggq#e@szI0Q-2>9u=;m|@f-7dwwwHNF6 zNpxZP?$SlD^rS`@$CiTauw|Nw?%qhybkh2=TInTHUluyOpdw-S+c(cE*Q?K?2FG{0 zYYW^Rn*`m{3Ytx4!;Bl*<&PsRJJR2+4mzWn9>b-g9v3>J4z$_W6>{o5+v?ew_}*=G zw5{geZME!p_ik%v+cMC(;yqk|g~ZW}o%Txg>4A*>^evV}H-#+z=>IVHE^tv7-T(M5 z3oJJUMH6qZfT@`myqk#Zg15ZlC9|?xOwG&;%eqtq$0eo)8O>MFLbsbJj&B+A&DYbD06eP(>HCdNoMiKEB64el+z z=#j=;B1nHsstG$75bRsER8uz9R6ONDsirjzJc{3^nl36eUETeI+m`;NKTQW>D6YUK z8j3^LZ(yalVD;mxG`^c?4p~CF`BiAiCt$v}dBxO~%6NSH7~nnAQQ*Bmc&x);b=uD{1^XXtL+wvwFE(hwGoOBK>Y80u#SOLW_xcZ|1rd6T8DLsnKq;{w@9N>`sbV zk203LjTvPPG7xHviw!SBHQTxl<;96Fz$4&k^uvwhcdGRT4q8cNhj8(D=o) zRZ#NYT-%!b<*2}jy>;z0%BU#9OfkzG25~x~Rp~6n=*}wJNkecj?Cp3PKHY|{P+@FG z5Ag^a$N2`zu-S%ALvhqSW5z1CGBrg#!7RLrlC$y2txWHt%|fp?UzfzO?Y&CGKe%zRT)R;nMf>_%qT+G;1%}b;YP)7-gB=vK?c8PB> z+4}d~l#3eoI`MM=6-6e9vP`n~F4>xciAOtSG}MvNKP_N=*RTg(3Ou7|D5L+00H27k z=>zDeTvl2}|Gj}eeZ!`GuE$S&zM#7^vv0d?hxDgM_5=m4KF-)&NYpv0k4uOR&HK0@ zlbaV$G?h2&;{v~amY;}B0Obw5nyA7MZY~!nS7xDK%>MzFV4t~3tS^AW=@psgv|$u zDyCRRY*1Ji)H!5ne;S4rGp7K3w=XHD8#9B{tokg@nnGMpY?5`T_g!ATnB5<0h3V*U z(6|sNrQtBY?i1_K#raVfv6K5l6qN~fz==1hly69KKEx+w>c^^eu^reaN0=n+fs9?k z*fi59*opzuKRTC2#6coTj0p8OuYa_SV0oTldkJ=x`Zu3PlALcf!Ez{{rJ1Pok6uTx zZJuE(2$t;`ww7R7o?&Vmh++~=V==AD%R{BXZ;D<-MKlu0*-RD<6r9<0Xk7xm{ zmfyIQHWDLNQ27QF@7g1djWd^u0js;`;i^+)*B5PXPOSZ@ce^dOy4B@n0XfavFEUM z?}BB+ttak^(-auecpnlCWKxOAXZ@BT{KpD3YykF?#YE;-c{aU|JwiSDULW8mpOh zqIa#5i}IdL@Ior;oLtn7nxrah1zX<2nH07ZW~WY~taGHSwM<1uC1s_}GKC7l&L!-X zX%hDL0{ctCu9vVCnjf2df@q#~0WcGgm}ZygJ~r7-uq4m0Qi4^H#6-5pH#{jRaP%+z}z11Q)Shpw5hLLHu2+bn>ge3IyMkU_DcwXY$a3Ay)K*hRoJ?{ z-FqBl${rl2>~X+C58bS6u)nD{8@YPk?@-G>wI`eGaXXc-!+fBz!+ut#z8gK_Fbl+A zCF+crc2DX1C>4{D-N#+=1ypQzSG+qsWnD-#Y;)Su0VvFw=3Wi}mWWgF^&|U`?bwC* z!FG45mtuFnspq}-8ey=vfx*GMGRUa)!K!o|WmIPRx1XT}i}gCq^q;xrDmN^Ow<`op zoqBV7eMB5@pzf`gR_t&8%tc+}qOf^o+JqgEifL0-HFWCjYBKrl$B^g-2_s5u*IN!i zCY0f2y>BszZ8nK* zstE-64}OL|pQg{eOF!fdGQ*XY9@@*K|3svJ)r<>=E``=nAI@SETkFBZE)6K7j2{tJ z7#K`PvgK{NX#2yLQ1g(t6Mn2?9q?nl)4a1!V(7t7cbEby=bz2@E&g^<$BTv)JNuM$ z@qYU7yaKE9sSkoyhZKIgBRAOV=C=dV6Ku~vv|*ZG<J1FhL^8<3m)(?4TLxbPN`DZoJRC3CwPDOpJy9wFw3L$?Vwfo`@(<4-}V**O{ zUG0yOv-?rWe~eBj>Qi!tkjr*58PP!2K1U^2O{pJ(hTu=K0e-e2&PbzSVg{fln9 z{Aw4IU#;oF%?kmo4sWtw`=j*>n;sZ#UcUCNDJM5YUWdo!%yz5ZT77K!@VvumRk0U; zjH`U0fBo)jKV7tW<-{VMo``-{-HMvsd!a?I(b%us=}T3TX5A}8S6%M6(@(33gV$>1 z!<`#0{B;XX$(P&aUaPfTZ|w23H+ii-H&nm&>-bdz*)u(McwOx68y&pZGyO}`cd1G6 zvL5f8TgYCkl^41;boDP{uhraZjkfFK z>*ldb>2qJ}*WRDdj~!$4V&m(MwOz%Iu?ZLcZTfDz_OMk>w#R|vr?hWi1 zn|}1H_4vTtS40)N!y9(HtN7J+eWFrDhk&)ql`4)+t&45?VAI(YY@gP_@mB*KWgutIfkI2{Z0AL&q*_{hc{^$ z+)eLu<`t285cE-nnNs`zO3X2=GU}?@=Ipj1uMpA>pyK zq>Z0==RWv?f7+MuM?E{ZyWf{jP7LW)jS`Ncie*5!0fb&#{1fKE-SoFy-tWL4b;sI?AqW4=G0bQL!p|t-hg06g-=Kt(gb?4|Z~How za2p7Q0j9iBbJLQxmU-t6*y^9~92`~y#(>By(9rQ!!;FDISTGmOEb}hzwADZDJ|N@* z!TKVq=<=#z*02Gl{KY`{2_;+t!k5vZ>1W1v_xoxKN{9hMGD;`~!s&C~xt(72PZ$lC z*E18*3b?%b{sS~DLlbKid1Kkbb>z=z4;!)}z2gc6=aD-z~`QD?xY?fz*e zfv_1aulKzJ1h~A;dJ2xa)$r`iI_sSq^@@MOeSJ~IY?Sa3I%_Eqen1tKDB)co?0p5T zfXnMy_{4q*pV(g6K!_Y@${#g9E$M}F@7y`Bq7?&xFb@c4fv^h*_W)rj5Pkwe)J~Kz z1PF_Oa2^N+Kv)8VS|EJ-Dfj?{FNXr*X&`(Dgi0VB7-$Nc@pxL&Kf#Bz-RO(q=*{QQ zSwDi4Rbchufu_6_K)4BnpMh{Q7Jab>BKIl~2BL%~(25g}r^RG`=3TsSi+|exKpr*$ z;l4l7%tpw=4MH)}4b)c@r0;B^8CZr=+FA z+39JTS$>C6#EWX_{)kD>&QA5@=al^&&3oz$ZPKr!Bdn{QVeITw=NZOj)_R6L?)O)nlW`f+-H?Ntg7Nic~)dfeglE9R5V810{J*5=4rYGa?)=-Mz+T1 z4#~SWRkVt!b8xF@HpXRF%(a0`l5v1){r0=1_7;5W(N~CMO?@hMs5i3dIN;| zXsWwv8Uhkd>ajWhzG2q$D5oLd#C?;(G-%dHxMq z*0;=((WjJ07iB4Fnk6Bu41K(WXwvw!mxpoSKd71P+2oKrL=mxq5E6ae8sbPC5;`Y2 z9P~*(HpPFRA&G%Ajb-*4mDV6}!#$AtGI5$cC@pad5?b743U^6;-*O^6#52r6FoS1U zCBb4m!?H=p9G+oW1hadFN%>gxak&0Z6OE_(P^&7*TLTYKQ|(lcQ)XP4@lrgF(Wj>` z*H}(R{{^Xze-7JOL5>By(%Q>kfM>jvlsntvO3od0-CzY_{!sFCQ7#{K!#>mzzr8#r}k(dgWnqYgHv+qO zN{G4zKUQF~FP~M$R&>C&5}wc5!9<9&I$v(nvA5a3iRL^cvdZ74<9vU4go!(*w>`oV zIiG>n>n+Mm?euUX<1?)22NOzlLka+N5XMQ z^%BC-dNI!LkZMH}oQlmPArL;0yQN-Kh%K}}Pm(#1+MDCzJx*LEwiM*+DX$}cBD<$7 z^)M!Gw7$$8L1RLAO{-?vjn>~2Y?o&kdp_Dc!+xNA+X$AV436p;{S{e|$4G+gb=N`6 z!%YNv>K{F z7O<7X=({Oj3z(HHj^3VOoUe~(7^{_`1l!-DK86kP2(x|%!h<=VLW>7ME-&G6$<1+c z@rocXG_a(NhBP+rPi66~BpsXKt14x~GvW)&vh6wXCG;***G8d=JCOF(aqdwh{4T+s z-h~9)B58^F;v|x2u z=*2u^re03WBVLfL3%gnA<$BS}%%OBDRI)fqG2WrMobGmKg8^bBKzI*X5O zl`cG8Pn*su|rBJ@s$V^HW(fHAUY_lT-D#3hK%2I8=*4(;!7vT+-8Zuo{Wie@aIZlS*Pt1*Hb&iX%DDc%o(q=1*KD6H3Fqp(Y%EGMG@MA+ zqTEsaBthxZe-C(NWnQrhzYI%d9l47b;~AFd85T)p>O8~R5Uhl~9+WbR(vryBsE}7k z858(|lIAVKK8Qpakz%3HM_wotj^a7`X&OilB<}U~`-U#PVOJK&DYY^+2QjaUIicr?pT#G@@qVOWlv}e={c$->rYfd3JUvG8sBWU!D{|lEV0O7je1cv zSXT^E4OW|L8TMZptPk*IM9bSCLw5{`q6d_jdDnXGmv@L*c7MUl41@L0kQS5tsRz<& z+QPQ--xW2QO(b@;$>(;f>wsM+8OH83Enq$jvk?g`V53>JvOCQ!AduluKA`9Hj*uZ< zY+-VrV@W!Fe&XsxEcr>vV@gleCird~yuH<6v}N0j@HyR{8~8?R@uiEGum6Bg38HY| zpdjr{s@^Vjm)qulu#s&3ByM*hK1n+Rryk^mrqMHuSs&vWChmbYf#qwpzI?@I&`oLM z;^2B-QM}iJynL;x6}fm^K~nOk6T3yw)@V}ltK-t6_*4JViM8SM z$wf|^4!mZ}A#%)IjSRcRdc`wr4K<_EGmMwq4$rVZsLXO1hN-UfK~UYN$y6u0^a#~b zElHfFJ?+d@G@0sV^KM1VJ7|OMI1*+2VzpHR&+zq4_FI?c?g5JRUFx9Ur4ABPT|twn zt^*Ux4YA36P?TmVJ&E0)Cf3BmOofH0D3Pgfu=eXGTNBlyasQ;o)k}?odDinTo^Yl8 z)>J`79kI+IQ^D4RPm@V?rPR2;q{b=Ty|n|2{#7c=>FRD{0!_H3a>H{48oM{w%T<=r zJnqBWmdX^jnx}igPjW9)Ob7Jpq=(U~gO6aKVx=b;eQTM@67GVirdfg?L6#vcv#dL` z2Z*}&sMoqR%~C;GhPKR-TTEF*E#0Lo3NPA-t4Fmgia!%7ME|TKCJNlxH8ok)7i~K6 zIACuIGb6gpIfVteOk5%p$2Cc9&8;9Rte#;t1S|0jyFoDB`_$kTWft!ROkBcg340r` zL^9&s`C!2O1aZwB<51OF#saa8_a3lIP9sqUjbjEK4=gOjDU721vp!+nr&ozLYnSSQGj1N^w7E-d_t+}3fqWQe z6!9;t3+=o$OzlEANwh&xG4^@zMv>wroq4Z>&0-4J;-*_6_Cv8!Jx;`qAYvh$CBCJT zylGyveEux2_!h);hMw$2ge&<9IA7w&lrK(dq;Vpti@a&bsL-&rGw)sUO(zP8;ie&M z7jM+OO9EnkjJIQsyhI#%pd(Ad_$R5CeO+Ic)0eicFDxUav}Zp0?quRa81doAG)YFr zvy7N%mvZ#ovW#S`D5WXe_CAqv9*H8`ii~9Hvv_vtf{>ANEvc`g z-k@E{mnHJ`qoN;VtviH5{)BpPsWoO^v5gwHam3-e(Djhgu> zw8J&JpAeI$#g_{tGvi%fww}P3w!C{)w3JwCW_g$}ml((A3#0p;qzpoUifT#7l>Yol zth7&4!=C{?iqI;ZSP{-^nbNC(8R*1})FHN3Mw5->Gb~Ons)83Q|DmXRSXDGB%4Kj= zg!A@bRuk9+2RQvW9YqDrn6c3AC?AkC^@*%cd+XZo_{8<)9KLKnQ4dJ}`T$+Ov&PY* zeY5VtkL^qIOMs4BLBaTOo^Q}d%GN0+<`8x&uAFd|4>(&tY$=Y)u~+ea&9G06quY0Q z@e9=R7JvC?D1TW(U)Fzl2Ys29i!UzgPoHU{WtI6bl0^E{T$C(jys0ycL}D9wEAQG_ zG8uM{N7y)q4fhE1V_2+5See*!@(9ah7~h3ZXn_r6i@@0KWRf~CGU`oGYCTV~K%W#@ z5H?U=8)RkM4JUVIGMw9l`pq9nS+|j!Y5rfTaH-Wc_#Q1>Snnu#+sAZxWBXM}tQ_WgZQS z;uXtx#G@jqp>7`8Ts#_stWD>LdpN6=vmTeS3LZ6?BXXmkAvvlf9SrvmEgpvGX2jju`9u!aSPc`tsk*5vOwMNRINEMC>KuS%t`Kyd=ISRx? z85@hh7g#~|CIwlPX7>G+q@%55SxoJ|idxPN+I+`OX?Z0X)9d@neKCJ8^+h5xlq?ZN zUM<=#)8w#y&|@?R+Ne_!nimozKjY0+BiPHYxw**49QfUF#B!g zi9$!bFKR~`EL7~KOpG6=Y$w_U_7TFal(2DG zu7Yn`TfUG+jkZUgn=eU(t?)&eu~HJqlr6bJ6u?E9Ef+T|jn-LK3fmD(b3Iu#Fmv^h z2$7Z7M&f>(9($>lD%zbhFU)_ ztZZ!T$)i<_<~S0%A5O-(o9XrnFdeJ0=jkq4QysThjO0v1|&%Hd@XhR|RnY-Du$Na2%0}h} zmKNBxd6h;WYbQfb@FK@c-Kg+7%HZ_7Hm6J25qH=$DiPzkI#Hhv6+4TNE zUI<5Ft&L3T_TkpP|Dwib(LEt2xqoH~PqDE_bEt2OCy-b>OIsyBOrr{{fk>KFz^v`# zuHbBlX%WV4?R06AW^3QeMD;Bmw+d^O&e=bKNsM%t;987q6+N2SlEhs^VoXaC=cvNc zga;Ft#J(*ns3jg8X<5NGs=&z=kk{%A-dKz850?am-&Yb;en0IJOpWgfQY$Cp$qiyb zHIJ>^OA@v%hkw2<0h z*Gp|EI!Ll%D?y@*KpPOce_;si);Q!-j3xc9P?yw+?CJ!!rax_TO>J_7QM6ww(WMR1 zOLgm2$A+S`>`n(TsH)pZCnc%G9j7la?u`hSW=amT}y14L=!MR^W9EzbCdr0;<#lwYmvB;lvYL%9~VhC;ik&6~r{D zU>n!T?CpXU%#ENer{XD+`{XInN(15Odb+y6Vp>fT?TsmIaEkhuuj>mPslI+{m4GaS zFNmceH=GrSa06VKmqF9^?NI^HGs1km^~HL{d_5VzmAzPb@o>8xd9jAE`FiW+2x-J; z2l3#YM-AGqn3oFYyZu6ARM&|Zvl@(nR7JKWscPypb-IrD>f~nkY9>{t0XIlGZxLg% z1JnVeKV3_i>>`uY+ud~U8!OvoJh9b9_#wTvgPCY z!oJd%O(M^KH6PUs_?4(BB#L7Kn{`G(*5Wb&fJ`82NL0(osGywp!xs=c%bSa4-)C7& zI-%^~!;+X3WO1hXB9q$5E@F1M>vS198E5N#J1T{gx9wS!mg3tJ_E=^+$p`(0Xh}I` zHYzQFk4~rk<;~ng_2pHh#F9=SN$k^XENBPzBC?6rR%*(W? zmB@|!r_@OM#IrOOD5!aSC7iipTq+zDkR%@@I+FsW!B)<(cLY_va#v3!N{e;)0JHSMVpU!jFhdFDf)**QT@bEDbrGs zNtLGJfd-N$ZgNO#Nt!;Zq9&V}o5Q3gj|e4cDq(4=kt+x~=ccM$64&B^L#YDG&&U-c z@l^krZER2c7EFcURGL~TJJL6ZRx-hvN-M(-irJ97=xzJNJUN{tZ&|kZBCPIIX$Ez6 zw*7xFgXX-zXDn|K`^d?hH)s@|&yS9HTL8dUk0y7{r)P*cbY$xx`?IV7m>EAx9L;%w zGd=Gv+NA)Jhjb^7-XbZ@m%1$DyoG+Uvy}ElmmT(=YQ#loO*;OGccsSG55;|a&2HSs zPd~S#I4G^+`~Y~#4u8mZsNa~!&l>c_b&EP)F@){zQ_|IY-{E-*=g%%5nECgcU( zh>RD@hTjI+jAvk1glx(kv1zZNS4c=|Liu(d$A}|%qfd8_McdJ??ToaP)3VeG{m*t^ z`Wy4g9ix76w7wbAy4DhGHu|R6eUUEV^cizQ>WF;)n^gSKam#BN%gh-m27Qb{H_WVy zF<3d(-NT>nG5%o;uTuW@HM={tTCK19azRzB@#C?k3))U25fmVQZ%EON=#RfJ?WR}_ z?|t2RbcxPdr(G4^X;iS|ro*txay-MgLU&}spv-Vv@?KMercY?Az52Rc!$RWhn^JF{ z`Rvxrpm&EpUpq8U^L=aa*xysmRcrHyYdNIC%et?Bv3`X|vJkc5LYIPz5w>y>wV}>_ z(^&kdA4hGtU;kc@fOlOX6=GA0rW#!#6%M5SxYg^72&u5R)$I4%J*XE|EO)MYGsG2A zVYScKvFpWKW0hTd7lii`4}l?SgZ<{TNb%MfqBgwuR)BcUEbmx+O5A;Zt}0HZ{rGNz zcx&v+PpcUQl=&?xA-TF#cPM}BI3eML|oWF5f>KX zmj5@nsP(g=)3LEgi zSI~PvcmxRLKqvr$tuvm+8VZD`fp8HBH9#l>LJl7IG9sWtHg4FP;_wO?A}(zB6$rRt zA65qhClDM!a75t!u2BewkO_pgcp7Ul5GKyWGi7)I%!n7j?1-08j2FO4HiO78APg8_ z3VQ|!l|XO+!Gd@R$%vPbhd4G2yklmQ{X2aQo>Zd~bj1#?m&U+ex0jvC5Ij$+Mj)vqBpM925Qa(;(Ix&gUW zznWbXJ;PQJtjsfv%j7Gve^aJi&gZx%XbV4wWeS*$Q$3%~(v{vr`tBeqUR<}mMD)2P z|J@}a6{%fewY=fLhDcI=6Sq3HcC3qN&W}Q(G#VAX+_e_lRmA~|=rqIi?iPh>ojJ~W zRHs>*7J?{jvuc8_s83xaL=Hl=8DGH+h?t0kBSawpi0#?1zHtt`yS2Cd8GKdV-J%Wy~;qOxZ`hq8lNNwWCivueoov>Fj_FypT6J@B%5a@ zuO;hZg7qO@+r_ zTSy(v_oK^P_if7u1f|h-$Y>llIJACK5#@GU*AqQf=;zv8oaok!6S_NQQmgp2t=vyg z4Rb;oUFPJ$_*H)cb*b-%S*HBlx=!Q0ustH={Ufol_L?QA-Bk>gWx74iuEt`G6z-!u zfyWi!eswaLO^3s&-u!G}(nZvwdr@g;z5hk+%Dbu%dH1UC$d6(zY1%pJV{v&t{0v zWSf@WfT~V7k1~RtB=6!%HF#&)(?CPYg(0Fs@SsyJU1x=b%?n90YkZSq;BfCVQE!!V zIHer!$O*18`2ph8Ks9tnvltCyJJNGg`o1|J$fYH=5P_tmZf&HOV-$=klHC;6BaFd% zWU4PcaO^6-iq*hnpos}>8=eK$ZnUJ{Aev|COZGIXt*jL^e?WW?SJJ@;yTD+Yw+fn% z{0qf336G#qG`250Pv#RvR+Z+{D$Qg2GR+nhL!#MLel^i7%IA?RXiiW4T%q}vN^_l{ z`9q@lmLm7|J&eIL=L(uR7Dheu!8LJqSbzY31!F#;lYX-%($ClI>|p8)UH)E0y|l?8 zqPB1ZjC80Hx4(<8#*Cn)FJ!9khV1LWZy?%Onn_7FALFZPhT>QKA2b{)|D@r7Hy>MN z4)gQoqB8J!Q|qC4h%0Sqx8pj?J2;vSvV^AXR-=~MlX*P-Mg^Ra#*=f?Fl5_1v^!<{ zzD2fAYH0Uc&)FPOHf5+i)@329Tq0acLz;%+4l_nmn7TLJ#vP9|8! zze#7R(e<}H!>}5jCAdBco2hB9zBO~EnAv!d^3jYV2Zj}}j0-XheKa`);mM(OfrapHqO%BVe z+T_(?L+Ym7UfGHsZ*2_u8Chr&=6PmLFy@)B6Qw2uFLO}KiboQkhaq7Vk9WtF5Vd9z zzCWP>^n~ynp$diqBMxUOv}!QTC~{}*+CUuS`y`R)s0vm6yI^%B9s)+(l_t!pViM|% z5F+Z5BGi^CcwpZ3)TdcrM6g>l&_wkzX$)&5Ho8fZEREo0e5Ee3l&WyI+xc>#duppP zT?b50$3(8`)nO`N8T?d^n>3ilYP;zyaa~9SN-%L1a=RJkN4!+qO(v6j>aS}y^%s<| zDQZBO@#Tt&((I}03e#jPotw_fsNZwq5YIfTYaz~gWIhSW%!o>v+$ znT);x%kV<#vD_)YwzioqQZ(gDxvbc@FRm(mVFQ}d7nvFK7GMPPTYZ&Kvg}sWv7E)D zI(;k>8qW`GIFW>9MOwcAmg3x2LFpI{8ao(io0F-t8l>U0vYzrsj-vb{C_jyPNltUb z%dAF{Q#;F|DhxRptdVE_2iOeHu;WzIG=hz5Ci^)V4Eu(JPt*rfRwHST$HLc;@FkHH zX-UW>*>}OlHGx%GhIwnz{%KFks_gq*Ldy6Rof%QDa9#uLp>W>SaGq{jHk_ZU{ahsy zOc`RxG*am2%Fd(*rk82)`S&C!e#NM(6POv(X!U zC7sjgech6!nBCRQ#$JPnPQvf{Wa7+5F%R6!i+GOv!m-(`c|#@-`S#3XixB7 zrBPUvyH_y59N>aqFf@>-qqH$m5qz6CR#}Ii{}ar{t5BS*9nu(~57rgKz?mCkl*Z3G z1IeIi3=;h`B+~df!>?}tm3xz5?Fcqb;hlX7zw7D3NTq?7(N@JEjhHpS5795IGs4Jz zc+*-e=P;(JImS0Dlb^EI_>+J|%%u_5lj>Jyt~SZ>FZX*p7fx)`Ar;eV=c9qXlgeaf2!Wn~iv1L?JaSqXZ&{{L)7AZ2($^@oa=>3Tb z%}#;AH2Vpfo4y_@rWQd_bhk%{<}i}7VwL7vmFDPfOtVJCkZ5+5PhJGB*GVOsrMiS;veuht0a$Wx?;%=gP1>*Gb<~mgBJtt~5_zAc-&XYPZQZK`<47`cJ{OD# zer4yO_FB_DnCR~O7H}9%dc|KM5lRhv39XHWS#yN>cg;OhXK&U8{UrC=77{Xvd&)*jU+a5Fgi5{lqPAmC*G|UiW;+=L{`?;C=TIi=oJylKBTu_6 z(aYUyX*Q1e0>!0%jimX~Z815s-p&|;wnvZ!E-x7bOiycyh!u)TuwY-SY;-X~*_(%w z_0=vYM3vF8lgmj)^SKG{j?MEH${Kwp8`%%^&{K7deAtI}hj~fg$_n3a2*ReGd36_FH z8Pkek;oQhp)8`~8eq<}+k&>J{X$yH-Pq9oa7&czpF)u7Lx?Oharqp|W)^yCPD!-hm zn`@gh-Fn%m*b^tb;*nd=tSwjACt1tUy{}QRjO&M$*2nj9@j* zRu|E|8OEllD3fRU^aj<+&JJ(7!IpJW#3v#4+Gv16aIx>29S$qoIt9ZJR<^(T64~6; zJ-`t$Z+=j^L`>^qODTIa-%6$fiTM3Wd6qrf=DD46bz1P zU{o=r4IEebS*XPIDz3DFbA)41@upLb+R7Y3^JCP?g9^>|J&eILCkvXzGO)t$~oxyW_f%Gqcq*_~}vJhCm}Y^K{SvOQfv*>roT zHd>J@+1!#?=(Cw%+(!q}RSM1aJ&eILx9Pw!LbnmkW->XO(>zwt978l)Rhl=d zG>@Il!ErPyhD5Wg{6eBxlrPa-TQWqUIZvgzY&6qMCpv1_6#E{=V45SPfG;UB%^k`} zRtImDWVLEs5(2@Eo+Qia(waTgm;)pszf&!WtftC`QN#@~O#1sdQ;^X)vo#t%8+ zYv0_^j>9Zov8?a#$?!AeUdWLDP?R^UN8iX*Vy@1gk!+EA4}{Hs)Ag5o>8_H!9)s$}dq_aV=F#Q<=0; zmT-BA3X`VJL4VNH&97XGd@?Njej;olx>L4vnp6Yy9m!K0z!K-?6YAzx^dLDe_G{#+ ze9-Hj%hmDY-?Kf|DZvPV=qb|~uq*Mg8OxFZVR*7tS#6(Mu%9%7naqCO86>O`#Np{Y zO`6#eu}}LZ!FXNJ6Q5XEojum-VSTzVM3jrSDK}%V^*yFzx*^PBnow?ns<$tVX}niG z($GJ_wPuq%C%S7D7<#UCKbI*Y;@qXw+Qb;}<$L;X((u_&mZq3cq_dgq4TIG3C5>R} z#E~|5??F75Eop@OQC)h{rIfFV>w7$}Ht`KXP98O}mqLyULqi8*+s14HCBgj8P@X~# z-5|{@7KckyJDFjb$L~UN+x9aO)^qEArejqFBlLi~d}g7`d=Sivp~hI5S+2(50H)jL zZJ)L%Doi@#$r0r2Bkrh=cS~nH$$|-dmr*?4t(@`X(1Y3UZRG{1m~Qj#%?`zM`{bZC z>U5izyO+p$j~d+vpA;1aryvZqInkHY4exQ{4Qqa6EJYr&uJzHD$|RaiwX>1vA3{Pq znb_D5kj{8^yU%zMt*<$n>6_rM%v6Bsek2(=RDf$MvtVxzyZ~7D!bThur7cnwRPfg! zRvtO?L4NZst&+hH(kQPY4UkOAQ5@~yHDl#yC&;JOOQbPeM>~<$xvCuW@-x}_9cl}(juAErt@!)l00En$xGn;<#HwWd(k86^fCqB9~yIchPwH%nXAv0XhiGVl8jbug@X9e%NVvL}vn zaE?Dsv$>=7(#?=0|2XFu(;(>goZyqH=1d$ioBGO0&8*?Ra%u7nozuS5kb}+0{n#>p z!1sGUXum(g&|?sY{4G$h27@nroqFP*%fGhedsj&T#n%^goKYORr;o1pitBLS^trzF zgP@N?Zk^ka+h@Py+X0p_^|23a_`Lqy<7e|bUHo%V$2ldd_w=zY_Wt*>*dgEL14{aEE<(+9uNJ65S7?F{%c%E!ygE3I7!`&@dt-?J+HU-!8j*#G~* z=W<|w_*`1?u9Y)3UXwdjBYZCB3!lpyU3&-7yH<^pyKZW)yIAqaPoMVkI(9ks*Pb(j zRz1G@!w=_F#a5p!GWoKJM;P-?ithEaCe2z2$m>cD^sWK91F| z=@IZ(0zX)FY`8OaTBFM^@x1oC?OyPeqz9`ew`x4s&g}9_eA2%Bt@?qYietLtiEh`& zi28@!u8)6MuDe|y|D|8^it764JT^mdeN6TG?hVEDv2}3cWVh?%O8fG+74OO~bjPPF zRa^+K-|em+{_|I5JU|dD# zxX+}0CF8&#g3xPd5 z-IW(>EIOgNpj|kzdtK`oQ<(0x97E5+{Y^=W;5b=b>TSBQ(Lc@Wj?nbf-f&uc06vKY zKzJGmct6Q@1yvsB&-Z z)K~qze#S#n>GQk$jXMW~d{mLFQ++v~HPU>NxPqo@dLLJruCZR_6-|HrKFrMV7FsP> zzC$7%ne^B1CD<*}uPtG@1Z(sR<1!mO!?;XdW-4VmMhE$KJ_6$_S@?1WNWPp?1r1!5 zjp=ilD-W0E7ij5~rMK9Z(`J@-ewPdHN%qXU<)P6_^mn64@m#2#3q{=R(Lt@)p|m() zKFm$U&lARTz|1l%5n^n*Fc>(qw5_G}!msv0C`dSU7-9S=CWUQtSk!QvTo=%jO<`3Bm7 zT}j1)7`C4M*)Dm6`LGj<(=&|oUGxZB&;GEy#jey>r}K_#2Npk&EuESsR-{rY7Y+BACYI(#=e_C45YMCWp$YvdNd{xsd*>h=$-7aFz*xzb39l!c`Nt4x2%+8W5a5DH^X|hVu zM$krd@F~Qb8RCbPrrp*y6$@amBnzcpl7tG69_?80T7MqpD0;2H&PBHE zDloRE)WW3PXZP0`tXk*s#W?h|m5M$mh6G2<4=R({0P^K+tWW z_U}ich?7I_mz)hUPL7)hY`mgK9|uVpBe!x!bvMYhnTqS2D@y1Dyn6+W1B(%ZWBagc zn8L^DxC?XOE2wtixH)mCUq2YEk0@)>g%6+$Puxj;U;B;G8~hGjq@~nX%AItAa}TB5 zw3)98z(dF#)tz#8vknd6o_mz2tx0XrtqY8}2<1?CRuIon3+xd`sL z7=08NTwNa+o2;2_K>sNgTpRI#0iRQae20RRee@0%D>ih7E&&sqk8|$XF|AR?>=^3( z;$co`hGLD>mcZ}mF%Rz(}6X6+;AW=jP6M9_E zMy$Vkyor|cL+yKeye4JbUBxY)LKz=zk}*BjhKydlcL6(D7iI9pqF)nu1sw44#y#w9 zCn1BD;+~nH-eQWiNGzxc<)Z}NRdjxbLftGlLM&K7EU+!d>+fj1CRkyC!obKoB1ukd zw9RI?B>L(m62oeEGp!3gxf!Op6ATM`ff=?$ zFl@VwMeW0a=k!KN9fKrltb^@DO*T_QQu}R@Zoj0<6w*Xlc%FWT_QK4tb z#ZIN`h@>ELTr09^KTn2Dn={X_0h(f51|6)}5~gmqhq<~F&iJFc)mvc_YBbO(shhN; zyjF#Q?9@%g@m>qS2RP{e<(HJqpW5~JAC-(k=6AaKyhWoupT$LHQu=q{1$yx+q2~Nf*WkyyMW^w0*JoIVUhWv^|GVqE! zKS8iM&#(mqyXqPCIKkLur)8P*3AXL3$NHWmSPsGXMg?dQ23~>wWmVHubmk&zscHk0 z>@x5=l7Tm8Rsx(S{i;Ow^@|;iB2$}RH zZv!c@oJfBfpWLLMH5-;;Haj?$7g7WAypr`N(~l&;OyO6#ji>Pz;N9(3SVow&@N<@QX2Z#0L$imw9w3Vl}mg zgN(O?F&~_s^Knq3ZOn%jWil+=Gi)W*m(>Ke$XM;ev;CG4(!*STrgF2YS~P2sasNpQ zpLen;`jWpz-^J1Pd~&FC*b*Jy1^3harD%J_5zC1xrZ|LK;~L?3m*@eGpPYUg=qbx8 z!ZFuo`A?UWgUHIka|}(gwn|;guLX6t!k+70(#AW~*8-DpZd;s_H)s<&JL&Ph=!qBU zv{y?P5l^k-2f-{Kpo?_m(WDGBV0Wqoc1?JaimlINY#U*Fj*stmkXXlY?%9`AG9fHw z?+|Pu4Z&I-f~rkw8ON|Vf^7p#`-y%YLLF=GfKCWiZDE8L|1H@m(wost;S}=({elT}$ z`#M{Z9c6%$RQ60$+DxTcf-aM}ik0{3|}l3p2pg38m?QF$NZle;|a z3OF1^Mom?P@zjg?v}y=BN*QP!qlm6z_FwhmFs-YolP!SDLUlEh1$DJ&*!xsH-85{O z&yNLlrAOE}u8$l(cl$_D)7VdV+Z+w! zIx9xf@FSQ(iPY!8H7G=35U}4&0rvXUMhQEPu}c`6R*DL?Vi{FL|3pftnp9&9=$6%V zMKoLcyU4}S*aGIHe07x1KuwjVz=~*oneD1)*!PsL-ZPA!|7!3IYWSsp^&2EPp+-My>_@o zblhe-!UY`<2|9#*M_YG+W4xQM-Iw%WA!wjZvE!dwUc)J@-J{z6kE$)5{sknl$7yUp z`?>0LgR3lMD3Z5=#Ana}j zelse1imGDtuiMBFF>ncg5>>QWjky%BDr2tz0e}>r408fvo(;?GV-2-Ab|eQ(TW~hT zxA@yd9ltWH*x9F~v-i`7=jB+PPkj)yJf!f`9g)FlAD$mjZ>k^i(1v)wi}TMK0tne2 zNyy^}x#vbgp4$e~7a z{ehg_i;y22{rRyzCGQb($g70hEgHy?^9k8GseT9=fRRU?n^_k7fJXRh+`_?QdwaE3 zlb@#z*Jv!qv^q4(oT#nt`f2S87Z*i&Ypz?CY)o4I6arqQEZ1_JstLMdt9@Q`#i{C4 z)wOr+r@~pI(td4@>1jVrm9g%^#TyTQHz_0r&IeuKe2@?41M>xM^;|ne+{|4;GItX~ z*IW~8^~bP@A3-4e!!7ksX*z_L$TurIK{gsYl$9X2Gks>F7K z&1WCB8>%dR>2%R_ftF#ub6b4_Sb+k2kzk9955#K5U8d_TM=2vge%)2I3FN-c1Fd z1`m}zla&0O5HjIs;aMJ8=Gf0dkt@Y&(CS&{{ze}8z^sWps`>c9jNKTNG7+w7OsD3Cie1eio5|E*J+!FLS}l7&cXbb)kHfX;>#^e`19p zosx`miL$vyY$FxqBYc)QL_ZW5&V()y$E+~J;|TB)a5k8{!SUPyTo=IkrL1cAK@ckF{<7r#rL(-w=rPXmeSX_2~aiviu+X z-h?c(-y7@E*y&}leuEoX(r1tzJvOk zhAo7@Ruvq&K7vrEhN`l>gmtTxb?dJlbZZ--Thspqi8nXXt!;#EWjSvNQ{}uROqFv5 zmi-Co-Px>nqo7;Af^JPwbSvxK*{pY?Hl(+@2w0{9%ObryN5FjFV3=E9zr^~Qb#g7~ zyw^Hzbp0bDl3WZr{>TljyhKZ2uea zJpa>FL9>Jg^TcJu@mx_fVrAEOt*}wbg->bG#e?iq`bfX$+V0l3EenZC9Fy?%rUV4% zyuaO*){bL)5i|1F_L+$9Sum=yt9fRd>I**}m|T(ng?+i@gWbNzb%U-As_$wWorfdQ zxCA&$&YGHa@%P;Cez2WG?9XFgKl{qw%j=UHG?V(Z+NZBu{X|%t@m~{4>a@cj?=&XZ z5&K2VD$BK3H&p1Be%mE0+W8MO@qiFdAugI$iwZ zPxt=l$FtMo){ktNk~Ck;PD|@ot-aLGn~kY=tY3HD{*_jEzIH7PKVS8=j+YlA9Kf-3D4JS!H)#rr$ppDRKMy#TUSKRm)Czi zVY@5n=figuzW%ln^mAg(%^6A+%k}Sn*sGhXioq-5W|~}8yj%R^Kga(ls%YK*kHhTTntJ}MReqOk%F#Mnet?1mFd{uYGee+J-x5whD&&FN&Htyn& zao_zJS05OEqjUVvcYplScI{DJyMPBAU2WgIfqof19Q~4GSPJ!41@)GHz7%0Pq2BE9 zSFPy-chuSNkvs-p(Uou}y##MlxM3zY!W}gP-loZefbax7JwFG+TR@nBFr5Vm^tl4= zs4)oiSv&*?i-GVh5IzFJDj=jIj;8@}JX2hOK6R^6#cx2k4g@C<%7BnZkwD>&YKn)a z=Q@-S0zb`YczTXP6wYkK@f-(t)O=T<&%`VsbOgc>AS|XZoj@o9f&>1lH3;;n%Rv?W zfiMpUp92B@s>zw;j*38^CituBb^~D;5S9bsS0L28{8dwWg{FUs5=!0%!b~8%3xr4D z&{}o|5mDiDpsso=9MZ2OyWHU!wt`^go?%=j#}56QGNpK*aOb=ys7=>O*dE2bTEqvf(Dwvo z@$Bf+(Y;f@6ikO<`T}#ISC7hj(3S&2PK~a%^hw1Kz{xukgsgp?UHcwC0zRxxfWV}SK+9BsCTZ09+_IQ zkL)sXAHz@k_@~~9DM6U_4hBiVW!^ErMgp*iXo!(`5u=<|1PmqyvjBu##7AlIbx6Q0 zv_YzI$C#5MexB-83+CK*e2YYvg+$uAaKW5pYYUib13_u*!GupK2kn)|+m*)35kC(F z+yev=a8y(;$)*d&^5-T^Za1GW>Q+z{?sijDPqq4U1moC;qIz{t{$K*b=sv1Snz$$? zjt+WJe6`zVv5uf(&>_mPf`Dm=mukD~PhZsjuEcRT-z<*90w4A=e*RC$ShgZ#nvv&Y zZMu-C8L*EKVF=Mcm9zHQHG@#L{yUOOdZR^%J(%PS8%u|StmKe# z;AP0|QcYR|cE%7*K#pip(To#0B2;P_jW)Z&2`PZtmIua>(Bu{p1G*sLy+CJZk#4vq z_Zc`5@4Xr_vN+bD^NGcq-xnx|Qc{dj+GPJApU4z0Z?Qxs=#zuoCI`Ml?X;1|=eyg9 zm`=tybnDhr_@$Io8bKL1sXJDA=nh>6Rvvs8JNFc!lE*QHUs62FW*1PSYT^hMjzrnu zR8A}G>)DH&9h`fT?+v`aZ9_B$=&i4k|7dnuG^6hk>ZJPDk(6vfqHNqj@WoZoqZS-{ z+%Dmv5wGyTDc__#>R>LZ7|cO&Su`~)2pUxTMRAiH$D|zQW@WM(yqfSNeh$7)+_fN4 z&Wtc@#Ldb>fluJq__~`&HPixjFxoHOy*w1io}ZN}2I~V6Rl-&NQj*|h`AE&Tpdc=*HSb;BsQ%5jTqs6fHI-YM#TGfDMDn=VG!0>a_d(*~PTGpLp?0bWvs^&qAvx ze<|mGEJ>p|U{NpiXX>h{2F9SPsIE?A0m@8d7)LDK)l`63Cua;3M#UavD`CE=Jk1zp zC!UHip}_pjm{Zp`u2`$r^jL~e$C<%h8oFwBg&-1f%*uozLwU|Y=|hX zZ_&QK94R-iO*MvuY2>8u+=t5<_poVXV`^wHDWecpK5T|xHe%Q+`utq(2q0wqbqgb( zu6Z=q=a;*jA$`a(BU6tPDo#|ZELBzxX!pxX6m_mxhi#wQu~%X>!zKqWKA{;F3CBfc zLP+e&7g*R0w1!lTa_stE6=nPWamw~yi){P4rck}k&yd4J6QYuBzW)ZOuyvb`>&Q{7 z&8SFBQLgxf2Jd)39GNJNXv@o}y5e{w`r$~LYqk~oYHIagRF4gbe6MAN2(CDhPK^Hs zHr_L=kZNk6GJEnIqMrM%$Y2r=m5nYKYhX95%3{!& zs7pm)LABw3qJ+pIBx=Jo?JL}q_{GSf1fzrFh&t|c)sacSGJcj}xY`@zzIHn**f?0D zr??9f$4PfOEP|Wjst9uvt)tl!my)_t+y!Ig0qlf}D5_rTT~So~S5g$!09{u^QLSko zG?zLP%QM&>-b!>snqE`sjt<@OZR2KEN%$&aG7DxhNr@sLiez8a(t(#g1cNVPRuoP8`XLU1+r;#mi>n4;bN0(fU=#nRrUC||_xbY)- zYdd={(5-tYPcy5%wuJ6y1m6YOjBj+SVOWaUscFz9b&KmlkL!Ad8Po=ESt~B(5Hs{i zNVqQuO%an{#bus0#BU>#<_hpJaq&$=AgDwMeA~ac=Ou{rxZR~Jt8J&d>|`kt=iX)! z+iHR@ks>do7I35nRgsH;7JnID2S`}$9F~D*R$4S55~tP0E5vu>fU#r>{@g4Qr--7M z(UBVBj-n_DPINc6Y;#C({tt6+9#&a=9~wIqVjg}Her?>K#j}{%?ix{(TuuYL$jhX`+h#_J@0upqWAg! z{`o!Mo~Kt}uf5mWpSAY9*V;ym#Ok&`*=FjIIPVUCzk0y)fZD(39}wJ*k1}u4mLky` zI4;SY+{_CkqA&))*N;2e)E8ySC1zeHx_ipowSnBJuOuq^GRykDiH8UKiZLSAjHvli zm<$JlL0sc{BP>*lBspXfXD5~>_ymvAmFZApO%5sQi$tyEYZPpH&WM_$T@f{v=#)d1 z9HZ|lQzAWv`TUF~5uA)Ry)2d!2Yr>U$IC2kn~1+I(`L_Kkv%8j(cc--DT~{(BUxr* z&z~R^((`K(HJ#wR1tFb1XoUh#crmEuuA`pR?)zR%zutN z*|mji{20wir*HtHzavOt5elO*`fn^@nikV?41Q8ZWUV%%+<(KLGZ`=|I8MjfHcFyN zI4LR~W63f+X%y6-&Rfb)5b30R;jM)TjcQj)hyw_5==8b<#}=tiZU06 za511np9@TzM+3^KX+UP8zV_u9qMjgjKbE8hrfXj=Bc>5G(gH`j;Ud|M8A6p#6MOxJ+r!2!y&es~G{zMNOd^I!PfJy=z0F*oH3 z{B7wxjXj=959tx-vhMduOS*R&M?HUu)IO_A;X5}pn$)vvO(dhxGlTV)#>*R-3fvy z*^~R2;>Ze68|Ab#ZIsi~aC0HZ!yOB_Jr_)!c3I9f-RA+++wZ~7jlN-aO#^chL9uam z3u^I$Ns6)t#^V=z4i2uvK63% zOaXQNWSf(TcR2eyju$5E9AVa*^@=u=K6n_MiI5i%C|vGF4Q1B3^9#i{tQ1&xVhVLqyrK)8*kg`U2vB_et{j2YI}J zk1|Zlc$HH}7FCfLFP0UEsKz2UXO#r8A8^mKIsgs9{h4N!!A8larAa7ltxRbY4?Jcp zF81EFxJY)Bx#jC9(+HC2=&+ZIi>k)xwh+30q^sK+JVEo?<}@|^5c3#|3vFv_z81o5 zC)56bq+;gf9bPrIw&u%hw)hnng>-DrIClMG_{250CY2lulpKHFRi^aXWm?GEH`uXV zt7%Evu2@172}UOyT7J>+1`LDKLTLBEAKV-hdd;Qi zY6RZbMw}rNhkAuukDUC;GuH$Z>1aO#m4K2l#EAX+$uq}EVK*oUY3c}WsK=K#m}Uhq z;Vu1gUosk0YBO<;uH;})>f)+V$hy}uLjpxO-ULCEi>ta#p0}U#UTrJ*Vf-XjwRU>L zFv>hJ+w{#y^cM=nzMSO;Tt%W;3|q`VYwk|zY?LlZ423Ry!><6rZwez-7O!h9Ls;}O zS_Xx1+H02)UMm7Hn$K_Pw`bQPM`9#>LU#K^s^=H`E=lXzLP1@pRyC@!FLxb#)7)O#AbfJn_BDeSnUd0mz>{Wf&m=2;j>I(N$QipE4Bk0o$eekYW*Yw% zKE-MgdPwWjk6SsWo$Y}ptWV6|t}j+5?l0lU=xR_AW5MfW&sHekvs4Ka{O*l^{s(l% zBuzQAe)q;lXwZMl&a`yz_9A2OoK-^U9BhZ_C-6}!KUhl>PY*rK`m$`ujhy4w=xZ}} zkFEMsUkQ(4l6yR)C$<^zDAw{{Y;Drtalo;5PWw}4+k@tJ?~WAgf8J^qzwV__iazr# z&v_S4AZe24+p`sz_|ji+ zKR&LB&TQ}5W zs;%x#{=HJZ!QXS~(jc3M2_t60-&!^g^~s&N?*h(r#c5k3RpV+W?Aj?WmjN1uwq2-|nZ!k-`7Azq zGjW{`Uru-pf7TxLNyJaiZgZK@ZCF} z)3=iXmGOLT9gg6}Tk7yARqwKLEYf8yW&tJaeZshi77AxalIPj+Ay-Fo@!n(n_Dm^- zYlQw~HA``JjoSyeyv+nzH!8PY=C)c8Ch&YqDUAVM>)gIyC-BIOcP0p&@F<6`-;c25 zl576m@O+@%WXVevBE!vU?!3Kx~9<}rach}BJkAJYSc6Z|@275@Q${=3gv`^oY!fCb3gl=|}UtsuI$$~kL+$xjKXZeQDt(hNce zv5WzY^uE$IHf`!ryJP1rZ28$Ig*EIG&E>Q9Xr=eSdN0Yor-@HR759_S79w=QPZavD zUhCR!)>?~-z_3MX4B>0(am?{DWw>{m<=$!LhLIFGp6y553YS%BzJH8d7Bd7ye&^u3^}VzHuS5FwaLRF@SM zgl73O(n(A-9E|Wz+>6+-w&voUIO}x3?0I%|2md&@k1$(y)(7S^Iw_o`TfLL z#t~i8E}rRjd-=kCPkWuNT9o)%So1jlSxrmYRPT7$oE5$6{iy|k3{PyY_yt~gPkq?x zY`3gcjAcCk28d(2ka)(RNgq9I{(`ZLy_=JG#$^!a&L;8Z;f!T`W8F9-mhm&V*Bj_D zRQ%yQOj<1C+#ugwi{G#XAdIoxHShEP#$EIO;mR==UL3q@F7b;G#<+z(>AY(`Q;%Q# zmKMKw_WbOz1LGGi?$G6kZ|k3C`~Ma*{fK?xf!AJmaK%Ts%&cDgF7Gqq#!lzOZ?}tU zj(wqD{H}g+RJ?xi+p%!3>*BZhP@j!E^^4zCE#GiBFMgj!JYvsj+Qskpy%xXLslRqB z`(U5)gHMGzZ)M+bySQJ!`2CiD^^2~H-%A|}_vshE|1=+(tY7?Y*|DnSoBGA?D%(%v z^o!q{EQ`N!-7S9%@rd=i<^OfN_^!^PbHnNrE*7sj7P>BeM|mDfcU}DMST$i^HJl?Z zH^{vno%++HBNMe+jPYGO_(A>p`jh2-#*szODNDXzxXiLBx5J{{gBR_czUZ~gMX$fM z=*>?S{qy@p|FXQWzrzb}4}Rg@*M7FTH;tT95}lXA(8j+Hi#YE#WIkF>%y&=X!v6=n zKz{nK*wU8qY2p%h{UDuEJQJGifiLmhWEw2@^s!S{}KeR<5u`+5WItXwr_*rPq4TG*PSZdE3ZVD<1}0w4;_dr z+u0y!f&jtKfgo;5T9^Z2j?duQxC7V53vuZ?3fIOPet;6@gW%khH2a6R6>h_|@c=|I z=C$$S?I@u)N|=vJ-`A$3*>Z3zyd!Ug^=Hng_ zk8bfvOY4SOOl|L7@;PMK4uakwSTrroUJZiZxA+v9dIow-nT5OU--6(M5X?m!l<*TuxB!C6?h)2&)6;C{zVJwJfWY1#UINP?!`~pd0~UEe5?%uK ze5m^d2&(!AdbG=E@15;E3?ZkthJC=B_EM$q)XupWTPMKh0eu#0izxZU;PO~#je6nh% z88Mz~r%9ROd#I-&O!BJcAL1}(op!HYjnzC?lR++fI7B`!6tEPXaJbZw%2>^B$i4a+ zv6`O`*@~K&#o6qRQfV+++kzMPZ*-cW+^fg^)a)^rFz$urOFZVd>^kKvj{&2Y6Q?N+tiVbkt`VLO}0 z7u$YSVmIHCAkA;y)?zo?{29Bs#2mrnl_Z3qDcj2#vv%)(886u*;@-?$S&<+VRB%Yr zZtT+_V9Xd_NlL-9jkY?$67cYxW3zh@%i+=Gx%} zjp{htG^NCezqyfbOVb0(L5jexP4%C$A2jW=$*Mp*!h74c4d7{y9fxBQqR!mku40;5 zPDg5`$;HrOiTGJ7EuQ&`xytvil@`Oa^crbPY}R+M-Jbj4OE~Fst_&;>iz7k)PEc#x z!OrzlOjq6n|6rGLo_IP^yi)$4y;sT}lD5hKszLcc_*(W9;|^v*9SIyOZ%7&UvSF`1 zB-rR%9hFE%?~AZnMfwsPDgkzhI3Xnu;pY!qpD|7~%QY$frWCgnDNJ=4N~7?>Y@>36 zJ4@vptX%q9eDt-(Ew{9P(+B)t(TWrwh!jy-gtNaQg|(O=ZEs~yGAg%Ushn~38I@aN zbL690U8(_b7m*^2JAzT06)8$3`r{!L;#*qfB;sZkYN&52n;v21>UUmcR4!91wtOe3 ziq&FKx%4_%r58Me$|1C?vDCAKmvJYqidmwz;A7z7{tmJnshuS={2a5GD133vENNGe z#q&UIE{~QoOMuju{g2K?-#O1I7CZWj8r&n5G!FT5qQunY9phSQW^OU~%RY1MwAoCP z6PH?PQIgi0tK(W}>5?XgLABDf_srX>`HpuBDq3e~@^8 z%_a6oWmcLqo^648FG{U47FnlL zSrtn&F0v@1J~-I&8CKrj#LKt}Gr467YPBq!+lX%57u6TQ-K-(7!H;I9SWH17tVjKX^Z=~U3O4lwzZKO(-Z3r6>9b6X9d2&qU+RXSDxPK%yu`wrgppuX z7nH4A@D;I(xKA!K`Ud6{O+hgb{^4+@DDWo)^KcgsyVXMTZPDHo*N}!o&$tO2Eq9mVl z$S8e;Lq^*#6&W%POEuzIjm?S-THvwpEp>k~nauyd^dWowr_fQr&(v>t(_YZ8`M^NjEan2#z)p-Rl}MScaXW3|7hz#q$;yP!a-s+ZndbEJRI1OqTY= z&|ar{(o{v2R`|M0%Cv?cyD825^D9t;$!lH+75Mo%{xaJ6i)gbEwb@J4rnJzZqRlXB zv%8_q&sA-H@(;PL5c3A)Gapce6m9NMwdrUr_qpYU&@ok;9t)_=>4r9mpGy_75<%Z4 zs!%zci>U`~R*N=^9;G(B8`}Ir)#l)_5==jYLZ*M92&rpxgZ6}}1SZoi8i_VP(zMxA z)8_FaUOAd#R1Wx*o8F52RC@J^hSod@@#U6@&>;2NfB#7-MgXHbW3&4BM!p z&FqHKFt@Seb@Xti3^KHNM$_hA(dHRVo6cVhZBG9g(vDA}274yc)C*0}{h2*%kDi{W z&h*Q5(0KKOx_Ku#gD}5E)BX(~eO8!*xawUyp1xXW+{oO#sxb#1dB4&jyM@k`L*N}; z>dbyO7iQNjh}_QhRXEI-Q`%w)ulR?;A<5jY92)G|3WE9kk%ad6twRQdB5aJ$PG=9J z3z;H!HMJKN|5AzwbDk=tYEif|c&b#&Ele&eXIejuVxYZ&=TvD@wBv6%>A!bTJ*1)X zY`|5Ni;c{f4%bL~!U{}fqT)Bo?~O3qD%{7+D-7veWL>_?D}B4SxlwvEzpJg0c+AE> zx?9p`;g7zH{HE}am0hbdk)yoO-lWKS#9G%Lia#C-+q?pQtSodiD|#q>L^-PylY9K} zO9AFa$Nt%{Ue}ne=Z5f?sIBtLhw@8tmi)2@WIAwf$^)2Da`F&{8tK~Af!p6qMpwCK zYT_(NS){jW!5Y*afk1G)lTcfe0ldSvp3WBscBf741Naq-f~x( zVE&31X{~Y&<2t-&6AYCOwTsdO2R|$K_jA~nrXRtr3gk$EpCuGblttS(t&mP8zxF;R6*6bJN zS~x*E7s0{zg#`R!d$68NmcR^ePq3Iu6OK*N+(_^^rc<^$e5C36ktWKZxZtvEuII4% zIZ$_fP~?*plVd7BOE(rxh0P@j^>L!8MWJ@CptS?1KO+I847=G&)Q)&sjloM z2g?R>xUOiXe8mS;?o?6kbt-q0q1^Fas&aq4EJAK!5%hu3Ef5+iH}!+L9%~=bTO>1qaZ0(-~R9WauN_+?(U1jzee5@()RmF%L zYh_}=dj!iWRU`5Xz`oJk?#(&(c_dWkK2y+$6Tiw}i|%!qExJ-qS&fm=+L|GH3$~2s z$m?h?ld3IG;}`2^+AmEPP^W3s=`@ElSe$@mZ+l(Zf)@mv-M$eGpd$>Rpy@;qyUcZ6 z3}HAW=8B(OmM*i{Ty@@KS((A1CI4-FqWF{ETWvQr$Gqp7-*OnDjLQquaXCzj+M7ZS zu_}k=Bh7HO*m(nj4Ub&|XN$#N^(bTjoGq%F_E3b3u0N7O9%m6rY7zacA3NQC3T8QjmFzR8t*o(@eWPx1*7`6x`Z8_F$xvS{DAD@@sn;ywl}zh4QZ|{Vbcdj z%YEE$K^6*Lu0@@;ggqlm*geas(&_7A`Sy*Cr6mt*j{dj2nKPvgSC?A%J#(IP-|tHI zJ##jHdD!_&8Gb4Eb%##h{+$qovmrO{O{K?E=`%-?AWaBvu$R{N8Jf#;+qrWfp5+2Q zy18nr%^6(Z=~K?~tT)ke{O7<@`?C${3jv4El~hieVjw6U#=yLIRx5*pe@l7n+if-h zxTtU_$nAxP%#)h?L$HjC1!%~#%4wPI2~hg`5wuKQ$W|-ALdFCU@`h3bTHyE;w7}N0 zgA8i_V4=R048ly68n0t?O2C3GyP(F%v1bek4^g2<@sqC5_`}Yy8-r(3i&fNO0`@GN z-c8ym{oo3w6)>$(H0)zWkCJ@pF_=w_osXq$M>Febaw)-2Iv34sYV3TNhfZRl?d+!LKh;1GgC7;5yn z*`@Y}*x8p}M?E-qb9MG&Basso8(G`y1{GXLm06IT^+#W%S{wl|ZSjx{NM+ynwBzoUMoBpWb>* z2{P;JrYxgB*&!$BIDL0lGIt9u$dB3AvE5or9;9x_i@&lOY9 z=@sm1Iy}c;QohoDDdy%@lK@U2{DKHkyv!eD;pHZ~CdR_6Lyd*ku7AG;$;@04`7;JT zPnk5$PE|EKV(d2{i&%({1w)ja)C9(PV7;}`SZ^IuS2%l%Sa2~1djDh2f_vG$q0r8k zsZe`sD73)OP-r(*p{bp&Q=yx=iYi5QohJlsQV~gf;PEijR$p7ZUO_(Tx5?*(lMno* z+Ns+i8!}!;88x;;^0E&y$1UoyuYL?7ds;ozJ*|Bj*?&l8b&_f7({@3u{#2jrf>`T3 zrtE_Ba;C^GNCQ45c*MC2@`E-LkahDo=P$;(`TyfCNajDwImLE-j8Ab-=MjyzG0B;$ z-uqo1UB%8Q=_1HdF#=b(UE#TjzyoZIb(O|=^1(kv(^X&;f^!os9%%1FPtEK0B zn_H5l6{5})uX4_rRM(kOpMB~8nalM&z!`bOGMS$DlwHZu&R?z}CCQVUj%OCLF>)Vk zdtGB6tEaJ#bw=IC%KSi{<1rPZ9>vX_rhboYkJwFeHEsY-!Is%@&LEdxhZh85U-|15 zu6^Za)sg<4Ri9D`Z7}-uFVU;s%i+U~4QYcR9oPoz8f~zr+6LXabR=j6L^uW!J4G|M z+=|BC{uEtgFLizUp`Cr9opnfW>8t~GK1LOBcX_TV)8RUwQ>HM=RHnHWUn9pePiV9 z#-k?BYX!yF`SDssYt1jNhrDvsYLwQ?ZmAF@@Qy27*2~Jcll%_Q0E$ZMhEt8?y%Ttr7 z*~H7pmD`Y%V_i*YMw|*pmRk>M{l(~zT~pD zxCK7`2b5M@>L;1z5z;F~D0)(owAzU7eb{Obv(@I*Fu z3b_4?$;K!Z(fv_ouhOy`quYXRoS&@gw-z>$rM-A$`MjL{fU`u{k$4c#w3e>qNVxnD z?ZGB%r>htV_xUS4Ms(-aRsUP$VdpVDAA-R9F3+_lkNql-!JlW4s)|ylch-40t2&}P z7e@W7wQ^9^b=jYyfD!$bTNas`kmW~FK(VWUa{(Xv@u2*-HVBt_yj$A{G_rGF#7l7U zfp-|3e2LBE)wTQNn6i4$8Q`R9;AGrHVWqm@x7^%=N{+)hr+2A=&EOOAC|B4q%H8EI zxz}}{#rm&iF(HbI2A_~%AR&_JA*Ew|^zwTwM{HofVgpxJpQYg}5EK*}Sl4|D8Jr_9 z$c)ps z>{%g|SL z#a@RS(!LY=d|}v0=2;0Yl0%gj_qoeXa(l|NT6wgSs(MCK&OCdXYE05HDQcWCnQAn5 z!pHw7MU8o%i#(!68;&Y+ig-vh>NR)(Y@TX;ISwP~AEwlL$EO{&=eJZI?M$C_g8gD1 z>l@n2i3>JAdWUa=!_<7ca&P3lN52KprI+!sJg@iM&aI5yvm&wSx~zc3q_$AH*Z17c z<4=W>X`V7NpB*GC@+Sde@KL4zPHay9k>F9kqqH0?+KcRm?4Bjtgt250(Mn}-hEk<& z#0OFt^M$i3X5mknqsib(4F-RSlq|&ggz@~%MlJo{ms&=!yiC28A+mYlIDsMBmfDgN zZMQyWFn@{T)~IbqFB)jMoHuLZb#!kYF?(LiDdGT}!b3^VYgzck+F8pno;gDNwGsYl z{1V+m%b^X~i?kg17{48jEHIh;pE1(nog^|&<}E{>TzX#HgCZTCek8ce7NZX+i=*C$ z`03&J_=oEAMbGM&un@SWzRtZw=!%7|wUbV}LXxkxiQ+kvXM`**4c6udBAn zP8UNtFAL&h&#Eij?W?m;=?|b^1e_m-xo?~ zC{G4O^2wl4@??;_FLaFW3z_A8p&)r*s1M&4D(pbwvVkQ2ki-GaS@P93e2hrm7s^@3 zCxf1CK;mH}-Y)M8J;nEh!a9@qR6C0CDaEkL`$7qUAWof0;#+uM=xMJ~d5owJiI)y8 zI{0w<9TKPHvgDp^@feZYY7%FSAaOTel$16kiPsu)~`h$96Z&&J7u|tK+d*j1h>bMoPHaLy5Gh@r{Bi*_r}t1E@t0x2Fb%n&9R5M8*ftnh#xW z^l=?6PJfH43IDpXMsswyYWr#Nz&TzLr+=_z@ox{_iPW9~=v{s=>C@(#N5~nsiz`=M z(1Pd>uUkEP{4Fmni2k#Vg;!qcr3KM{(sJm*O`9~2ke0z!I}Uv4^a%OG_S5n2rfR+v z-&+<>@7%@d5z@cB&vVZ^Jwj65FK+qlGiRKB|LW`G$ zYkZ)%Yn-CHMp@YMS1Q-E`eEZMKkR7rj{F{DB&tfIP6J{^>Nf<8%hZ84jHDU#oRy%H$m`A_rQ!!GuwN= zTm~NtdaKL;!Pg+z|G7uujBP$?-@y^&KX3$TbPlyRfD)2Ha18|KKljKz27bn_!tCs5Db`}7PIDrM{cJbu&CZB0iGg_egwf+AlL_j@gVpJ1lb^H*9RrQQ>4*< zLGUdI3P6wyg8zcxM-Y4mR}y-PJXH;X8>r@GRI_AyTKtwTJaT*Q^oiUESCSb^K=3CB zenSaW-6QfV;EZw(M*9*79)T;#8%v?AzW~&25c~la)hOW?_?nc|VJD)G)k`D}cCo~4 zY>mc!lQP8*MK7~- ztl#>U+}G;bIUd*It*Ohq8cW;Tg%Sz=vT(z)TR(lUPVYJ@#m+st0~u)kCeOx44aw{K ziUcBEd<8P;Q|KK>l72|#D-A!4^uijgVGCRz$CQMw_f5JgF;7E0zroZySZ?x0a}lI( z2_1Y0yN?oExFEF39EKS}=Yw#&4Vvw3b@RiNui;G-Po?HJg}2Cy4|?LRhLbqRlf?Pu zW=6^7o>Y86LKyz05t!EcAe*lVbG4w^9Y?w$(15ttIx^h3)-YiKvZ8sw=n&ZjW&8o3 zG;Cx~SOM>mRNp83Y+*PTlQlbl8+Ae{*gc<$21T=(9g# zE>$YkGp0;=%jFLCulG*7L0BY|ajkrwlJDld^POkDDm5)+R}m_IZmJS5IDfg2NxWcL z4(h1KMRbhE&ncUZ(5c3EvLUTQ<0>Urs)~w>2%m;5w%S=rwF-)$vhp{$M9;*}S+%p2 zYj{b$y-^95ImAW8p2NB@r*@XAn zKgzCbl+nHk6#K$hsJvrdm@!XXn`HU|gb9+RdyOm}9qS>>;kE?12Am3? zfFkrWT>Y#hs>M=jri?{iI!;n!rX1Cxh-u~bPCLvriML!k-)W{qS(TLl&dE`x?=6QwEdw+l`A#TnPM*zL0of1(9Rr9ejRV@gcJ859C$b!roYh} zObs(wter20kV_U8uC#O(j?@OAjhq_71D^&}C}Px6-A?$jq?25^57uo5TAN*4c+Dw(!I+?UZQ*rsobm3dn%QHVFh!q9Vif$<_$Yc%+V7;fcM_mTEvo14uu&wXT z7g%nBS_|V!n>S!~Sa0JfdD}`HyH$BRmhlc$9YeM@!4hKM&T18^vL#g=2Cbv1k3kz1 z%#+|{dD7BU+EQ;bb(u_E>H?KnBrx#|f@{J7sY{@T`jU15%}l2)*8+dfB(1kX3pDeu z1I@~&%6aSV;1g_!0biobh|~Beiuf)Fir6)sm(AiL95;~_iyWf@#ah-7W=&ADCQ=c; z`KluBToOgJq#~m6(YrE}`4K82a;Bk(q!lnKhsjO;+)c5|bxCYdCGOhvnzDq&S;rPu1l!+>o=5}S& zLK30O{R$sl3j$^Kdn%M8)1(IC@G&0p8Bq3S)hlKRlp(a~1sas@_;cFK&TO_`{PQvS zvy})}%u*5FWMd87hEssC__z?hT#pE6X$T9O$k{}Az;z<*`+T9;}T;}goVwU-|!Vw7f3;_rgvsHw*Y!>A{_b+K03nEYxsVoB0OV~)F2$91t+UDFvk#KM5|)e+Y-uB4N4;1dbWY^ z6$9b4IV!?C3dBZOgUpHexDcM)kO)_42n(BvIfC$@2zEH7D#D9e_h){&%XwIp&qp#w zCaVbV3;^xlZo+Xce$qJt;l4=#AuU-&cxS1|HwuK7c?z|Tu`wxTZ+cvX_(u&&4rxVm1mX4s{*Hlg>-j3e zZ&$GfZfvfZ^YPK^YrWiv2*>V_UP9QU%@>5@h_LTBD#EAS#SLc!E#RCgpO0j$U`Ce> zzncU$f2R_&%!LX^AUv`JAhcefBK)pIWOZW)VM$S_b%e9aoHpFB6w4dCig5bF@|Z&o z5e~h8PYr~9&#DNY)f|b~#RXqcYhWHvgo_p!2oK0)hijEd!+ZnbSnbd3C5jfP2p{Ok zAuE+Ns1Z}vI=KlEc4!C-o7M{j;RRyD=T(G%tPq69P`+ELd_IyfjTv1CA1np0zXh|* zCHP6#DG-j`j1X=`3sr;<%H%b531$}--}KgZaf4Cdk3pF+(l+qRbs;zk+%lI z*;OjSH{E4^IF2>At=7Oij|fj%Y#k@TA2m!XL*IVK;Vhnd|V;>ubH- zf(UoqDK;!@iWUpPC&Y$tsR&0Fi+mF(-_SeCIN>81TQ5-&J{gws3rNDTT-T=-81u_L zH)Fp0^(V?KFKA5@7FbGZt4fWl%w2hvIhMlN#{?{PYmXuCw_IiHjlL}NPYD7zQjy5E z)fZQplY)^^pXBZC5qAq5yxCJnd`BFdCkJ6R3nx6~(>c){AS2sC+{JZHNRNGwKRe?2 z=c2{(=Z5So$~!1?yO=fdu7<=FOi^lJ>Ty*-{GA}iXl$+yCYvd(hpv4A2)BF%KIp{i;0T13+=5!2v3376?weQ3I)5?!WSwA3)&JBI1H zXBehCs-t4M0c*o3Ks0N>n-qEtOg%eW##S+U@--nZ? z`jY@hSO8aP@wr%~nbPIYjpffVvUtn&Gk__6tdzjmq`uctw63_)0#V$&jzlz7*p!Bn z&AM%DE@_#nJ5F^BrV9cK+jJnTl_w znW=6|CUd@2b+yqm#Amst4Xrbco*`NLGp7w{tJR+2uN2lGl{Lux7$046*2xc1abde* zqb5&bQ?XilhNCp<>>g^*@JAb2oNS|foIn^ogJg_cqxKAc2Y7BLAv-&r5F=AkMGrLZ zp7WGy--%+emVZm@Qm7HOF;QNYFQe|EnH}M4pqVvv!`5#Z2CQSf3TNVzc?uuBUiMeH zS#-f$v2*YGkCMY8+BsXYtNr?wNmawQLZ*Oqw9Lv-?3S3!Zfw@wKfs^EHuKNnZRF3M z1Sb5LQiGv~r3UY?{Lv^`udiv%`!XAOk-Ihxg^h3Tm&oS%I_!tv}Smk$b*8klp118&oif)KPXce>v~zx z$*n2|Rn|KYzRWn3FEds*Iff%D?8)Pt$+;)*`@}N$C=?`DYVAeywmJMVa86*~b!v;X z0h*f@4mK~TeG>nCxLmtfHEbMJ5=NCoV7}vOOFFJ+Gg#%!FIkBz_(=^1dv=Pzar&NV z10>&>d!}i?)JU7wG@z(25S-ha$7fAfPwK0jru2N~E3nqQxPHe^x=HGdC^2v}`3y&^ zSeAoyHD*!b`lpyiui)Bgfs!`uo@ts2rl@H+@VPV;P|n+m13w+1b6RcAk_+eKy5-rj zxY`MM?R(>T;pJiSkV@=%kfo|$aYoJ&^MH=3i))|OqO$O6|Hyd!QJtOvM+vWrE>W?PXm;p zZws;Z8n)qAG?Jq~ zFgS9@*UPeEvbV;Zoz%4B>*un`to_cl)@l6@@M7t+;(`|pC;@@(w2aO&@H>qje(?(hThFE`NulP4(#I8MN_aC<3uj}yo$yFZ7NuOCp#PHOZt zNlIDvW@p*fHd1!Hl&wv}7N}*%Yf2Ji-*A?_$TM}sMq1o<1)tahgt2fb@p;y{JjJ}{ zaqg8Qv3=@YZky3ZT}lacqJjaW#c2Fo!VW-(B4?wBTSajToZ@Pe&5|FKwz^p(yFfCB zj+moA5es{bh?zY^Nyik#W_XT)oSAU4UWZ9`KJ9Cb+ z>>huJaV=ok&c&TtcKVnFEIXfNhsu2nSPEwD*G2<_h4YlkE}F{9TI!?24L%HQ$j-q% zRkM4{1y`8~TQ!4)YTg-tw`!_3%63~cF1##bh&{RNsx7nTEmBx771o)55$6&oYXtBd zV6+-*?N&2hw&;Q`LFhugVvdM`Mn|7+X8sx-{n5Qr$G^qCbw({>$SC`M+SxsRF>7lg zqlmh?<)jOvSk+>S6~Y9a(Aq`_@7r)nzcWxjtD}x(gLxjnJol+$z|or~Qta^rGf4+i zKOe5yttX%PhQel0pYP$Lck9r5_p(|&+x*{YRc$2}_AXIB{^} z5Td&iDqjI!&el->pEeN86wer8&RC}MM;z>)ZbZkfEgZEtAAV6RG`nK zFpufeOe~vU;!O6ObQ--&0y&edP?Q-I4ZZyrQGIe3*GR`r0t#1!C>6v8@4j@ z^XlDcdr);@(u-_UmKREZ2~Nb5Gz>?-kJRs ze}h||%lRi~CcN{|eQXmz5t*%ApDI&iGwB{}E1J765-9e(iv7&7E7}r@b*&)}UXJMa zI!4U+!`f6mePZ0Ns8ycms-aU?dcDgx$yUxx*4tZgX-uCujhPCxOvaSjf9U~k6Gccx zVw8$hdro6T!dUxAI8MMA#ujY4YtFEC=>s%|1m?Dka2kUxUT)3Q7@Mj#i8_)gR*+uh zu;@Sz&Dvx)zEQ0X&^+Zh6&yfkyhdx}vR`Bt;ZCQI`bkrGfv@n~-kDF)Rj0^<~D+T#YI$k zzI69+8LbI@fkG$gLf>P!93>)K#LG8MUvl{UC|#H~$*etGrfU+&vudG@oz23LJKV6A zdkmN32T3)>ahKN+Wj5R5s&uON8!pY$zGhWp#VlQnE(@a?!(|(VuuvzorVHVHhs$Xi z@L~c~Pv)snu4zkl< z#yaR}uXY`GKO7af`Q*!&{L)UI>6RA}*8AxdJ-r7_|IB15tb~V4NYIXlqgs0GetW7T zJwEw8zqbNbpLp4=1&LQjk@$HM$NQ5wx;2Q4A186aN)q?358{Z2N&L=05+9P1n~^xa z4T)!xIA|@2uada1ABnFFCUHX_lIHV7WXLbOw`41Au?d#bm4NS+#K1l|gs@y)wX5YChU7 z?fknD7hgYo(bE*xx&F1`_5PUM?rb#OW#Sh;SGw?_OM}d&)lYaF+?{^tS)XO0^vEfj z(DGKxq3_hEM^54OYxbWe6~Q|vXp{GX*SwZ=2%POfkDUC2k5nGqlGuhGIceu#kGgpB zFoYqC7ybWutNqvB8jB^R3m@4(h#ol}2Y*gK^ybP(+=a#Y;9C=h?Q^oQ=KeHel9NTI zWy$owXPqqOS03CJ>twM%>f(8w#q5TE)a!8DQ)98GbYb|VE+*5=%>(A#ivHKFp+DV< z>GI2{IlsjI>zDCA{Sx2h*C}&;O`KC)RWC8UO>?hr-+rvc$>&2-{X)A- z#m){S)x1D}JIDj(zez54*{C+xk zL!#8|@Bnj&AEM)G3)xN;rnmutfuw4wtRJ|^FIr6%Sk!c|Jnt(oQ0W=>lls+A?GiBwPoy;L(x=ze5rT{}y9 z6TwUB9eSL2xdcm?iyel@+F6R5h})RJn4o6KN{zr8>fiC|{++xlk$Ia&SAvfmZD4q{ z7YA(Rg!*_I_Oa|HFJ&vfD7P2Hu>8d=$7^P>ha*dAg|hV(pgo!q&GX`LC_;`vXwUjO zZEpGJ_-jOed@{S^6Ra%Z=Ti3+HrksZA-uF#NYHgF3$t^X>8R6*cQ|BM_QO(z#n+lG2#a!sg~>96 zJGdX^fHo|e8z7~19x~YNZn>C^Qv9zXPAKe>a>%Z1)dOIsor8RosIa?miKds?kTt4Q z+4(=gec(f6r|%AwE3`kVv}+!xXdiE;XmX{hYE^qfw5V3n&%_dmOR8wS{ZbQ%N{?5J zD>G}K*YrOK#FoDJxb)vMWNQXC<$OyiQg6S)xyYK`mm?$uD zw2`f*NAeBX)-Dbpwf2NgfBd9Z%TXA}-KIxgHE8{p*uDfsDj~>JX{~MisLR;8(52q_ zPYymxz1t~}+iB)-c4qnFRTRHQp-m6I!upC=QK(7_G(SMTqDNFkEDfQ=p+oVpjKD{i z7`Mt^PNyQi(G=nK8M3zSsVZWbWKCe!Of{?BlZu$6DxzBRrb?z@A=oR?doz>YX>rgw zV<;ke4U9@VnaVy>s@Ua#B5FIcD{XiY_`G?2$$K|FW*uTp61?<)O{!) zFQ@7H;2k|dxYUVouOJxfq{mc*x7x(cMWN$Wgr(Q`T17a|rpF(0^uqL;#g(>p(4DrLgX7k z`AQUO7s5X}5q^x0L+<@mg!8ot-6*niISye+*ZSou6=CmHQiE#NAWW@+U#wgO|I0u) zOoNiwy0U*0gyj~b%|O`qFBReAlloJDCs~89l^U4Vj3L5l{RLrRlYK`JeqIp1qaqx= z>JAYe0Oc=BQsrx_$rrFiY4@kyX-}Dg*#(6o5RMLIL$3e4Lj84-$R}Pe^7u42UomfV zAv|D!)=NBT#SP$H15||Hs}dU)g{FX~tCtWP9^#?&bBT5SW)0ZIEz41B;Flye9GJ`I zD>l5@K-l+=fpDdPaCYEHr3P0bWezx&2wzv~Yg#jb2)7<62n!qEMg%J0j36A#is}7u zUY!QaKc4cHs`9nfvuO%PAY7Wz z0XE!2ML2G<$oD+UPgJN~2%mByJf9v!X~R^6%QkbCm>WlSE)ODCKU}6FoTWHI<*POD zs}>vXWguK?Ae`ORKsaQ*Yozn-r6N4@vee)O)?k5B1JjyiMA&_}AS`UM!v*2r1>vhI z!X;~3F#i==K$R+ATTQ;+D#FR;X{@qf#^+`ARs)19#hs^*itvIcSwJjf`L6eY)PDGy z6X6%a&=0r%hl=n8E%JaUG#@-`+VD*k;mW!#$)Soh2;lupeXRD2k^BCS83>1KP_mcs z4L1 zrpnh=lP^X^_;~tsA}pAFt#Aaw*4FHYN2my&Xd^3wjV!-Rp?2BuAPR!{@VYEIaE?+D zzU9reQSMZ-dk>$Q2oLpCY7nh>L?x*;@Jp17`J)Vk?FPcx{S1Vw41|40sR*CjEj8H0 z8eCOsU|J(yKao!f!onu|DM9#vAUsY*IBRWp<{$MdG?cH(*H)8njEe9T^DI_bFym_k zx=w*`Y+4u0C1O>CugVKlncG-?l|t=8INpiyCVCT18m%Jyhm{D2ib6lEh43^L;XMBy zAs+0#vV#RSiFL=<5Yz2To(EE zQ@&`0+J*2UC&D}E734l%ML6JjvEi9y=kf}2^}`MoVefTP19^^!?a@^O%`3=vu7Plp zfpGTI2Eq;lVc)qb!b7JFrvPuW2BVc4IK6_>#tXulbI&|MSn~=xp&}f;t~c`^Cc<2J zx#ZKlf_#%zghzQKlcQi3r*MP~mqhl24bN8*9xYx=neVfF*W*TNKYZGW@Z04;c-I6K z;l}&LhDD)e;8e4hIIAL@SYK9+rK~}YS_8i-vEhXV!es`+zVi%(J8p7~blD44gr^LU zMdbn3fTHLYWm@wQZMb!uAS`TrpBIGBhz(b&2s|H;@mknQcB7C3<2uDs-5pMB=*zg>(yMRwkgm0+` zmnaTWRca0VqUE;kQUhVD2Bpl0Qw)T241|4`st7M`D~rmHS%afW4NPmkB*H}#1z};6 zy^KJ`h(}PKR-QNtEfY4f{ZY+7yEfK_4&l&O`7Mfdqtsm1@_J#?2=Tj!9Hb02A?rs{ zgg>Yx_6DwI(0900aUFSUTcZJ66eh((hmuJ(nIx!8di%NcI7?TMEgcMzE^E}W=RuvS zQsX91bSV58(x$M!57lkq#y1tG*p)rS9$mjeE;ng_kkX|uM7b;Sz>VST_w#rb)zm8# zONt8>3|g0$W&X>@&p9pO2~?8q9^_sxxqVIs@sREPa?^D8!ODfOvjd(2hs;xiO}>ez~Moj8pjHkELhXctW5$&KbP@k*?8WxRdv|EaF}ht^3`7ugDx>T+9vaP z87ZfAWC#Rb#yXfiNO2g?TK^=oh${`}sxF&tkxZM--o6eem70e}NmIomZ}M=_P$Fe> zV?I~Ia7e>Qo<@&6i8B^CU3oupZEpee(tKpGfV0a49qHnbRIUcVDjqIgPbp-Qfd5@g zN=t;vA~Mk~Q0`Kh`1c(_^abHe0;l&&m0=l!hXcBu>T_#1HACgzOfWV@T+pEdv^C(y z>{2y$R*B}_HHj8O$0!{zTt<$1MxI`Lk!QFK!b93bJ}@KlnN?Hm9`%mj(?h7-l%FCHRP+5ceXR#p8T?mxOTB&-^s9h{YfiKOvH7enQ#X7$iiq z!h)uFdtL9I+dL%?)5Tmw9`O^x%)sd<)OvbbTD%0vo9Crid~Bn!ti&-Y(1z&-y&Ii= zLZw^iIx6R5zB`@)OC79n0#@eF%qV_B0Mh9vl%g6((ncx!1j`mbA(ZX(6RMQ5HP_Hr z)Ux9>C5aD`_z9tGoQcs-sA9VMZdq(DVa#1heCQ{{(_T8Gc*n!5Q*AbFMmt z#a{j-V&W&nF;nvsnn%PmKcUvs)rf~r?`H)=rGoSmq5)`rLcTk;pzIj&6Dn1s1hyG7 zn^oO>5gEl#hz6kf38m2hTsAmCoU9U9w)hFLY|T$7`xTb04a=2k+391FSau%E*8GG3 z57wjf6RO#REb<(~as$xSXnsQXRn6`(Wv(*CPe@aZ_zBfeO;w}ugl-Tn$Td@S$bV~iY{pHR)7 zZT_XNKyyhvIK)o~>a;cEEr7hHieLZa2`L0TjDTx?Lc~Gy6S{8;I=h$EvlxCt)NBj! z6LOBq;wMyNRK7ex9J8XyNb?gSBh63fzMWgecYY(NG(REVt&eh|+PmD;!E0&Qc1#IPHV)PG!W}D9gZ;i!=B_uz zBPz2gA-U}|cD*ZEyV!?vMy#Nm^yGmdb+6A(IBXl8HOp+J>ufj~&whI)*!A|iG_(@V zvC+*Hm*l94;?5<{gQ{H4N6(bHOH9d>Q{fdg1=nfIu5EZExm9zW> zTnVVr0aiAd%iM=q=K$XN;;PHLajj{C|FZfnv%wo~+G&|7y$9WQDzsVeXjw_t7@n-t z#^OzX2g|kOx zlDSqBx^N9i$2j4;M^_xBiQJJmT+&5$*u}->zFl#wNT7LHO>$X_co*HbD=waTf_=V~ zj1t6`hh1@kFuHG79Iv@CJ;J6mLub_Yy0|gb=!z#PgbZyiqj8T)vETd6XC_Y*k1H_& zH%1@l%okwJPXU-Ux?=yWk5d)$*q-M8Q>!c1dn>!|UfTlfmazd&E1nRDPPl=|OU@D?Zvjd3XD+J>Bog}^!$dWTiTpB~-7BAhRuMmkBcLXtfgJ)g>lj^0Uu%i5NMoOVqF@ zAAhpTBb|G74s-rI;K`USPtKV?Z}QYGgPxh0JayhPv!@T5KYMcWGjnD?*X4e$KhMm5 zCi#Cq!lP3_#y9sAU$3l}-{<3!gC|X4rU6wR@ai#nHFBJDGv(*GwSs$3u)7(A@lkH) zT7G_I&4rn*Jxo8rnI~r9toVh?=E50@&+9y4K4`AHwZ1&BbG}*Ggv2YKYtQSPc3dA2 zHOJdjX01Gb;l_lE!vfCQ;MFo1UM&x8!$U5;jQjR;^0%QWm{ZUs{kcZF`<;y&ULRn8 zr~mD%pt4@Xr`UL{;)d4&rd8iNt$=B_)HJ-8;n~cN_cBH_8-y3S^4;n;G~@Zf4PK)b znvgcA**>JD)=0CjcoOe_9!|%56r(32jzP&}D;~jkb3Yz0jIZ+Qh1~lFwZI#StAj$O z1*F9X{P%ExS1O+D+IJKWa-hs1%?nWGCB4iL@+%mGGVOTu>sdZ`=+@Qb38*!nzk%_C zo?g*LeExFawS@l?LQJNe_*b<*yb0x96s}S2@2YNp;$Ag3DG*|~d_IyKw81E` zLGHlZZG&!>gR~{v_n?x!5XA=FEQWp7PBZND|M2$ZaZy&^|HC?nD7Zr|fMz0EA-Pa) zGl)i}hK7WOI^YtSBAN>>0R!S7E?|k`&XS2sg_TLJEQ)622TctPb-*PwQ&coEv)}ui zXC9tAfcg2pe!t)M4@YM1x#ygFwtJs@pL>qnRx%lO_9@w!y~zfh$tKb*$p$Ao7@o^P z+itVAU2$ZCN67|5LScjclgI|Y$RQilm7(}tx&2I7n^#zDiquI*4z5 zbdRV)&FjJ4ondUKcAmo3&KnQEXc3EAv0pjCc&(2hp%>)wZ#Fp3k!)}{$3R=a!Ul(C zINN7FpOo3%KC5R^RzG_Vig&$Kswnxcq-XI|k~4~R57s&rdeOzf|J~U5A>!xc855-2 zk*|0+cy+Q4Oz6akJwoXtEI6V^DE@^_>Jd80J9HBM@D8IoX4REV-45>8^gm>>-8`;i zc6n8YsV#BE@{a$PefG7os!Z5Y^P{Kb;ZFUdZUi}G_KCi_H_Z9qy;lxvZM^2GRNbel zUV${yI+1*W&!`|>%?&+Wv&_j*4SRV;b^P!zqr+-^^fQynFEDfPpK$b$-Iv= z3o`FYMad4`w>sTo#afBPMc0(g;ikH0cQpEHzblg^67%ML+UL2KB@%rPbUJuSCULF( zja4#<5qJEPYe_78eZi+Pi7S0icd8}fkuv^$Q{A&W{`%^ng;e(}vTm)j4!@t>{QJcY zx36u!{dsTVTd97wpi{;j)!>&JeWdn?dZV(hCS#cIWs93V?Q5C_^o!4*7dvNh z58Lpn7Qfj|bTAsbykNIb(*kw3Fm0{Dw6%n$t;tk>EG*6|8~5q*Py=mFn-C{0+yKA@031aPG*43vv|TMwHOm9l!@^L(?EB*&fvc3LfwtK5bzn#a z23G*+0B{-r+fm|dENY+~MGZ915dhc(fW830RmvP+6ewGY3TDsY`s85Tqx2jNfSmxq z-O1n_0L%iw4FFsKz)_Sq^TZuVDsi^!LjY6)paKBj{{S9v7ZN4T7JE(rz+nKy0Kg4} zxrU;=*me{sn~FP+Hn;`pKIH|wLGMD6xbPT=n~&Mk0C2Y0IzMw=qqttU_Sg;gA5(D= za>phB^ansRilpgLpseGDM!pHXQ5*~v%u;dTaR+Wb21Wv)0vNtWiL><^8Wm`8vGE67 zggkcRD_YH<_1i!4+*zXBm&{Nvq`C7Jt;4D=<3pG` zGt^X+bYNw}ZS$$^YQt@e)7Wqu<17=~O6E9}v@5~9dbf=ZteMwItJ2dOh>pBkT#N^O zsZQEu3(e0x{O3ecowV@9+RJt7q?JpfV(z?Z>!f{z`;x~r7xUX=dfqzH7GH(?k{(TZ zR4Thrb_s`Y7UnwkKAvH{?t&^kWX2w{ip_eY!mg333ZM~o4^<9$fd5*f?c#t0lj)9m zC}r;);q-)mE??r_Qu@Jdhy}rwFY&da4Xxb#66trJo>V%GuRd1vOagMf{W7c5>GbrT zjzgaGg63Abm?ZQ_FX(8aX~}g?T4`JW&r?!m#4Yoy5 zo4(;T4dZOM&4=2wVw8SMDlib6mP+dxp%03&qQ3GhQ1`=~7Zw9J^De&|9g^IkL2K)J27l zT1!JRN7~v*tKX$EfxB=;94GTGiBvf!y?&P(sqP;a#&a|8l5WI)XwHh`%CVwVyAa~0 zlwPOLD8>gLZa-4?N%Jy#(XS7Q@e}wEbDQRsQ=6J^xzua3=uQ0^ZgZzLHQz0%*H6p+ zp3=s)&+qfs>nGJZTkY@H2qZ4#vq84_8?0Ov zNT}3r(OVq%a{=qcZ$0@W-%-e2Y0om%oB}1iNN$0R`x_wLv46TUXvZiHnOu=hDf>oq zMsK&4VRYhAodz;U6*>l8eZ{WHQrCRC7ohHo4_RL5x|ouz**~DJUKV^`tdz8($)YQf zas7`Q6?P|f;Fn%ml}b%G_@!4f?s$PaUZIYeW*xIS+`}9i!yUCGTUo3*QpZbKMDZGR ztmt1PP`uLNA$8;nwaQITP|R^>ibn3(nQvsqCikdOtm(Mn5RG#LSyPo@O;mZ}CWSRe zsIvBK$2Ed&oAgjF^5?OGD%Sm(BB=UdIs0B4QndyjvNge`chPQrB?&>^o;4bNAs^+7 zm3G*VHn-`x0isPu=aNmAvyMg*?@$X(S$X+fJuQcAZ$lG$|NLPUX)ByB5jyt4sPlAm z*|lK6A;nyhQ%VS5=ohyMomW!f0A1IgS}o%+*TT9$r0fHzPondamY4`CvamlZ{-Ax8wQ#xCXJgJon} z*`ii=&_X24PtFk?z7RCOfLq^Ov!tdQBFOJiHm(QKQymM^UTDjy6aI#|E<TzJj;uD1J=4`j-;_VwZ6SjzHLFOty%;X8|EkV&sty#|e3ogq*EMwTh6lQ6-7U zBShrc(lt=?ON#W2gq5q!cM$e0Yr$V~Bxug7T`I7GcepJ3QfATPtFRD26xU_o?znw> zJw__8w{B++B)Rk3TD5l;v4X(M22M*Tjq2=4pprLk#~GDlPn?bb(%j_uCwV& z=IcJysZpzm?vh_+$F zM17a=(kuTRaB28hu#WVdRN|ya)VJLVILPVZ?E}W3(6LR%B0Xag_hjfFl<8Uz~ z{mjsz;{d2s43pMjQb_7)3Q1f8ETDxLl6s9LKhyn;zIxh%s27rkb4Ys3uGgDG(s{Oo zQYj=^@C~L5q#{igk377E6q26jkn{&RAbDB=r_T5=RZ5=j?k6A?cPFHB`xbX|bA+d;A4%xl&psHH;tOe)tlAwn?1S`P;D@sWE zL<&iKeb2tX7?Ms(_0B#fa<$j@#E?`y36%1Vy+CGRY{4OE9fzd1q>!|cL(*FulBV*= z2S_0)lD`b(khGo6?THkUPD}R_x03B$W4x+VQ%FiZPB+*BMSa(J(7c;ZFEknU;X}3# zDI^UUEXW}-*_(#JRnuzk;7L-`H982X`5zUaQzU2|NiA29nkgg&iYEFeGa=O?J0LKT zro=|vK{4^F0*wUErz6j7&?@%3yRRStE50vNa`{I@7)Eo5<}9! z3lx%!=Rrh|zv_pi(HxS>*!6;>RTAHj3Qmw#N&ICjsYsK>BM)ySg`}4_B&Bjl`j*VC zVzd;JzT=P-#3AVt;|-QqNy~nQzNd)#)=0P8ib>z$u#;Mcl|oXGsPC5Bd9g=wP*aRm zq-On9ETk3}B${X|hPIeagBGDpt0d7xgC!;w5EDv;EDA|A%>TP$ND2}{5=RXwBn1f} zY1%b$l_ZtlIzz~_#S!-Od1y+=hlq!WJV||fjJ$>odK*`#s(6PDTDn#+f&5xtG9JGg zNc~wDbiGs-hH6hK8-EBH|MC#Nm=;OKZ+gElZ>wM!KGJ2Eo1_i8eOYVz{iNGvVIi4< zPLLt5l=us<_+S_H9ax;0kQr6<_yb`PT1YoC!LsP>E%QL zjZPY}vybDd865;iJn^f3Ll8Df@nEUaS$$D**xF20JHAzzF=g0jh zb58xX=eeI*+X9;me^l4-9lxtYaULJGll(cv`6GPD&f}Iv4+~cZ+xM^Cze2>_40?AjIq<%J$q$yh_sR`{z{+hG4TeZBe zy6{h5$66Uy@_5xh(|GTpU#%Az?vWQ&jQ^qpj^jknowu(wR`|}5YKM&{zDvC<-*9y37O?21(~KuME2zQAVS9SOEe*?3T0$NJ|ko=^e6c{uj>KMOcll_ z?NbKpIC32gR!f65@EiM>@|IuXsP*x7a9tuH^Pl2?FVZC*w{15$ox6V<{eO1UnDErSjWRhT_Xt^%D7DlS)E2tdjQ+YqjBYnsQBKM z;TIXaG`7-__vTaAA@*Y9sm7W18g%Qv=iP%yN8Wv3YifLGM~zX`h>eIx6JLilrRmX8 zjyHkQwJyF0x490dfrH5!rD9r}6;20@4kRz|xs1kgaJq8fLup}13#B%ghEM#I$}(!*`x&C!qH_U-clB?Fw2A-Wldco@qVU^v2ZkRP*f6l=z1kw zhFoLt%k{wd{zpwc@Xq6aK>d)wed*jmq-;ZN1|F8&hH_#Kff!bbIIJtuu);3`T+gMb zaMHAxPMT8MbX7)g6~qSQOkf!%Fj+EIL0qsRlH0%sIfjHHO+?X2K#^}kp|WX4R~rhX z!01Kc<(3#2O*O|ZkV)jx^iBs1dOr#Rxl$0OMOczq5SD6=ssA9c@LfXIsJ=-UhHe%} z3xoK^UK;To%2mVI$O95-i>!LGFt6maiXv>+i4C*(9BS3kiF}}REo7xiZqC<8CSdkb zb@$`9>to#G@ulTUQs6|$m!ek1gfcfpxPzn;W5L8oxrq@~YD+?9If;<<8^-VSr%1?H zOq!xvWR{aeWQFf6B3opIhH_ailG%G)M9X=dC)jMun8PL&fd!Sc7F=~haESsN-nOEh zL)T&qj`w(%hcF9L6dluy(g;2^ipGZ=Ar?|-Jnme_i?*BO)Y@%$6QF`*S%Rh6m?f5X zS7D&znjYAXvC@RT#ooIC3vD zagjiy^F&}V5tuCCX_G>z`OaoAz_FnjPh-DIbFfUzM;bfd<ucdta==0yAT8U#DIDeKJd~@1FN3o*?cuBG={+VdLn|R%BH>GpdITuXs}dv zi}{O{`HM8NecZlAQ?`-U591Fhvvl%Ymbk>vtME0NWf7hI^HE#K-YhzoC9n?ovf*`; zDdO4A*PWcMgq%)inw<;B1w15$km@aN;X_#!C2D9@6h^BeRlfz2TkeMJa!Q!ZCkeC| z2#BR)pqe%>*ZM@vOt`MRa&(-}WuHP>iG58xt5UrLA6+E+C`;<;K05vkIfw__Dc|U0 z9~~wwZrDc|^V5CwUcxvUV@rfv>B=EixvJ&Gf{(iJMYE^-=meg!0*D27E`BArim}aR zGR;xsaW%YWRe+m-9v{C3^E7tGn;_WM8Kc9M^RNl&ij0z@XObsr4frrs>tel5$rz1U zcRq<+UKl(+O6;pU5c@;q=Q;UiSIk{9*U$uf7)tOVyrbn9qi1L3wvmFfJ{~-tz*|cQ z6(_4&%aNOF{y7WvqzWfoCk;fg2Iy8{E%EQ!S$Pb(b&2OQV`gnQpHKLxuGiMInMd+l zK=O0ri*|@u4S21lh_%QUNBLf%@T}sPa-O}Gs2NP0G~~4@EcDPN08>l#$jED7{a3Gb zUZ?PYD9ezq`B6wq?I(Ect^a}7PL|FY*k<^S9((Ou zg4Z(Ur+aOf?F1Sl-y`LFcizfdmCjTiFy>`kI@>(A!Z3 z-Wr~3H?q(}R{&N$L7q-*M*pkVmabFyQ^}4JscS`Rv8Q_NW){7~&rC(Tm7(M6&b5n{ zOd#>~CuJ1L!)n-T->V|8EhRN*S*Z)~h2wbT5F*=)9%_VP2tdqINNGUlgy?dc_xNHG0KFl8pesFqJ-7Nqs?Iv(89 z=i2GRh=mej!M%ft1$l+1Ys-5lTxL(&!e*~sGgI)|Rmbaj?YBK>cF?BcLp@*7>k!`w zb-lK%h}c&L5&PF=y~BZO=woR|%z7hL$s|Gja7*D~g(d#$gU zPdbB1FvG&+r`R&VYsc{5p6<1?ClL!NEU(UWyw2RJ_(}(|Q`oG#W|pQel&7b_5MSmo?B?B+%$G5uh;`0tuVgWsye)~!d}sU*9Nc{4C9E-*t%jklhN!$si8pS#zldz4;G%C z{UsW6iAJPMBcOz}ev6+?-7IM_lyKUVgu>EVk6t`s?QiVVaB(iDSeE*++t# zN@M!(-1Pi+G(bs38{`3|rU-8O_+X$1t1p zxTw}mhYg|mU7B27T>gEtY2G4YA%IwL?=Fkfv{z$n|Afr!Gzrolb~+}mqnj=6tJ2-z zJ9YC+ps*AQt8S#4w6?vda%_n$Rbe$!P1^W&RI)XjYtlwhP1;aL0xt8VVzA=~IG`y3 zm$(DCus;Ep8mMGzS1L2B@65n{1iXoWb6OK{85OV{G@gJfml5#i1Z>fpfNu>U;L8MD z)q#MoQUTjRF$8S4ihz4iOq1t^U?wHr1rfh#=rnDqy>0KLJ--pR3C!1hBbV4IQ5JdY&IV?Oqs z@q^O{0zTdqnB)7}0CVg%!u)*a1f;w8jDXJs67Uwn>=Z=6H;39Ikw!QHdwov8Ijw+M z`)%AQx^yh%8OXr@Ps=k9fHo=5z>#+e{`r~z+j%a;d)jg`i)UWwmI39Q7yp)X;8h{# zK;4`dPtQ3Z#lP%)h(- z^P^|nzQX<&UY*iPd%65lQWMHK5czUZ(wM3W z6Wh{8TW1*-M$O*kHuTOv8ZXVJR`E9ybZ&9^_7&An9IdU+tYd(`XYX4q%`3{GE=`}%}qNf{4@dU@sRjv5zqaZcCe#6=x!=@3W=t#5s< z%ZszPSxg)r9NiWi-YUPezg4%y^B?5r*)WOShK1eV+2uU-j*XSGLy*I_ZQ}I~w%rnc z`EB4ogEmRI5h%6HGIiPhyHva^k@!npHmF$)CrLQd-rv|E$&|t1wy)(M0Y{L* zfJV`!d9I=36H93ntMdA{mPu^4y1o0jl!xKw%I71K2TOSv0_JtrFYJC#%EPell}2R~ zS4nvo&Rl%MxOtf=55w&M%RfS{N_iOOtZknAYsZ(RQS?pC>)(b*^q&3QwuSqTEj;+^ z!o%w5@-ER=UXQ-^Ui7bq=o{Oje>)ca`>)Y=)G>Fv#N2;9=E1i68cP@7Nyq)OKkkas z)n|vHgzV61_P3e_y#KatUhL^qi+xsSE;^L7PuK0jmf_hcHXo_8`@ZJXUvvJa#g!IG zi|ljd?7b@q3jcKtOO!P-iT9ebOOat!!& zPRfGVg56e!tcI?~9CdbTx7hxgA44o|SqwdETiG;VKp)M#*hX=K zTcA+3n61GFxfbpr*Mjk=b^bnNYnY6>*;*9PE*y_^4rkNc9Cjg7Lg2(W-JT-r(8Lvu z;?5$SL*qWk5P)0@-47#`!U3dnhz0=CIpo_TmBQc-06;p2HS>`|pc)u%g2Z*?S~!JV z3p!R>L)Gh`Xk-X@0t~2ho$~|$OOb2gxmAtgMx)HN{a^qjA@y0G)tg2zXctfc8j)(BE5|odJ$nOSIxt+K zbPfPm{|PX30SQ#M4nmphUHw5K8URlS!wDopNCki=Fa(0c4gib>hR;DlHyJ!2Tf=%} zYnY5|4dp0&9rOj{Fb){@duyFh^*SKo2kVUI)LZRv6 zV(ZZ3NXD=g$rwIBG6t*v40E#Gf&$BPUeP+=LNbPn$hA;_Tnnn+$ku>r(y1sbz3U)I zEJB`xN65ACCjb^8TLa}<<&gC}w=%y|tNBT#7i>`mz6 z900xq21@6Uk6a5M17I+cF`S@e47Y*dBAA?IO2+U2*&6Nvzy`S%h9Vh5Dgt^p6-0WcOMz6C%e0B!)F z0szEe_=eP-gRs+DpB9M3lfQKM)7bLu4 z4`<&3z!nVC9{{TW(7Bg3d&JZ@-6q(B@AgK%TSp<)%2rs^n;?+}0PkMfoD$f>k7uki zc5DZeZ^NRt0bm3GHUnTlFRk-w0NgkWUF?LY-vNm)05A?D_5olv0Iq|?_h+s1lXfhEQC#QoAaMXZ%mqrF?2UfE)4c?6!x$T7}@}! zM{hVU08RnmD*z-+gvlL4oLmVWyZ|r&7#08^^*jQ|*RZH504PR^k>ue{y9i(k08Rp6 zD*(m<;4A=c{s?Vu1PLDi%mTpmX>qz!=Mg8rhA!TN!JI^V*bEH)Hn=$~01q_)cyQi2 zwCd|d1y%30SR8r=OnwO-B7va-07cW|yi$IF$?a`a5E;>8vBgCI?1RY#Y;X&{0f2MU z<8)guAd|{om|O&``YZ%>0CHFWfSur>3INxDA#4wroPqfQ09N0F$&Uf>LLY6;h=@2{ zMwxZ~sP6zU3z<}|!K#Y^unqt{0Wd8Bq6Wa}?;6D&{Sd?a6#%6mu^UWI20$@kxClAy z2f$ol_#L_^1HgB{FuM zz&aM{;=ERe0$VYGy$`VNJps0+EwD9i3b4+GeCyCOfa%T>*lmCXE&$k){s0Sd1=w$n z1eOFa=cB-u9R{L7J%DW&5e*`2-3VK2BDxGjGXbVMPhhhMY#$LF53sW@6Ii==xZ%ot z%reJ-Eo~yeN(k%*VVeW6)_pMqtt-IxBm-LofyGV&Z)XVXc^81qC2VgHw$1>%M%co> z2hlwQb_rmCJpk5y9bxMSY$slbhe>~eA=v$(!)jqNz>2(qZ4zPo3Sj5P0Bq=sM05>^ zP9?B>0;?df4*}-6pTNd}Xt$R!(xYnub`xN_zX6u*_X@zW2-|6ZwVFy`y$IWS@HXp5 zfE}CyY+(Qk{D#0T5Vq+=v=4!8AZ!2&(7y+;0>XBMh~6i#`Gl=M5#3C@{fDptEc+^f z-6w31h-fr{4J2$^K=dAg{Y}_>dxGfm&q1fnn*iHVfHfWrY^j+LNWdu&Js1vbVE_yE z2G}kafLW~o*l$CCtrfJ8aRFeuy8z3%O5)WKm=|Fq@$MJ~u*si+w@fH2VEg-Cn4SpR zbOKud-bQZ(wl_x*7-9SBCt$k>u$(Ev-`NxY2)wxjT!sX>4*c^PhqKjI$X7yEbci^?0)z#nm=y%F>27ae}tNxvlE z7tavvKLDRbV&EPa*s%Z%tT{+BoB*&r zUjnRx!2SeSwr_8Mb!-bhKL*&@?=c*U$pG8>6~M-xhb8As1GcdQ<^?d%^@Qz58qO5L z_BCMxnC?%2W#<7ba5BJleGRbI7l18kI`Q@`@%9U_9hgqM5w=-GbRWP{F9K}p3=Hb* zUVz;LSisTnj0V4o7U(*QfS6Y=T{QNKxJIfstF5gT*RvDF8_Fc*Ie-VY2PBbX=P zkI=L|jp9=2mmL(|>5qFC!RmR;2+c3!j}MeszQgo!0e=ME zB|iTo1|EaYo6(?>a2Tjg07JkHbj*H8V1EN_$3FlYZKnn^i;0;6fO(b!Y+WS4M)&}% zYde6oY6`H%0K1t0Fq=ZKng}r6j{tKH2Uu_h9uuY!{HuWPehR zq7n_{O9ldGYY*V;wi+rMeFCUny9Nvyvj89bD(#oZIlgv!t-)ULAkOhcuVU2AkGb2P zj&%lBT?Z5=s}^#6?Y0l4HqQT5zfDJNod2tS+dyjL3|miWD>b86rn2qeg2Y?B?v=Jz z6?xt#9qeE#~a$T&E>b6V-br(P*MNnDDU$GzuO(%Z);6oJh zSCKfF_$Pi^gKciC9JaxF{R9QnYh!wa4b#&RPDwd(zrrR3p0P+3m(guBQUrI8f5rM0 z+k`J1U?vCSBEKmhlZm3gV-FN8wkYXl_eOVE$-%hvlzjf$*oD95nZB-gk-r*OORaA? z^H)`Z^mU~4)s4P-y-7)3_jyCBFoMV)i%)n5gs#hv?DB)=sIE=nrtVg)tXv%CeFa;& z>2=_H@32Ufo1N|7+NLu}c`0<5lS2L=t45ahRn=M=;{uZD`*q}fwTj2Tu)#JXwG}qp zCh5rx=Q}l);J^G{S$kE8s;N(?N*CGK4Q9B}oxYUm)vtxBzOnah0|Cga-Si^X3K&KNv>7df3c) zs>q^OD*8Q*Ab8LECxDSuFK=8rjf)W^WjDW1s+c|jUpaP>F4@w zA5mLb!)-h^vo=j=Kq$EPxMFOts=U zC(KjD=DR(iZUI8KyOFGekEq*Rp_`Y!>q{0Y+xVLQpxYpH(>06zhkkB+ z$wCfzhW=M6;N@+>N8x6C)D3v0R^y3;8_x0v-eMA=subryXZY$5KJ1^7>)@;9-YyDK z^#DfdlnH!;2(yedWh9CArHRCgS0Z>R_ihOmTU!u|FMSP(%Oks0$YkA#Y%IM;03%Xq z5GPE5`q+R<;yZvySY;E57PhK&S`yb0lepS-1FasSxT+4)f@|G>9k`sQ7!6~2vHIz)gB+wH4$`3;c6 z2{P>bAor$AUdoQ|zN;`Y-Z{mZ;^fy3jhf>>t5$=ix}E3|Ff!FPvz=9|pb7LP^rB5> zJK4Bj)UOAl@ykf(U*SVGZrMstHQz?YR!n1Z`;Eo~&P^74s`+QsR@!jeR%$B~+hEf9 zZ;-3C5KKDPk7B2z59z2_)KMeSk>0!MB@4}$Zcc9GYQvT&!nSC^#IF*80A|dz<_IKP zwK)+CTXlA*7>I5TM;&lScFJ`Hb@>xq1pSWhLm0mSE3kq&fiT!&HY68Q{mv7H3*nE1>Q`J7FB9u@f9#e5D?fzP8SL_S~Ly`BW0O!N<#^ErGt z`IpFNqu{1&^2&($|T%IP-IGs%sy&}mo_%41vBJ%0p`b*+- z7x5WckI%uy3ZF+5J_i?zd`@n*fyTF<_`E6b>1DF(k*z7V4oo8wB_^APO|0uz*LKqe z^MWdp#;~j27-ZXxq%oM;uDhZbTWD|*c?)Zu5oP)XJJnC57Ku0Bj@_dtCf4+G=g!2VQZUeXR2k{{X z&}w^Mm)xT?BQ#Sc5`zStN6>{Lbcr;PNOQF1bGKle<}=VZPFY++9S0o}$e23Toq?XK zKLeE|@MFeDG}bC%tcX6l^!|0t*C;_}5_GiyZEn7~7Ti%3Y2{HSlSLgLH_%ZLDbGog zNX_P?*C%l44X+&-X8dtstnyr1ZNqDoXhmzGSlRftTYiKBswi#=Q!HUB6fsFsGcAcM z+b`yh;)Ea0l|fRd?QSO~(;owc$^RklGK-;g zEjUGj{(_)C6`&kXmblrv*eDV1s^YBGW)4xSpyvvkv`Rer+-Q!&7rZzpA^O_Tksj%#kxeW(QO8055m@-|E%=r#Kf9C@ld*WU zPq5$v1vD?4tskl+=<5W%Sb#RS;NzAf>RNEk5GM00bzI&+M@8IEwcw}&G}cHOYy5d( ztPtsox9VB21f9ns6`{>7_@%0P7CfJyCrenQ7X&i@y#;SHEF!)CPGijx#tI9*Rq$3_ z3r?4y?-BGj0<^gW*H{KqN6~__k1?71)bT(A9Tky2)q)qEA(480Ng^#1#_INJwT&I< z^^6xDZgOAer@%WzuUMd$7da@hgARdj_3{s~vi%{&t@a^7W0>q|z09rqEZ|a637V2n zeWU!ghIm@lw@*FkbYP~(aay6xQyx_B>9>FrtHUJ1dHAdDTHf9D4_bEI`VDQ7f8aw{ z%e%Wiq&B127TKe`!)p@*jK##9X>HU)g5zaTEaNaO6APPIETm@~_ueP@87Gr=cS>6d z>{ZX)0-A#k5_|LUS6%kBt~JC$C9#lFhdr(9pVU^}aN8qlt9e#i$wMHeJ$=Cw)@htq0@K@c@ z>0SRKF0T@oDtUBey5nlvIti1uPP*T6qAzb_AH`vHV1Td3)^0h4x9oqXsWDG^7B)ol zrh)1!penqK4`oeNFudg0a&3O^6AJV(30gl!z`Du zzlcnfjmTAl=-m4lvrM!`BHD?F(mYU06z<|m?ov5)G} z0#401dHpC@R*VlhHs5;Lr(D%;c9-TB##HYzV6)vetI)@F1RyA1#*(&`1p|-ZGI{!@ zZOHzxCVy%ia)``bsh~@4#*AtC64e=W;{-a{3O~-P-gQ2Y-z^^CL%8-d(eDY;amDBw zZsWwR;SIM9sYbs@v$kCAOEp%M&B1vCQkqP0d17otU%CldQT!MC5d&*!c%$%_bO#5u zI88U?g7`7!ry#G$s%sI&ZL zN%;%UqEWaSlH8ni&j}XQa$C9WzA8tmHLII;k891^c+i(!TrMPU**_}ID1bC&Q(T@` zTC#J}jz!vfcE6p`_SdQ~zuCCU5>eXtkcx6KtSN7riChgn2aQcOd8tmm9N>q01S%w= zMOLw4)>KwxywB|oJ#^CtYpJ1S#f{19f(Zjy<1 zc$2dN?bb5wkgUNH?Ff-}`CyrLX{?EMdy#gEOnYVT3W@glnp)brHJYZfiA45}zG_R? zbgZnKXq+56uIXy!(r7Mnyf}URE4Z9?r8jO~#O^M@-%|$-lQ_;}j>p(ewWp5pRw}Jm zrDMY!iGz(_*3K7c^#&UkbipHN1puI<4V0zSHdAaNBX}m)LMyr8c6L z5s}F;>b+#T7tlyPj;vxmi;ybB>6PnB%AQWc8FM;e&S!2(kAf4NzS`k5g_txlaNMN! zDJy9P)s^o0Q*r%(7Mux`;i3Rm8;Z(p+CJ&8VMZC70@o;9Enlf@{5efEI#m+B6-j`k3?o{+xGnM<82t##d_eAGUpJDsfy1> zwOXgE*M0B{L0Ff^q$}Y&`JGjb&elWsgp?gCcSq3}e`KHOxN@^%fsVm{a(tRc>`x_C z?6Z<`EO%U)rhp}zz?i!aBrs?b)ew>KEbBcbq0pcprfDRa<(#hUwy6Y9N~b9b*hC2| zn7}MJcNZ@!mcIiT4prOdt869uqr2`revNUH>vHre=X zI5M7PO;qS+NpxAFS`%G)rI!`N%Hm|A+6_uHo+{}58%4KSL6MeoNmu%F!60;>JYi$0~^E50obVJEha_V*O97IGoyPX-hhQK+yof);GZ;9Zs;e5fj`nbCy z(wtT-Qohz+Y9`Ve5iC~%8%w+!O<4vF{*}HQg*N~kvFF{0mNH0iONUj ztx89?gVQ=EA=6eWSJD_W3nb;>bi6Z1lq%|YnnNo5xL4xht1A{ zEB8gDxn8E>$j(q0!p;zN8I7S$kd4JMp0XR}iDSDW!PpUuo)gw#Y$#o^Vg&R%Zauj3 z;FN3QL`F|bk&+oLlNoL2NsKO17%f;UFq%Bag&F+_bkshw+?Nfd%g&J`_ehqzs*51^ zta1M29|6RhmR9r>5%R2>L+-vCN$w#m_ama*qt=ch@tq^Nr`O8epXBb=h2*}L%cIN^ zhf{Hs<<8k8Z<&T8ultjux)R^o7<{PvVoB}aglwu}qcAqd`3$3{u^FTcX&ebpUgbBd=%p6nWciAXSaEq#`J{7R3eWlrG~~7$zxi_W11&o zzkQ9yWZ)EjHL{9&_9PV0p)HR3jtiYBp&Dn%7lwi!*ssiNUHak3%7?H_d>P2~R}2tUf= z)S2Se!q-6F*3FEWThT(qS=#%svdT%NL zM1<9gfH>Xz6oF6cL|?w@{S$q8x74U@o1N_!`k@*>&Wc~r{+ltjUp59e6)RU_%GBF` z(DSt>a}6jFqwLY~kS}+hsfnHjMJrlnB|SvZl->0x9(goFW2JiZwBP)zlZ5K->BR zLWsbk`UE0~Kus-y@ml|3lp-Q4QHisKQxu?3PVog}a@$I|uFWRD+;#8PjzY>-1p`Xl zPMIMGh&~W`ou}P4#H5t13h}fhPiq;P5E}p9EE?N!8k>7Nla*+!*av7@R5?6H(}Tb0163~LXb7`OPR{bPOO=LP+KZdoR$%?+zg3W9r)jLs0D5aPUuH2Kn4mD()=j}3A6dLaM}VAkQ?z6+TJ9fJYS0A()=j} z3A6cA({=(0=mhZ=F7sBEsLY=n^ODTw&okHAC|@A~T`~zsoIjrGzMLc* zWf~41b@FsbCS@Oz1dYqH^99=w0IB;D_Ny}XsWcf_iW8mVUdCuaZ}%Fc^i(_<6uC_Y z#;47w#upfQPeLxGXo9J>^QoOtCDzSmRLAd{{R|owD~XVllIgZewQP9pj4EluY(|Zb zC?ZWHk|x~c=hVDT%8V*$!fZy(X*-KFag{VtTB`|RMwMnCvl+GOGa8PRPwJ6ksyeIH znA=Wv6_=D77`xw}z+NR|&n90^`cO3fYQ`uf;CrHAjI!DfjHllR#`JUw6sJWmGq(MZ zSierJ+i@}(ULd+R4MgY(=(0o!Jy|n~NiaUwz_yl?F3~(zz~w5XId((+IrhnQ9_0X1 znm;FSfzo=i(s+)|YhaEo(UQ{glkuVcM0THMZ`97QIStIQvriLmoJ7omvoY0~W2e=h zV?El>p^+j}o+FQ(r>mqu(ZnY)Mk!le zgo4p0VGA$@DJC8ynt1vqM$S3lj?2g+C9#fQTD#DzFnb32MNeXt5@l_Y#mbQ*DOg$P zC5n@r)w~K4Yc`2BgVP;BtWx9%<7Xf#a!e6qpa>z><|_yxQWR_DCdSUGr<_pKiVcb*hkb~MaS>xwhY-dh1!J1c9$=iU zh;_Eey2^C{u^ve}^N^97#LAC24F%-8+u2$-(g40T6RY%y(@;QO#EDhy=yc!xMR{uc z_kirRWg+o)gm?>oPFkW17Ph^v_-gbRugj0t-o1hatb@4ASvUnT63GWG@n z@)^dc<}7WO6pS?q_kr?&krxs2L>W0sD7U*^FCc$(kL)d%1fzY)B$#If5T5IXK_i#$%wDa9khCaxGtC{D=-!a<;`|&RUcI8=s71W;%|ziT?cH zhliR+#&m4n#Pai5IvP*jK;wM!1#V13W4hVHTa52Ruw&$L^fZk_-nuW{GH{jDAUve} z{pTn2GM1}mqOxBGz>NBUueSQQKH%G3=@nFqo+3tz^Z3bq3qI5(c)XUy@bK3O^b@@} zV@}OAhRpLe$x*dJ*~yz2^N4hkQ_BhJ$1Hn9F(XOXVl+xx7=c6j7&}xMtpl8fs1#Z`?MoQ6%J@0 zU0KXV=|w}(_o`!*KJF=`eb%2&(EgRhoELi#~i+kkV+Rtbt=LGW_;XisP8G$*H zz=Tg5036Z);NbdzmC@9fH60B1fYjX=LuNh;1epaKpFlwL%DU@Vlgt|0sc$K<%|xio z9<6&|n0C#v8-G>YS`Tn%cL*mIqhx~)=N-FOMLP^)!iH5uSSKrMyw+<3HrLa{z5n=x z$LvQWN%!6+@i(wpA1)F0C&DQ*;VCW!Du*dRZ@ynIp>!jZS{bDrX2Kap;j3)^pDBL~x4GFtBAX|4Gz%lg!+1m5j+RqC%GS(x3l(m6mW`-n# zWga07T?s*12~r4>38=zW)mtqWN-!FN36*6biPvnk9F@V-1&bwJ7H@zLJ^rd_>7+Ax zi`5dQ8Ar7AtB_+49ZBRBu*g7Z6+j<(34vkS)}=DH(Y{YLbDW$j5_jOQF`#!rPZp~IFeql zxCkwjMjOS_qpF6yA*`pJmWou`Y4PJHq4DBME>AMlX~bxy%xDg8#3%A1^b_8Q4;Gh< zvnz>z18Y877D7K~dK#a?Yiizz4>Q|H=wL|`nZ-8hSS1TMney8wjU@p`@`GKr*>DUT z>W=ep`qc?_Rgz(7k!Hq$5tc3%pw@KWYctp6T*pGp#HVB2Oz%U{zS5u zJmLsCaMU~ zC*4*+I&;DVhq{o>W7NpdwQ#^`Z*lZ zCk=JT(>TV@04XakBa`aqRmJ}=vT&#>2kAfyhg zJlCiebe1n6VbHxMP%h0l0RUEXU7iht%u{psF)lLnJZb zjAL_tAi@zq!Pb8{0*HJ>0&?F=ta!@;`u8J%K^wW+jf(gwm-%_d5rEsa91>6g@kX^# znYU*g0TjQ%R)3cSbWYZ{aD?8_5x{JAyU+tP9DdtLT5RVTS3+)PM*yeaXY79x_A(iJ z14jUJ7^9vs_AvUERnZ%E!c$2Ip`bU!HLU5IvTZrag=g^+;K1|GwjNWlJf=mr_!i zKG38z@tD1V9Vff(-;!9Vh*^vmUKwNekyq%xNppyNGkich(h)!~eBS&BVAWLOH1sGw z3~!q_ZQuxC;-?pgyGr8DVzi07295ySB#!9*Y7D)^#vDEC2;h@h#Do3_@i1DJ*1sPC zSZ%#T{CJQisQ?>4COqQ^AfPM15IgKKI!4L7iP5-$BYq)E@ zMKpkSWwHMI5kUNBl_b_g607?=W|8BmM*un7_YrTCh__Oiw`UvyM4n)=x{weSC_;#} ziG&c$jsT8#-A~w$5cVn=djm%Rvl*lMIl>sNU`(_55E!3!1Yq3G$ioS_9f}aKwLa?z zU`qj8>oF25l`-N2t7in{UH8~~*jhu(#45ez)WDgnQ@4XO@I}O%kIdUMjsT8Nok3i= zk`PWSLNF%Gfe_3Bvd2!wPM2+C0%YtB1mt4IsP-a^=M;=J3A=&uX#rW&?GUjZO~}J# z{NcydYH?vs?KAIC$U7x9zDfAC>Q5vqIF zB(Vtl)hBR@2vpZ6z;7T_)+f-M2xOe8N5GQ^sNb$fpc@ky3Mw%=+O}CqI}SBn)DLPO z<+8r?tsM+kkfeo%EP+a?{|M@zvljiAQ-8azLjOXke>(9RI!U&@P}&8{{M6$N-ZyGW zC)`6%Xq7j2RWCAIk7?W+A7k zsx#+i^&v?jZVSKfxAKHb{H7+wp%L*^c2AOBWp-MR%JX^cZuX|Pqbfe7f$CQdb&227 zq_|uH9K}Me%ueZH_e);8dkh$6CXutgERnNBeXU6%@WOZ9H2Z#2N*u~QI9CkaC=v6x?rA;K=OG3^W8TZfA? zQ(R6MYj4rmzSceW>yo0kj{^}Oi0PaDFxcvcY5f-Sp3q9|dsc5w@3(}$$Qw8pBm*e} z1@$ID*HeFET~DA<&K6>1_KO@NrxM>K;M?f$`R!~x%j_Jc47~7Lj+fai+4p2kng*Wp zBJbJI0YgkF`BbiZ)QhyQb$u$|9jdW<#SZ%Z&J%-sY3roMbd59sp(MgCtT8eE?)D3( zxmOx`VUy3L#OSaD^UoLdcyacF?R(IuT6WG-57w5KouMwvASG`=n!yTKHj2E4NdP&=+mo0fe4j6FbG>1%G6-0mQbESUv z`vJbdCO;2fRh--(A9Ji{pUb~uc$PWQV>#5^8$ zl!+~AoAZF!g={_mF51dG2bHGnq?61e(n;mSLq+7hDfsxuVn!Vyr@ed+@^!sVLeAR& zM75oYsS~~Yj81|X{Mr06e&Wk;>H~rqT>oJuVXA|KpJ$Erk$4i44EkUsQ(VrtHTep< zIn?xQZIDhjCuNEcwfx=ksO>I4EnffaAYqKghijE!ifLc+An7IZI{clWK~@rf`suY7 z4{}~kWF=hRb^y})>q4+H*WCPI5mAUC3f1yllevZFzMPkrV}6R)(i>QReI@j{y^Q)8 zn5!B&49H&fm-l5fa!o$NUWju^+XFRZN@0iGAc--yy>b#rpI;8byqx~2?=Pw*i9J1z z<+Z-vBNs1$v&qV%zqga=!~mb`W0?-UsQ)MI>d~^1$}C$V$zv#X17d165E|@C;`M@_ zPV{;~<(IENP#KG358-cBk0Hu}VB%pGS1GG=w#ks-8+)-bOlr0awlA|%!>p)3Ay#~G zP{tv~G-Sso1$Xd!##MY6N(2k4S@seAL?5n|q`e3qtG`$lU%UX1#r?)SmId2(dRWaW zpV)*~u-!fD`KICJ4%h~7x6~@cCmyfF52=W4b5geCPDf6Yl2v~KZ@$d6Ja60esD@Fv z`jRBPHYw)c>Bvdyq?0-c=I`{%W#G{g^Xi`j=6#p19#J33qrZS8pgxjrge3HujAV+- zh1e!VB>K-u&n6S^bc9{d=_!nldaXX*-$=6BTD-D4e0Q;Bq@vMv*n2!3haQIhs@5Y$ z$v}P#q+UZnBTPDz&ipM8qzhizjG5Onku#=QJJv6owruknzl-IThVN}1*d_F7&Qg;G zeAd(l5zoO1Hx~*%7^_&OSC9Lo4;_gzc$3L8v-woEDo$W_L^1ne8ZjFzGwaLOhU{LR zM(afK!=$RO&?m=^)^c`VPEkZV=x7P4He3=z>g$7vIh=hbtrORCI<$Si&|k*EB*mAC~0Z0!YQn ziO$cQU;Y5XTE<7qcc%&h4qr~=R&T#VvS{M@^j@w+tGGB^S3SJTc|YrpA7kOsZU zaeb3WZM)#mcD6%3?=?9WtBe26;Yeto_?fs?|2VWsvA)S{ZMzU|zTf1WDl~qt!;$jd z)V#aQE7)qT&MMMLJ=WQvZ@#SQx!ADy-RRvberDR_D62jJd|Vag-=vS`0^MVg{x7)M zn7*(YOSWg%4ZYeIL){oxT8z9iTC zR>7X!yO6Nw6L$5Vvb6be)`>%bG$r4qP*B35z)1`RRwt`T(>WxMjA)ZQ5DLCWfJ`zA z1r@_$0Ao0a57%2}LI~qfFkYME2WdNhIbD(V#FGyRyOFS4&|7!(3@u5!h{J-8f@K(o zg`ON1io~#x^Ys&w2OTBEl*;mu1NN=u3B=ES;-`$G`r>I6zs#cg?OibhR6;;CW=u;_ z9k=9(X_d%yr~f=Arkz0gpL}zsr3lYVTQbuQ4)hG4Co*k(#R>en`k{A>U53e$aaK17 ze$vs*PX<`gU8M4Ae5jkz;uj}c(nf@x$xn_LF(PwYeA0a}!P7IRt3S>Y`Emchx5bfd ziKdhZsD+6p9P)(mKM%p(K;5fR>(yYaj|FJnn?rBLh!--~^Oj9<;kQK}EyMbBv59gyApY(d6@trsM`Wfu& zAN6x)DwjiJrKX6CSPVa5!^zUs7xCdb%}f)PLiov}@ROIv_eIUZ&)A7XQ%f`r?G%;Y zb~{G4CC>I!SFlT6CPK5#2z4~Mcu9O)Xre%j+_`&NlT`B1DaI&Eg;ky;L~c7pf=I#D<+yAGEF?N6Ak{t1?7>+H-bq66b{2zoVcArosUw=9^h%SsMd{UtZ#Jq%63A4%CzfW6|%2h%gDN9AtgrIWMWNk%Hgk~x) zZDK%AHLXZoD-@MS+4N-Fg0SROL3p2bM2P0Lm=rTYNxUA$OLVPILlbtQ%CB6UMN%Py z{71jiOyvd2q|;HDbPB}yowAp&ZjwR97A#KIm!oMoZW^5MD6>kK5Mqib#7HZgFtZR7)0nO*>YZ^k%?r1bb|FoyA&~o{ zgjLj&7b!xjV^^Cbg`KLZCJu-}m*Vj3JjLZwUK6CY%iK$QAbR`8eJ;e#jFF0EEAqbGq-ADOTmjr}5+*45R*+I3Y6VlwpAt;#xg(AAJ-{C$mkQ=VVR^y5Cb7#S! z?&q)`KlVh7AHStTV3Nj8vYt^nl?GnYE~|8fJysM`j1b{x3XyZ zB)MIXmj~bZ6t*}=xT|<- zGT&sm#&?N>b+VMlYiqs+kn?VTWmy?70p*0rK=R(onn4s)GLoPQ)r8E;v`_4_TiC+M zk~-=p$sNm=c>m?j8A_TKYdG#K^Tt9mkW+7Ye zq%XeBG5db<8ej~+uMwk>f0-2^OB>k@agY7;<8En>88#VrsJ zv|6xr!99q!)Y=x*Dpqa7qEc7faSuv;)S{wAi`MG@oHH5bCiuR8zwiC}Mly5GJ^Q)m zE_26$;zM$@he#2o?8D)mq|)5_%%tkQQzVJeF14r~j)o$Rt4aia-WWi}KgkpLoJdCzTbd19seAT`2r6;Ea{`X zXWKp5=liJ!OH}&r8js06GbByrleL4*6FhytDe0FkiS#&jqS>|k&D@4|^_Q2MLd|`Q z=d8_iJr^V=G(P!-B*r7|M6-hX&7!?3EgoTb9#ppH6N`tkFYFk-&;6To&HnEh`q!?= znm$1oQ&to17h}8LnuCG(;Dl+jV6e1pk*E%_yqGb5&B0y>c%A@ZR(-a!o+Xu+QO`Q_ zY`&+UadfGlJG}zsz5#m7d9e~fi^_gP`m&AZ$wDb&o(y2D+-U1~S2TbU2QKq=iv?8Q zek9Ii%@!wdeEao9E>Bt3lQ;CXI&)cAc>}pLnQ@PTFpA;1Y`VyqOU)r7b;rV!;>Lt^ zxuitqz-9QM-pI@y(N-re!}|@u2c;@hI=ohP#+gg=gFDD&j(Ncwxl}E2=F-@&54QMF z&y)K1T&8j=duZiR`BahGPls)mo0brl8LwtIMOMhe3gpseUid~XcdvHl(sGEb^Fp=F zu8@DvW!|BF$jl29kJ|eoCgdtVS0U0Rj~*gF#pWe;eqgO7wI8nA7-cW;bDRQ+xPPOaWJdtAIT)S8m{Gm?wAyC9v|jNZb2DQ@AP&^5d1R3&xII!Wlaa$ zk6jZUoW!Y#e0U&q)D?%C-8IKE>G;LN$CB0TZ;zr-?GCWt#AOrVjb~uiScwO?X6M-Y zn?`?&9mW$6%cWh=VVs;I$=)~JkXQEo6AxUJ!&!VYZbrAK5ceZ3B(7ib;@UG_`|7D( zn+{_*az8?@9iERwd6`N!4R-w9K6i}z8=wuny~I7q zXQ#le;`|?IfqVjlIkv(_=pvgK7a95kaW+B689wNuUWUNvM;rkoPay7gH8^U6wqqEeu^iC21w7C)@5bjP zP=<0H&P2C?C9L_v2T(MJi@&nR9&gw1BlI9b{FR`Cv`bB}8&7DiXOtCwWE6(rbOMB| zHEgC+J}^JkKuxnWCpp8ecT+kiCf5Ua{NkJsj2!7W1J9Fc2NsESb8FomAeCb#E}uuu zTipZ?%33sx=_b-Spy)Cl$TKc=k^<^@E(L%C>Cu7oc4v-!7KZ#=TsJ%UP2{to_@Edb z2>uy;b`7XVI@QWJa^$lS2v|XYFc6DBg09d>a0SJ+_NdtW7PEO*7%EgFFipkjR4(MS zLXLDz@)lmFU%b(E)EZnmDa~~gP}~1S%+$DUv!mL^dpvN?nIRldX)+I_UuROlI>3BI zl}Yx*bY2nxzua20zq%|?REgwIL>DAc@T}{8d6gA@fh%7#Cp2!@w94f1#44+{UNe_1 zTYr539^XtkCu3Tbd7wM^Si%Y)#S2ZDIbp>v5Bj&kw{q^^KVN!bJlRloHw=SV*B1Fp2C%-4NuPsucpSz(Xl zggi1I8_9ouf-Q>Ml=9~5!W4=$wN8biRfHRvB96CYEdP|m5x2SJEY8!t*ruU%5r_j^ zX1#Rg^0ES#`cmReJeLmnM*Dl6eDmxxlDkbg&m~Q|MjWSdmf@0S;2gQE*~FpVcjmI@ zEboo3BTTEzM^}pxxZ&F!8+h@*P{@nxz*=22> zaMPO0tDU)gc$TU-VcH%}bXm zX(I|Q?t9n!o6F)BJSy02P=y&{mh1W8Y&zG0>KE|aA<*x>rjuZN>z{YZTX$bS!E~gn ze;D!>3}|)kxNCsUDc9R`-rd)e9<)8r&usA>_Ure5T%-C<$c%SiKLak8YJh@}0AKxZ z>1EmX5N=boqU4v5+ly8*$9aqLH7HriT3=qW==!gKy6(r!hp<87#FN_=*~_4q@}NA) zbNNf_QnRt@wyDL-Af4p3{0{s)u~af0pmzEj%PiXE{ZubS%P!h>EHHduARc(fG(*xL z)(jR=HrfTP*<}fFkxUq~{DPAb@NOjB6&N3^ra38}jw3!6${S@q&BCRu?ajy?;$jZ> zb;2!0qh=Cr#Ow6M4R8R^wGW+v?cDCB89w@B3VnoBdD#b~t-<0-$Bk#}L< zL%d|?(X~qJ-(VCQt=15DXCtH7jC||m+4T+p6W#;bK{$qIMZhkw1^J@RGLdYUT130L zJY`0~6nXjjEkISe+2*XWICX|K5u4)K@RnUeHryNDLJ#+@=PAP}5@S&3XLzVd)4@I< z^^NaR;mqnpHwk>i06@F|gm!BtkHX%F?`>MkZjeCBZ#(;s)I3%4*= z5wj#;v=y1&Qv?13C28Z9SZ93tS(Gren8)E|cD35IDH@HvNARsyS8MBkMH@~c-_NS- ze32 z)+^#aVcN2CZTWON!83Rt8QgxW>s5XpA zXp1^n#Ok0}Z6X)xcAh6eTQut3W^|C|&$VT2_>|W{0qxJII*r6Nv}@(IFYv_e�QQ zS`buIs3AKXh~Wi=1!u;Ct#1XYb+jN1UX^gg6xB+LH#wuNBG@UxQ z*|Vr-%e-N$zr>$LSHm)!65)ujRikxb20Vn6{w=uw8Tao`^e_pgdj{CAj8iXE%lWv;fzz*u#sH|(VTuDOt6VrVa5;o zv>n!-C!?aXtjkY>55Q=xKn^3I;9LHTiU-N?bHht}uWAIjnWlVJ2oc3?IiQH*O6c?h z?+Db|H|2yHQOHw+JPjqfw}FOBjx!HbzDV$i&ETO&bLQ5*tU=2{oOcCz8+xPI4f@h1yiD z$%sj!s@s=B?C6e~cA%xMF2L1boT8vgv%wU?D~@*CEulVqdOs8y?4IT$tF zZqz(VZlAJ7jrG%Eqh&$!95f!x%U&i-7Nu;mD73@bB6&D&!tt3sSp*q56xQ2Xo2ltLSKNB9Kkuacu^S0ipbrV}_Gk(vvZDsp24sOmTw!RBE6o%Kr z){;$#@-WgFrIy#=Ti8uqm9D$E1w=R7 z_54+Y`wOLw{kURuv=8zc?9gX@AuB+_#?@mT2=nPJT+5-bA^W9_4&Pa@dYlsq)~0Mv zs>aDE$DXvKWDoK>wTR~xLUw}hQ3%;=He^HWa53dP92c_p?IAl+&7m-1VD4z9rl)tf z><~Hkg$`mng#p(=+-JjJ!LPR67zQmff3$}zZl4&9?;cil183kTNlhG_wDmVUoV{n{ zx-D^wu^b8$2I;?wzQd+lA9O+y!r;Glrqlg(m@f=N=D?Z@W_d{MrOD&5H(1Q}2C*ER zc-TBUoQRw0p=x@nw#sz^p|D|K^bg0TeTKz5p$K8{ObCMrhcMV8yM`{~-o$9Pzvx#( zYl#w+^-|81;I>~aBzc?quyKI$AiS%yQRXl*8(KRu^Q_1*c4)f5+|)7}8p4i1Zz;U< zrOqZFZ5)ax%~xbsj&XOrrE=Zb6zvOxIo>LwlcMiI7HO}yctU)_wfZk0DTkk6zQZCq zX+^%I0dF0EB?WzAXUP^n-_Xxj2o1X1A^iOK24TRsg*XrILt{#Dvk}Po`0p7ulqYQ@ zuU{V?i)W+ApYpzV_!))`)0g+$3EknB&KvS&rX<(zuStce&Q$-~{H0i<_p7iII*kO&O2IQ!!;* zH?EZ%J8s&e5~}k}%99ZAIej_`aP94I6-7LpXP_!B*wX|frF$Do(etg#bJ2}Aj{%ar zW-t0@pnPwL5! zf)aQGg4y?w*>yj{1d}bkperKL{0U6@B#!h&gE?@P4ZqH^}NH`5WEf;ow`Kd)V?@e=&As4$}xX{IgMX@yL681p6=N z1~kmr^=>!wPuNgZ60D4IW7mDON#|2aKlDmLI`zWlFK{sLP>d=L${wN)tzRy*`v!Dg z1qE%P4{;dxq}kyrn&7fI)o?V5rg0DNPUi0BL&(XC4!3zu_LC>a3!HHE05^(utOxvy zQP#|nHN2VOh{=XOp-vp86{DI>$7zMbGW^o=Qf0HHJhyjf$HBjuRslU;dqyO?WII_ojtB)rS3i00s+@8d05VuzdkoQLDXaZW&QO3xFb@T)ua?G6Bb7*r-T zK4+XhB*+g-+fy)nxmM@tH&P1g+b=0$l#LXd%$3s+o0mp z81XCCenNAJy3A&<;Uwa>71Xb~yXN7u^(UE%!Wy7MPw|;@LhuyNZM%2iP1(ufF7GIn|z8G{}EK2RwcWp z4sWp+TJ+_##8yE?0WglAf}5x)m$tZmd8iHwf>3vcnGlNz)7OP-?|PrZXo zOsqGS_X^*$mRrd3C!-DtsSuzS!p*jq-+92W{6zK;_R23cLgT{H(}@5pzT8kY{l%8Z>D1eY||dt16?(VzFtDB(!9@}35cPHo?6O_U2ufD5ZY5Bp?4~2 zPl?*ntj_j1-B}wA4;Oq2YgY~v6&C~OxJJX2Tfkq&G>dsc4y=fBJ4*Nh>#1xqI$!wu z15R2C8DPGGTC@Ge=t1#RrbqA$02lOdF(4J$Pne2yAU#j(;!p?d)fI2%-vtuvCt>)1N& zImeTw#bGBEMGlmdqOG5}K4N_Sxhw)jumQNBkb42?NGJ0Hqys*`y{_mlI5Ji1c`_!N zqNiP%dyrD;pVq^2z2X0*cD}8jqz)UJF4p88^sR0`l>j8%m3hoQ@T>T z*{m;O1v{$sYxe@j?aqI2&q$(L?mvtvknOCm$tO}JYUHUtd4gmZrswVg=Mm0bu z8dam*fOKGzA-#^nx3`lCJ;sya>JbNrFR`0*^>f~wssG;LU&*472T!kaPS(sCWF~9A ze5V>6K5-&!N3Iy3BWc(?BU~8;%SoaM`5s||Os>BuBE*j*__z`t%bQ!m)$q9Ji`Q0< zvGDl3A|jt2=Z-z$Q7men7oHwvSeMy6rVO^;@cS;8^|!c2!Op{7eYt;k2ipG)z<+t~ z9M-cKy{0T`JeY%~K=}OAL{5i`>Ni|soNQRnJOZCK9u~oQ^Qg~R$vhbok5JUrQ_9g_ z*u8usdgdUWPjtAQjHodePPjcBKECq=>j;N!%VFni)aZs;m>F?Rfg6}}Zb*wHrR0X( z0Z0yR7|sc$jgD{@s=0g#nkL5UJh?|%?{m)mLe@Lx8|YSXq0j98&&`aHdq7zI7DO3^ z-L2F@PG{y?y{_5qZJ&;G-gl^``8tO^B_W}bTS(1#kEg~fHT|3g3!{_`T284gThvi% zbWa;a{D&Ay)F<0FAaP1H9jl|%>~od_7)aaB@k*_re!i|!@f|J4*f#d8L2CIQ#ycyu zmHaX)wRi(gpTKy{zi!M8G2ccpj~zn?r6pdWlL4i^$K@(ksjcN!Y*gi4^}kkX;SdR( zBU>B|d%TER?=u5%V!>h8V$C@XRh~IMuxf3eKC9PCj?-sWzY5p@ivfj`Q1-37*~1LY zWs}fN*)8(!7xZMNy?%Tvu$~&dpeMjN?9)-&;r938;b5cCoJO@9g+w2HHALbLSSQ%s zJ)U-~TrH0U%LjpGU{SLdS+r+P^Dr|Be4`U=7jsw?R$UMcyVmI+q3=+@`wo7d-Z#Ng zanbh!VETvqK03ViG6d$IFgHKcc))@*^q$f6hk>s6vX?#;^iy?a34WA{2Ochnc&^ErKSvI=-@Dgiyz8E?8H1Pv%{r zf=<_{`<7FMJHA@@_jKk3smnbzI6&};vpKnKS}%Fe{q>Q2o_5`-p7j9bLaW*IMtWL00iWb7FvulB>{?In8yhquFS^(;Lunpwf82P)YMepX-R zXDusFf{LwFvKRc^Qjky4M_!8ZSvURdWaf|&l62XEk(Xluj<*`d^mwm8N%HK96v7@GgvRs;4r1+cg9$fk=)>L z@Yk;yPwOwggFd0$QUiPx)1-)lvpwmVitTIXoaZM8X_maMztI@M(ccEj%el6dFa&nU zV73c-`HN8Wx3XokeggMLVY$~s7Z_ULFq@9Zf-~uFVA8Gp7~Xv3-LmQeO%@%9)a4|SavjvW>6)+2i5NiLB0=4rPM?nQZ=KOd_?I3LU2 zRZ7N5`uA@A+9|%^E?G+VwJRQ^QwwXRyV1^f`-N?3j@sli*H|#A(fL+b$9a=jK6SnF zC_K2k@WrM47B}EsN$w;wfg-i-6a$(|`i_z-AF&Rnxnvp};mjAUX++Uo=#N}RQA*m# zbcUlj9t`s)<5Cl?BrL<}4E}(((SD^|?pwv=KD%yWq;9;a!Sis_duzhE-BanRX) z6%*ybhIu-4i)G$Vz8S|Ra(Ah*HjzUO>2nEUF6Rfv5a#ic*CG2sJ5=civIMi*kd%5Gm+GX<>Ia|N| z1oK|moa0DQzfOgxY0YgOul>`tqJ@hQy&4XWEo$(DuPB1sa2IqavFAMQGtMrnstN96_3%Z3{pof!B%Fw@TWTC zraNv3y%u{M?i>$3qfV6vzl4;pZtKUVe9L00ZMcIwXvM>RaL4$1uMwL)Zow!ICG2gu zA&xq3sGy8gK{=eV)qXPPJeQBxGD9Tg=yjn_o^`=ASm)xmkc<3%v3wLA|w-&^lq~~yC)`Balqd+3%MP{v9c+=09 z>xj#?eaR!21$w4@0sEul|G-mY?F%#uu80s_TJt7!?$ZSvy3puYY}D#}cRb!L=I+9_ z*suGdT^vrHvGvlRnA)ZHYsDnH&_PIi1h$_lX^I-FK3=OaUphv>e)197g**9(>P$y> zjh7R1CEIA@8csxjyT+eDyEwRO)>H|_`Kuwgm5gHN4enamOGi0s>9bmf$CF+Djk^|K zF_B$fKd!Y46hmJRtmCfLz4S;WA?Z~umAdX4`GKT4swK=F_mdIB6{MDb<*rNRlhHb= zOxoUTSIg1{*tIyg>)j6|Es)CS)LJTUa92&B5gGPL9*VoQsLF``*Y4_hRdfuHQpiz>{3Hmpi)gy}sDQ%LaYEawKmhsNoR;-mjF(hmJm`ZzWpat0zFPrLkS7-M6f|-~PnI`FJ z0Z(EI`?l^ zjO9&sv94jdYU!*4K*6CT(UPrUnUh$3WXPvRBu{6xs1G#NZ|>BPs?#LrhBg?T8;b3) zOy}58&hl3EV9vPKb)wB1OEM{B_^LWX9@{Pjmx;KEwpYJug?yjN=`^L;9%r4V0a`=~ z5sRT&<8=0;15tt5U&OLMSU*-6w1Dms}@4{bh;}VHqV{>VqJplj2JifbDuDP z({z5=2w8tc`U>VF4)X$wk?qWtR-SF};!~eZ0kk{Y7%x_AmCr^m_RTW#Ud(shwyQQ` z#H+z^hCR63ImWjYo9pkUSO^!Niq9ZpY0Vp4zKJIK|K3$P!S3sW6EN2B&TvZJ)2Q)_Mxug=z znz@QIv$SQ);}G$*e$uq$J@Qie;)Nc!Jo&O;ypY67T7ym6F3N7jCxUd(9(Zoi2>Pyu z313I&J~zRKS}v!^n#@ml=D6=!+5OcMosat#g}vTVT{of8r0SuyT=iEXiSYsn#}8HP zm6QJ6v*z+7cn45lS9Mzw)0#1LVXV0)N#J}}jd$an?n(mtWKBUsOswZGv?+=`;SBde zcFO6NIcGWW!*l_AK2s;_GXN_sopQVoy<(k3yBTP1+}dR~SDpleSlWKcIJ3DNI+M882BR2P^CM-V>HhBs0uyQ0z0=t$A}U^B(N) zd7XCedA|M916D(_=P<9YrtOoHS8LkOch+^-b=yF5&)KXvaEnaY*Xgc)>BVB!DD7vM z_pF8%1#JPH`vDoww@L#RaJOJsbICRpcn&_5A)INW_>p5SJF5(%51ZH z6<@*ga{@nR&dj+SSVft5m5om}uo0}K-6O-V+ZL6&w_&^}a)zXOdHV${!#~Q;r+g0B z!o1w&K;5>!iL5@tIZLH*cP*3TG#H)M>M$+=>n~YRqI%Q(;U__`WW|FNq7#>B zVt)KFKn6DpmsjHq!T3&vLR;xvTPXv6;@c8&oA#y&oQx+;8m?SB0q*%T(xs4Dx|>`Qb>edAyedoG43K*h1j^ZQbsqx zEuWM=Ng?f`1%*Ti3TYS3D&!aWDWvAx@e5uE&1AVg5O*jhMk@u)DM~m%_nozXo^jci z^fPJZZ0J{cc-~a+%RY?X1qb#16Evz_GYt2{;^;$fFQVJekx=p*@oNXhncL6dQsP*r zyOLg*8^$}|4G`{{&h(<`tTnT$@RTX-WXTsecw6%;{NzU*p&T~}Yjr#~+-W@;uZE9c z-itntu_hA`xE;2d4X#3dDztMJ{!vFhKk>~D3(}yF;&tQkCE}PVW}JBo_mV!smsHR6 z(qIi6zCk9mC7H`f8SzbRTinQPZ7ve0{S@I|4S*+Zd-&Rkj1c34=zD2C>-4-3yh$vkr) zsz3G{+T6>&XsQp=lhxNxCxuKUg{0aQa;KLG6_Uy-B*fm#cnB@ae>QQ$K$;*@Cx_WWMC)H`wz3(3S;Yn-5 z{EAqwB|e^8x=H%DWbK{SJ4HWdzs#o*04H=n;OxN&ybgiYO%OP?9RiO;;F{$K9F4#} z-4OWjI{@r<5P?lC5cnAahr}STY83*1i@^E4h>cKe`8ooZcq6d2Jph|OMc}YB1g=70 z!$1TsAA&8vLSR)p1RmM}fD2b3uyHj4_i9&mb6w^z1m65Ewj8*+I_{*ZGXmEPzILda zMSW4W94 zTn=R}q9lArC^K6lm7G6_%2YzR-w#oYw+ZDHq14PLlp;du)CN)XkCBS`GeSAvA5pCL z2&In#Wx4n%F?NB3?V853FX-+q@w=QF-39!{zJ#RbLa8L4@Mp1*v3@ zB9zY?H2!0Tmvtfy6H+lu6?erD_k!vXM}3>?T=0Br1d6M#g;KN0h>=gmRBi zbO#9K2%%I{2W^-`C_4!ydo@Hsl+A=vK`1dFB8sFxRRZ@XB8urOp?pComVJb>lTg&%NXZ`&$_PS< zpM)r)%ZTEZM<~TVB8vD2*LNT7mu)8$)eIlc)*||!K_wFX1b;ViS*mR4A`*3myFD$+ zp_!T$nf<$Ds&-jC;A76d|Oc%Gx5qqX<>6$>-{8p;PDn%^+-zN!L9S=UxB=)k3z2RC! z*J8S!5}%hovAWs+Lxvx#MIsf{QSF8lr;ln-G!*YW(TPPNeS3`iWZLXcdd!{MYr3(A z)@U4Tm}BTMY-Xe(wug51j2=2e$ z`gTX*vkH%#BRb!mV?}Dw;3^kUIhn ze%A|^8;)A$KmBkiJw4mgZHu&Vv9euAXz=l6iMPZX1DjTRsK0f8cIMgate9K+ihi2E z+(K@PTlR1D!lR_DtYp4vsv_lQ$-af^>eiOKg_(~;-P(FAmR9^@1kgWI0QA}*PXO(7 z2SA$@-oM%Q)#)yYNA@1^dTYGwyY)+7T!|WOnQ!X;WxXSk-JbfHuWM$GZ*+0XgQvgd zM5G^i_2(}W-%&2yKjH18yW6D>xZZDVgzKn9?-8Vuc zy4yJOVR`xGXGh4 z=3Ehx_)xn4N&{aBkvOz2XTSuFmxxFlOnPvn-U$(rc-SUZ(R`AWBT=JYm}~ME5sAO0 z*S~aK%8_UtR=TxoO+${v;DTpS`5$m3{%X8GsNt)6oD_3&2E6ZXC$T!|!PVemj>PvJ zV$U`YcH>C+8WtW<+DW`u|N0?biY-G+Z*@zOaU}Ew&x}4%9Elc9_NO%T0V$R}cxLSJ z*PNMuE%@QDMUVcn_IOz|^JVc5FHb#sS<>U5i!=Wz{o$V*kN&asc-5tYTZM=2Z9mO? z(@)FAKS|b%l$Er$7_&5wL_6EL#!G#@-YV&*c^;N^TJ#GI=|E{442^$V6YX_C~fb0_yrBZev6N-|WkrGDDdmjIA_ z%*F7>XY~tIEt@3G=-J8Ld-^bC;3a6`TL738nV54B0L-iE7c}>7l4J-3fEm!jZ2Cu@I067>0O-^fNDKsk#Q^X(0Mr1$ z699Ms0Cxf49soQT1OTZ3&}f4ywu8U6bZAs!&X!^qL&)m-1z)v;7Ty7X&j6ss29-<= z01*Ii8UV5ZAhdmxq~Sw4xnKW$m~vSgXu;sG^(=}?RA>C^V$gh9zaXbmlca>Cz-Ae{3gr$u1x< z765hwz@%x3>O2520f72#Xkk3G@EtJO3wX!_CeK3))d27(0I0fy6q7r-7aoKb=0XdV z(84ur;iQXU!TS0Iq8?3>%BBK|;$h1DtD%KX0b0)y(TVCEr(6v1weN!Q{!Nm6K7|hztN*L?`BKIPGH4ZK_|eKd?#C=^35e%{QQhg8(oOTBrtq2LSLV0I2!`4|BjD zeg`ox0l>G=!sHJV)x~FA44bxqHTyM5(#{7>J_HiCfyq12!kG^fb287m7~bDjzhK=! zAh8%oyaW=@0pK|R)BwN(0Qdx$R0V^>BtZ-Hhbse{ZdAo~>8ULZk4en=u>=4zK~W)1 zlH@61O^@M9x3&Nf0RYDUV8c0(A`7Gl1u;K|7Ft0IeF0#8Pp#)O0C)@l%ANHK^h3d6 z)_@dU0AMTtd*9o;19yB%Q7p}^#NXrV_hZE4i>#GL$#Kmq{L z#{h}1!C2wILoNVB0>G*1iR$c2pr~)_7bquys=tT8nF1sZ0f~hGPy+y|mt72SJZ(YX zBvAE_V9n_Oa0Ob(=>@_2F$6CFoCJV*?>9+WbpS{#0Dvk0C{&t7>soVq&Ns9W&^BJ-!P4^)94%ouda zv#CfoU!;yOid61y|M)aq2yev>TJs-(GU93Yg-K%JSv)>VfAh0X@!85ZKU;v$Qs4Y+ zK0Zr&^Rs#Qj1D5L%L}zxb?=RQQk#`;enxFpI6S*ybepDe3vfHyqyIdqXs;|m30nEZ zc(Ju;rDrRVd!lyfse-Afv0soM8+=jXwK`HK(%ckVQ}e|pm1p(wuGPQ6UE3zONIr*) zqKTXEtOB2z-u$czpV3jM{{>IKm2Ib){A;0&XSEyt}&W6Akl(za-sU zazwJRvOUVudc4tQH6){Dqk^quP(++7t@Vfl>F5!Xc$BX{dIU7Zd&>b6J}X?nK5K0< zx)rR{8WiIM@ul5uCV~}| zbv1=!1kYUEkgQsbmb0;fv^J@-3j{CCY)GC+9#!S!!I@ke9ZIg;1CQOpIP1Yo_(WQF@G=Bq>>Iu5pD|2ncsOV=dph!iLs6MBFDr>kHg{FW~ zBkvGUC1Ur6-2twH-%Y{AUUDvW524fH!n>HrPgJy0t8H9zQ>>AyI>Z2X=|!^zy)^Pz zgeW`Ez)s74lbut*u;_RhPS`BG79lQlbk zrFK-~lZ?6hkhfd60?Ck#aN*4@^HwIQM2Om(P?ZUV;3+s zFH*PJh0(}r4+|=@2$jh>NUbu9l&H*Es7z7lPojFj;80J7%Ka+Jti<3ZA{4}kaPX{9KC+JqwA`q12&k%S$T?{~c${ueZjnXV(Ysn(>b3-a9W2r---2IP z>DM>;CS_;u>U?_UpODll3sz(2`tk#~PU$8U3(R2898h+g{9+Y^I7pI^X zOPamk*K??!&Tox5v#F%n^&a>m_d#N2Q(o_XSsLZLbMWsWgLY#y=(fYfoDG-%=HI4rXa7!NPzza92mcQKqJgu2tMXCLk`<_< zovfan{JY=@pgQ`u4}Y z?2`se4;Qu%;c^g8vJ2swxIwP!olCZ4!b{uuJ0!mw$*0#bh^sx}RG~q%Y{E%-HxCKe zkU$|3u=g)~!g1vj&aY%kCgB`sP$l0YZ+2A9ARW1clQ^*9&`d%?vH46wxzF{;1$_&BmeUy~R zCxGsawgQZq^ss{w1|$gY!sN9cjj+HeT!j+sLpXTW+GIIOwqy(<9aeY1 zR!)0QSKxUAbUVwTcO6lJx)pteiuwUAj=JrPy5*g%A_@CKMFh%bb(GD|1)Xg(%BHrD z3o@HLz{st^$St`J7d~;cHfh{))j~B_IhdM_#AZ!@10Xz`BTDots^bSEDY>YT*N{B8 zIP%K-fmbmhGSx@Q$WjzikBEgVqH{7xciRJEI8;23o9st1GVp|9%|mS9O+A9eZ7(6R zRaWx@h`0k0^(-P(S=0-SvR7F-;(i#`Y;2|;C6#=HD%ngb;RX*}Y#Qv9-99Y_wL?Bg zca1|6#UkPk2C>%t_vEOuqRz7X>tLMh#V=fbi_Yh971^9KP3|8Q;Vb zoP^-;kPAKzdC$)0q%T3~mH5^vj(Be<*AQnx+?b$47;tt2#{@jqx#ovao+89j5tiMN z__R6W9O-`xviHQ!UhOktDdf%17(5)4p;%O|B#+R1DwM-<`6N9xQO-&Qy;A~~!+p!j zg;>vf2P;y=Y;>fIzky{Chla1lLQ``+%I1P2t&{1MT4zBYH>d81&g7UWY)1Xtm7WqK9 z;A3HT9QW^Ss5lXCd3zXF*ldH_rX2@G|lyp8Hb&h8f)apEyn=+72DaT~0#&Ra)XSm>$fia|n?6D?vEZRf)7V5Gk z4hoFsC-g*Qy#+rC8@JFyVm;NkD=6wCR1{S5A8FLvVe~&h{MHH0(Pu5vSNZbn$7{DD zm0id_z5?tV1DP$jkvZz7ijM(4^S3Cdy zZlQCiaD7Zw-!FhjMyq=Fwz#&zgdCwkh{0O=#pLC0=DRnlx9ZJ&yOSvikLA0p{LSE> z{}*ubn7Udy^9^c+Z+)*!F7behC9dg0bXxVrqhPps?%S$brza%B3B=Yo+m}B}d_7gn z9_^of&w?JbBjF-l4VSj|7rywtcom;Lft^}yr-I)uRYK6bro$En{@za|ckLIcGu^ay zUL@72HH>ge4O;<@^V1ISm$RWCx1jk2DlRqnm1v4)v|QJOfJmJ!G#A`Xm;1bUkJ}l0 zLX$Mxv~SKC%~4H{lWlrq?OfR!=a`=DS(6xGz`tttlqYb1z1Xu4@9`DJnqxGnvtqL_Kd-F5;WRK>}&q{ENXmohiRZ<-V-NH(=jigzj zZ|}wdI=_7h5h>X^yHJczmjchhDvX;bClYmK)rB);qoc zDw&cdb|vs)9uB3k{B9Jpdnvg;WH5q zXzI3^gU^(2ezqH*S>OCD>L-+8jZ@Gb4h_W)n832P>%a!(!G_~PV%P8+h z*cTnX50Dnz)5-U3?cIiW;k53M8KtN$BNj#G+V)<)TLDRnhalr>1PJ10lp9W_kMe$u z?Vkdz+S{kJwnpooC|)%H2ig}3JTDh`PMJaTTRLolgJ5_zct1s+OOR)-eTL_Z!BLn3kmpo^ z=NlZ)H0Y}mcqRzqc^1d>4b-!h<+=0IBrxP}`8BOYn~R$CHHbDVE1AsCsjn2#s#r8$ z`6+SSMBQxwD8yV!=C+(*M2)+M%CHF#jHsF3H7IKJUjV_i&xpEXuw^iaI!P+owNenZ zl8g0!z+DHK;6mmRaS$YGF2{2f@+^7=7r~{tD&x>7H~dz>pdzOzs|@wR^XeF+MZxi! zzy|YRgYTh)kzopp-o(755FauSfK%qfrEL)(Hzok95GDC`!!64pHA&UAFK67&K=mX{ zK%88}5xSZaBA0y2phc3wa9e7`XUF*VY$Jy%pflnj2EoIWsR9JPs`I(ONUN?;+|Hx+ zuOI}qPu*OWELbTEE9$tX;|Ayf`+C0GfgEMYG)mcvyFvHBq)Tm20VSal@$jv44nl%$ zrrCL(0?(v-;8KU@C1%nA@mwtMJWSwuN&7*F{Q+Wg5CYF>9M74^GuJ-Db9qO%PIjJ) z1fC`RiRTLBIaA=7Ac$v073o<#830mPo_iN`h3{hLD2_c6+4A<3z-v@V`p&iI|D-+6 zM)j4Ud8Bymb{$cVWud52I=BFjbEh;Z8aF}^^;qE`fJkYA*c=2S>SNDya6g|;D53Tl zQ3rQQTn?uhmGt%0{U(TN?tdT6qkar{K6q>+nTLbmM14X$8%WeQ@?2a<<{_TX3q0!t zo{NcRPAU#U;92pcgmgkDayauG)hQ_q%!53;KCN}BERJV7>Ow2s0UX^NQh;V_ZSH0XewFPG05So>Ifcf+k&lIyNo3gN&l<+;Wa|13+<(0DV6h5nZ z^RsGvCi>xxcqRBO=grT`sa#;6!5}zK9FLqwFq|JALh7tR&Py21H4P+D@cDyAsm$R4 z1D{|dmSUdDdNn$_Ox53$HC9@pcJu2O^K{BZJ>&vqbd{sl$Gfqkuu*~gV-ZQnxC zHe()FqS;{HN8^esf;RV}cu{)3Xai;=59%H@9o_+ml(op!B!(-Ic?f>dqt&l?9#sW> zV#%0f5Dr67u5OGd!4Sktd&6Hegv0Md@vnC`GGJylOvBbMz@@D)K2$VXj&~BG;37R@ ze>Tz0S1}33f$6Iw9>E8gqLj;zExgl1F;87WZ!JCZfRFvSt&Z8<`SlaMOfmo}2Kv3Z zvx~WZI2^zY%_n@J?a;EkPrgcV2e9B}AN$)&g@bj~Xq~G-%79%Q?`XUdhLvNDkn$x; zG#>t1%G4vnitw5A&Chla-WM!hNwbF%)SP-Eu*?$}L4Z=yaySv--oLapxLOSFbq>or z0*aGJ+_h?vd2apbkp-eWTdz5A%?g~2BM`Mo=^{}Z*NcKc%!ae?;x!e%Cd{`#*`*PsNqPOhKm`FsqZAAWl+wn#4z3 zeuhqFvdJ6!g}dH;u*31xz?i}F#(T;(kjGu`%))EY2w^rRsIiA1ur&y0Lb;+e`>@ar zwGTj7&wB~asK~@APJ0;4;-2kepQWebl*QQR~BP;+pHmR=(c+72DKNP&1x*}@UkV?y zs#QJ`g^zN;r_ox8AzEzVkwd`cJmcKwx!@~(6Wyk_1V0|=1uUQGbvXp8Iya3a4c^+* z6ozV;7b4Y7)JXn5l1JyRCC{rR;JKg{3_ms%1c)&el#$BnJbR3I;x|7#mvRuPUIqvZ z*N4g`VA)|i@vCTY%410+!LrH*D9ExC{=6WeGMUOHUnCpfY1n;uFIZ%P?~uquuos5+ zn#@j5(+@zKg&0Xxd@wVg+3yZGh2juGpvxKGFRd(B>{$V-+kr1Grc z5$I;t>j0;H1Q$M)XAi=fq27f3@D*C7W^nyJ3Yy@RVGM3Oo74q}&U1Fs&1;Bt)OiRh zixEq@nU=@Y_)G$prf05yuZF3&yfxZNA;Fz?c?I2UYQyFWMd@M@1bNYK%vatrzU+xE z`+_8ZR-6MRtsNEon^(AVDwhkVrx@NaEAH4aUXHeyX z#U$=@#1%GJ%}?F}fA6a2womYc#plWAaQ#q$t1!54FbaJxc~>lek3 z6s`dq=$b7PY|wtJ4aG2$81~6M5Tp4(5Mw+mhO!wJNNvZX7`>rEI^^HQ1SsQOLE2)y z3Ff(5n@V2(x>B3lMXwQ}dsG)%*s`KL7P~x}`dG>BJ`oBoMwOgeH5gb6U%L`M)wCLm z)D`0l%y*JT?;HWx((A~=W_v%wd&%hEIuTcRj*EvTW9T##@iRfhka71>#0(U%48)=X z>l$OZs%w_|%wDd-f@pFVRGdltpmr<9kZ*5bG?@;;#oUuiAfk>~UuSmle!$n)CakZ6 z$NA&8B9%%|$k=4&168Tb1|ZXmP;WVQy}=}qd>D$D%ZM2D&PX7WaucDyw~Od2Rv}md zfcbl>XxGl1NPkdV1J4p+;Bc|QHuL}+CWRPCIJmP@R}Y6{-|jirMiiyiz=VI<}ZSa)aiWnw8?!wBd)f>R|6!V#DfdlJvek0Z& zBkMo2tT%9AeNDo~Ixc*q@h%`Kd4U2%*mLy%R>kfuMkD1LsA4sLIzV5ML4FMeYvB&A zFgXmm=cVDdfo2($GXK?!-Hdg#yyi1nQK~{M1x1^(K1N%(L$pm9 zjr4Pnem$vlw-8k=Q`UNiLRt}Mv?Hq|ZibRPEIb)=^n1Ddf z&@6epS>E8$)!J?7st%d$X}xcOio_i*ig*XN$aRjq2#UPH^)T|rvyoT4ej?Hz21$2m z6;Gc}8c%-fNsQq)_;9q4r}JzE4cJRB_j|5f=_P9jNhM*$@b5Y`fPSOo<6!7a5{`6o z_0BF0i#!iMPJi90p?6$K@**rg;UJ3(iA)y}H8nB`l{E%hb~vaeY7V-Au?!yOHw6lO z6sG@r32teVIV80RoVav7CKvb+Ef1tvO+W?&O5s~JxjW@Ee)TJTC2o#W^nmVd&HfoWvyNkf!}=HHZ&RvM7(1oPc?LzdS zlL0-Y4XC5-bm!4!#$&k`KG5O7F-|94izP#AP#NXjDs7q*->pz#t1v#6b`x zKp4CS7RzR|K4$4y>>TTn(POc?$wniKTR6pfhSzKq--_Z}lhJcB@7@8XENj~d9am&@ zF}jK9?i*X!Fbi8)fGvo?_e?J60oGO^z(v_X^Z-42Kq{ZNnkujtOL+TwCEttb zu@|d1VGn)h9%IRvyg?{piZ?tfC2w^Wq= z!oi_#w{NmrhA8X3NrPT|yh2m`$#r4=|6r@=-FyRnUYLX8lMam+@;q|>L7laG-T z6Z}QPHgBbPAnpnG={{W0*^tsTm~*Tgf4t7@^B=&t_&7W@djrivd58P}+z(atF73au zCE$Ljs$V(%bv{=|f02c#zYuS@C@P%vcf2$&h4fd!aJ^D~7P*dg)}LSC!+f%7Uq~u8;vv3vJsjrirMgV2^t)|iQQe36 zY`~AWr%QeSqJ^!I@*{@V?9-*_Eo37T*vP0o0<(oa-KQyW^rIK~xV!0X!Q!7W^&}pJ zN?atu)b%N(EQ~Dvx)qFX{mjnB~uEWE!&*37y3>R)B-L8I}k#yFK2o#rI zAk(&S>T6;)?Zp&bgAjW7izY|oO!fD%!|0L1Fxo?tzI-3_*Js#K{{ruLY~&?rm7lKY zD@y2eF&Z*-3+7AolDG=&wMwwp%5>(%fMRcQ4mX{Lp2T!5(p&UtM%4*uq7a7&&hvV<)2XSrXRHLG z117as*PUle2opSj}jGgVsPz}Tm3|SWHfL`*haAt-helJCyFJkj^ zU8196v`}_~!Mug|V5$j?2EddtoKx5r5Ii&$lEdK6V_jv;X#2%i9{{LyEP@V(!ik1a zUASRXAQao&?3>sJ-y_=<1sr)6(Td%!0%qWyq^g z?e3v4jba)?YizsJjP|m}pzcGu!9~#xRK`w#O7EAZcEJbes@Uli$|L;xV&|GMv=K0V zGH10G%mr0W7p!s|r*ty=c0zG3qBvE&IJ_fedwiZla)NGXx3;}2&+OY7k#2BELKl&8 z--6j)eGY*_v zZ>i%5lcm!DC}lD_LiE3K1YZ>>DZ4=kW8Hplqhv2hYMwWE39B3Sp}Ad}3o}Z`D|e%; zR+LpT!rq74C&TLc^K|Jj>vKpaCClvtBzJB;=qf)SByuz3GV-@yfD73g;r9RBE;51I zkcU>JrauE0^GK&2dv(7L_aGbQk)ZOuF4yf9?2w1_nPC%$!vyld_J@$^9&l0kF}p0v zJ+5L9+@U}&64oGA_sd14RH9OBO$2ifdAM(RA8ceGG_rZ>#m`}<#aE-DFqZ{gOn#7# zZ&sc(xkW31^zjx0vjDMcKE4tuX=c8*A^+XY`I@8m6wyY-hp-X$@kX!8LNC}Kn(DQ( z$cuMCQ`)A~KY@a-xl@WWrBO}#09jpgRA5y+uotqrgF~WeHrY?k4%mnT%0?hP-!k`> z+8Z43aV~~%{ua1M_Xz{x6}Rd*VDWKETJzBX&+`uG|377-u5}zRVPGE=;3D!?!t)02 z>G}`E1kNVZ->KX*_Xj{loINFQ^KH3Zl>C$?%ugO$gkxN>gqe4Ec9pWyRzOY3vx{V+ zdDQT=tN2l;UW3d2xm~;-h(+xvV}8qKOlum|*Z-gea1XY_tL{~IJL8V(K^8C#bO%lT z29Mnp0j|v(wur-ifk34^Hci1L@6zxS-Z0&;>#sUmu8>llg*z;eqGYS?pvVcd3~L={5)Vkz?j2O%S-+TEsvO6N6W9?x(--nJK&O1@_*E_ zNqG%JvK!PtgVr2#(z2?&OFMi}%4s>$2HZP#x;{z7{ZQooD+lf~9el(;bqmf-SxrJ= zWKOz>wb}wK(tUc_3sDPoTVt7SONrf9Hg#L#dep_T-Inh~q#uH7KZ@_{^kEFYTl@fX z9qSM~bk|W(f0QSW7t}r{%R0n0+q^o8ZXQpH{tBq1l>Q$TZB4&{^l^rN&_$j;UtOxp z-zLUR!8jb;`IC}hXGQyUH~`emBT&%&|AV6YnlkGsdRYZ3x`q@T^nX-z?>R_6WFpc( zA}G32TjQ+g@)BCuj6gwactPKw=ohBCd85q~%TZpGMh#CxtunC%8{QGB*0 zVIKVJ{rB30xo(B>??s{X3Xgw|wxx^Edr4bf{cIBI>w#Tg{B(@8<*J8pUv~vAAq$y) zGp55&cxy6;gcVhRD%9B%J|ZA90X^hBf!+;fLXIEdhG(4vJ+#Gf`%)8Z-=MES^%Z6M zX4UbMRkZAwhc&wo?B$~M29*SdL;ocl^XrDAF^UBE00np|cuCifVMWZL$Za&_E6k5L z{Cll$`~N9&)A~%h32^yX-%FqIZlT0UOtw2w3*AYZ|Hs?6z(rZC|L?l8i-;^L`yku0GAgE+&RA^{ui&~MH zVX2XM`G3FjzAx{)i*-Kd{6C-H=X1`4`#v-CooAkzdFGjC=Bd7%lzu7BKDSUTD!j$w z#nIhf;)nf5vC@~iYtO0)6Wr2m4PZUl<3Gbifxxi*s@Vze!-tm&*kHrcNX$G5*Q(7! zT5LQ%fgi4ERiF_+Q#!Y@wo29}YrJcRyhnzlV5Hu22MfI-`UP;!L$S>mvV%mcNK~P4 z?e7#@bN|icTG?JC&Ra6qu;O*>i-1vHJDkE7Kx8TgvfzO@2>Z`Foa%~g-JwE<%ON&f z%c>QxhAGl}#oOHA6VT*OM`CV^vorFnnqHv@a7df;U{&x0v^yzBF(&+%x~-|h88P0z zMU9awy>#2;p*=Fs@&nDC`E`D^4ApFGa*fYWxx_xr7)BBHI7`j?>KAq;trX$=;RfcR zplo0A@D6_1p!J#=n#1lZ72VfTohY0gz9&8l@#U`+^+k*NJUV~Z&<~lrh`DvGWCs6T z=dT&t;XBajIcb|x)y_X@b7E+FDr-+1qnw12)Y%x?kT~@A6Ng0J%36j{){K7KNqB5; z3+w$#UL0hTOBG(=h~L=Xd*pY0bU&LRoR#fLGvp)a>YKB1HJZ}DD0q${l>?~l#*I<+ zFySeCSnRtKYf=x}q1|q+eYA|VrT>A(xs}=!9r4mvXReT&?pEA6=$rbd&A4he?oKr( zxQr*8DC?Sknm>NcN;OV(84JX(S}pa;D;-gS`2ZVkhd+ad5PZ`5Q+zbcBZUo)uE)FO zL^Qnws)&aMsm9WyotOU#3j2A|rhAF!E~x>jY=9jegMVwwEN|0;j4DENlcJTGzTI%L z>u;Qdf`Z5{x8K9+?zHZ(7ak@I$9gXqb%*^xKIRaH?Lo3DxhY8Yip|(){{c zIdgQI4NwZ7*IHvwwqFP$(_aKpB15^4Z$MUp zuM&5>f?Kgl6}8{Mns2bs1#rk#W$Io))9*D8g2<7C#GI(C zIgS6xJ*QD1dd|Z=C+8jENf8CfO>!&PaO}SJ9nMJFWA_Dbe20oc3y?VJ9i_Sv#dx?8 zjT-K4G(Fn?6q{tbfW(j`H%T`7c>7)atq0WIT1m)mYJ?;>3NB7s=jb&_(>Dh4!&P(* zHt$bwB5SqIMOHuNb~Ydp9i;xwYh+z`H7>V$`yg^TRw6NHDO~<9ouIKO(-Vt2pF@a&n|H$PDLxsae3zQKY@6F}c zFK-OuhoMshm%Ek&y{BtNdOaSJhtde{9Or@F)77l8{cCUci>{+ubN1vZ%{}`=9?J9I zxL@e1yI;K7oAoCjM`D_w&UB}3oasCSBH=O{>a-vUp64MDajHim)Z=Gu!>TcQ*xNj> z6_42&a{fm~FIqwW6;lt^U+B%~P183CU^Q;b6UgqI{SS<8e!I9D zqsMk8qjUa5UVG>Nkhs396N1q{ zBClcp|H$a`$5_9qKkF~|X7q=bHwj>icvmC3uq|ds#RKXqKgoT*SBisP@>wM_9!WHG z;EFM>&UxK(NAe2&6ONwITud%5?^(qEj{4GFXGd7j%!H*M))1>vUce7rjg-~p>h>kH z?IWw=jwHrP3@&Ab%y~$7>sT>&Ad|GZ6Q;^ClU8xnH}jKN|@^Ixtml@D3CV#W|#2+sE8oqFt-?|RRB zMKw5Yg`Ps)*pyZ=iOQ$9S_#tm1)Q>XbL|rH9KSDIpr(_#?ORl!51D~OPqs68A30XTm z%mzJ*W)2?FflS)H=8J9##TtjSh*=Itk`s7FUAA zu$rH@vC7Xow^cPiZ}auDLMqR*wtaGKwgR_~cm53ru0#nK`_LCtYh8iM23Sc$#mB2E>X6%m>aWv5y#^JXLm)R|bk{{x6g_ z@sv~~J#d82XqJI)@j1IsRJp|qb|tiV6aK8ZWLJ$R(sB7l**aFXZ1WOfT=s@hS%c^1 z8Q&psek|Bk0R~C#o36OPtGT;`>yf5a7iy=0*p!4M_=;C?Ybr-lcTHxiOnXpVXZO3+ z+(V{!s^l2>JNJ-<=Xm<(W;v1P<@PFu$cTwam!uE0hZzeVt?nBVF;Tp{D4v8$g&&5P z^Axcw`X+ewZ4{i^Q&!b!7%{ODy&g_W#yuBH zNy!rz$c8NZo}wZ)yN>ffr<|VL_~1L1a{!5Xp61n};s@6AC-DN<-V>^=b;QIwtRRIj zJuA4w3Itz71#o^IQh`BVoN{#U<;n8k~M3 zE+H{ht+*2&_X>89UQ+;R>Jln;s!2^&awZoB} ztcB>)CSd)}sk>6W%-*VCG}PjxXe9UY=E$R0Ixm=6Gp@?(q$7{-N~4a<)7kTCCFk;* zx4%XXmVZDIh!%2i*-H{f#B~7->8`82Elghxg&UuGU0u=r!ny{bkl@J#ZBaJnmPERE z=dN9K1F==>*Whp+5~S&!1`du&JrmP6Ub9=P!!y{nRMEBp1q$ST;3rqpBN49v14djfnMgRK(_ndsuea4@jII6z;+4q4I@3ZrT1Eb+}(A&;2!AxCkEm;?IAka4rC)9P<1qm5J=p3X7R}L>tQ}p>H8+U7h%%ecb6T!zN}rWYx=03W zz)X10(qElroohazE-SrwbOp2Qo|@5kRpRTFm~VRT(!4}@JEjZh%+rvBr+%U8$isW} zm=s-Jkfv6ppL(FGs%W^Gc&r!pGw-sP$t=cwQk|srQ?n^k0$))N6`v{nBjU5)MB?~G zvkKUZRCMzNgOXru$1V&_?ydh`eLQoCR2NU{!mlgFdgW=)BTfbnKW-6siE9PJIsfqE((9J?;g_*glo!0BHoWW9gKRhtg6`RshQm#+ z|DPq;N7Nss)@NFOhh3G;`XX;B^~q<05mUt@VlEcr>Z4)B`Y#DmWXjLduwwFqWQE~d zBxV;_p{&iRXZq}9A*twZosY17){j;}3|XHyO60jL%NJZjr3Zw|m%OUBYQ$9WJ{V6@ z0Y~o6mIDS^- z{7yp-w^aFc=ZqUvC_G-2j&HS#p~=!cO{G! ztUJ$2eoz~uc{$pivveXS(5xl1R4J%C?Gk0Xm{MR1m!$v>3)9bhqYmOwiAX#;lMoKc zO>jK>LE|9sIkYQ$GLLs_u!!fY3Y6!k3cj8rlu8APJR*;7*vOu8QA0D_MW*I<-Gmz$ zaj6A!R8$euz9*_HLdq3X(#W){hXkds5|h!7sib z#6bHN`HPx-w>`RZg!~e8$9n*nrj7BjcG`~wxCC?CJ+}f-dG1;Xwqcv_C-S9h8FU0c54?s{om5SOS9v6{#6Z$H_ah%%9crxsPM93g8IND*>6JLvGXifT7HOTu-yw2yL`9#cmi6{sgou@ zb>Tk$Jr@pq@mj_ceaD>bwrb@&L!UCfbZzw0pWC~C9!<>6{D8S4lEg>aksD2X zK%AIK;<+S_Si_Q2Mv{0GiHnAkIIcap(V4{MZ(r^$u<)AZwTVNog_ZKt^tS-lGrkC_iyvlQ`%@68}izvU7s?DH1OvvHLj^ zKh_zz&y%!q@R($$5<#oE{Bl+7XHk;H&%#xfT8`(eg0d6M5iAX!=a=w18i7dHd zCW)OYvh--mS_;oFi{T5AWl;~-60%RU1@XDjmi^C)T4stYFNiF;--=q!OSOnBfgg)n zzC;$xS~^{9h)y@YJ>%V86!C5!#BYzd>TP%R^~(qtn$c)Q2CvGvnq1BZ>9D!=^v&(I zZ|-<`b2mRsfcIRMp_x5aWcI$CIl%Xjudd;S+Ww2Dw>X>J{7`bU3cY`!E}%r`Ul<}% zt4M7Dsr^$;J>>s6Kl;@EhI6jXnGj;Kgc!nX21|%Tq=sJN?_Snh))pno|3s|Yp$452 z>oy8d@!-(^VXWKx#JR;!h;f@~GJI^9XQ_0=bnwv`tTl)0Y-WQleN4?4zH#U~i7>bG zEV;{L$JQk<=Ep!wK^?2d#?Bjcx8Rq~-2mbA&v~}NE3VdEcG_@#jb(2O6rO``@w2bL5tiG+b*^TO zo4V$01G7!>y=U3`S-)FuxS}67Jj7-$T3ACr-)?MZDluHovV!z+ACPYB5CGD#B+d7$ zc||{OEJ(BCbm61@M-tk5-#C)r?+9qO!N+x(rw)hS*8S2su*_8cVzO_zHNW=2N`3DM zA&1Ne{X71BlVRSIQyc1U-LOS>8S>pq!!7-|iOq`4MRzXP7uf?E`(8KfEws9VT|u6{RotCtv98Y?b0qYx?p(KkYo_@0St;SxFZ>7I*1s_|YnWpc+FJ4CmE!fbwK*@vjOAGMKhl-nrCkq>1*5`v?C7K z#sS+`?WZ!+&Q#Shala!bu&g=bbC8=&$8*t z$L@~K(-rNUoVi%xf3A?Ug-_t8Orjdb`M0kDp7Ur;GzAv;g^ zfMdKrBQW2vB@EBs(J}{c97!Fa*(Dk_N#qPXZNjReQ z#}OHuA&oC&m<-YJ8>P6ypGBID8SXAMMEu}4oh|$aX1rh&p@@f=`vt99d@xXoY8)`n z65^BAUXEUT-DdOKX^=t)&o9q&Hy9^JBc5C2(06djA%SmZm>i>(=)$W9nvL6(D8i#q z(a2CaTJVs1{erfrfrsZ=qLcu`=lWf@xvDB!P@ZRNs8sYPDtc(I96|XyS~NBu&0{d; zji_j+Qc>jc7XIUuie5)WdzFfYwV7v$S1Q{0uj{rVzfieFi^}tI8{YPjf*;>0awI>b z#8JMDik_%=K&t2tSoglWixhgYltL*FYdz1BD55e){^-av8*M>L zVndeY1sNv?rN!Eo)Ap;n?}z*J2+|$f;y1&hOY6s<SeeGedpY8S-RoruW52SKm}VVs9fT5NG42y+yIFz-W@=GEO1t`D-$ zf-u_=gxP{1%o+WG0a2QbcMzrdPY~Q>2_UEdK?Mjp4Fm>8X%0l_;5Gjg6;YaF8KpT91c=f+15uiT5T)5t2!cIwl;%!|vFzA@D*A%pB}7QR4uUHP!d#9Z z%pr)e9E%vs-)=$)BN1Ep9S}q#LUI;DC_jY|$~q7*#&Z4+l<*`7cA*Lz2=;>@0R%P# zVb(tZR=t`XlIW69hmG1Ho*DaRz}7ah@9? z&hzS3sGneJ^AN`Q4hRg0^ZWw>K|i|zebEa9b5O$1AUF?#Jj8i^69fsv5#M+d z7!{5xQrQZGP#%al&v!szWt?XOf?hor7#>A@jYSDN(aiB^W)4c2gAyuGLIntZ2f=AXica2(zIYl0 z>p_qOf{}>xybXb%Q+FbaGXg=M*oSd30|ce0;sB~x0D`3m1lS93|940OW@dV|g6nJb#2h&@Kdmjz%Epod`zV^kopV zKnUgji1WN?27)jn5OfFvL64n^;N-_q#T#H$ca$&_1Q$SX90XY)up?4*#1~-oTVPZ; zN?4?c6di;>&{jl>K2eNTY(o_zL9iVJBN6908pLEr{~{xmqb9t2NE4DCsFxgf{`!AcN> z$g!rMIsgL1nx1hR1h?c^(;)~YJr%L0>mb(jQ=b9DI+Vaz(>8>XK7mluIUrbuSkuq` zh-Q8PR%fGxNj(uDedc1zj&n6*pFynYBZxH}dlj5KhY~(U3G-1xB}%vff}jK7!#vE@ z*C7v=K~RhmcA$jG&mk!Hd4v`RLH2wI%pYjxuVD2xl<*TuI1Peb-$5P@Vy;dCC+`Bo z9bmYP5^jOu)^m$3cAEte<1LuI+XrFbH}pp>{zim4H##6ijy#l~XG4H-kd@2^nSVc$ z1%vFVAdqGFgKX+DkUg4jTWr}I3oLMcqVM6cZKG5$Th!@`K|o^-#+5EOZWel!*A|?_*U%k zt<-9aV~lK{PMR3md$lpLa}RZ93&L=k7+#FRmFEvT{~coj+HY9xF{LblVI zI+pXB^_Ba66Yt!+{vltk$2Z2v?wU2g=9g;J*#{hl2!wz z%^P-v4O327iU$bJbtLD?wVbOJ0n(dV(h?0RJ%GHK?Cc~MnN3FKzl=n~WM?PoOzHmL z1e0xKWc7Tan zV?A3>qa}Y&OjzN=^rO1C4j5I)?36Uy+qU6}cP&_+^}$gzpuN z!dXG?rc2FnSS(XW&HhoP5Y3*VTWl)|E>B=zI$lCzewNJ+yM}=#@WQ)uAWD zrXO*|ulx}YmlsG*b{Cr;fd^4i!sn79;J{a!v5|dJ)A2(*0$|}Xq$ zB$PzA`FnZv=P)(7@3+_^_*u$FS1)({gNAO5i{MjIXTgORbv_2oYcBeFmpP~kk;vZj zm;iJjgWsC}Ul@XE%@4I*#K}Mm^(6(DL1=bysFyO2Jk%A1Vn`GNP~HpVyezrcQwg|^ z$aPOLa^2#q%c@1L3#v7j%;3|8N!BW6fDEJT~;nbooKlLcoHY4Nzn>GokSu0YcpG)Wtq_@P-es_B;G@R=mlmoHAfOGJmo3v_TdA} zg#+Y>dI)Xj5m67})5$`i6{+FVD?ow;C zmh-HQ7|G1_NyhMjUXjd3*AUZDGZ0ImF2x808Jx?e;Wf||`yahEPz_8qsm&#}QG~bC zpGGFp#^@1*sCBd0WIE7j`PcDXsvdD*aS3Dcvda5#Yw!O;UjG;_te1pVWrV|bcr00p0PY7zG(j;saT}Kzgs&Bn@p{aQ8 z>QK+@uhhwPLzWoJJyE`CA`)eCm0tE+klCT1{2-d5iS~(I12PBI5VISJqKxsX&!bQt zKE1Y1eO9PqNk7tk!pUk09h4QlMBMf%YdZcX`H@_(O%AqqK>!z*)I=yv4hr{hO=!CX zQnN`W1=|$&pya7(lbg*+X5ySh3VGsTIKG;VQn<7+9G@n%-Nm+7$YwW^d&#BDN3B7k zkYc=S$`)nz#W(3Ke0(ZtFUA(KGMLVWnlP)cHITrC)MFS|l8CwKKo`t1iT#6DY0g6`^$3Yt1V(jfsq>9XnhI~8v>_-wVV12v zDsq{Qh-e9W89AZ+T7|lc+c1ci56mLKZnmbEl_lxulY6N#CcK5j@Cg!b%}zwbLgMiztYjhtxbrb9w5p)fQS%iI~RdFojnyF$e!Um#-)fbg4SqntejN zBKm>G^b!)KSCmm7UwhGQ{3=QkZxCqS&x~K`7Nbn$gIJa=n-EpIE5v);rGNFqyjSRg zFvYLUR2AC%PC`Gaq%cX>GQ1Sp(g8Z^RMIz0j5(nXoU8#A(C^Or(TLR1_?Fd=|7eeY zDAU{dI6lB&`hzf!X{R?uiSCq3oyeEvCZ9*5QP?haI>c|5`+vL4Z;9G(m>B29Vj=Sj zOx7r#?hC;zRs_rC7OI-c*!eC8q$nxF3xT2Y4^`-@fAhV@R!L0-$GEcFX6^0#i)B&($LzXomo%jZJ`tb``t?3iA(wKcu%w#H2AG$?udwH^=#DjvZwc z=z4KQkAHl3j&B9@R$Ioj8#?!ewS6@@*y>~qFBQZ8D2Ez~4^ zIC{bK8WL|I4qM$p{ie-l6YACdzm>#XN2dvgdX3D7t$u@DGEVyqyOGY1Kqwl;ja9`m zI`cuoVWfJGFmpC#G3?%vFWUuQ!bsPybJ3Fqe2I)1oL@AOYUn7)|@H}gap@(4r6eaY!sa2^lUKh#Wms6KMtvFt;=e4Wn+6vVnF+tz6R z)oSU`UGwm57QW?#{;LlA7h_N-1v)6pUG6M5FLuinTO4Ipo_FpE{&f|OJY zRKKZvKl|#l%s2SH-|BSB5^bglNXw5NbJ))8|M*Sl8I&>k-dc~ob=f7?z1VJ>mnd3=*v z^H0@$<=xW%T#dBZtIjl~8t7dW(7P5dO+TgTT_r`I0bJQ&B&Ii!cn`vq)z1wi`a5Vg zm6)alH85tHPS=c?$$~ph(Lq$lswUE$(3m<@sIN~O$=R*k(2@UmC%T_5EGeN5Zok=D zKcikOc1dzLl=4(~KRG;WHPojN%$9oqs?2FIHU@9S-IphgU5jAbz?B~SBfuJE_?jA9 zE@q*}TJ}i5H2G?J9Yi9WT5F#|l)NJ1%h|2`q4(_maw`AZqp|$oSw-Vo`70|`VkB28 zXCqd9NZUMFHXS|1YLq}J2)#m5wF?|Q#VS^E|8H*HVX*ax_(OE4wk*G?a)r}VgueF-uR&VlLlc*We=bp8SdRvY8 zRwFm6@gIebv3YG&54B=XNN@KXS9&4@WQyA3yC$1cQX;uz5nMVV-FyzPh9xqL-mCfQ zoEzC!^jqN9D6>rTo0nEoU|@C5ChUuovdm4bl>__PV*%e77&E41Kr-%)QOK}|y11{0 z8v_JV^_=ooa@AjX&+Y3cebvuV{>nRh*8Zk=yyxkahMAaH5q`$@iTF$I*%-61=ZRjo zYulqNp*O;4w92CxnR1Y5#AwY8@!WFR{lB$izU0U6n=gdlGVcE^NYr=#Z-M+)cK>f# zhVEpexS%NZNm5kT)0qJ`@q3cmxc+PVh1B)wGby_0Tv6NIrf7>*bJFumSLz9dgGkJ? zk%&DX$#6O6D?Haa=zN=nE$1uhzH@#m=XcvyB+{i1S&gr`Yx%CmRa zqguSLMv%gxQph%Pdq~iA=lnF5FDzVA`QkWjgb_gjTGwl|%mocUzPW!^HzFEg4DmJI zxz=Jbhe!dfGgeBz7$OpdxGZ~A!fbg5-wfxFXbv(Y8~m@k1P@m8+Z-e^=DjNBUq`5| z5)>NDN9S)K<`C|0r1CYGTQ)kPU>=}i)&~pBl3h^6EGPtK(*nU~fjQC(voICr9u+f3 z0!%*A#S_ipi~Ow}#+Uq!CkES#Tcp0s5UAs3My)$*XcrWs>VM`xg@Be7pb5@TkccIV zWXgLuw-en|#@5Y*0wEQycSiRCL~%nWm(l_t4P zJ-4j*#nU7=WTEJI7Y|Gw=aGm`BAH^GxFNd0@g|Bh&jW#CoWN8m9n2ITeUjgLBT-{J z>$aDw@xF_X^2(@m*eOMS)*Rj3C=){PGV2!QYmRPw#|3_dTP>~^EUsfjG^DW*RtdA6 z9iM+*!7Ndj#BtC~f!XjDYn^{T%q32Nxeqamn>yHx>vY@QD&`W?Q_L+|B~fTF-xP1P zM+(fMd=2KPalXf7%zjs^FdvmLOQz57sxS)*3G*Ks%nLj*3v1}C;EV)ld-n`gxv&50 zs(zbdfc~tXeX%}HRcQ;&d$@i?qY+7b@Jv#L`+vr$yB$wKa%g}qt&+3r7loYl;>>oJ zd8l#FlBn2=i_H629{1u&l}dTK(O;?-<)SsB#sw;cKqJ|pu3zi~49*rvi>e7*jrC`nwc$A+lI%`v?uZ2;gEku}GAEQZokSr?`%Qt_8BWYnz)dgAC2PbX zN-h!UKqYvf8+}H_Tq3PXqE!-w2J=mUSu$)&<*S(Mei&wyF|SiGo9{}C7%@AG*j9mA zP)L|7HJAs0K;bibW$$0$`N;3JVs&)Btx%_SIAEIWvcb&zTGNql z|Dp3Url@*iMHZ*;3X6->AWu*e+ee(#w<{c?t^P~#_!IDnOmZeI#L&5DPL1}tD^mX3{{&ibtNAz$0Ipj72xoX~I&Pow0si zNlLA1k3=CIW=iczVS6Gx+as?wjWUFE5|Qal73Nn}%xfAwO^RfdLW4O|!W_fBzEr*j z^PjbsDVW=`7GWtio(<_`AyI2WAm-gi4{o zoIgkyens#RseBFQTC2ClfX_TM?y6!=lrUeQ+_)6XI*CGHzNo>Rd_T-$c9Rx!F*A|oXTlTDqn-SZkrYi}U{9mhhWt94ZY~@=b*&JdUnk*GwIT!Qx0Fk+OOJ z`ZY^|R1WlgPU@Bfu*+nz3!*8@cb&r!=O(I`fLd9vi_>Kw8p zmn<>RR3x#)@2@JB^t?cpMAFs6ydQsgvt(AQg=9%7S)x-}B5i_7ed$GKUVtQ=J1;ST z>$dL=uG%J*MlouWdA>@a*--TC_6G7e-a;bPt=UkdOrJcsr?d$gO0?1@$n>&kkLyQd ziL7dmOHfD~iZIb0!~3lD0H`$WkubXpC-U1uBD#)5#+;*KcBcr;qE!-w26LFeoc|Uv zi}E#?3#X4CB4b{mVjd!4&L(Duidj%dn0sk3e|kU6mn1}sh`Cb5ykEt9sZd}Rt&%7- zn0sA#9iMGjj5P9Z!j|e?zxh^zr2VM0pbr_0H6W>6 zEa+H{w3g~j>6>sfj1b?1L3rt1d#Pd&E(*5h=`{M+ZC@cYa{xa)6{X1r;UadC*nMAX zmB2>2IryzrjeNm`H$aL^Kf?c|R#rofDU4@!cR$N7)Q3xhIHlA`|!MY)ruTcng)#(~mgyoi& zg>`Cy=5B)3c`VTBRi(7z7YbH8MX>0vKvj*^A+HKXj%2$TH%n%9p33Tw`iw6S9IaAl zSY6m!uzD0S2215@SpBUfl_yQybo$(@+H_{hjATP2su(FzNE5qiuReq-B3t8`<2Q#YN*E|EfVK$IxdP3M|!|57_jlrMLK@B`|Z(wa^Z)E7Tf znP8E`Logu*CCh9Q6hb`mHR2Il)he-VifwQK+orCW;h-fx}h)I z8_HoX_CX^Dv0tREeh;y4$RO99oN6^(m;CwapP?L-poFA$EJixYmwKdRGBp?{SrbeP ztlT3v)gCD+5PhbhPue=v?(WJ|%n1_a(?FAyj%-4T>=K0}MH+2nlC7ruc?^r5@z8@$}u93RzV>!8-__c zCCWyI7PGXgiFz~gE=~_8TXS^{`}V3V$*4L|)ck})!lA~R{?U1_I=*<|V_>SHUyy+l zMCIJ0T$+jKgBc8Y(ayjEienf+nfl3log3CmZwS4EWdcnj}E`n2ARGqCm@7bEp)JDX|#F!gaW*XDZjP;+<#8i?$E zzUqy+tV*$8`~zPjHENN4h1em?6`2qbtO@sbQ3rfRTj7UQC&kL>H7#!#qxE?@7;m3X zT#Ezc8-e_1?i_nvU#w!6x6V@CB7zzUPvy(xpcUhs)<5L?(-(j5Up`*l-bML5f+|g~ zu=ii_I?9fkG8o^SYsI%(2HlyAVRRXFzj;Kp#$}GSQY#SB5dmYUILXKft*Fn1b@Jrt zbm0hebmBj@!~X@_)S zx7szt(cXZz8t0GbbziclZwfh)TNyOdcd!pHl6<*-obV#FF@kyA96ES>YaRvhtDzfc z(ZNT}HJVOqId`KPLhN8q9i{knV~p$pH^E2pfNMEc`XM?_{$YyvA-#>2ezXFP($ev3+0uw9Z0U1e zl0JUD;DYo`=rv-kD_qCNOoL-?QpPn*8~=3eP3*4y81Ao?`5(8TOXmF;n5U~6SF_vn zU_Gx1Dz6To{dT7X)k;hzfY50IoCZKc0bn-CE%?Sk7E+4=1asRqMRj<~6rx`_uvL&w zv8*0_FN?<{u02S^O)WaI;w1SzgE+*uATR>VOxGd!|Y1KaBuJ%gmyXnr=_LJF4IRPw4k{5A&F!tGP27u z!^=kEv+aDfA1aMda=H0>y*Bd z7x>Imp&*3sfS<8PII|x{zQc;okm4E5(R=#myme>p$xMlu_7WmZoq1_=)yZPG>3m*f z+CvZP%u#z0xOueyoeRbVRz%OtKaitumx>V0bGyv6`b|cN=EEzSx@t65?gi~wNgptC zUzVEd4dv%o*rLcUNRgm{vZJ=h)L+V^J}0=VbCQUKXK04~`yT)4sm3#KPU`JsH$->c z5Y@!hs0nU@-dSr5aRA#>3%BSO6JyGj-zU#uS@ zF*Fwz0%@oJ>t@2t|5nN*LZqj5hP+-$cu>=;`^%YI$J~+4}RWDDo( zlI4#VY}ATRAbih`GoU^Bxs* zoPv4(zkzwGC+2k{1m+irImQEXdUecuG?icWR>R#IKG$GGTkRgB0DJ~pw|6IK!DZaV8ALbDPRARXvti*WV}a9{$9#O+-@%^ zU$uZOkz!OTErFC9%kb!VLBKT4fN)EsBBtkcg;WGO9&}|g#y2K8#05;*ScruPidIiw zL>y{4w=h?;E|oOC#Wi~lRKFyha>^&gb1A81!0^C9CEjnABBj^uvk8OD9;IWDfGdw^ zW{{&_l#+kePmvgU$^64IIy)B;X_k7jxS6S735!Q^ksNzfjXntz6(|tda&AlQjms_G)*BDLbFuE>Qsf*Pw5a) zX@DoIgElszCN~iFog^z<-i6*M#;0F(p$XLp%~DTR|CB3OeF%*=@J^wu=6)Q~4Jw`| zt1k;uJ4quIQi;{aHLO;~XT{Q2t552u)(TcHC9Bgt#^--GsSjICRzE`i6)F5vCiVBf zZqqC}|bl*nUfRdzlXcZDK*R3@A2kHp*^x{Mm;S>IS0#J>ydhy0QTCxUQv$SHA1(bPfLw{x0~}7{!<; z%lw0Bwb1b`SiReW)ozJ2h@?|ISRJZi^<;(BEfT=$R8LkPY5N#*o4S(KMINjkP@UC< zg4H4Q$m&o}R`;JwR%i2ADYucz>i)Tij^(AjNTe>37Ad3>tM8}1+%7|$uoY)KS-tT1 zb&Skt`l9MPd$4+HHC7+ivU-mPtABlUD@rglM`E5M^RG&`|Lgj4!PxJX=o3Qqy&-9P zPliI${Cn2?$za5Gr=z3EmI&-Yuz%>`G!DZ)!hf&R7{&kQIE_QOudpmNj^=;E78}Pm zIo>ThuSv>bb#oFMzU7r8s5^gx*Lo5g{v5f<8@TtfA3#;|M#On1hudb`QIQEFpt(IT zmrwkAsE4z{XEJxG=nFWtiw$$C&dQOhs)sd%dZ-9*tEBVfXf8RL*G1+iF1QU?$Q_6W z^CKnFLXws#q*4GNTQ%nUNX(Zahi7{*|86VpD)ZYRF=wiB-uh4Y@p>v;x7Tdogp?%a ze%u2Q+Wg-tTuUCDTWxGcE-7d8e7`4V-r1uO?0*=W56%&oI}r1`D&~QFEZ=hx+EBES znBO7hY!A%$TZEPz17<%@A&*@88!<--%-uaOPp-!2rCK51?ZM~H1p;$NV&3B=E4LxpgQn8Eh}~G z?nYUFC`|>5$-*7T+eGGf+1b~X&Q{jLut(Us$Sz3C2Q+40cWM?h@hBIWL|Bw$e*^(> z=dVUZO732*MQ*{HPi5ZdYB7Y1zW*87_SF@JwkDe8b8h@-RA!=gLvS2#E%7A_@d#9n z1&3_v^6Agz)BZ(Ib@}XnLSvu07P*!4h;aL|wvgu$rOCI8gjIW8(Q;+|EM!-5k#Wi&wO=p=Ft64wWt%J-)r&0oUg>b3Umdi2B_g-gsDlkHY*Q4l zDyF=^%u!Uyim>xd3RB|T_txpVB*ud@c(8^vNFx1;q*jF#mh=6Ae0C{p(-6?+94HUP z%3SQMt6^MWxnLacAOwFUGY-B`=^K$P-UCfLsfuH)B3h|JQD2UwEF%Bup&49^5SiA4 z*&^cFg?F@-9T$~3MP;Xz%F33xopf^z+PDpdbzJo>Vjy{8fX3}EYggC#T8(he@WV{$ zzc)93#eW;GZ$4YAky8EXb`$AFmSp$Fbvk|wa5*Osmz$wGm0M!1!uS&%N{~sMSc&7F zNvrSryv3GOiVMIObOApgI&fgdBtXMcN^c1u=*u=rnHbkKA}SM>*d<|j%vi~7 zcv-lu*?$C8Mt@~`)KlFW+Ab2ED$LGi%#b+V#g27iqMGE)plc1 zf{9K>!IercE7tx#9U8yD59iStuoMh>nMGYdQB~%?zAMNI{U#*NyE6Zz-BY^^e;=U; z16!L0835GW?#@C~l@S9b!|%AtvU}xA;af+0L%6-rWAUuDRPX0$J#Uv`MxnVPZ20CS zO*HJjxMl`BD}?$-@<5s0iU3t+zP48C2b@2^ckm{LWV_G+6s1t;+C0V4YsG|;)D8z$ z>V|4Xy<==JbYnuV3qa_Q)3vL2NV_ujUC38R3@ell5w@bg?~uSiii1ao414+slwf*| ze2;;h#v`!psO^pE!WKLlcY4qvJC{}KkkMyFhrEq4oQ<%Lq5btAI^>t?9kOGja1QJM zeuU@#e{{&YTy}^{bjV<@4oQ6bt-AbB#`~PL4Hn*OUh*<-ZI>+zS;xbu^eK3>kRh6J z*!G~Kr^Sr{o&A}+PGA_0xl+zs_I8aUELD$-!df@nyRVqeezc z+AzLya4uz3r-YMKCe^v^pB>K+>1%nM78@{9KLb3k+Z)%|akSncpk}Z5*GHE>Fs7Qk zCD!^k8_A${!G{!it9{yc8Xk`L4Y|AN__%59LGP*_GoPlt$0k0B#5@V+4cWP-Sl=0= zqGQ1h^;x3ZBR@vD<|&k=RKB;UgL51ny=g8EuCt5w%+n<#rap?>u=@k?x56y_u%F1R zLNY7*L3w4z0e5X5m<|24P|5_9$QVMIDnn6rBSXUSxW&+&M&eknIsGWR-lH24T`E-f zI(3Krz!|8BRoWg@w=_e-POTOVoeFy=^t(eHR$<2&I^gJKJ)XIlx+X6zJR3(u%VRCS zm^)%8T&qZJRO+E*ID{1ZgIAp1GfA3EKChsE9$kI=Ca-wBNMk!OU&cxkD;L>-Z(eG z??nLq=*{NW^O^&2YV%_L*FUBCszc2c?J4`!^HiPh1-A84tFph|&kmhMs0E*?Q1gcU z#vT*zfd#e|win+Yu<1w(bZX}My|0Sg&oXzR!huJq(hsUN1&fkfo58>q0n5`WdiTXsy;G1_Wa+x98QMwWC&d z&C+V>cZTCQm6Jl`TVCThV)*9gDNu$t!BE)W_kYc0p&5!&gm=X7n`A{X=9+shg@2O$ zpiLUrL2=5oc}YtE!YR{+Q3$3!G{s-LsxCOhy307qoc+BfaSMD@rSO~b$yl4~EHp@* z8Kgx!H0-YU`crmR2HCv?r!*dirnk5BeopEKq1xB$&lRnJMJXbAXYcy}5IGTvd9ysW zbZw~Gj~LKcUYM!O3;-Z>NF3tLdUc3y+amVeU3N&jb)Kv0-*t$v2zzzNzmmBlNY8^5%gjVgeo1k*>{GKme{e6e@9bdge((0W-=CZv=5DC?D zRsAnIBzyE{?2ujLdx3X{bbPx{5&XheF=8{^2qaxFmP-5HhyispgKq7p&g|n#_OYAicInc516A{+#^SPkt=HDXzy@ z>-%>9_xYjdm}bTt)s={s{DHCqJF8t}8h(O3k;|Tld_&U{eY*>9l;?08j8dAV?}&S<7Je<*|Fa46Q6Xc;^pNH-VKiP3IBi%zD;XlX_2X)5Bx`#`Zk9WvB!TwHl-L^^w)i>Y0;)9PqFou z^KAXc@=zz~@M_c3lriK-s;t1Y$m07M}IF+7lzj3SW*i z_Jp;G+7m;b%o{G3{vWx0amPGz+wv>Qh*b=D%H|^Mp+CFwL-M~N+b#cV+1@p_Hzg&P z_;fe}^N{TwW9~{qPcv*seXxI;|2(^CYN{!%h41`ootC}sB&GBkSx}&K+5=bWLxJdc zX(s|=!>-wMs+N2SxJpUsNsB0#me*?;us)8}?<6Gc8)oMPB&UE>jvc?) zB0agdKk$6jZaba^EkFC1B@kyHA%Xra?_?V8T*Tjrc{LqRS@PUC=}5poE%p81OMY+l zz5=_CA!VSWux+{BZP?h`vHzo`x5|84%rcz*#A=4CYP22&Vyl(#8FG}<1*imi{dRH(^^Q+%-o0Y-qyh7BcY5ad!pOZS)j9$1rEm1l8_Tvu->Bu z%hF~DR+n@{VhyLK>8K6}s)mMS_}{fxpdq3DcdZi);b_3e;YGKx`+WCq?lp(9WBjuB zwh0=7 zi}jv&k!IKi_1Pt%QeiQyeA>3Ao3iw^}?x>^*qlaqXKY5o+7y0zg0 z+)_)gA+-9Sm`sQ_zb;0$d`X})^dxfSwm^FG@=4_JnrjTFMoP33h(b4d zR$i5wAKgh*I{|y6q(pBa8ohGaqVEMB_p89uk$1cFa}AsJ+jO2!E$#SVAFw#yFThzV zUIG7YF;}D&!?4UuaaMd*yXs79$TR1n-!BwI3u`#^sY`i#t$b#A{UCqOTOk)eILjti zG<-t{#Bdu8gL(RQF;)EfO8;bmU%KF7`}tW^?YxyGz(=<+sw}m4`j9Lw&zvd*M`EU7 zpPMD8uX#gerg$G^ZHFb3j!3tdf;5cZS1M@DZxV%WOq^=Yi2Iz?nwlaBo*@qhUE09f zd_X#8z*vn{@62rSK7)hHDazp;J3MyRiF3kZpN+s6o7&h~X2t|?D9x`S-B05jhVX>D z7*}GDC9kN{;I{QoIw`y2+-GvlY2nDJvuZYL**kOCQoc8yRN~!>Gir17b~Yi@BN#4W z!}iU=wVyUaH3j5!5(SKA0dA#$Wld|8)WB2~_j)tJdM&oW0GiR~Zi^P1l;qT%P%K|- z!f*1N-Ri>&WLPeTYaWhr#nD@On?O8C8~3{0ww!@^zDqH=)$?i70QTfT4OT^CVla+K z9S|#*lKEjdOR=+*2wGmWZ&;M?{D@60AW}petD5;UKfJ_D$!F(zmnTCrA_|`o{hjbH(Z0J&#E6itbnO3u zJc{}qWraQ~GdB0_eKe2xww$#3|kFa%tg;xC!qU!w@1iN#iDg|-YIrjD!a^3DtDP;ci1Hr zyMx8*da7zHGF`<_E-4c>6c3p8!;a?Dr=O-|(|l2KAc-QClI_nIJw?So=hY02RblTF z=diw*d8I165VkPMrdKEVrKNDb%^UJK-hWQWPnZykj1^yw#;exJ49L^b5u7?e{6yFA z=v~PJgTpfMZ`uxFNIPO)=w1asOzdqdo-Q(-3H$xjCqU)cfW#c~ux1PTI`76v zfoj|*)FIGy;!Es`0y4r*MkGY$PN3g+$tdwqwcYP+`hDMg1Y1OGp`T9htJxlguqg?C zzWi@&f}ekTW$Re_z;~=;1{+(UG*$uj#|IyhT^k8(fd-hIX}Xk21vYEqy9LyF4d0=4 zdunb4q{>mVFw%hH_4Yw;qw$Yz4f!;JC|0&F8p!`T+P8b#BHNI6O{8Kh#huahmdCb& z^vV+gsf(4`PpXyfnkYP@uL!Z|Eq1y!Z$NEGX|N16E4eGAcGAZ*e91|larjnn_$JShhp*&}F>pmb z#vD$;gs4i-2iA=fEnKzgCkl5(+g_9c>1l%@DL2G))orW9Y3Z@R&>kP78ANA8%N&|| zL1uGMkC2uT*w3vqj|DO(qa%xvUGn~9*4stu6BA%JkWF1Vok3O8CW18i zG^ zW$dKMWMwf~8KPL;l^L!iChQbHWcT^cJigE2TT$0jlt0?DlPbN1YL5R#zDa=(0REaS zz}R8gs2HDz1Ac(^`?F(A>Iwd|uXl{kg7w1vU~F<1M=xbGRdk)Zg5x%JfkyIzpE<{$ zN|89PY1p@5)eHE^G!aSg5wH)I3H?#;URe`7iMh+ZA?a^&2ilF2iO>5~URlgyPk#(@ zLk<%25Ap>Y7Uj1KRUU-?~t}<@3y#>gSo3hfBb;;M3$> z%qDpiQO4=Zwe%=Z$c>zr3Ex2Llc0Y{B!WL%LjhU^3^U{wP#us44s@ zrfY25=AQ48-LdBh=TDj4${@%;QQ4loh<*@`A|v;(D6ON&#S_#2KtmIe+)G|0&)qKJ zrB=;R1RWA{x4M~n_S{d9IdVS|^B+ow$R{;_*CF?j@$84su|sZ>?)lA=xY$yYLgp|4TVP$wg-s;Kjg+>=a>AJqnCATtSip& zwJl)F7;OF?n-;KlO!^f2(y<}iG_zIl4_M(47lJ5s2hPZ(#FEO#x$mr;yn&(W2Dl=%Y1gFG?2Fc zzx^4CO|~8Khxo%(DyelN5(TyYSdP zxWSgiuw{r@Zm^5j7^{0PDf8reM?OV4k$hvp+!`m`LXk_6E@C?0-NjzuqmTC-A4AC> zD4lI<{g{Gy3;6H-m?BS?u)!j>WSMt^Q@dw(pahlSAOZ0JViJAQA!h^25nBZdLDX?B z1TA^ct;{}?q|#EEN$-@|2hze*-fM<=+@$Y7lq~qx%v*X-7hDz+ny6Wd;!lvF&J9R{ zXUD7Bq3eS`$xyz0mXuCovZ7_3UbI3k{Xehd&oB^1crhh5#QVsjWLylNG`g1^`9Fzr z(Fc{pM~}CpN=5jTMP*K%o#Uhr6lGl__|EjU`E-r<`K;lRC%Bq<_-f7Vvc+3-Hp3{7~2&e!b^&9Og*>8G*n+cJVP8)mp74)spnJ}r31b`1<#=V$^`Ar`kYf7 zA|iZ(p^4W-)ph14=$dd|*B8B8W%tM_yNRFdOc3B+1#@xhxA;loW`$-4zUoa{aLkjC zgr^+G>9n3^E&K2u%IUOU#qz*Z@IS=UIwP_Uc#SQIv4y*q7T8nSSL>KMut+8 z(rvN?Df?HQQ5=@Kf2U`3P*xyMPTgOx(ro{J+IkS#9YkxM>BZ_HA1~!BmdibIz8S5{ zuhVznOd2e%2k;L3@opRbA9-&c7j^ahkIyhT!!j}`nt;oImg0s3?wT?KZkZ`AnVIQ; z8*Vr*shP^KIcSzxE|}ZkQdm~Fq?npBfP#uP8W}1oC>oh58Yvmd?|JU)^V-@z4wCEK|SWjX2~WhseJiuwBeAH-KHV^K4nugD@z zniN}C1gawp)JC8Y0;muZ*<;H{1l7#)1~{`wsw009es7{QqM1kpce`D~PYTwv_978v zUU`?6ZECy+!b(<6Jd+^j>`^v|93-J+=`_TC}F7T!y5vk zy%A@MF?du2Cel?Cyg$#m#*QCmFuPdIk1YW|yHH}f!kr+++pXk%uZyfoLKK;gswW~6wj)i-B3%kHzZ{Fqb~#h%E+XSrB9DUyqeOcI8CfU`~b|F(#d;sCEn>=x>XN78ifbxL;=L92; z^+?Z@v{U5(3wIUww*uel9bW$5&XgE?)=<|d1c*yP)`oQri;8Q9#@0Pk64R5NMx8>S zsRHQo`fgp>Q{McUk`duO;Xb8jO2!Rcjyn8X3WDl->C5*cOqHG~S;RFlo|>=E%b?cR$2Xa4Sc}%L^`u*G z1QV6kt=nM!S2R>gk;XEvQRt+dj_x9+Hryh|S1JxG(l(Lh2U2a-JA6OPEDs~wHWMn0 zy=@dJ_=pcwb#6n>H}NhCO%|^w@bH_PoO;e`RcC)DtJRSQjtJ)6g1)>S?F%dMq3A%I zz;IdegUEZ|yd6tyEFm_=Z{WSRkdn$e+yp;sN1=#Y#Dr#87tMrrEuPr+wsO298TwJa zB|>C~ww}IJYc$m|*VPY`7o|)s6f0;Ki}b_RvpK1_i7J&-Q~c zGCM9y_VMEiMRQ$5mRery1r(aYD8j1iT57LDWP1_BSWPC}k3;?(i;R@x;aDU~RM3)m z#Way9HQRn?P8_2G-n*@%k~zQ5#P8gl`SGNZrOU^h?n!Iq!c|R+qDaZPtC}#J`lcay zVzaO)Mo_`bKdFIIa-EjB&XG4@3j?KlssvNZKF3%|qSqD(7R#eqoq2|=Af74gJ0dH{ z_F9GCRTN{QlG8rjjiNQuh$vPS8e%V1${6N6=rMlzEL_zPJHOW_A7NzH;9sivTajDO4Wm-j|?r&h%Mg| z?|$x^ALer($h6H(Fk6e%m<;N<@fYf`_fREwU^!xm1r}nB_Oie?&wKU%+Z|Zx3uaRw zU_+gnilKbh=F41qZoEp@Wvvo%Vef*;1e!~rvSByP&^(M;gV8d6dl(U@}| zNsi`bQ}-&gC%sVQ0M{PPtHSby_FiT~x#;*VccF;jcNX=g6cm+EVfT_n&90pF z+#L)h_n0(^6zv^enlhKB|NZ)?YBjq{N^<^q{ud{KBZ9i4`P=Dmt3RUHXRqWwgZDU4 z@=2N}JSev#zJcgIgJ}LfgQ)q{AUj2RpFzdPAECB|B(HrUkj=8zEf+j@bwsY{K7$65 z`wZ+0nO?dEA{opdzu7$W8VHtNz_9c&>l+%Y(X`}2If7qw0YlV#gArHMxqw06>0=_8 zP-q8F=R#X9&Hk@0U@$D6PuB5rTb>GGYOnh30f|t*6Pl-YqshsOt`kXZz7B4%&K*%d z?Z1hdcH?f|E4ayNb?Eu~Pxwo72GadRb&gu!xkYv;vlrH}hp#qFBz*|8{s?qD=WX}{Sj(E0E0=&86CM07@ZkFIs(*?->!qor4_o&R75 zF?xQqz-YFT@KJg|lUo~q#i9Z> zpEpI8@sEmYptCsH&Oa{Z?J$&{Djn(Y`Gj>F#zXtBz=v`?JV6mUSiL z$TW--1HMIoil$}cISwdTME5C0((HVd%aZM)XgB96i_l^e`|5^n!iUBPb7E+D`M*re z@3Jd=BzF)5#&I_c*x%)KUl=_D@_u!!%&Qj|$E7T=9q)e1^K2^E)`7S& z*DSCJe&F7L>%`7tQJi7mnsgY(*J;uacbA&n98XOOnUBhWv{Dh#qD;h2LmZiWn8Pqg z4ET8J{M5Jp?_Ay8AIZ^KdZpG)o!{+Lc*ppyaKhnV-Q24qfM z@RbS~`1)N%sH-{DO_K!FIrSGVh@;dmms3AuS`i_-MYK{I41Ai{fU$K9sq*@l$Kt6G zLF`Z4*rfk%GGlwdSSN1=*4!d<)_H6PZ=h#;Zb8rN;RQI=n!>MT)szy1-eX4{gSXV| z^>1bsCCf_VcHdU*5b?Nb!tX@pQXMt8>*^gOpQ0kpI#K6wsAa010_qO-#@-5kg{B1%7mGeSAj(&h1~(`yJ(xifdEP*qVIu+bq99t*W+kOq?<3i$jymKGXg>sJ?$= z_l5Q8<6nLAa_Ht&UkrX{`T7T=rkzRcytUmdzhx&!?&_FROqlbV66Uy8Pp_>vyhoUe zKPED+Jpg81Ujn|62jE2a_W^7SB;e38V3u15bM6|#-1{LgI|dT)fK!CIZN20BCtF`3 z;P1~Tq1Sz7$8~R#1p`}i3B{q12JJW0SE0T;At-bSbmOx z9dA>MeF<1Loq&gS1aQum1f2RE0Uspb^j`=#=^es6pMV{)1YAzQiXRBL>JS09Y64)x zEdtION0>K#It2Ynd6z2gr-~&DsA5?#(SMC9mLH*tJE&r5B~>gNPZh5baKJLggum!- zT^un%>7yo?Jx0=yC}kqm?e6PJ1lR+Ja$hCkzo>IVm5SvCYPYxgU0Jcbb^6( zU|{7T1eUs*fu%CcYbO!r^j8?>5I@44e~PL^3}aPJho(lNN4CjKMS~gGP6nnwOJIsv z2DYd(VK#4Nm_zE5pbMszKtlqDDcUL%JLY`Fz+$>G0=|kT?V3>kWq;85t3NfG_KW^Y zyYY-;A7M~#tWUizo>>C5NuR1{t4i#sxWq6NbxWNOdWvQdJ%-tgp509eL(El1_I(DH z+npM+{=l$3X4r~mvnp|{O3P+cMOR8y5XopHOurdZV=2yKxx}g7&HM)dh+c}@G*>^FpF3ktE@}227w-;e>{KUZa zdF^ZfCd_J1^rZYw4dqN=4Gry`ItV?@UqBd24>AnLI1IVH34`$(VOai%!|;eykt-_hgC9k1Tmp>LPuJt_eI z8zgU#)Bv?*vIja`vjRuja$tVyaF5(U^xHjexh$err#I(Ko;$D8d+&9fZt7$(nfgV| zkLomJ_Qa@}oeXnlb&8CdIAdNX!-zLJMZ7WcwUM2=26qqE@qhOl8QE#%?DytQoZM-^ zw3+iK&z%-Kb-;VE6X#Ex9Xqd6)ZAGUCeDssFkwp6y!jL6bPfrg_}ovjuXf&iRPL2^ zEX=WMgiI&vSK%tVgB$M~8?Ih$(XrY7mDYQ)t6MLByT57Spzsx$@5HsL>6QuKi&j81j`{zx$8+m5m8W-CFbX`kt2F$F7WAH}QmVae!}BzzVL&;M-;SkDLis)Q_s=rF9#+V+?DN@|J>PL;+pt&fAiu)-9z&{w*M8W z8)TQgH>I)t`qlB*o3&5*Wu)C$Pf_@Gh^5s$lhtRm8{fq4oIm!4=W|Vb{BrE- z#^HPuugv*ik2kIipGRxEilhP%oaDKKQ1j6W7E)mMb6Bi{qOZRD7*{l_%GW@EecD z+b3{Mv}t(gvBxtPnQgh(i zdqtzGjVha4Cw*bPFKgUVm9EMdzR|6Ze%EP3xxC#tuW(J|{o%63=1(@bI23EoHrw37 zy5x3Ar7XEqJ*z6{U|U%q{fYwnZ*q?*UPCpJOXig7VXS^^!6WRSLGfbDa*ZdhRB%c1YKdSt6}d7_iU4ok88a)t=$w8hIlVOmKG4S zIK&Y75CD}YT%#&Jbk7d(_eqNC(%Nls%n4O?_M^ zbqBy~092#}1e5`w002AlT;-dr2wc#6aR!5^v?j0l<#T?T;uMN z&=LUsf#Jg;-l@JD1A;n-8X{*-N-&oLpmYr|v_ca@0I(4lx@-&xm^A?_Q8q0>SMZZ-RMr>n2_r`MxVr8F4X*=W18C^f)evc% zo}kMwbd5^e4SAT@8Qlc6SLKjVAU0U@W?M5CE|N zxG_D!T==uA;qX`P3ESTSC%*+i%p2Zo&!ZP#0AR$71Z(C+S9$!`?g=g50fvLE-3G-1 z;3_cu(AA*N1wiUAu7;l9y1VQf4}fF9umnv!0l*_*csL`$TnT``zXgV9G?5E{mB7$= zQ$RqcZidL{nF&@$k*nOk7c@)+hCDQp27r)F0YOu`8GMUof)AHqoBPqtsj%)Jp$}W% z@Jc)@)7{{qnoDghRE_*_^7M!QAgmT z7Q%S%gUIWk;W#i@0T4brLAUc7dU4D>Vc%jj@fR@MMK7)ZAPWEsW+#}d0pNZdG%SNY zJO#iLH1P;cJOIG$*`VRNtNc6wvg3fE21L3k!BW>m_k@H5yQ@6PZcMOd8R0Xdb?|Im zePOvx)xhHguv`_uT$bBi4SqSs1oP%7fL#Ds&`f}N_aLxlgv~3_-Q^Ptz%BvIS_LqD zzYu`!By1xAmfw!R8WXk;(P;V!fE7gp+gyODj{|J^Jb-!h0@y3f0e0bIV2jT~qmGFH zyGz*q23YDcfSnElSpS#NX!IulGn@jpJ(JL=F%)2({ools16afxN}nWqYk)oc)DFWu z1F*G|fvo^w>Zt%*`yIemV%p@|FBpx6ZveK#05jhuuqTAg3NY^r1U8Lm>k4c)J_FdA zv%pq31&z+_46q~A=yialECN{atH3sQD|-6&j{rL`71&A$>@k5=11vudV3Ye%quYUP z&Ut_xjRCgUE&%K453uI-!H|sroAd_29)1q6_@4k)K8AwK1Y6igc-2fKY6bxBI*iHeg z-(-Mg?*rKTm%xyMnE;zkU_Aily_IMy0@%)(0GoRdV6R;PZJD#sg%SdrOW3}rMy~;E z@@#t5&0@&KQ0E_GiurCSQXn+ms0IF{kLDRd>ggNPdQ4Ly79nw`=}g(XI_sOI9#j6tuk2z>9i?zZ zk4Tq#Or~$uxAF7y-zL$wsuzEoNZ%^|%QyCxO)bu1oia*~EoJpOzPfI>hTD)6>GO9yUrpf?7pmkM^z^GOWBR8Y}2893TDCJIh5#j)r(nC8F2<3M^*E z;5vEB{YH{d4wFz1k`Pb{(%T+++HH0Ow2iV z13({%K?_Bob+G+vh4YwxVdbc$+HLU7A#fvijp0WXZwgWZhkZiR}A<=M3uV&KG}Uk11zJz7?B}%KgwlpHdK25E|4EysqpwXQ9m8uLG^d ztnv8~yl#BViq*zpjTYi^$@&SMQn?!t8{t2ufxhL)$G>i5){;2B(X422f82vsC-uoU zlqWv&g$a$=xgvz$^APW~fM`!58XS!FI;K+|uz`BSUKN^EM-=7W^evIjP-~w1CS&y^ z-(Cx#9Zel{M-?*l_nx$`IYC|FC3_-^53peQv%^W*{o2j86PuSI8y}bXBU+H3Ljef3 zl9%DDo1$KsDN`I(nAP66uC>8SzSrb>Z9o8BIG$9mX^GP?vlQbc1yQKkCjtXLpA4-RZXr-B~N?PH`d~N74jh{U)qJ z+?}@6o#CSHoECK_h;>K*vJCsZ5+vQ3sC`02d7lS8QHMlC1)Hfm4!%3~Y?4k#v2=E5 ztEr}*Y8nbfHM#B_aCT=6-<_DR(Vd-=?wDQa$c&~Pb!RP#TIsOvtPyqRf~Y%#MBQ=e zD3(s*%j_}qF+^1SQ4vwW`P7{vzB{E~B||!r?&Q2o*KNe5Fh=|=s>yZdptC#Y`R=ST z1j9&Y*_Df&x5T!MBF~(&iaPZT3c*k4Pn)sJ<3N9ZXyzod9^yWzhxW?U?6~OVY_b7w zciIVN{IDxDFH7HO{z{0XSl_1k}AI;E%#kfVjc0=a|wz09aA3mu@ zqM&<*`|975-oT7nA`qoUMt7-I&PjiG@CDrNB5%QOPk9o zQLP3q&4jh+c)tdu1!Qdk z0T~4W4NoBfNvtcP<02AJ1_`M2DhjE9USM6DvtNDAx@MJH{=W*SZeNE|TTxAJaE%epa}SrU$?33|Yas)Xxg)r~En!X+K{u zWZ4q>l9@>qae-kgsw&u16l4feFOnou#7vPQW-~?PF42}r6w&eFpVYT-9CL|ti2LSw zjTCVouZS7Rq-Lijidf~+hkC)Dc?bt7Qbi0BDdJ>K5yOL%=(1diB0gwxhZJ$=9iY-< z(5Rz`Z#xw+NTi6{IZweU;y$q=u09__=G0=i2AV(m*k#XC*`#fSwY_7n%1fILSLy_t+&!L46$kg(F{!MPnQd{{`N4Mic?dx^_d2cqwe`9J~z^=sJy0y-cOP^FGyr}*(GREJOqa^?hd zK_sBDoPdlCCn6}4285X^_D&$XO*|Lv|Gx%=ioT=CYiE&wL?P> zL6Lxpn1F&~JaO0OyY)mv4#lUG zP&n^815?TKJ}2nvvWQ46cXM{L0oZxE(dP^osgX%`t(j z_RbB73~^}Un<*Nv{Mx%XBr@IVX;9{wa>i7uxFgYn8;WMouY`KimFQtKs0l(9w0PhO z7DU?i;Zq{{AaTZH#a+h07k?|KZ?gTOdisWLkfh90O+n_dEHlkEd8JM9rdgiEdqyTv zv}xH$f*y;%1a&vB{7-@KJ}r3|v550lRuL7EM1_Km8VDkeWHuP(6md(j4Q4VCtJ!NP zHi-?6e|ZS7s5TK%*H8#VmAG7h4JHWP`K&RzBOR@y`>~o^spd@J5NdK^9LR!>JE2$=>O5Bn97JK3%dxoLfoB%i(;3u#~vNakFKKsP z6a{}y-5D#E&cM~|ReKXn)e|Y(CP;_#kkdrc5gXtEkpVg+(#hV*;@<dvH~dC)N$oC^{by&sDmqp1Xrkq`^ohmW_MF`3K$Xkl}m8a*QuWH zKKe5ygYnaVhxlm^ej*h^vP;-Jebjv`IWoGcJpG0QO~s_6sWi+eK)={wDv>Nt|=u9O# z18EFEq-V@BZ1kZcgZHuz_m=gr_knt5eMoABYYIuNbl>YptzJ=l;dbVd$M=py*_3jT znVd<8^KH^;RAJNG0Q!bo=1lf2biTp=r>m3`OjXfyCfn0R@~d9KrdD5({OFi91xz@! zb}LYgAu-VZEb284unwepokK*+Bj%N?-j`Ib5noSGS@YlmQdx$x5i~sAvK7eI_@8!a z+`x=2cheu|+aPi+$fY~=&N$TB z&h6KRcAbbk8_oAqd4{B(Ol%&~L+0(8_$;U~-6p7wehgVeB0nS?p&YUI5-P|SpioVw z*=^F3R6JF;y}MPEU>ez$;&l6aC@hofB%io%41om*gy3G+cned_C|shmyy=~YQMqs( zk*mLe00?_TSD4>k-ZurzOo;WsKT4NZ`!*)Cl9f=e3@dBD#Cs&iubOHN zFAeCs_~Wn<{V`XQRUyL|Tcmk25Z8c}R4azeC*-~(>Z#FqsWSRadYQ~OHfSvS;q8R3 zz+3)#1=4vnrgfee5C*8dyT}Z>lt)UBbtV1aBYt}SnU`#6zX2~Ji2S~7wU;coUv&RA zbU?U-AxoQV{hV;}8kyCY-c!+zqYjtw8Nb?Z>-H^T!rJ7#BrLZ7TVRBRo^#Ml2|C`R`E8Lhyv4n@cO4beaW+q) z3~v>B~rQ`Dp4{;Wq&N%FTvF3{BEy!ASOrAQil^!Ez@ zQ(pwgctjYHm6pE?g{WTCPg9%Ixh871oHu`&KV_L*!hFxydMC}I21K@D8461;zOTsj zq`Ze=>*37?PT8k4nM0ISk%%(|*~hSWv)U+XxQsPyVcobV_?^CbT`?T1WA#9YfcGmC z$LA6!E2wXB4X@DFC`#;ACW;!iat)VL!}&tPf|o0Qg}7^BF61G2k@iYHl*PLz2vW9d z_&MRjEy@wZE{}roj z;s%)3#y{Txr#_9IZ@@$ijKHLt^#H2!$wH{gpqKrfs#3MV2^4@uSLPW!6972TQ`r>eA?lui6G68Uz4sn#i^DnoMpoDF+#WDSQCbv=ZJ zKQYkd?ki{RP|19YPt`+s+%7?hf9$3VX?XuKW2G#hKBZhU^0lj4$czDWMOAvsrMks3 zvz)G|N?v)?UhbSio=&56&k}ae6Q&`xlc-5abg1^FOX#4k{8QTIFvhh${_)U&{B*q! z^MOO=A5YgZbhw}*V$=Z$=QH!0qETT&abOjDMl`e<(fk467ABec(KI16t(jGc3E}X= zm=I?7cM#!ii14hGX24O>kO(j32)EN60LdSU3AYqv5#h!h6p3iSN%(Ri+?NsF1L0jr zkdYBSH=GDx#RylX65%=#;Wi23#jB1&RW!Yb@M7SuC0rYj5`P#~yEOdB+07CTI+~zq zjhS81v9mr_kn4Ta1FRAD1NCgh(wFs#SlZ>mE`ZPmtd*Yy#%^Ma>Xa9wsEEghVCSz=yFErE3jn zO!jIEx?Fn6P7PEkk|lO3)^rDAA*cx2w1n*E0_l7Z?{BgOzC~-DK19GEB`xV2TQZgF zz#f`^jr6?4n;r6QJsWzii#Evu+>}q(bgQO`b>;&QBg`YQ1~K2%36j~^Pb8V?IV2fH za}=8UJRfCm&~_9<>vK+H$-7)ZI+uEqfN5=)rs}}B(9{hamtdU9Fy=6f4*3SwR%W1fjIFY;3{^HMg&5%ca5^HO-` z^~fIw<{26D^w~Zny*HQ&aMJ50k>2<2mq1A1Y7{E|&Jc6r1(IHOk@Sj1(lbd2$lA1q z2zW#UWC_x?=7NClxmnqy(p>q4&MsHzl5le5$M3z}^ z3qVaEpKRcz*G440f!EXS60STHiG!Td+wB0xWC_M)3}Zw)!q`fJF-nXvkHc6&7!|Ma z7)_dEB)v9H=~Z&li(%5M6iLs)n3q*Do?d<7vTxHsb7fM$!&r(jM2E)U8@1?Fx?IfJq>@LPYQ~F~LXAu{6?tBG@4i z{MMRPAlS+XZX1Z9%4HM{RkFlEv_~WIH}Vb5*kYdk77a7OJ^T<3>ysPdZ*Hw9LoYJe z?-JQkMKB4dmylm7P52E%Mo7CJhp6$15w2S2p`5D-Q5pk`mi6O}J_C9d`%t~T_i1+8sF#?MPp_hnF zx1MicBK07R^6;z&9TbPo)3%;=mjD0qAceb{A@5!_NimX`9Km8OT-yg|soyW9*v53kq2W7jhplyEKC_bouHrd9tqS9^@SAb=%l_+C`)l;&eiI zJM@Yl*0g8VtB*>d^=V^lRQtI^&TDjEaPrrcAV;QDQ22K6p>mfqxVqEoAW_vDh2~3s zz_mq%?Ew3}v7(Z`FNH$3#yvrS&DsFtpvzlN(0^H?oIKFD_d#-PYUXjp zYL#{Z8Li5H1PL_IC<1a<)^dzG(S!1!=qc-Dk* zEx@7ncY$Lik3-(N0yu)iICA2yJpvt(gd<9XLm35^y>jmRX#1RP8#15;w|sC7zruAR zJ)My~PMl5fP9Qai--W_*K~RGtvu_eTp<8eZv-+%vI9%J*W5cjY+ENnJashD9ivn(e zSN(!wQCqcvcr_G>bvDT28D4KC?4id7BoJh?!xseLACVhG9GIBImNScpW%8~(2SDWa z?r31a3Q+@tSOXT;zzCrMA@$SHpUp8@2MVD@k!|j%pzSLcO%q`l%#pZ^NE{_#C|z!g zqm=HV)ome{_31fCz~AVz(!o*>Q#vFIsbgz+e0R@MQmvH+SH*|)7vK2mM%#&d>7P^o ze-YWkh#1C_j|6P@-jDDW`pTsY~R=_A;~ozIgHIc9F?;M9zWWcKu;8%ecP;EOPK zz_V0@Glmc>0s=gJ=^IFk2o;k(`cOJh(TV=Ci_&e!LINq>Ci{yX$P5CMPWJKa5reoK ze-fqJ@WgE5>{|HaaxI?E&2$U&2IRSzKsYI`vcVKc*5E~UVV#@=l?j+e$&&d4 z)FUuT(1y9>b1}PV!CJpgyp1XD1wfT?RS(R~1Mv=x7V58&$&Ev>>!)`HZy9#JX70=GfG^ZY0`G@sS$Y zP-}Cj8RHg0d&E`y)zNwnI$0ALS`;NyhWoCauJ<_Nzm`q%Gn~WO#QEQY6NzI{Xv&2E zw_cz5*c(zb3UEu!C4W1xoxPjdc|X%Yzw~>6_of+m;$k7RoT*=uO_PeKKdqP&JdVKF zm|8#48Er%j$oL1Gp(Xg5Ozb^j(MF{_`wu)_aN<%w5lvH*7)|4drn>@7LTux=ktS-a zZN&$o0CH+2t2B%%9Y=(!?!G9>l}_;eFeII}Cd@H<;Otd=r~U{J^(QjBPcKnj*Pn>& zK0UzWx;}YC_vuFZ@564>tsd9;wp2~`Qa^PUQA8{e`pJjT_5p1DIu#kV8gH#LgjO}( zO_j{VoQNeN0%xuz0wdl=p?M$*p)(ug7d(&TYwD%(c_fTAIqwQcaEe#%7X!V_Zw3Y` z8b@qOjc=!%h`ndkZhp3NzBtU(JzSZO|Ei~jD;MdM!VJSy)@d3kYN4R0wI2=;nOqBh ziO96Ap$qFYi@8e9NMAm1H;MHwbs-Xb;5L;7`F->kLY{||bK5?t!2A~tTW;NOyWWt` z)nlBl=yuF|sHZwkT3x}rB3V1iw`WhvMJ;YuXWFWhiz)w+;)nTp>zm3wPW@d_NaQMF zP-y<)b%i^x6cswdCfMAN0rk-+XLCBgE=GiAGC4xC?UOE}5y53etTsM|>A6wxY-qy| zhFK*`F*x+_>x@cR>Oc80eqY;GanTvX^<8-t6-p1Fu+X+S3gWn3WO~04)Z$Ku1nt<{ z0;fa53&Ww4r?ce0*G9W}+OwoFsh3eGR`6=Zor19z_-A5H&4S&v!_g>z3MNuX{ozi* z^w`)9jR<3t?<;KSauj3|=PLwM!8R?%kr5|}^9Y1ob|F7E`Lw9#JJzz*H8bmZf4=7d zY`5^J0aS}~ey3x=`K4y-&+rK8p|N1Ej<<&R!G}o;@(~KvI9l??&21ZNx1Z$2Hr9qu zE<~d;1#PVLSZARAa2sn!d`|rlT-}%z3yGIB@zWLw2?NjYLAZ!{T?lc$ufA$Ao&hm# z3>Ed$%~BzD>o)Konnek{V|f?_*v>+_3@WM@ zS?d%}JTIO?c~gidNFtt_2Yvwk5g(w?#7M*wFA`6rNIZIxcy35c{pRLk5(zsSRw_j8 zS!N4NeGqTzu6=^OsB0&!wp^oXR^q1pjsMF|N>uX^BxI~=3L6&EKA9t{Aw~_YyoKeS z;)8^pbP6o_^d2|`?i5(0Usq_hjhzAupX?x`VP`v5uAN4jEQH;QwqFM!rNkCh5dszR zJS7RCP{8QPyw4pFNKdbA^`KTfy&;|(!jL$;L_9%G@wkY^vsNUYp-eo2NJgKgRZf*3 z3hhNfB%b=$;EdPu&bXr9Tku(hIB~j`4=sC=A@y}{2=jJ|7J*e`}rZ| zizzg-38M6;J&f0MVMwWH`{*#4K;WxvNHJU9eQrouHhnn&R9#VM^CjYW(U4NPu!BetN>R7k}0KMW~fFCmd+l1NI07%I!WSv;hy8T9;+^35`; zR>CAXUgEP}5PBIK{{GyM;&{`S=%>6&SlJng&-(X6%F&i&aHT(@P&MP7Pf5Z8@sKjw zWh=;(4k^dlQML3!6qY!N&wA02@;W{EARSW9zcm$N{IL?XFuZrdhJ5HPgeTiS9&;;?%;M(}PUS7-z?``zqPt19mz2RsCm2No?Gg)kL zUs_Lz`CW$yzf^;85j7uSB$DVjtUU6zzGADB!J0h^_5o+0zJ=7O3&=EShP~ zILju9l--CZ5Y06Ayh00wIbWmDgmjLQm6-#Oi~Hm}DAu{{rkx7hsQW|~rT@C_7<%(N zx@aYicGlFyPZ9Jnnr#|+ehf9G;AZM5$>uGqe3_ps|ccp}ot8zGlzSgE9Ji%8x$ANi*s?23tqEz+8J0K~`M(#{bJP z=%?YDX{#g*xcib8EoyF&Ntw@zO{$*Ar1YXNz(pLTeJTzER$qTYwqY+uVF?pV%H=bd zR7)XBYj^Fr0W{llEh?xMpwJfZ`@6ZI|3w4n+vDKd+DXFYvR7y^GVurs%`{%yx!Fv* zC|n*jz&SIH6otzz*=$Cb!6=Rmreymf3i#x2@=B3q{Q|yi3=5Zqp=Z#yY?+rH#)~rv zoM$I^JYFzjCduhScj<_EH<^)rjL4oNkj*Y2`R^m9trgwaAxy&dWg~w-xm+~GZS}(# z>Vl1Rp&#D`f$WrT!$#1Dz*!hEu_l6}4WTL|ygDfSZQ-+FT8dXzc1(+(r)=wI3!kOj zi`-TwQyBGH^+BUD*RNob%=5TkZZ_{tR7?M$G?#T;N$Yha?u#q}=xgEYYzsRFu-Rar!U-t;LmZ;w>?Swm*7oX+X8uq&&UzSZ8+8 zvg|Ci#SXtGekMc~`Lz02Uf_>>E0+%0G91-qY9+1x%~!INY7bib%iq{d)P6-LdahSH znA)!pA~+$mt^SGOA7vC+ zB;Ki`gTtfQb23MXWNR-h4?kMIG#+Zdw_AND1`CJ5K?<2ui=o)Nx(RJ+s0vM{O%1tr zUnFqr{m=+mR^%UFx5Xb;p4Xo+H8cfe8@fcMZ+30wnBiT2R8XK?RsGUOzRhHA35K{m zMZrL-X^A4tCiFr`LAL*#aVDQ2GBJJ$UEec?RqD=Fa;om$=pRU%Q>inu-cEzcTlw^p zg6EK=t)!nQ@uX(jl>Frr|m|x@2B8&OD7$e`iw$HQQs?C(rem88CMS zvNnJDJ|`5XCQKdI6(p$L^L;lQR>Tx|eQq(k>>|&)UM99=i_8 z!_k0hG1HUx`i$kn0$#xjUFMIQW;r#ja7-sOtO+A>%LN&&rBp?|@;&iFszTPhD;l-2 zRE5iYhmG=kuC(@dd>S?4Iqr3g)f|~Z+M>B9EjI?g> z$&%fBLHYdCKr28@%*!T6&R#W?FA)M1maEhcRFC6xmG#PFzM)*D_ugM%BfVlvoaS0O z@g}j`3Ljac>&wfC%T-$UD^ZK(DqZFmvc9lfrNe-}$hZLI+2{4qNN`q1%ub%2qy`0l za`NtGqWn7)+5vTsWQBa#W-EQ5x8;$&_&pDlJK7S91h$0sCbpDPGSE^fiJ@i9L%?G$ zk8Is>nDWR81K?2Vm1jW?wIS@rU8E`O31-DVyuovMWb-+H2$pl4j^V5?>^3F6iu%H3 z`KmT`LnB$TqZrhynsydxl5zh6(xls@Nk(B%7dl-uWE<@pi(7=J+>zt&`wWqc{tGc% zF7Md_vQ$S=Xv?sC?o0)^%+Cdnxg5XwG5lAYeXvl54PG`s zUl`_o^(-5`X`Y%Z!*KNM_8hbkO^ad7cN8?tq8sNTLsI8uD#M$?m6SKz6Mr6)gq2la z$6v&MJ$mhl_dQ_mplEh9{vXlEzwG3Qh1BpWB-|wr@+~{~BYuydz zzr^J!A~%=$c7II7VM2meiPm2(__4w{H7y3{dCq!=c?s?ZKa%+~7{S>UkiP&G2 z*-a0u4_ft0kl>>4L;uyFZQAR~s$EX#IvqeP#3dN-qzkNuOwM?=V_Dy7;EZ>no@0 z6Ak?n+9is*=kI%8mgICxyc88xi<}xfp{?G7|w?JQpOmwGb?q|b(BjSt^JVS;4 z;{&Z*;Dd8q8@R_#lfzWj)%v1WiS{ZFI9dGl<+u~{_c(Uc*^Mlc4}A?Yf(Q+TR5ht} zJK*piOl=>dw#%sP*L8TNsa~}jyJHoN6NjqintCrG+cpKL_U5Qy(}Ak5*?(K9cIT)B zvjc+soA*-fISV<|Ne8WBIT)>9<~ERiZX1ECWCcb*ckgP6L`w*h7Y zPb2rLWKA6YL!DQ(et*%~oWSo5>t_dw@ zSj2fj+k26!S<%EP-$MK9A_P>?KifaTe@~bT4WAX+d9LJTooIX?v}j`Luq!X?EV0W< zv@85Y?7G?dC!(Fy3YDDfTJ_El`iaykj2@;+bxnYmu2y|Y%fNZTa6}c_&{VcL>&7pL zTx$Fjmz=}$yiMd~xpVkkF}ShiU3TY5l~#vZ+^}4ec#4K)mrrKEbJS$U-*p=H_dgT4 zI{d#Tkyf=>rj*@BQ9aB*pC6W2))>$zzr6b_Pd!N(mMgY(MI(Z{i|M`@-PY12DseGF zP#5go8L>#e6sm-f>9s?c2Pd7>KdKFxZeE=WX~(haA>wHPoA$W{u#jnh8`WaVyC>YD z_OXyD{xWtqhtCZ7pw|Bc&hAYXLJ9dA(?H(Dxsa*VS|w_+kSRLJfkwII-IWbOsXyHE zZoioNXhet@?w5Rj<$VPa zu=^K#1Uf~~CW(n4VL3T(_q`$!8wbz@bEKRa693?3ozV*TaUmlXv@Z;*c(X& z)D7-3HJ`B}U05C0iX4=^s+RLs;`}I{^QDR3f%C~?&bKN%O`JClLGs!e_e3Y#&;l3t z?D1~>0qt#=s3*Cp?^Fj}eg_oh%}|F(cda2{E-MP~3&J z?@$-ygRu!wat?LGy@N@Sc;pZ#^Xtz9_p7#vxgU9$-W=9`NeeyB{S7ZNfTktTo(ExK z?KwYp7HQA8eY_!aS*QnL)1YBoQ1DDe3c~HrsM2;OQLz0P*>M*|YTW8}2{F@lqgH8# zyn+5T;FTsNaXfYl4u~Oz7IbY&@HgnKN+Y~r{vVY-Qgs{?pjF;YVUWVuRa86Jn@t$_0&&YE%SO z86%-Q=m^cmwI%v363R!5DEHtfH+kFx<$ENQTdz(a%I7+yl(%XN%dy7`jGGZT;`n6p`_P_eQ^oa)Sv||EX;`&!p2l2R zBPWAm)8_|aX>cLh09*Jmc=nnc@`b{XKj*E>Xf>xrk?^`T=XGj!)_R>Pt;p-dG4o|} zXOEh<#U}fG1cjaPn(5e7K~BW%St!=~jCxC-)BAhDGz(P?FOgE-z@?V*kZ_LjGMD3^ zyj8B4^2LXUaz)!}DdlcX$Kxw@JYs*@K5Z1uQhu8#7u!&oP1E;Mnd_HCRb29qP1Lola%ttPRjT4l<&zo4a(a~DBrV?C{OH|E2aEjIQzjv zpL6y_SEv{ACO3p$2)lfX{t0>0n$BGyX}|Sc%yWs=OK%b@Jm}3XTD%eG9JZ=8+^|(C z!$Zz>0-itqqHSOmp7f%v^Tx>k!QVf&A(o4iSzhHwof4M~KVeHwQa%O+Sha45R^O4sSOcR>DvAG3i$o$;JwL-<_E;-GMYFB$b z8c#<|!L9Z_Yee%P*G1fF?_8}zz7SIxkE^Qr=BqS?c_we}yAboi%s9R3baN~S{}8Ua zM*rOxu9{*}<}FPHb1GJ{ zMPu{}M!J4KAjKn%@N7oo4YZgzv`~0pnHw+u{YdAp9)Sc~T#@@?!EO=?#TLKE7kl;V zSUfU;RLAYZs{-5qV2y@>;g165E9!uXNt=y3?AQDh2I1(H15g{l9`do$?{rE)DWhq5^11 zT=C9kO3u?cV~*|rVz8U9!LmZ*PsFajvzk5PZu0ESv(uPc!meVvsZLz1`0zR=H_Mxj zZlzYxQJu;!QgFyWiaRQ?xI{(Q!a265oo!a-(qhc}Ca-0YP2%86Sej4&VRZL-C7z1{ zZrOWP8OB#r8p9E4-L6ypU{(!iQJkn6F^%jFDwUV{m630IZ=N*tmr@NVoxc%Fxt5-1?V&Xg&>W@KZAM+`-} zp@Twu*8q|WBO5qtl`c0hyi-GjS^^naQQX$LcMC*hlrFb3@I@g+2A_zrG*!MznpSpV z34Bmb_9}@*cNvOx^1chi->jwLvNK)ML%oCM525GSXXeg9rKL3VDzkz1N?z{T838ij#YM z*T92hh}4$Ayz(d=EmB3qR0|5+#1UlzknbB99dMs^i}xt$YTPNRQxU~pyHQL}D&mzs zN7?UvHw8py9`3_(0Jozo5NF7M!J_PuRym27pSC=OH*-N{&RgUgNy9P%qn)xEs8ud8 z`M2zbQEhQn6zzcW>jR2}DakV5RLbn7Hfe>47t?K1gvlZ&S}R5(om;%Pj4E;2&Hd`7 zIvV59;TtM5_5V)FmCPG(LOqzvBBq)Id>nWHP%4#8nK+_h%iBg_C&J>gc4`j z1a;WSvO8|^wbMi2z#%No9bc-%1y0dPMTl?&pO(`&u{+w-nkJr3Ap3}SxZtBVBYb2E&j3lzn%tK2tx z6O~3iUC%QodlKaasOIGNM5j#-lz&o$rIu`lUz;CGlOS-c&F4TFn^DP`IXVB z)Mv%wluOb9$jSUMJoB^dYGQt}nE8rkiG7Iqg*yr)%pWaczK4kU?M2MbV9Xz9PLxBz z+rO+5B@Ax)(vjvGTZ_s%b~Ui4a^9~^Tf(l?-FLe6P?9#44}+Ue#qm*GxZzh>qDgWd zy}a2hF_OqD&Y_K(>`XI%lU9#B>pffIPIy6KA~;VSj`%Xpr1=2DqmULk7vfEc$H2Zt zqWc1S+gYoW$Ev5+@Uns3TMXeP}W4$9NB3QtV?`OqwbUFH0O^vMB5 zGg2{0-dxpNNz0XCMFTerDt4AO0sZ@=xjCuseP_-SCw*((Ln}Vx+^3jSOd47iPPE=Zqd9TqQWg8xq2=l&>aj$KY)vsg zu9diSC+6z{xkZblr6t6CY5uS%&-OD(%SVo!v?wlqK^~<#QOoRGJS($=DKm-r*8B{C z`Tt{d>3zz0k(l48!M8ji!C8#?LMkP|eK;{+GNsgfqGoR`Em|{5!u&BJ=C|jV?=m=z z*5joC=H^L8%5q1n%0x2ye+w|5>1@KRQt-KnuPCl=S~G^HNc?O2HQQi5*mAtzbun`J zH%nGy9A6VLQ!k3dK9N%@RnsFBd43iU;xJ%O>m<-#=J0hm{qfOBHljW8V~0SyFz$Bp zE5kIc#@On|g z53Cdt0_;R*OUng>Zk%&3^Y%wwqmG>WnusajlR2I)jjQMNwWwvw8_FBha%IX=zGWRB zFGqc9VB5nwWlu*3O^Lm7C&{41{pIz^4;>y)KsnDTc^>zhKv)R=Z>tcjQg z{&Nd4bKU9#vySb~$PesHVK>{I;bOTc>TN^^Mb+z{&Z}bx5giiOQ>PY`T|}omXNK6Y zleU9gi&fF@3rWwV+soEYF1ZKroJ|>5cpF`6HV23KAy~1Fq-|J&+E4LgJ6XNk{4NBo zDcqI`%iGElUY(8 z-R3xqVO-W-GKLe^)5M#s_wsR{3EEwSb{wveD~{(Aj*`sX8NUuU9K2Zn)r% zYttrgAyU*FSLe&$EEbg5|0|j+IW~61W@~P))N2P-^i|Vbsa#DPwT(vh1Z(G6q8d2d6Dgpz@2++nM1qGg)NppaURyZZk^MeKWoCG;RwLqwy zl#I0zX#{=N)?B~qx=3+nc(?+Z3JV=!kg|kjJTYK=jM)Ul$fT;}!WP`;79z{~vE}0@mcw^^M;l zm;eF^;=-a5To7>~EFyIy0Y$2)xYVjunp{y&kPKL<6&d=#E`Z|3OmJ_ z7&%Q%#Rtmx+>eYj#&DS+h~YAj9T(cz_A<;viEDSrn?ItXzpP;gm_NR7+%zOt{YC*T zLMQ)>mN2?MRq3pMu(@qkK&+sD%-pQLO#S2gS(6*|kMfubnc+FfK0bNM*%(3pFhsxA zKbl1e`^TJsJnA2wV{7>S!Ry^E`_Ks^qXmKeOD=OXGfs`aidmlKjBx=qta~yo*mxBE z_Pbf}Ww-3-Fn49+c4+k%^Dn%m)vIpV)4|~cWs5I)#oq8=6}u)Tk3?U1{D{Sx$p2oq zS5@0Drjk_~qZ+7p+g{>zCF?hX<7Mu#E4#CWz-f|DECl9zk#)BSp|dxGh0rnioa$|A zb`0^4P#iGgJ@^runNg1uG~rMa?&%I}cJa8sj5wk3*P3w84)Hj?n`KTeX>z(i(1%hN zNG3usAxBp35%iJ!uIn&}zu|m?1fxRcWDV`UhMlZK|B631_-(7dWwI(2LAr05%tt$; z`A8F(k1l3Q*tWO0r~Uo2k4{c{4>=&@B+0bM)FYV<0WK68^j@Se zHqw#FhE&n1BEC$%zdm+-q}6nt1@kdhzCjd&r3~8Mwhop0U|%$70OP7%gKqm=_R+)%KwK!=af`?S(Zv&dc%R$3?H&p?nX!=z7@pCXCbOB_q?e7=j zS97E+{S{q@pZh&V52{@D*TO3t4Nr?VvH1LVHO&zB%<5x`d&avEuk9Bmjr+MluB%?# z-=Mn0wm2miZ5{^16BC}%HG<-DP+WPxr{)d|+F^bb4G8tC60V*w_w=28#7B{nhbo*1 zM=$$Ov-D4Rh!$bEOc^&A7;~;Dnu(V*$m?}&gW@#Ar-<>1OAwXRR+b}gp^1Uen5VqT zu~X-B-j2bgocDkx3q5`%rQ-%8ao-%-E9VZwlto7#6leons-3)7z>4Er{7XCkASSjf}h`LGxT zJs;*2Br{(&JpZ|b*2h9lM)E6;d^ef7Q}mu>Lg6Aom-<{1)FkMn!0RlJt!~k^kh(%= zbxSNbblN%@j2I$a1)+B;Vl_BE`lhg~hLakSY3uc2IKHETGxUg?0FoHw&p>Naz@J z$hyl<`T1xe8LG^57x2th)$G>NmHpS8dP526dE_}^kgSD)5o9VVr=2nBFSlkVIakU} z6gtQ_CG*-zp|_0NSDE${O3b)@HPnB4o_P}Lv`a(_jyLF@MLv5Pl95zM=`64_gS|Z?}k6!DI^qaHfkni(_@$ zNcf$Y@OcfL<5qR%&N_af%yU^X&mqf zO~t_VxuL2X-jE_40YZ%fXwkIi+DkGfgEys zf};Wg!lu{Bt*t6F5P2fi{#w)|@d|E8^9x`kD%7 z0^UeVUGouY)%>fj^RVAM#XzajGUBpD~U(TKuljt$bg5G4Yud`H};kP zeJm+WH@2KtIk%#o{{eyEzdjB||9%OL-XV2gI9l@WW0wE_WnjWt-7=8V8`0u=XTR}S;@=o;jaS&P50ekuU;JIl zbNe5|UlcW6MI2ocYD@nE60(aSTUNR7+(t3C?Y~FC>BXKjFa=i2xyoU4b3>NEV991W zRQN}14+z^)k!gWo)wFiC;9i1Ggli)0tEwj##BR#r)!ttXjT00 z>voa>d~c%Aum8=hx=~#%ZG1DQ{`fWK)Tg^(nb9~_ZoMjS?tbXTeO|kOUw<*hn;1=t zU#55yQ{X7;@>!_&AM|VjE1{9)y=%fU|8TQ@et4m&&+>KIH&xO0o-<=Kf0ZP7VpJK0 z-pF9V(_>sqHO;38%unnu8vXX4O+y6i^NZy}NWUkS3)UJ7$0dsr?(&Y^{%kaED>Q=S-H_e4-cw$#lDx!{4wM^fi_l6Rdo1wr@*%Z;q2!PAgT_EY)i-@|BzX9 z9h@H{U%Qnq|F=#n=m}L*itsXIr+?dRB??b%_V`zDp8&U4mPwq62|r9?*jj_7XXKh}hrg zZ3kYH1_Wh}$f+|~zu;}xu3=_o_mP?&V;IqsUZyBp(UM-KV6TB`jW1IeUjy4Oe3_#E zMajs(WdZ{raNzT@tk zlx)B3M#G8c28Y<+mPY#t_Nm7NshYJ|b5`KG#9r4=^&Bni@f#e*o=piSs&8z6g5h5= zf}ZiiSp;ZQmz{1EI1Fxl*`ec49Ky~UUwk;fM-r^%@K_IgpwW_VnwL>vdR|sjf^5Vs z_ws(Z`B!-|el?qxoiWLNC0VZpre#WyBDoboV8sfQ+%J$p{Q4YowF_gCl{P!a@q-Yy z5|#FO^h)li_CDsRLGE>heL-4_hPEIsD6g5z6rn6~uUFW|0cd9F*BJ5We9!Sh@oaWj z+&*=_P^fW1F5*_-2`U0A|FW#V1r>=4@)oyl012pS04dw3Y$I4YLoS~=?L26ai{gqR zot5p)HYP{T6li2Tr0o9iEM#P{Y`DeYVt~s|s)F&|$$}5IKu7tti7gHVTd6VPAf3G(HH}+F!Ei-mj zH+l-Dz}Q*Wvd;REptDZ1=&Z#-`YWo>f3C0Qk#SVbJXXyjDH)I4Hq3YglJTf88V@BI zk3~hX@y>u#o0^4{rv1QKHo4cX@A#EBN10P&T>$a6P3pYIVzf79rVeZRf0@CkBQPGQyTtMUVF8- zu$*#9`y=5mg#*bf_cIP2w}cEM@9pY_KWTQTTQd|^K)#X0xkFI9g~2F_QOkrBI-6u+ z(`UP<#2Ug+VW=oSAZgjGgbx)5i34blD)u-;&YVQZaT9r=Mg~ox_+zdmHiEh=c?jsOS|67taZn`xM ze(LB}%}^NlA5DomgeuPLQ7j0KaZ9pDG2rj|I+zAu?J?1ENu2c(n9Ai-Rfj|n*uiUp z=pE&#OWc|Ix*WD1f#a}~;wk&9%_r(mc~eG-$MRdJ4-4U4cHUX6n6BuNFo%|4(j4{gcCQ!5RH81I2v2)B@O7n96v?+~(mh_P*q6H;Ws_TBDs>Eab; zwu_mxyO^YXJ3votM_90(c}LT-&eqqG?IUKkSDV-_o~$_rwpUxQtv#)NPggqLlI>5- zY`5tmkoFM)+a(rk*K}8YY=vmWQ8S-cO?*C@ zTta+awcxYzbbb$gX)MpDsTZ61+#%%iDC5((JXFT`>}t44vxK7=LX+?0IH6lq$5Os? z^A^>mQZZ7z?2o?zTewUu_Y z9zIv-PR*-2?>t9>DS0-FKO&AU@5bb z8!O&kKxd|C&cijKb5Rp~^Hfhw=b|1p+GTF7p@oULl8~?H;Eeg4+u8Bi&^x0uP}Z0l z!+6eZf^RW$G2IJ1HA+y!RV^K{9N6hjL)|W_tVoT%$aqcq+2pxfpW(P2B98mWTKD9r zeUAL(YG5x6hD*|VE z5O`f*fS0W!u-uuzQ`!I=HiW=7D+xTs3E=!L1TO1GIiDi1!i~U@Z2?Z6O5lvo34DUU zmE8%vr9b8T7lD-?1b#x`!UO`ltR`@mHkG$Fh$0D`@jm4oWJ^4EAaHd%;(0p*uP5;C zCdgSmmhn80!1Gqu#9dPP61cA4jT2qOPa+bIP0HC$;8)I6!2|-AM-dyX?2xmrKY?q# ziRaFZz=q)~0zYaBuxJ~BPp%7G_>tr&fvZOnIC`MZsV>Pg2rNH9;QP&pjZ*|J-WUyV z>&C=$41r4`2LQa8j{Bt#!=2C1kPAU;4!`chdm~6(J2DoC2)Qn zfpb5moL>=GpFrR`0w>!_=-(rh)L5$I#51T@fIZ=Jw4^F($7IT9t_w9sb)kYtycx+b zKO!kvp{8tSGm`9yMB>?6+z}FZ$w;^hs$r+PgbijS3;l^ivw@L(%SehR5lLlNR*K%0 zN>N;9rA(Eq`D424;s7F%ZzGarFBwV2WW}l58$1UwlIdqn!nUzeLc0-3)Luq1 z(t+x7W(upzhm7QVMxy+MmGT`UxyMK}2N+`sj3jLuk!U9|5?^;J1uH%%rSJzvaxREU zi9gCpImSqerxQuy3}amwiRu=Si2q8t%}6q45J~=L;`d)1wQtjsN>Nra z#u`hhU7~}DL|@2AJRGSmnKM~kK4+zT#Yj}Y5lL8QX_Lp(UE|&(67Gk@5sN;Oe9B02 zXR}haF_PDeME-!4@;)nNY!4z)Tw|piVkG)ciA3}bBbn2R7_0b$)g_jZMD-++yc@>4 zFp~6nM3Q()yc~6@Wh7-!iKK9)u`a!cM01}=mIcbFE{_&4#;&kZcDIh$b8J%PUyQN+ zjO0ddB9T93B#UL)r8oUk7PC_BF_JDGL{jvMF?NNKl=fkDd6}5K5M6_nvTg~HWc|g| z@>>t8OWr?35>_i6_HerEjSwQ?-mtp7VI;YFB2i10lJfWPCX#A3+0tZJJ8GBGD8^VS z+1q73no?c#I~Zfl7|9VvlGl%!-(W^kG?YjTUoet+j3gzSk+fqZojX!p^4=$s!oiH> z$uJ_(Z)7C5n`Zxb)BntFM$(6oeC9)p*?d4GVS5?Lm=A~~>T50gvF)UIy?{q~p>abZ1tKbSpf)@l-;^qIR0E zde(H`DDA|lvwhXW2Kj~!8vg!p-)>#IcU7AH-FJAD@9-I)&YC#MH~f=nb0*FDByMu} zr*RYKd@>_$wy$>9^p7Xbh@1QIjQBYp&-Clobz;L`z{A(sg)gcc4X65ee>IF#a(%0< zxqC7$rkVQI_1j%r9$uk;GVO}TvS!w|FMIrx^~1h4IX!RgT&_K@lXUi|Q4%Fe16@l`!lCsM=ih}=S2zIH5WbGKxk?wrH2 z@5OWClr^pN3ktKIa<|+aKa*DdNzkvQfWGcS(AW8ZHYfb+4kM1X5bhJgMv-{el^}gx8 zenO+6i{40+L=WwKbjQVYu6^jO%ZZPdTU~6*DZ4s7jB7t_M$@QsoNngwsCk{Wi?Vh8 zQZ9X9%6IOzHv<~25gCin@ME;=kX6*18E=H{gt z#v-;3D7)P`#okzirr<@aXSA`17S2ceH}*s=mOXwE@B4DjjF!db~ z7D~NabS&wqdJq8**C&vmQ~d!gSPN*=;{@&d759Ss)~As{nyHu;=%;p>G9k%u{fxCX zce!oBGH2(ME*-pVHV=-JORi=r?6dsTWvYouhR@GgYyVzpTcC1tPT3y_z?4XN(9g(W zKLFza$OmBU=e7kw?#?M$K>$og4%Glu0&ot1_2;d%0jp3%YZTGj%jV`n( z+P2^v0Q>s^uo3{5O$vK|0Ac|634mMxV%j>VeBR&7=A%`S@?{>#VWPj?-=Lba0r(bx zX#iwjvew1|5Z}o;MMQuT04xCDF903^Pys*@0C~R7DcX@IV$vaub-R5i zViam|7=UR2JOtqSPu8N!uWbu{{?OUF?-6KJ>>#VEYc_2ss2eY)w9I#>Euyq_ z?@n7tX)L(K+j%aawDfn=&8M`qcc-yDYw1$cf5@}u-DxaO7XPK5t~^6BSw+i7U3<-? zaMFo#NaaMlAUslO=*J|nO5%rJqI!dM5jxSq@1XSWQuiBv<+kCI%z_n}cUSs%sirjj z=69ymQkv$!(CIAFIwvZl4qgvcG{%0Ihy%CcX6{|Ab17mJUia#1eT3cwIV!KR;u~$X zvC#}9#0om{SJ+^k>$rh5BAIDKA5=0#a8%^Dp3=e=;Aa`1)=HNl!F%1FvJcGFPwiSo z)ld+t;&gmu4bCT3g^s5@ek1wSG5ML#VVTmHZ27eEsK!`rswy0AUU)g!m}yEKwJJv+ zz9Ox33IheoGHGdkW2T8rqvQ-HOk;U4O{`BVSCm@Op42~iXz*hHmh?t=O(7Nxb;+G{ zc{uu&(zS{f#N-uLw5)g7zLAqaL>0yk#Y8lT#Mhuhi5wHC(yT*8nb;{2*{tjeoWk#x zc^NV#jHy@^p)>K}RgClF&Y;Pfl;Hf3RMC#sFLh^TndRc=xP(adQ3LCQaw(Kpx3M8L zY4QUj4gMaaDQD)GN!0?<3?XS>PL3U}2-Q3(*GiX{i?uGNCYJ=w{T|cy3Tp1cFY)1< z8;9sq6daKTGgA3-G?HhNYi82(0#Y&c2%c0fQb8hZM3BfFt{^~)Ljw_=kqN*3@_?g) z!sr5>EKVrrX)1XVN2Hb5ikZV%Ed}Y>h)4nHLjmaw0jbKG!_oG1Yk@=#I_@B07LzcI z3S#Olg_1LJ5~d#slM6q|V*b4EA}HmNoEQ`{23gD~lOmw8ueB5?%wvWN`;00wi?~5r zp~IE;C}!GKSr|msIV_)^?NDOF_>5lO+r^-6Et!tLE}YTGwfM_}{k11wCl7Hkp9 z-0Y?={c-SdQdU!5?yvHg+!Ki1B?95`-EFn(Ym%6bg1=Xg*f3zA$_2d|>UUM)6w1T% zHP9@g5VVn<&T|mzw|!1S{mz%|qXIIh067a@V{Q^pp?)`g*-*c)3P=?~QVadoY=Jqm z(C_mhN2oW%@4!dA2OnNZ8|t_2QA7QfMwm&%grpYw9a@0cZI=4orjq2Gyn`k9;Aw3dXY{iG&tHO2dMZXRJ`G>25Dq6IMtbG5RuT}NC)Im0o+b} z!oJ3bXM}H%M!dnfz}iw^aQX{KlZB+l2Jti+oTL;qNIwgM)5(dd#8P zK|Fbb(}dbmXmC0UNDsc%AZcW9&_&k!kkvW}tHp1>NsTO~;xnjt<7=QMU%}WQ z3eyO1pQ0ODG)SPD3J|ZN0*(t9sc#U4u|Yy7qlfgfXpn9K((eSM#vYPlYLM3Sgr29c zLCX71r_w^GLDN4g$bB23Ptl1~c= z>+5M6=?Yw`AX`6+(RFYc$yTw81eJ>q-%pIPZA-G{m95&EhEZ8G)vZXNF;F%?Yf`p! zs+*Gcs+^xPGf$T;s&C?B_P$h-BZ7lgHD{Q}CQ-I&e*=iLIb4!!$y<6bgc+A8;6x6h8LTF6rZ#>g(4uz?3W?26Qr+^XZWVOtj&EB_ zr{`jRFFMSqZF0_ROZty_L~T(*ZDD-W)zq2iaG@<93B2;G<|n9(BBnn~esFNg73qzH zo_9E;&OC2r$-#q5^|GZCAQ5)0qvYUn`dcfoH`A|D1;tybg3qafNSoaFlPvVZ0lfrq zLE{)Mc?;qG6bORX>`G(p%ZM{2-N~UDFCM63oCV~wIYGM!a8`Uq@b=ZS=HF9&iit|a zs7#8)o6Q-|nEV-gH754z(-=#I?@klYkuOQrV#>L6kZOV19geSLwckdyq9^d`ryUrb z63HD7s=R0J>v?C%@0HCVMbiIG6*X#LUVNdxIqmTmThmPIQbvxtVMU!1w79t0f(6CE1!b@qSHcy3ibsEL?!}zQ`}5-!QWw@oP~*f~%(dbi(}F zO8UEIw{yu%T3!=sAPYW*9S?Q$XbmY2}?8YbTdwh5U0MqtK#u|;v z&bv*Ed=#8_R?uSV7C<6u8x@>kS+JB#(v*12Nn|4;6X`1wsX*C`X@%QKihNr4YAeV& z;Ta;-j^$clx?0!IRj_1G){jDf$`+8!*{ix>NVsH>I_?p*aq zUtBP+-o^OX`x}C%&^1TF;84BPiR?>Q5k9QZs^7mOs%J7Q*PNlS`~j><-pzLp5^wFh z`CehB6Ex-GXOG?um$BAm#aO_Z#=I?+A!ca;e%Rho>NeMAdv&Xf z#3USC&x@;A`@PuPMPWX>vg&m3704lR2tLv^_;@t923NcCPsq<>0&Y|%D9~x%u&^V2 z9`sZ=H0lY@`c__t#nLFHO2BPa;x^!ndg_ILHEd`nH@3dOoJ$RBXujn-=i{~ClJJ92 zyBqN@L7KdrCi3H?;yrb(ls9z7pXh0TSax$)=0)27X)F6|tA%;7g)FFpR}-+Z(_sb` zoM{7n&z!5=H=s(i(;=Sy6hW#Glub9pHEn1pxsh-1*&$62$}#?gNpFUTUO^kx(`ID9 z8qWTCr4{7WPJRZfFrfxaDq7o2z`f$fic#xJ#LBAF#<(9cOf3gfw)uEt?m9&QpKu^q zH$o-LQ?%=fGe8kGYVg#-x+fl-PoW3rPdQo*d)1RmUXzOujEXMSemIsTe*|?KSb_R< z9rlP`)tz?ePtv@Ihen5({qXye-}r2Nv>Xi=7K%>y}HOM zAj&VLK-{=kb+*J&_CSdzm3#KuRfxph)p|!HZYkK=1Bp?MWq(j&mtNmc;&0VCwn!|` zZ`%`z@lx4iB!2X)NE{G#u=lD9O03+a(?gsb7~`$LUo)8%K1q^Wh?+Fi;GrJI=@Hox zJt?KYR1NjWRvo}k$+z(l2($lZ8KLBY8#5Gim%r3@FQ|2@SnHTuuCza!?!0_wnzUmY zT|0fd@C5D<@pG|Z;dP1Zr~2CDb=WD*)@yLp%ivxcUBlT)(gY`Y`%J4re`nz}6Q3fh zR633cn9fn9xQ9>*-aS)>gvcXMQQ@}(^POX0w;FU`%NPY5{X}E)b8Lc#6t#t9(Xe+X58Lj!T z;h@KRB%)Gk3=aRQ_Z(?3*C||T&M&E^{PYA6)4y1L83P``>hp*y`aQ~wVB8FqC~PGE z9Q4?$`VR@gR4}t+#)!sjz*r}uXS^SsXRUV_H4e!puGk2qm?Tfq#JBmxuh~jmtSkLg zNnGr-6;Yy>>ETTyeQp0QNLkn<_6Va#r|0&pqiUNF(oSWRkjK_A1Vz_M*|d$6EreDM zSeNJaWC}?8vl(Ho8Nt+U5_3WRy=r&t-3dnDWIuA0?I#3NVxt686Us?~8YZZ(2|*GR z4U>4XD06Ke7p)NOiFez$9}<{5U|<^zR2`#jFt%An1Z&mKR0ZRFmjrLpikVKq8}Y~u zjaT5}om#P_h|&8JJ!^cj=6QR!n45M>M3<9PG}C2)S(@4AAa@wQhh4#kRaM|7Zi(nz zOLa{$>xZ0wkJ5G`|py*~PfXS?B9RMx+d)v^kVE9v@?VBmQ-SfiWSh2_cJML#BWbgXJhs|`z@|VXc>Z8S8uW0 zI{DvNCned-GJF!)n9O{tVWQqR1#d#E?na0o%m{Xp*eHxKDJ>`#mWfoDkR3_9Fe%L^ z1Zy*+lvXvrzLX`*txw4Cw^1vDSD*6s-i_OH)sl=v7w+I{?FF2B{{a~2vow`vd;5v(`9*vzst_#D9^3NlG zqdE)J3JFvl8wyII6;!yUzQTp!RfOQo2tkDnt7p|xg^i@b1Sh6V?DZL@v%(5bOKHgx z6jUHfP+e45=U4O%Pp)c%$MKYpHRR}qJ6n-P43Z}aGA>%}2N8J`kR(-%o(BF8Y|V;X zD&%rb1J=sS^Y*?EPHbZpy z7>6~$>K%c$6UpRPkjdv@A?rbK?~|4M+%0;E+pW3g%`q-f1o{zt84eYcvdB-47{ zw{}#eH41>;jy^NNjMf;@4+)(H4tSf&g>smchB9vLB}FMBT|UJQTbdqSAJZ$m_0M81 zdnre^;9a%ghQA|s_Z}O2k5f;lKEg(4M4{4)<#AbqP%qbm0`xtGPCZ3vQe7UcbL22< z&U8vcpx z^2jI=H=#so?+pf_v5pj+lzV8tQCNFs2&Mf<3>i%&duT0r$j>x8V!IZJREJbt1ZwvJ z4`~$iTX71Phb45#DYf$q zbonaK#oINqP^)(eO&&HNIdM&5V9Q26o`JzEp>LsD?;M&uVn8ylr6Z0nzd_u=$Fbl% z>Nl@pB*9oVB8i!6HR8B!DZ?_O&uSw6AnxuQsz6Kur`lNLOaVpaM_)JJu8LSclt@NV zUh(y=o>C{b7ArNI?}pFFghF|3f}_NkDf}Sbih5cqJtEFU&7^_HlrWg&?G3^=T0z+SvA>PLFVY|J@z{ms zhsmKd3Egu{x8e^G9vxHAUjFKZ;+)*p*jfzC^1+VAAD;TV3-i(vMJWorDOc`ICL+c5 z7_OtKzo0WY6EqwqIhv^MjWeNUEmA0GObdIg_3$RN^x_a&NqD2DXoj560cm~0fUijl z_aQALmW>!9ny>cXe}v{E2H2f9$q1{MorP)oiqel%zx}1tirdJoHMrb)N;G0T`P*B) z*CNWzPst=#04rY@d^59Ksx_kZsLl|8=ACrGhHw*TR)02DWyi|=#twH zcSquqTl`x89J6Lr7(q)2WW(RSTjriLhRU-V!pd7V!wy{baz%MR^o?tT^$9Kw_ASmP zt`S|i3tK(*Ds(Z|v#1%xWr=b1nF9T*jz%fL&1O17q1-t2V01jrVT>pdd^pBmrHW0E zA*yvsyeF}?#+_xMFGd(fGVaTq% zh)4B!ujy*n3L4~U>FjJ|!xknDqM^35 zQCmAekY{DrL*y^qqdb*@JeMNRv$?$lc|K)%CcmLP=kR&Pi`RE0*P`NmwgFL{NE<>G z7X3C zPuzA7UTvp-k8rXou^TOEPW!X6Qq(QVFFQ3=TB76m@WY-(?ysmJWyxTIw*uK4A4hQILuFs_)m&7zf@BYJb)D0o6W- zYOk;p7`4-E{Y}G4^ak6sKXD1m?>@~1Z}1pF@dPU5b2e@kXWI2`*nBFlaloZEL+v~U znB5}pnn{?oG^0l~c?ZE}(;RB!QE<%@luJ#9u85p6yW!XIs*lMjWAPbwt-%wV(K;2S zEkIg^ExmK1Y_{x0eY}Ul=o{42GAmKMwi$pFH?WGc_KJq79DY#n0MX!G#G=%NSR7?y zksC(7*xeC|8#L_07sE*7cmZPEZ6!HkUW4}elXM`=0Uy}`lMZkl=^)KaA9s5HQyg8u z<>@Gb(cKaLlo!P#n&~5ZEaJRaFxcG%CFYkgP8PG(>|U6vg|K4~^tLRoZ@$;u{U~7z zHp{`yPZz<>t_gytNb`);?-7Wdg$?^mKCMDiN zvuU}b$tGr4(c#eDhe$n?Dg>djXJOI@x8KPJ72f?E0@tumwljU~&1&7htd6>D#W{SE z-)qoeoPwVEQ9@xC)+yp8k7;tZqMzB)cm};8ZuSv3@s`}|vf{bH%6x=){#(+&=oJkr zX)sB@^#5J@QJdG0^q-LQ=duk((6&kjqf0H4j%$0%mW|U zD~ryk^^xKOogUj7wCW)J+9neMuMJ4LpcSYm!!5Gb0j8@lOmrXisUp~^&JnBgQs7mWZdHXde zRQg8^RWRccK4Fo9QFLD+aT?aeNW_kfX?iqNhy4tc%s~F8oH=%cSfCF4;4^>gHtI8T zuz8-KUv3(FdT@ymTN|p1cM5EH6`^(OZ!(@;|Fe`?pkO)eYO5$Vt5gAL&-J zsx&Wyehog0PRO%p*lHja=?s5D8P8&bh!!n|C-UPXzda{?lKB`pDu2KyERxp^+lIQ~ z@=o1g@6=lA#;MsykyCOHd}I?EG*kbl`!4uWLN_b~7W>D?M4|s0HACFq-95Hsu49a$ z2?ey@!YpP~k|8mC+MgOp_nCB+#zF^A2b>^|gDJb{2IZW8s;4^TQJuu2p$;uh+GAd5 zAa{rl1$m(Ha`P;>?c!2us`%eQkl)$VRJ=HCwjUvJmXbK*G11rA(5Rzt7R!%1`~)z4 z)eSOqPsq^a@rJHx6B^{zoo?cZVdEgLp+P=rPd4= zs>3}d7T@u865`<^hdO;Yc`}5nYXW*xg^D&FT1yVHK0ipB%r0p?K ziTmIqn{8?loYyK7zlK(QzXP)8`9Haet>V2R{!4JGg+)EV$-v(1M6$))<5{1>gsS=z zxvE;!&u2pWWM9E@Q`aHzz)haCw62I6z4{71VdMB=xjZUcM&|+NH^G^zV*kaXpj>S3 zp$GfNtp#4wv$o%ZQXGMgY;FUE>R*>hlOGk!dyK%o7>SZuo#zTxx6cfDMXErDZ9>Y> zLQ0dP?G%!ImoiBnhfEIJPmoKxb3ElsF z1ImylfCUc~KUBE2Vrsj7I3uA60;`cIA>tIMJW0!aO*oLLE4X-V!#J?haQ zzDE@|Nn^I$&qm8F(eO1*4B0)5&|x1ps77MQW2(j@YSD`3WZX?_F+&!RwHhl3PjL*YnOA^XkN^e-oQA&iYQIMMZD1e-+vNkt+mMHA76y^Pcs;l{BsxWw0Jg zC=qNXH6}5}G?U^x*!ef<)Mk2WRq;SmtEvu&$&WsA=yJUu&0dv^ZI9VDF9W&fN1oIg zmG!M*T4$1bmXL79Q#~`5(o*5I3q0SWll;Mq#7B~7Up>Q~msmvX$O(jwXei1E?*x>P zx|T%woQcwCw1Ri5=KdF>?Ini}EaHABmxKTs-6qQJ1)x>}g5M26I1+Y0wB; zO6T?xa;WjNeB;3}4pC+`^mZmLjU=dn^*WpyFh0QXf9dVKXYm+X)4)6h@v@0d?b zsQMY7IxtBo)^ksC;Go!z! z-!0HYy}dvamOhPCVLr{eD|?w#3#u?yv%R4z_#L_hP~v`kq&5GODy(xlNo%Zc^sP

    TD$V7sdr3h0j*7V5Vi$RFTGgr6> zx9;wFFGhZ;rzyWD{GjZp%4^mgZ~0klrDpD|hAcd4NhR}mm9(Kbk)11XXj(H&rQp8) z^&7c%u52T>FI%{Z7H;I`?R-x8J*WJt`24VN_2FRbsAM{=G(St!cGX4FUOD+s`60Yv zWc!&h=KV|;7U8=%;vD~`RLnhW8|OK*-jM0E%$#50svjx8YU&NzzNY;6k+!;R6v<*R zY*IoR%~kA~(`VkN<;|dOm^bKUUz38=(RC;?gDw#iX1v7AM67@by^x8C>u%InO4P3b z6E0u9BH7S;kqIhn1M;KE#C7**4&_af@C8oAW#0`2o#sxZC)MzcA&8 z@#$5YaEuq}qj02vUpG6FeVPg-M_BeA*7<1Nhx4TQttWA4n%`EiF#O^E)Sqb)+q10< zZ*DD9v-|DoNliTMpn1kvwdM`PBKwu8EAnLOI){zg z=_=&NR;;fo+aD(&mOQFJhsimQ}WTdra6 z#(6kyA(&>D#5%dFR#S$<|LtDMR*;94}>(B!WbFC7#txWM-G z9+gr^LxOCQNu6-XsVc{rRsi^WcT*`6OMoBF%VM|SK@G+-t@A*0#ryZlr;2CX!6$jE zsp9Aky9x)RI}C~xl=s}a5TLLn_{iq*H*MVKZuPyOF@3e?BQZn40s2Lykcs`DV zl40P3j2y}ECMw}g=(4qpicDk3Bc7^Il48eppmnBe&|2#Oa0V={UcYQda!*d6G8gk^ zh+TYjGF^Pli=xsj?p@!z#!B-He+>f&{^EHtrF1H@pOGzJD6e%D8qK4 zpQQ-{sN@d`q<)rxjg>cYoI2r^mPImIY6>h6AHD;0>B3+JR|Rwmp6(qNt!vjhQ02X- zG9^4ye8U^#iLANmlL%99akch*$WNr(%Dec8_n39Nd-MQvCzeJqkd8oMd!TfM4ik6m>++Za=i-=w?JpDPyHQv66YQfhF}^N-ZT`fsbq#`aOD_`|2M_D}=ZA zBd)U^M+Fx0T&W~v33R4w^fsLvDo=*7Sa$S2n=ckeek{UwoLt?J)IfM~dYynSho@uB z$;YNKoCJfGu{++cBnH{t0`b>;=V=hLA*p2+=qv)$NC%kd!gxC4{x^SD-?0nno3K~p zjBq4r`I9_P@(l7f!aYql!b?~CfX*UX!#)9B9#6+Ac9!WWKe%=NmNm)eRLBnAXj$CP z*UcI+P=V&oOhI67-V9wG)`K+q3~98YlX>LmJJ75-sRJL7oHW1UQ@9VBbJ)6{%APAg z>`7ys>1p!1A2w7vB;C30U!<K-oGkV&fs@oVJy6KJH9xEM`2fLWt#M?rX-+xRlqQ*a&@p z#i=ghj*S2g{))gAO##l@Lf|9AiH-So;8{O}z;zvwbMpHHj@m=ufMx(!?jmsA2m&7@ zaNbA)SNH%d9#7zkuL=BB`>P0?e3ZatBS{)}2rM5@;Cr2j=g$bNI!NFyUT1G_s60pD zs!^14U}JzQVun4M?)s!Nv9XPTza{YD=2XF72(0^vz%vP~m_a!|=>l-!X#&fS6F8^^ z!1=!uICC6eK>?9FQJv%_oej;=mCgZA$1GM2^=|5tgV%uJ` z;$z#M38CK}RdfIU#kTF&X+uv??W^rw24QWkr zZ6DnEm&LU`Q69kJ+SdKT;@Zwy)d8t@Ufp?PN=;s=JzFtP;gX_3Y})}`1d>GY-Etq}P3VE-hw zt>hoCMoW-byvF}j~>(eV#`&e~bM9HAVQ z&wVOsmRBxfab>%ZA{d#!!=xU!|5FQfRlvi+MJPqp(jMaNxJ)O&=DDRk{mVNbqF z>S3(K53OhUHY>F?*5XaZqP-Qq#^|`><>kZq=(t-W?rrnoqvMWm^Kt|q9XGYf@niKx ztSdV80bj(PzEAdt78#@CI(p5T(Ja(dimt(b)jL~sZosa)+*U40iEk(UQ9EDm0E&lGj_Rt(d1I7E1(Z906JhS zL9>S`7wN{VPr5FSl(+s8fpWX~spn6dkfba>V_lh#NV*#kNp}z;>54x=h*?C^?X?4d z(1}Ua>kueci9ort5lJ_-o0pAlDnhIN0)W9!%|#>3?d5aUm06$LT4@kT_c0>rs^Sp$ z^cIRZfgI*fOj2$+Z(aHRDqAZ9BI!CHlCJxrNclEI(&e5aP;Lc^ICI`wl!-vOh@@+s zXNsiTx(-FWLJ<^6_Zf0{fE=n3YS#ggbcZ04uFeVJUEBGqxkv=c-CAT_sYamOwQZcO zHHf6^j!3%Onr~8YzKEo&iAE7cC<1`+wkRSTQA%F_4IL1y zcL;LWjvPV&(ECG@lajb}#Nutc-nL*kg7sD)Sg-qDh}smacRqskR+n6~78w8tLM-0L z2(>FiuwHH)ayWq;<|Bt%liJ&JI@sR;M0yM!V(q6lRG^dSaCAl$DWfY}K5D?+&6ydR(s zn^8nBL05t&A0>B|)uom%v#SZ|u2EbkbMj~MF5d;jLkBGutPZTj1MLYuFCIE;i z?1G5GhJ(oACICN!2hn20;zh9DWfW2PJ^-c2A@>S$Kty2<5rsXMc-bV^0q_br`~|=h z0PX=$27n3?g&(IN7O&kP`LbqTD(t%;-0%3<8K@k}M zya6CO7DXWBa4;eYA4bUG&%g4rSv7Ewymcl3e%;jbqo=?=0PrgS*M=jyGld);jd;Ka zIXrDjH`D?lhfn=vt<6Em;kh58;~W5!6XX6am1W0H|URS-BWYZUUg&W`%tm z0Ji}sy>6{NaTw9QCxgi=P?+riL;#D3IbEQ&aR z92Nrb008kZ6cLB!E(hQ{hDo`E>ow^55zP?&`Pyg)S{gNK{o;S_RM48Za`DB?82 zKQDo(|ADCg2H+(C&jEM@z%2j@0Vqj;B;P>gY$TAFbuuD|C*@mfN9Ajhstp>Ynj5P` zD0E*J*kL>zXM;CGpHbf?YZIl8gS(a~IX(UZ1#TTfl>v{5@`I-6A zsEssnUVxdutS3$X`IQFK;;ptZ9Xd3|$~Le$wt1aS+jusmu~^w}r_G`?7NPj68*l9goxk2)YN`j5@&Vo4F zhlO#p%dN(d#8@o7YFeJa(uMFq>iI701g35?lEkaN{ia| zU+65-D312CvQWJsj<(FVK8`m13-N2dlh@YA(LQKwG!|yrBK$P35hoO+5n&6dej0p2 z1nbBrkw=nB&cug5d0it;st{ZZNj2g$gezn?NIO0`zA-Hzo)NQk%Bk6o9C|*FSI-7`Q zs7RiJcl<;gP9s;VkDCv`#ipJh(LE&5WiZj1wl*DARmpR(UG`inK*t-=PY9hUL~F%6 z*j33Q>F;KV6}Ea#5>sA*u=0xq5=&ze`^+q{K0=9A>sa4eLKXQ`P!UK>UyW*??IV=f zGlouP=(z%Peel%3Nn)DzjjBs*Ko9}50Q7k{13OqwjapAlz_ zw@zT(Tu_!PzZKKI)iWiws?a5JD8LSmNe{8N@x!wzTjpojiQBIlZ7&&$zp{;gwG^hw zTHCuh-4ywh&LgC_wY}q2{FTCxniaCTM8yu2Rk#-)-cn-oqX{-JExTg}^_PH%(@7QgSt^%h zcWl$RVR!U4lBN+U3*cn3-v7NjMlJu5n%I5-RbEe0 z7SX;XZ;_Tcq_NYqZ9K@B@h3^w2b0y(_9fs@e0VFWxT}I}Yt)c!5vK#2ul49LynR2C zLXjET5Hz>4$u8187M@B;M__o}&^gAC0vr3wBi7qQH z$`O#p8cCZI=_~=MZiN_XZ;(ECLU)F->r<92kg3)=hxKQwltC!dRyrNMwlGsB2$g(( zke)=v?ZQWV03TkY*ppw%LVfq9hZ_FT8P38KBwCn)!A8=Zpb8U`8cjj1*%T}mnu0lP zXdIQY$TLd>l|pyV-3)P9j2~soOG&+1=w zUUuF&bLPyMGiT<`%!v^}3dFr5^ZrIY=#=nJD|#!DZOvX2FUW>+)OO7&VSq!D*kA@B^@Dhm8!SHJLk2iwkdV5#u0&j11xfRu zJfxnft6{CPrzCVMDjv6V7n^Hqoo${4kLiTlR5&wFiHodZH4^-|E$X$h5mrg)2uh0^b`_1#TO2ycVIyQ|?MG&nu(RQ5Y;E;& z7WYKa+W&{0{q8yy@qw_jN=1a7RYzK@ZHR;x*FhPSXnB;fRNF=askW|Hz*lGv9{fmItX*_H04@nJ{9G~JObOb%G zbR`<&lh}T9vIEB_SI3WSu**2sR~c_-rl+}WY9qfqH-cSQuTm@-@+8+)p5&(LPS>p)-Lv9sY-kaNUw3+aRs1~*yT4Yoc^iv!RJEQdu5XO*EGFdZ z*_AdxUUFBGof^&XEH-SaDE+KldO(&aeX;4*bq|!@yF73Co-JL&8aVtabBPn$ENr!hD9Rvc&M=<@t;3zb_7YZuZ}Mrc;gLKBr=Y zC>6tWvgCe?5j0_jYd}SDWdZ)XyieF7O^nJ&!$4As&QG5cXUq!aQ#OYR)g6B9%tEEv z%(JW6A{Fy%FNaO=W|w+4jpZM663woyx@88{FrEw~3In;IAXtc+A#E7kA5 z=wy8<9WLG!cN>#*2DeNlbKxXr!)Bv&QanA%@~qjU=q)^J7O@yCWV1@D*kdYQjx+0O zmVo&H#>;e;*TFyXglgd6)}W&i4HEv2BJZ~pKGSR#8D%OGv!VjemJr6;6vZ_|dWlkh zGEdh=X5R2Tk8b`n|C_R#-ysoKU&|vzrkz-iIz$~}t68gc+$gasn7R!~>9pbW!8IQ9 zI(5J><-1!!>AXbgC@JMCDJ9z^ioyJC%w92=zs=K3LN&5*3k-NAw zm>Xho`<=9gk?McLtY^vd?@-UCy+m!ZF)9^%mCm~GK{$6{|J~3(%*!~frce{?e+Dk< zH$3Elp?K2NaMe++0IBBOfV?v9L0+HnIiC@t+Dpty~+&xL#1kv%@gva8qI@5AdcOA2QqS^^# z*J@sT6;{Qy53hfXT6FsBiebZV87dBH?G+ofsO;Ajhs?oWBg9{R&dR?611k>y1Zn*w zq_*O){`hMk{>roK(Jqrmu7^ha!0VrqTzjtbTOKucvwPK;DoNS?_(Nvzr8qzA`4!na zpk&W<8Zu~sxST(mHIEcE$0DP~Hi}1{EPCMe`|U_$66Kkzkf&i5r+Ebq!~ZZ%^9p13 zn3qN`xlyB}o3%Y&gMjQ%$;-`8#;xJ6ylGlH9&cQ3u3^xvIz7+tS%q_EEr^>cLJt}^ zg-g%DLsqxqDPS)j=-WRA{RU*UQ7X`BDXR;!s&6Z@N(!VmD^Rjhf$pi>0~;sqis8XF z+2lZhTwXzePAC;9Ui*RyR7f$Vyi0-XsX(x86<=F-zvWWahs-K&+LRp>vEuW-?iV^q9 zn~hhd(s*TRc~OA7|0`6gKq(Jrogs*~zblPbfbUfrFOM4_pZ5nI*2Zg{FkZ4s|C4$V z7|*Zq&>X}=?r~7t-+5ltLTz6Kh*snr-dzW<+=|lb#Cz4&{Sno6@nhuhfXOdbTd3w1 z9GJCK&6(07DtmuA=g{v}O=h)JO)0Ajv#NQDRnGch)%1@Mm0HY7bw@6_Qc%t0>(;92 zQtlO-B7{xhgB&Gno2;7qimX-BRmv)^;n69wet2XEoU>1;CM#upsHhZFvtCVW)vSA2 zsOEX<7l+~Zs%9Whx>~4aJ&`rwEVFJ`Wc{#eb}9Fo@)$&UaH*?U9?m+Ikw z9Zoba#4bk5lVmY6=uJLUK&K%3P+h3WHh3%6^7=|6 zZ*-NbCA9OqBWy?)W>Y`G4L;2~QAX%#2K>=X`CI)zueh-HKJ zFiXDq5R2>-!tqN^8w1C0u+s6%me6{lISj~X1TT|TapuKJj^CK%5(?V7{90rP5VAjl zH@T%gte~0Ycu9%-391|qkQS>PY^VCJwSs0z=uDyumFRaXXkY{tG?OZq_%j}|sfB_% zN0v~~zy~zU`9eX#Q!)C(3fjKeT41HymU+NJt~L~OVnGQ7?I6%fB z2?bqnUMT2~$fo`akN-afJrN@mRNSz09=T+dgZV7b!SS(F&8x*=+cCo6WQ9`w}jKxG1aATd?$d zjil1^S^5FG`SQd!x3(|2JwTn|2qU1K%K)G0=6UUe6KjDn=8!Bu;mF$KofEXrfuE7bN?`|e>v;TeERR1r zEglsg(C&Vf+5S`Q*2^CyGmS{hQeKzdo5Rg9LMShe?U-07fQs4>I5*PMu>Cz|6Ie2}myq;o88v-t7dND2c`z-b4pk!1u zyX>@5Q&gqZ%ColhYeJ5`Lfs-LIE7kyN@-b?ok&Zqm!LUcJ{X5n$5x!FLD05pwWnXx zoeb-I*$Y2PCq9Fn-qgO}k0?Mwd2}aJdm#~m)Sb*NepB`7pqY!TG-yx++___08<ZiX;So}rxkj01=N8v!fVoC-+mk2&QKR&h z3V1gG-^ChPz{Q7peM#y zgh~~ui^7x1l=SVAx{WF^atXdXJ$C6(rTAL+_5J$L`-`{@!hWV}-7)NViI74`OT;I9 z;e%(9Okst~YB@sEf-kyWLZ&DT;zgR#;u;GnuFr_lo$*r~Jw*3?VvO}N;+p>Q4&^$9 zgGjRTEMHG^ESKaZf>k=~GqcTQIExrgqv~`b^*gN0kEVrjxqR)H-?Drgj$U!2xtYuN zV+GktV$aS9K~~(EAqDqI?az<~$W7hTgsz2+K zcNdSiu_#Lw+|*p3tV)$BgtvIiEL7Ad;PY^{pEwzxr>M`u@(%wAhwt=Aud8&$+-6c4 zi7Z3IMSPX2Z};Med)Z5b%u*pyT(@7$p2Yg3jSa;GGP99Yis&Pmz3e4>4E)Ik3!AiS zSJZuao9xv;rFsO!0TZ6y;a3yNnV3SgyxF@NI>B!tjem@ z2J!7QwWg>d`Yu3}1Q9t??!$p8N7!MK*~^So%w|)Hkrs3AJ9eqMNc3Hf5!ObP6pi=` zX}v`>zH*Jlwx7iuhxK-%qss{*CS$xs0s=GCK2bBOInF+Z*KktO@oe0LYzugVU^~I_ zAp-hH;b`tX=Vq*czsLx07qzfJDaj|PlTw0e?FP5_|H#o*uUbY|)sBy%qNIULQCtf) zqM=tGMr|0;6HX_hq}48tlD1&{908pSsHP>M*g8?%y}c^CQ>VlXCP=jRzX+8ihrwDu zU=TIS16hmwq!0vB7g|3+Oc-4)5)kC9OYP3BY;fUGY8zK2opgzgfQOcqdiXVHq@-5o z>(E$8aSN{N*~7%=E>mY6FoG=TSduC0_snVv(KcZrE0@T&iEAmoG06#OOo*~b5S_eA zZ##4f(aFtp7EBOA5^zl`3Msc&zv52ev&@x3y3S6aH+KqC{IdyLL`nS6CS_Ii4Kc?r z`)R1KgiDa>p3PNxIr7|3zld5}Bp_gkq2rJT9-OA^Fxn{TWJy92@S7BpDmb**f~&t0 z?G%0&r6daZDjW}8<%8@Lri9$@udBz)z4NkF?@VmmjJnGczC{I6@9g@hSa%=R6dQMh z?v9mpxAgFJ=*4rr*J)I*H_XA^NFo}o{H1MQnfcW8-#ENwiY_Pid`qDn0}p4BF%Qgn zij39STMhMh(mRyGMLt<1isJyL9WVKlri67&P+KG*`lYU>PkFEN@WHRASQ*aMlmeS-({63cQSl z>b`K+B`55G9q$}TtL5^z*uw}svX6_o5y$Z`TE~|t*F@|e$|fUvjoHr#JR;(;<{lH7 z6rRAd=bP|Tz(17lB!#=3QDzl?;RsV7H z5z}4lJ8A#}<;!hq4C5LkZg=u61$vgZJ1zY93J_7TcxY(6U?5daZoJ@WMr{Z?RaKFT zB!%Vd%-1KcA0lEL>KIDGkGnIKa}lp9Em*yQtX>cDy+?n-5MsYpHg_#nya54JN zDpTYZ3~_PjmORi~72D29lHYMPzFqp&_BvHRO;z!Zw>@bQ<+%5`w&E%C z-*cU|zf#9mLoV}OXI-i^BNHNaMuaS5c}(aN)*U>=eZ;03V3A2|z8pZ#BNUw18Y-$A zNzT(MA%?=l($j6A4EMS(1&{JKq9qQLqYdR4_qj=Hb7Q{8MX&xnQggY&l&ZogmYO;} zdH_`7YRnt+{7qj)l-!Xecj2m{tUwL=)WxQXmNrHfWQvSa=r9R!3qmS9G_P8v4?V?! z%#|F5aSk=@k+t%q{t`tc@s~*(LhnpVVBT2#ha!;WIG0eIY4|&xvW#iEC zSfi@oT}WoVML4X4wYi_e5onbtXiCHEkWE6Q5_pu2y(-~-41;X?WCV}F(=*$wHG_vu3YbN%N(2rXHYxpABxoWi zYeU~5#UpC=3b8*kjBKbB`)vjfyGbF%Q@%FU!OGjx3Xy|=1=nqSzhz8?4U`{P@1>1 zse?MT4sNZ+*cUsZBgU$|PRlEzk8c&LRKe};m9FwL9Y>_7E3J(5JJoVfgjhN%*J>O| z?IS6@GtBqyfQ$LquUt>L9^@&33g3^ENS<*lG$H z%x2jIa$RMSCG}W?me7V%WJh@7!!lErl*v3O#of#mBiShsOK+I{YBdBF?tC*tRAu1zIt>^mvpvt%Rc9Y<<9a0MMm}28M#VrJ)fpStWR=oK zKMz=dlH$HKby-D%I7WvA^X{UYuwKNrq?-S#upAN9$|c@MN~oKdI!R&Z4*V|q)O`G| znV=-nSrBPPB3wIVz+3E@sb`Nnk&ifA@@8VBlygjp5tGbWN!~Ujl zWX-&du-RGPD>j1NAN?=2Kbveuxmnrl$ZEbcmp5sD5)@tL{^&wx(o31lbz5+t%EC6} zKVKn)$d!V~a}vo#Y%+rzFXdcbDO0|QUDk+<`Ho;hJB5u|DEk2wn{mKx+F+#|m?TvM z5E#_2*)6j`4*4zgVX@C;`zRaVLw`}$exfC(DP)}=7L4CDpDk}9up%L2){umuciaS0CQc3f@D(Vr~K)$A$Kb^eQO zs@lr^_Va7sVJGE=KIpf&Y#ZH1cTzXzPS(Dik2_ta$#s}M zfptjtB8N5Q{h6~(J0|aLpY0|km{*!!%oiMOCP%ZebO^`k#BDINY0z!6KUXM$xQo(v z9vV-Wt`_3XxGlN^rrRpz{-mBLVjFhh=#*I7q<`409Kcu$pE(%Kt-K)XlWPF^aXO;; z-qbA(A`++fk|(k<>2-|68Cw4aB1hSkp|7;*M2?xa#sAq#k!~YzNiuJUm1_8jVUw<# z!yLu^p2Q|pox>x&8^~}qR3`-nahxazjlq?-Rm6qdCvwehP_1u5UP9O7S*5f(rYa@a z(ogL<^l-7C>OwztRkum-Q{_M+5RTk5JN^_+(-1Up3#a9zJ@C=v+4Y%F2YYC7f8}B+ zri&u?PLW&Pn4spS+^Rb4Nul+#uZDQC|SQeK9v8lErV)ZI{?UG{xa7IAhh zq@eiXN8fyflowvGmhzJuA7a7%atj@Cx*10Cd8e4Yefzav(X@)N zD&BHH2QM2Bw#SVz#ooRtiW_>D-oUv`X#MkM9S!`ekmV<{eB&3U^3f$nxFF`!$t@uY zh4}S8E2?gXhjyfeLX5Leh}H=u1wCDaViX7H(;EGcf_~C`CyGuN<&U>k=f5A%X+y>$ zFj_;Mt0y72iKU?bp2x3xhKr;z-~)nozRBCzEd)J#Esuh^zkqu;Gx>iN^gklrUoy)# z4m1mTiZ^Uoxe_Vq4lH)dWH8cjDRt;zo==zp#VL3aTS?K*2g z7j2*0feE7_=mA~{&ZvnPG2rRF7M@;1wd*u-2zK|G)t#rSE2-ZO&%~IKwRRH+k{iRX zwhr38V%DkY>17XP`AUb?XZyL@n!U6@hf8d!5)H|Du{ zp8spQ!?|#sioCf)haVeFm+qHB54V_qYhCaC44mg?JmRj(cG!h>*hewid2_TICq_Fr zQ=CM_XqV-3oTFVEh{N{*x2z~X1h=T&n6pcS-JoZY;M~}jt8ZH>!pA{qo&-Cg2YYtg zxavY(oJV+5x4-ws}wzvm-yY5FT&!Se`ThX0} zE{n;%3G)YN`(?rh=0Dr(iC;vRt2{qfM=x2jc~cE9*yFUXAsxyp}&;Ljat zCPmS-!&;-tu4biuu#9O9Dsu$az?08i5~pxG=H28%N~%!i;y4i++lATC`{>UrDkcz3 zYu*48l5YKJ(k*Nva&_>;p)bdQ!xce4Gs4#b8n+*MAyXPt|K8a>P~Z#32+9Im1ER6+K11i_;0|(; zofPD})(dixBo`!;lb34F+7G-ZC77pd>*tA$J+5r;#MX*QNwer>2gv?aLBI{E~M6ejCSQuJAPi#mM^Ho1AWndPkaxW#? zOA&Z={k*pvlOo8kUwf1BG4mHWMa z+M^_qo6CNrjItFn@~L&0GCE5^=gwCW`PIy#_<$&_82ywvU>dQaz-)v4i;^n&ll=y; zsY#KFGO46##wqQOcUv3MpSs`dW0G2!)hu z&3{fJ%SptBtBd6sC}dDS56p-}pb&Xf-QBr1xbV$_u`4pjG2*iRm8{|@*($1}m_Gf_ z!0^evD5uMi>*Rg8ECO@FP_lT4g8Ws{m=WubvBKHRGP<;OUzZ80Q`yLtfSs=vTP8wMW*o4vZah?#c_ zg&iHYK{ycuiF##aM+2+JKE>}@96QwwVMo);dZ%^f2Bv%|{&tYvaBcw;i&yY9yYFK( zm&S-QoNAi)p00k77QaAHm|?Q`I0D%suQQhbycCQ~d_aSqF4+CciV;nXg=9o^lL#^* zk8KlM9Kuh=0eEO1!rzevlhs@70vbobJW#}}@eA}?PhJ9MD2a3wM7}1GJrGp9N(WB& zmck%r=|!}iLK~3B;WX}&>_v39=Ug;w=-%SFsQ6}bzFU}i7+)CJXfTU4&NPWYR=0(L z&G?~)V{1{ayTbExOJs#b3?SoFj$qlnON?OcDYBLEkprN@pc)s9c!TUxfR&CVvVZT#5msbJa5YSR+o!Czo>Z=1FoK=Vn@B%v z68Y=*Q2RTh`ZHY=fl}5l=+{{Y_>H1VzsVd08gW2!Edbn-7XVTX{C0IBc+V|-+PTMXSB{^BV(uxMfo@%gkuec5CRh&1g0a7J zRT$KsC&8aQTzS`7P0?^``pghH@Qj$vBIc?*o0WT*rv4?$*M%caI*&Jsr4@TA<|>v$ z;uRrWe3m?ZrBW>r-_L%ygJj<1WxsxxS6pSnWiCUGary4EQdk6y4t9hK z)ZeaYh!Nk!v2USoE*vAvMTPVwsciog@)z3KN`)deCbN>^tR(JLHLn#fi3}4h_>H3d z2XtloYq%rTJxsKJOkX-;7VW>_^HLOo=K(^i%Iz=0dV5#OmzT6;3z5-Bn9f7A5b@?! zl>)~Om}xBU=BjY~GZ$r}U@eDU-yAt$Ot7>BHwtPZ1&mSqizYvV2J#N#*F5h^znYzh z^rdGhi(Da#K$9#Ww*D@n+bGm{!I$+#HIA?vZgMrmnvqY*YCI@^V>Y^ZQEn~M6v)LC zcTAoFshmu4$FNqGT8rl#?*%V6cbWwQ`>?c7QCd?|X?po<;7%lm-oxKT}H;GsodRyD7B4^t$Z9>yC!94 zGz~Y-h%iRYEnE9$f?JM0VUX^ozIKjW2ji+-)PNDK?#&ckfx>Fcy?mJuVmw`sv!1Q^Du}nH*JgIyM{p@!w5R{LnF)s zNK{X84nW+7kyY2!)LG(d^QJxKrWRr6yVqe;>-q8YgtDo%lYU?Y{Eo2#J|^C9He&Gv zYXcO%S@`o$*(~wjoA!`d8z9(9pNE{4TlAIG&u+7?{KYC=m8-N^xKCTG`U*lKqOZ6( zu&?+i`-*Xm@c6Ps3-q#TnuL0n<}e|OP2;SK&mGR5_Q>4R)=Rky3G$P3OAE$|Q2=xm!FB?RsBixBj33i{~(DCpcR*(^VU<=ZbasVM}ly;?%hn+LI?K7XP(zX2Z* zwB|_(K^v~|5T16p&}i`y8K2MpTaDf>VtWR$e9y0}1ntq_F9#+>QP5>#C*uMR$%o0C zmAW|r6v#&b~VoNbt3 z%(MI4qk(im#;+>pX!8JO+%bish!?C3v|>fy;GeEi0$7o~tRL^)26t&c;Sm=pjV|8X ztVb6Klt+-}+y85HInwtJiZqfU&5}h5ySL$*_2^>r`B9j9Uq)0c3c}7Uv6lMZjxL8v z-9>i4i+IFwJC^B!uf+$f?Osv?4tVbW3Wb&x%G&P9?(ONXme7!^_-RIc8^(S1}`WOaW$pO3UT-3rX$v7 zprFB@1O?$S({+WZ8+MUCaTS{ZS!$Z_gOpl4r513^BsF}?s{C~c6OkBu3?SoHJhXL9 zXGA_cx2agd7JKawZd^a|79{i5Mish#3%yN+Z>zoX#a$F6UH`a5R^a#fwh2qcJ|M0X z`ab6E4_t7MvGyh8@XGKd3Pk8$juT3dCvyWD%mBf-FY#~>v(hN-&x`Kj_o(YcFuZ7Srtji} zh(Z(#Q|bGEC4VAzaA=rRo^iEx<45k`5n$;W#spcw?`!<#YZ;v9CPD`=YGbo zEiq~4<(arq7YLOuYP=x#uF{-5EpRkLuDTCbpx_Q5Kqkc(_lJWx<>2 zc3b!Sltv8e=YE2Ek}Fr^eLX*L%)*5!psDR3Yo&+|IRBjN;4=BtFT-9R+xP7CiCOkB@@wg;_@>B~esR6`@AXkRIk6Lm#qKsc zR9;QQwpuzHGlQ(fb+*BR3@L%)N`NRFLpUF^t8W+Oyy_FsQi)YdX%}kx5glCUnR?bL zbhK5iymw6jpYE9?mkVo`8~v>0vgftvLr|XgEqiYGxjyU5>uP*cu#Z3(hJOqR^&j9! zSixL>^Smi>U@Z(YzA+fyiV9kpMm8*jZt)b`5F3jL|Z9AQHMX5u;Ky7@z6%14p=PCf>qgYL<#-giU_-;Zze_voBA$=r$7kg(mcm_?S*0L6 zW_n;lgK|P>y^Ce@BFy^b;PHxGET?Cm5l!XdQ>rJV4;N_pNhA!T-0^;2sp56-h?Lcl{w z%67Tnez|h*g5ThFcMjj%aywdb`K6^`7!7_MXC-a>7h$jT?K`(*tn-z5X;%A z9!q6K0fnZDzH8%N*{dZ4UAVg`1)U|-dF}tG&dXP@{CJkHeq$}@uxGYRFdmcytJvFtI~v^S-$#TYe5h5EX{=U6bQPq zkZ zzE`$QoF={OPVZsTuBR7KZi-z`r`OyjD=r+3)%KXMD38wX9` z@Z+4;qlFk}Av(_nx3Q(-j{Ygek`8lDfBq-Mjdd zc28WR6%`}fz5jC8)A~)K0-UaCxzmZfadephg|--7{6>fk-TYc#6z~5&yC<(HeD?Q! z;PN=di7S$4TmR**r=*+i$gZWm@jZgijTWDnx6b-(GZSWKK?ibj%WSYy?0TA>tbuA^ z*7^MwG~#B9FKXNE&LUp`zlLa=nkrm8dEFMv@kiX(7~rI6=Ixt4+rrY~S(@zZj9AAW z#t$?_E&<|}&+bXqX5i9@1cJEOUgQyAl3Kj@P@=H6vgiJJ0QVY;Bk7uou)n5-jGcW; zmgXv^NpvafAx2l0Ki9pu`2`)_qJ$=jkL0`dlRu8+MMhUB3dlb#qHKeV@7oJqCV+r7A2Lu8k3 z*-4URQNxgGH_p1}hmJ|6p0GiSlI3bhL)m8YP$u{Mi7U5Jgzh(Gt@Ni>-cxu8X&&$p zPHv%x5NS)TT&467(#Gs(X>@msTmnr(liMPB<-mO7^W_r*e>H!|_i{M@{99VmQECvfN2& zX1+Xl+J7T$4{BBHP)Xw2J_I{}TwN)y^Nxef(@ejyheRi4Y4O(L%BnWvq?AB$rBM{g zJXwMWUeWG8;w-GnT&uvNzmpf*+ajZzRjqoDtqo><=^qy*bOyQ7&zGWccFnUPfhe08 z4_AZV)6yHDJOyVv@#8*@nnD9woLg z7Br&E6_>EUG}Os*Og(U^=9_SNn^;ny ztv9cs*SgD-Xfx?(7o{ywtf5Z(jFSxM>I9!3%%`hy_YVw=)ohx6YI6E|D=&=5?3C12kanj(yBS&71JMu+wh46~fasUWHOL z8y8!67*lL< z>wKyhD$eol#iE3Hm+7oV#FpYm$xr&ct-+Ou`iVKX`n6W5$}EVy@ee780eh`{lelwf zEK_%ZbWAQt-uS1)cHgTQu2OQyg0{@Z3iqY)X@0jcwotGYcWwvCxmc2WMsj+R!_BG< zwt%^`S|2G6-Anb3za-cDFDJ&JQ=abEF8`i%J*UbGBjJ>}quUMh$#*gGw-YDdgQzVQ z=lV4l4l;kjFLDvkmQU|PTV7ab%LGyJX@_KO`QUN>`qca>O3lwa7)i}<_qAE`$DGNb zN+~seV&gSnMboShdo6^q`rs*kQI|ZWn#5ha#JYIc-M4Pbi?KXBV6fYb1*!L)+}9?5 zxvPcOW>eALkz5HcTEAunIlTOGFbn82?7Te|CVE;@ZEVan#v>YDw4^Vd0YvJ-{`|bR-CO z{x91}@Eis-RAdbkS=H6I%UKaYtGz=h4wJE0CadJ05;}=rBz+g#G?B-(97C^A4dx6d zzx2kpoxM(3URX0d`6bUzV?DRmpw@$fGD}}KQ;3?xe(am%*H)%r>V#2~o{{vbs3wXY z7haFfva#xm^XA?fHR-pFc2Cf^f<(-T@t!Z`YvvCIK`wHOUG2FRSv8+TS~um@|A>S( zk!VV6o_TxbF|^=dh$8ECku|Q3LC!j>tbG8ZH4bXL>R9nH+XegAa~|tgHWi=I#Rtj$ zrNZUbeZmcQGlSHTiq^fp^9k^Atqd&K-6gy%#t6$hv9l$fThEpY_WDr-m#eqosOhHv zyb8*j{%?r|XT9c6>yB}Y+kc7-NDwAyRN`%`tFcc@S>rV?Bs;FGDi?XZj@^g+PWLh62&ajMxogl~HSXxDKgurL7|)bqefy=b<&JO7GO@f#IJe(;5U{wYHS%ZnIiuSPx#I+j*l+#2OojI#LW?$B zjK-7Q`lEmT2Do3ve`TF<*5&S4jOtn3%$nVHCac%YW`n7Eh(P4%2TyM9o(SB~?0u}8 zGY9T{-iqs*3x8S*%5m-O@yS+L*;&=@JbKErCL%0|ereRlvC`#7Di>Shzxjtn5rw+q zBIIw`Z5Oiae{~z+u+7kUA6NwLrYXZx6i8Whp>o!Q`#Ova?+f)|a1*ERl7jk}gfGuR z40lAr)}TIO*6%t6w&HDuB1S>EDIQQ`-|f*|Wm_>yS6!*2(T6zjTj*$9eiX+9ont+$ z*-G1dKcu6^7yekKnyHUnY}V1m$5CU8AOc=T<0+GYejBaZBbn{-#wP7{KUjX3?-X~4 zr%RM|u}e&prHk!d$_$sUik%&+sB6@enyhQ8|EH$9V%f#{cf4ENdA(tc5ZT^bS(f+` zCdJtck%BCEjBN}l#-H3jx!7hWN|cjN$do^Php_&rX#a4}O{ThHtM>5UsCvrF!M_;G zLnm=x#usawDF&p72%xIobfp?@re#2mpQ~}{F0oSBh+=!WN$v85(@PLv9HIypG*A%N z3`mfPV`x38!)1KBIrYs$tNK-EHk~f^2dkk~{fcw!nYz-86~6#Yj5Vcj5@sZ1P<=JgLg>b zQ!@q4lO@#itTLaNC@{9#@{3Ryuigm4B=@na1G@sH-I0Ku17hvkoIqDyyTb!hYuSGf ze6j++73s<}XlY)zx#~85e{@fb$rXiR>(&KQ5r(Z)oyA9r4cmfS&Dpqll_TZGl^5e~ zH6Tr!>W_z+=W{9To2oTy&^j1cYjR+%S!b1!Rsgf4eH0?o>K{GCG_c-()#l4wG$F>% zn~gAVJ5EtXyo|UgjzWdx%Zihk!|^lP9Gulp8A~kg>1xW*!`WAEh1t?&4{=Xp(|q1u z70%L#$#9lBS;S#GJekU%{=|TNSi;Xrx63#roTaO#z=6*?BU5a9WxV7gQl>^RawaiQ z#F}GJpHRl2*4`D1;c3-R$uhtP$F}=nn4X3nG~b9+*nTk$Q&!Y=Yr`~E3RRbQ=PC?S zsxnla`fU*VO=|2O3&WIZjt82nj0fsD<6|18r1bt~!!-W&RLI04F7o|lLMCk!RX201wKOFNE_F}p+ z?%eraT+ND`9D?n3($H7dUIe5a#DKJ_;7?L$j83@EJ8ah1KR=_srd7|DDz^xEe4(te2)vo(O|~HGRC<;9rum zW*dvMj?#IEVe=(pQzP2#;K|n7`Si4~Z=al(oA1NgSvXSE*!`hgV}$59de~Y!XCGfm z?R=58^F7+>ckeJ-%v~A(^DjZ!uf|goWhtUbDRVTyC}j`U{Bw}{l&bw!wt^au_%(MR zvcHr8Kd-L+6e#V#37?y+pge4L65;>kWrvrVD}V*G-6sp7BTZgxhvG2t{_e^!@qbSh zCeOXlECiqS#~_4P$}XCEd>Mt{ziyXV2=>C4o6as8|C_&-zT8Z2MdaS+e^8+{(+XsT zMi7Wchs^_5K+!bp61o&L{X6&)c_`+H@#2>1MO5fdy^9w0j%>e9XDz zngkE3P0I5H&kG4|S00o-yOVN!S5ThS!U2?1>k-_s4!{9h2o738@D76g`VoA!8`=1Z z;M@iTpCZ_Jkl>JI1V1G>bRfampOW%)f(x1u+@&tS>1PPmuOPTd1%RW%2+rwF%4-N# zwIcW|!Rng?hp!|!g5bn41n2f3pd zc3*e2QTn{nU?X)mdG`J2?N3WX&y(`@{s7NvT?SzNFj9WekfKW>*n2<0I|%l?PVj>- z2p&kVZVbU$jVO&HT@M@>nty=cnw1Iuh2Z1?1g|DIcLKpVjUkQnoUZ#03@totSPez0 zcj?a3w3pP4#{qM51gh9v;XO_f3k!5sMRzLoV4q`EG5n1}RWEPKYBFmQ|OW_DnmjNQn z?^VfI_|Kx0qaw?5kwvvfWLYG#B##nx2@_dbS7Vm!8_bfPZFl*}=qkCbMD-7dEXPEa zx1*UQ?HiG0ugH>hTa@yLC?&f!v$z}=S>B2)kH<2LXROH5u(~KE&x86e5qK)YLXC#rrxft=&FR%Jy%V#b&3-@~y~H_=s7I;UY^<$spep#`$NF zC36z9;y8@RFh5zj>R=ZU(E zXvZuG_l3loX_+PITV_eTAhL`XS(5%_7ULR`rBGyXd5A13{uk<@QVnmdQdO{h>Qcs5 zg&Ss6sul^3^%I>P6D!V5^ys$E>+Cx35s6hFCe|FWuKvSy&AP2`HFtfRv+FxJZt&07 zm34_Jhb*dR-YV5Xj`e&PEl`rb*ukL&r~O^369bM?^I7i-_nLIx)0K z_pyURM>X*qKe|a!=-`nPn)vnU(IlY9XI(#Q(z0>u#@?p)j-LfJ`E2Yr;|C9I(s}r( zi9^Q^A2Y1;H)94*96ol;geIZmM}Iwd?3hVkM}&@_I6QRJ*I~_@H6Hw~U%||_4TE3j zJ7>2K$!|YE<*n*iV5|C9s|u^+cl*hmHr4meO?^74iQBCHogyY5{#<)zd(D)?xBvcb zP``2yZa>|4YIk&YTNfvPZ{6zto#Fv-J3Tk?kB;81Y5J47lWV&!)_ZTWe>&!M_u4D^ z+D<+&wZo$G^-E>lYX9P6(9eIe=5Nr~y_j@y#-d8Lzpv0oMB6+RfTQjN{QhLk3Nr9w zlC~EB4-@tA0Bm>d)2p;>5#eKB>wgbvn(O9l_lfIv*YUkH#v0(^(6Hz<2LO^( zzdEZ7Wi#9VGXMC4`N#9;A1^#t*IyN>bzNrvO=a!j%4NQ-ph`{YnAG;$?DqW&D%+?| z)$zZ5A^qtE|2s}9@5bdG{>N?7f{)(Dstn`i1WlfhJavCU>(Z)upT-<|H0So-(leW? z^aE;MUES;PokoS{Y*hElCq8```g)@0Se1%J4AUMyQ07~;R7BhC_5-u3sYDUC)1SWd zzoQaGIJ-}}Soyh~RK#D&Q;)|(zgfhUxZ8in9yiJ~ZFSm(Q#G|;|6*M!Y zB3Ao8UgJ|(Mk*q8(CbrqYosDtmp?qrxrwP3-(B|NYZ{z^%3>ruPbKPNO- z{=eI79az7cHfZR0-4sL3mFmmttH)|Dc1sAWoA^Rix~;=@P13Ed`u4iC3pRjGbMB%I zn(}=rpsQ`KtAqck9pjczSkLo?s%4;NuO_K?o8|3wM=z&6wt2YJIZPWgJXk;3P=9l& z!|LuX4S!hf;ncFJU-GCy(cU>3wrMBkIGl*DP$9;zUR`^2cz2gs$CrDA%mCmG0J$e^ zLtW=PoQSAeA;z<1UHgEM-CgXm0oV;d*x+dId8cjDYA$ey?&}5$?d#h28{OSy`|IT% z!OvL4;As7Y)3%9w0Qja_1=}*db?vXs01r8!@J~~}B;Al`@0d*6(2#`={wHfzu-(?F zuKm*QK%w#qkC3L#{F1_lMC-3*+NK=>U}LQcwj%>k#DeZF^}PWIZRVGJYDjd@iZixp zZN7JiUQ)M0%-kLTtOSMDD?Eb71Mn*;oUu(jK|noF=vCK#$yxw>K_L-EbQv10Uvd@{ zmY|6G0Q3cgZ73oHfZd=FHZ(fu1^|bafIHsGAKL)-~lLHIAG!67u5Uph_PlMH{|ZoO zR>AhWu@LoLP`CsN8=CticN-S%z5FNJP~B>W69sK6#Mn#&4~3BAGXU;4_e;tg1|BZj zCN^6OQFo{i<2b#pz40N6$N`hTgTmEe(Lp-^7_`>G{~7>i0l4-zDBK310DyY{oB?1R z07HF2VJ2$vFDMZ3HvokIyaeD40G0eviX*`y`?@ z0XQ35*S?Zd50_a4gtzcZ`U!v)muwU3uXph8)EN}!p$Jz1ynpZr(SyQ_@M!%;0Q#(V zh~5vt-1z`h>)}%0=LfVVDC7fh4S+oWd=m&J7XaV}KnDP30H7NVNnW;1EWgnqx@9*| zSPa0&00aZD6@U-`_5&~;fR_L`E(M?=0AB#`BLEQq`~tvb0QP?h3d;a!0zd=+_W;NQ zV96DTdNX+F2@0zLXam3`0GimlQN2+Iz!Q^o!LF{`>oY!bXVtQvjAhP)%C;CF@6^h^w|~i?%qN@cs-6 zvk3~*1Cm_%gNKt30OJAp9e|r#&=vq}+l(T5^>Ep~7Dea*7>L%4y=I$Mew%}T%YGj70#D2tYRgHWIJ{6utx?1%UAY3fQJxN_Q>dQ(_y~Z;0O$cY1Hd`}`VIz# zQvl2dpgI6;0Eh(OF91sI1rK4Ma2|l=05k(241g>Ew%kD11K=6}XDj8i9^;4T9PVL45|mE&v7qupfXy z`$1tu1=~*v*bYDl0DA!#0l;kl&hAHRjs%6D0oVn=z?B{$$6BH5jgHnw-Lg${Jm7G` zV+@Q~4u*q+=-m?l_^p+nYu0G!Iv**4k)AmFdl&XF{s6Dj9G^rqBl;i5VQ3Uj2CyoWEQHq9)K=mqxCE9 z*d}&63cwWfi=WidT4YSh@|OZb@kqU$syht z@*2B#ciHL+n9+$aJHR>_0owzZ{x)DqlK=~D2iSHu!nAf3Y#(Wi4kuKz(riOr(g9l- z3TmfFEsU_$Fs;M!Vz&lE$ymJ9_d&*f)sgX2XV7mM2l`u)F({82pEKiB!h8X{?haUk zibh!Dxq#I_3HqxCfsNp%a1yowwzVH%OB;aOyh@-}`a8gWAnXia$zuQuIY`)7fMLFm zHmyw9f6~yYPwS(-;|BwFp0Iqvz9FnFsD-+*&;{fTF#Qd}o)Y#Kd7A-PKVR0}9k4o! z0IPZiuq8vlTTnB=KCXhgF9B@Hr{t{;V3ocHY!P7I38%m$@wb6@Jqx^381Z|7|L62umMG0oDWAYlJ;N2Wn4PddKFVwjQuq*ID{VGTD;U zRsuHn0$>-y02>8Z$N?6b16ZZ8fNg6H*h|0?%U=X+{xB4J7O>!a!d?J&eF}Ix+n%sB zqy|{fCBhz(+H3Om4`DM%%@@3tUI&=tB~lAVq1{^mRkg?! z5S9Yi(i~80a2FbN8!wN>P%>kYaV%a=9ze#Mu$iR~;l=SbC_K9bCkij#FJQ5o@t1$_ zOCP@M7z0*yu#-pmqHhgmJYo03`LZ9yHCzZgb`USK@Dg$eFZJ(&f$M*u+%nU^XQyK* zrT$%zS}_)U{)CLd`Gma$tkU18&e`dJl|ByGT*A%}_A6m82>Y9`e*x<@6R?wnJp|0V z5U^yO54w+Mb+A#+8MVF$dYM@tRqun(CF8(n78nQ_4hFWj1Z+t)z#h#9 ztkP*T;yS{v0has}u;3|x&1w%=Uw6RHEr1;^04%N4FM$05BU2MEL953DKM^yXjd)24 z`2_S2W9~BmFTuN6(>wTOFJ9_@2~z2eKx$iS)T^-uJ!Kh+{sl9mM|knC^Am`_nGeOo zOJbYfP)Zc0SKaUuw0in4dmCG(R(>RLOJS_2A0FH!@4F{3=kcKE>Uo6kcE-aJI3h^dk1*p_Jz-ksKlX}RxC`-EvZ?@se!TK2os zS~KnHyVKe;E$iKBg3t5sP7}OjD$^qFXt4LLP4`I}RiIr0E{Z(aCMW8)O={`E;ysz~ z5$P_xiFdcNUXL$^=i(jxu*YwEdT;!Z6Ja-0hpl{_+INiPR=yM5f$%aOpA6+q1LsTe zOp5j(InL##Y2@V|8+il4>4vkp-P*7c5A}cW(3&?FoNoB`0e*3LcUlbdWxYF1FhLIL0I3Pw=FmJ zyj?@py|wKgWo+C-qR;@5*_RB-3ZA3e9>u_lO~%j#cj!3hFp_x7+^I?uN276Y5jhQ=CYsSv&J%(2-N4osJxeZ7 zZqa-lw{9AFkAZeHg{x@od=H*-P=Cv`X8hEyOv_q|wDfo9(=$yyRY^0wY$qQEr^~DS zGbGzEAb=MNd|eTuI*Mr<5<)9u=YuTrxHhZU4N}*z49Z;=x!jo8ghA?$D2gL^Fk)Gb zTf7O?R(P$UDsp9u&*T*~q*uZ{pG5|O%gcd98G!C-HxlqlsdgpZ~Jt}0Rqq~jL?_xYyX;~nK7?D={ooN~Qq*g>>$@vB(YkhFSxbtGOu9Pc3g@{7xivA%U z*1F=EMtw-1O(r(sq0|S@&>xVdo})}lFB{c?Z5~xM2-~$98V8}xOOL3IZBw5Y8W9`{ z0SkQ%;>fL`I{z_gxL^6{sY~Uc*wdF)H@V?VrSIvHB|V}QN(OZ@7Sn5vMIcXpD&?%$QrcIHk^$oLgHppz|>g9 zkQVsqTNa^OkB4zPi+~=-`o*FfWY}#$Sn>w;R~w_O-MdkiO!SCEv?=KMW+{lOs!+7$ zsx1dEc1H5DJCrPzGl0c%)#fW|)_pduoC}Lh-@#%{J6Gf)GCK;sML@|MQ3N)`S78g3 z9xaJ0J{A)8tELc_riUP^C((eN3Zn5sT>H%8@~dVR*FL4Va%_iFTp}EAfwE=;y=-_K zakLkjO182-em}6J`OI;YEebgaA1z0J#+G{>lg2%$fwO@g55>S;=c6gEKSrcPw^@Fo zjnm?ghoL^FdzE>Ze3O3#7leA94k`1nK>XztsaO0J{9K6)Nz#X$?osBUw?KyYgw9S+ zEc4K#%p>m{54(1Lb~IwfMI5DcC*!NmiFOJO-nh^!Qx|)!GXDsfj6Y1<00aArp~hnq zYGFL2keA#Di%*VZ-G)FAzDa)rpfF8~V@2Aqccyg`Y2nH=*z1A{>_6QV5)8Za110za zOL?S_pw6EPxZR|Hwml)sZAt}9kP7Do(7yWwW0F>@DoK6Ytbp5u0vf1*yQ?Y`@bnj= z?g^~B`iP?L7)U}tVy9H{Im(8^Rr*TuDUNZNT3Q!KK2uD5I#L04D)~(5B>bBQ@_Am# zXASb1SJlktPV$)`I!d0B&%gn~JyvZ-`O*zLrj7!6UICw-Mk)Cm-;{g?tR|nYmGTsP z${pIJ3;49?&{?JFC1@v+&j%&=EYYDQJ}o-*>954pKu8CI}`^@t6QyQMYuf?<| zRmd|_!KbYAFG>sVM}#uGDvF?U;Xey?u+-fd3t5MNB-Wu8-Yn}N>uwHZZK1n{Sz=PR zj&%^Bz)coes>5!DPDr||FR8oX1vH)>Tk%kz#X}+MpTVc4?rumEvgWX<{kR05vhEHt zh>W8YopaMAW>kv^YTV>(S-})LjG5S5^e@W`LAG$)T#Sh+o!6Vk#D!Ilb4*O&C@QY( zk;g=MhjyDd#GJwqBYOym5_%ue1<&!2(GzL*JB^aezQL}M$fy{x)2imOm=tDo`Lkq3 z^N5|n5!=vN1*SWVYN4p>F3&Z{XWT|1wZNNPR}6n64KQ1l>YFUk>D!5JsX$w*?-&n} zQ7O_rO<9bZ!@sumJ2DoFwDf4RNVAk8?Op8`)>U{I3Cg<4gVKH*dJ9Iun`kx3-PN?G zK~;)7RH7tenk6eK32~nsA&Fb3X)Q7;#2r=bSF(^#ac8|FqgmX-K{7?xra6CJ@*=rYEUIHTZ=C(r39V;bx@+**V4BuW_+x3`j#g$AcO zjboY`mIGi;}Oas5^oA|@5(Bn!7l_lolc_mTLs!u zgZJc$j7o98>dj)*$Cz>IJ2DoF`@I^x(ET;*n#;QKrqSZM!UmTaXRX1B68Z(v1xmDq z1{=ySs9%P1)|BSX!;rp(%;bpBYyQK!#y>{x1Z7>jIPWbv zOQ?I9gIpvTIkgxwM@fjHIQc*s2$Q~o(z67X{qO9JY>ToU+}O9FDAZuopH@BwGZ z-fq0fLYK$>w3;6DhqjZniy&=YIY_H1)<#m^omP|ij{IL~L86&rY;>LIu&>f&nB9&` zHO(Zlena_kt%AjT|6Bbu%=hg%-(MAX65fL}oPFB@Kg+fAu1Vpi3*qm7N9}BC)gqVs z$fd!=38MQZ*|Yf{NX_s4n9I=*IAg?gFy6o6vj)lOMUGE|cc_>+&zr{;6qmg$@ChCY z->*k9?*7Pg>uyG$me3JIt9eFVM&p2pkEc^A;l0E<$b*jGq4;NMC|>r2vodG1xmuz* zd>`OVcDCi^Jk5N;ik`#+1aZZx*L>kuh=NO|&1Mx;%x7GS2UpRbbescjqTG9%nGc*V zaJhSkvtYipZR1jQ80^0ufR)DA6}&MsmsTD3I`!~mC#m#NijyO+XT;i^&Q3eYbh@rq zU^g76nVEkB6w_$k;^YHW)w%?#@VRfXI-N;+#*W7>5i3wg6{ zCsk;MDyej!c{aW0MAEd+w{=3|K)?K(BG}O-wIM*;I*PnTrBZ)Yc$<|;q$**E_mWnTgp zW!3#ZFzTSq04XTu!i-yFnxg{ZKBytDnUNtPAr6a3zKDc`WGaKB3|b16B4*|wE@&H; zCgwIQDw!JYh#QNqk(rX25h4E1InUgA?lbtS{(brk&NFAb-*fJ{`+bh7ZuKdLI#8R| z3n;&SzZ)De+_Gd1MA1{Vax}c%pXE;vC18_d#vrh#dmGTeys@|CDj@i&-Cqq^U{a9= z9#=0LTLYiji2Z9WAp+__?!uc13Z5gy!W#i&`o=Htd0ex`_GW}OPf}6eWV~psCR^&BEp5BVweRIJz_@Rb7QXR9cAH6ODwMF<>%0u9` zwU*;gix(s1x{pB}38=$@IKx{m*_BSY>k3m*VBQV*FhS9)jKKhVVBW+BwyJInX~d*K zbQ(BUho>gN!HEEi)hoHP%@z%S({9p$#1G!v>De~X<3F12aOro$``oty+1YEKgWnn_ zYPx51>lmhiP}S$uxa`7!DDYTw!rZR^XL&;k*m=&GPhS9|2r>o566&?4pXljlYY`my zLA+=spb};hUt=)Lc;2O%Fl8dE5Z5bPTGR4B2$N|HJFUT~MdsU9(@%mwZTuAu-bv zF~^;g8=~Kj5VB3BHQNjs0JZDHO)p5%UEc1r396k1*o!pv^!uW=#cuJlf!6@M5&nKF zM!?+(i`qK4*W+8=+?NLz!dt*(oo3=|l^kW0@r7S*N!T{%i~OJKf|VwtTh0P{kW&qH zT2R#eJ5G@wk%7$47Ew{=im{}~zjL#oXohSZD0()DQ{=T!kgH>Ip>kIpU0{qiNBMR6 zVc%Ej9exfUwZ9xHd*ZJXdUvy4cv8NeUOiurcsAHa$Nd+lqZ67C@n+5m|E!)hw}_w8mpU?faq4=fFIM{0Nokw65fScH}XH6q83u5AhZLmideC- z(#}w^tkT8G*0KVvQ_xE~0?xN1LQflTjN4k>-xB>aa{0cqPtx_*o~!gNP`bku+`nNr^Ywq`vP}!C7DDeQ8XiV z!7P0GD1Y6IZ#Cl#)CvaT+)P?1GmypLo;??lt+)Yn#S95c-qV0Y?KD6_ zHo>t03K78@5h@gd-oasDMij;&%9)6=>_ZsSK z8%oRwa3Wu}Y=Nc)9aO~11|9!80C?PV4&bZ}LMF%n;1uBu;9LZ_g15*RODUH^SJTC6 zk)^mJl(u9#0vt{NFXoLX+(3qgprMt_h{6I2<1PsBdIY#bA`ft40Huh|7(9z?0ILz; zG6dK{0FMjj0Cw4Q8Dk`mVq}&C;0{S5!0Iksycp-CBEX3Vu%^2mz||Y5ty+wBJ3+t9 zaFGB$&6llSprKc0xUvB}G~3E#c*9NLdalx!&PhR4PIaIvlBrT}N0*)DB{m8Y!%?f6 zNla`&wBkgxR;BQ0m1GcF-4Lyl46Ok}5UuMXTD3Ddw6b>pglN@}!;=|WE`b=1sUljL zJX-nji?Ik6M9aSibAE5ET~QID^KbFR@!L2Ht9TL?N6(7GQpD+FWcLuXyAo1FZr^HX zU>5xcYD2sJ{pXAyJkPu(%GdoIe3>1MU&i@%fiD9R>e|jTmuxY;JaJF@s`Q?|n<{`J zAs;Eu`gTL|_)`4xm2VIH5>{F5->slP7r8)z0*$MC?3vN-%cmd|Yo~OC8k*kWdG8Uc zaLj|9FLO!NyK8E(bVbndEbxfR&o8oeuiEwBRZ;Ji04-KQK>$34j+S{#|J7@1p><4O zbsL4i@TmW;4;WF(7Aw&z5Xp5=j_kYy7#8EbREiI*;M>~W`Spl$Dh5h=AD(FgGC8af zn7FnnAOaql{1C-Jc=bF>5RDsC5&D}HNh`(iN!|QvRFKP|hDp7&!|4%#-S5p?Q7O0Hrlv@6A4 z)MdD=b=fOi3UfIViRS06g%8t*R!|KeVG676#MSv|L2)J)-=Qyy;u>r%9kI2PDLt@_ z(D701wTX;)fJOu{BMPY{cBMAL*bYJ@XO2JO( z7_FMdBM~7e4noCoOmX))HcQPjxE^qHimEz7NLR_p&<~nZ2>uU12z6(d~p&? zh@&YOi<4?C4urUq{3`$`kq5}qV-ZSEM(OoTIsw!noR^qH5*4qZ#KodSwXq5$Ci4<2 z1c|tdGObZ6aV9S@MX+%iO0?J@AAyiB79lU0&inm3B_;nHgnYLR@|US?79p4MEkfPG zJCsVOrVo%nJ}z8@yo`t3Y4bY>N*oqJ`>wS@3y`a+fg{K}B=N;LrkI70hhcFlM1bOq zMF7xg9w4>nVw8Ror3W(ULQF2;C7vLO3f$uBd0v!gAc<#riCRJ8S(F$mm6*&+JS|9k z9VJ%UAU~nQkWCTsi{tU@z2rXPN1Jks2QvJkI$?E~DdKmX$1l`#36>Byc%~VcJq?-E zUls9NmCD;zH3#ui-~zViO=g>ri*6mY)xf9-J{(zXIc6%4Z(I*7<&onS2Ff;%F9OlaKA~KcC|GZ6jo9!bLm$y_6i8Z5}MvY zdxDrfqk3U#6UJWDnn=f99iKt`95$nE7np6_*h^?lBxA3-<$)ODKGtx6b~Q8* z--5=Eom|{{p>+Rk@L{@Wl`eEVEPR0VUO^HIQDU(uu}zpZ&u%p4;!YCtNa7`lM9F9@ zT98V5$mS^#zXlP%4zu|93oD=qp^Il@7=8nM5kHHV3mo~ORaTcFSi;Dy@S&)( z;fI5T4sVL7%~g`xGNvGY>YuQ*Hrr^hu#9UO%K7S)t67WTFbP(r(=03;7PZam5(jS- z^W#TT#*848UWn2)!>lDY(`ZUEJ}VF;PC$tjqC|)8i$S7vd?rXNM~RVAiIVYIksxt0 zN~~rQ6TRe!UzLd87Rg|%`y|A#82zcZ!SH(*o7PneMf_5E{Gv_4Si)4a$6*xnE%j!4 z(O^rcPPIIK^?BN=5kHL+k7}I&72JyOu1N{%B|sG7_ayPSJ)!@ zi1QaN+9)hT-VUU`Z!H7NRF`XT)#i5J;kZ=V@GY(K6x16({1*5LgY;Fa#`qP$=t^kC z3gE{D@MAA(Z0N`B@S|kV=+!$H%Tb2q2x8@6gT@nHGjT6B{2&f#^4~kVZ95pI_??@x z1H$;$gth7Y3bKC55%7wLb=`mwX_8!G#wX;-_RN z7q{zH;4wEt89vwoOBNq#^6A~M z^@v3^qMHNzi}cV6NmnoK3Z?uSOWDXu$vireJW64tXyH71*NehnkH92)w5a!z{|Lr# z*<$3`E>x!*IoAW>j3*INU`Yt=OJS`Bb!$qn8qki>^s&4)%1!K=t-*=W2jy`e1U=C3L^ttC4189MH7`ofg7zoC(w=+Sp0gz>P3TKU^NDb$NSdS zl2!KRII6c5C?o(DLCsgOlB^2mo6Z)2hWr+2RRj|OhG2j!bR9SMD_I2-}~m9mgzUL;tcIe;_~BEXe#0B{8laP&H|E)fBC z2(VfwOs*&M7U}zvMU7}tCbKB9Q0y-YOA(U(a`;bV=ovI3)&_8YTYp(tijee|T|$>( z4olyIC49yPu-IP~)_NrUM?C5r%Sm3VdrAm6o>thkERB|}&a)VtW7CFxK z3B6+hEotw#??;H19*f{gJKtbu3g0^>=dY6Xj&~x6rb;YMIRl8b5VN+Bm-nIFLtw*1 zZ2J<}^ii<>CaxlExi8mRj#tCF!+DwV!Qe(%woob?@Na*mvYGxqrPK|v_vdys?j4*so|DeG%C&i0TG_5#y!z9oxrgR1`_ zgfGbIb~eEjz4ae{zBA(XoC^7#s9Df@`2!iBVd|h8cPR%zX(#dmAqL_ z#hGTs5hiO+@x$ zB1rU(YDy&txkI=F^8a%Yq<&`+f}+PFxWaxgZl>n{Tm)G0V5_5R;PTzl0)yFli~OGiy(f_e~TqdL3{Mfo_|;bIlBw-Q}jgJt}@&HVG(5SS6Bn{ zjw55LH5_bB$mg_|RflI0BEzALitsNtE(Bjl7D4pSA3#g5qNSP4QX%{$iy&1e$hsbA z-A&OK)$+w4QL+e;*%&bO_UgfFWZwYf;=FJrZFh7MIuqU2y%!drjw1?EPedLB1rwGhY&w} zmvEZv25T<(heeQBZ;a!?e~a{RxX8`GUl3l;|%?Y!l}Cx&0!@Ig+TkfD+e9 zBuW-R>I8{JC^4N$wEV*&$kd-HLdF7*XE?*}9~MC_I9>ztnjhdpk!!CCK42Fcl9#!*}+AOU> zJ{X2n!lXn&;nnAr79E~zSb!_8Fw}eOB!30JCkx;E+rHzJ4-Ea_`xN}0w*Lv=U&ikX z#os66cUt`yet!wSOGny z!%o2nRG`5RX$hV}1q$@EXFN`bSe1p8>ukp3friH6mZVJzp>6lLf2JE(7Ju=5T9oS&;_$9?kLBbuB zAj3TwGMLWG=;qku2vb^HChvmdU^6m?G-3*$yav9cJHPb%fIYvu4FN&2>bnqFrT0gE zA3th2O<%1kULt>K-lz=x!X~I5Q!0$SiME_&$)2sj90|iXaQzd0Oi$*SqOQTC$QuF^ zoCx0W@Fkd6|9UWYKF!57+D2rumn<(6rg>0u9!jpsP#?jgWrmG(w5-PEq#BA)eG3nb zeN#K=W32vA&x z&IBg-1u3C*AqmhcbST4rC(=@Nt-$BC7|3DeT7D2#YuA>M)|!l4N3lFI!$pjCkIC`y zZ`mdMxB3K;FJA`a@Kt*fm7P2&C^N^u$CP;_Smu(sH5qq~Q7QX|;zVWwI-0q!=S%1W z2izQ^_|?vR`Nz+r`^phy|HYup#uSZAnmYoMIQ_Rsxdb0=f)CF!?(MqugA;383mJ)H z*&3^6PDnfnDP%%&X9pR*%K@~?|AdJRf>f2zw-@b`Kl=$|XBoGCcPD(b6q?C2*Ue(a z%|Ct-3rV+QNDKM;4PbDfLSBJf<)p-2z)>k%$q9{{yTFEw*d6bP?PB9@%sieYs6h>T z0;sMzEf=`$NvIT+0RY z_n^+Ru>A+eOom9gqiQ+su}FS)F4U)?4cI+fbo>pm>|S3}IjHPGtQ?El)+|Q@7Z-@8 zxC|vzG{1lX)9-cxS9+4>dUSumkC98nfO8r8;SG2s1l$eqMMk&NvXhePuGg^Y4qFwL z_HowNDBJbV=)O`O=4XVX3lnQ)T~5QPg^%9%t6njb#YE`WbSCd4qHkbUGxRT>MD$Hx z!-r=z7tEnwV?*J2U0@OeDf(0;1`-+Xuv9cY%V)u}ds_>61|L;xv}k7}w7ru~T9ZEIx8`eW@Xncqh=Hp`EaQ{-JN(O=Bi3Obe4 z9IyboiaOkFSu$S>HF5xLc5lA*rWncQp%fFh5W#X56L1wd*5qbLGCTT#6d7vG;6dH4+xk zCt-`Q>4XU7_#diKQ9UZEu_>bdyKZ8VSi;Jo*kkc*!V=10SEZ1roDoUKWN*w$Ur)U#L7u zFAuM18&bI<{euo!Mjv&h+C@Io>A1cN+>?+NDqovfCjJgvTYOf6Mq`@#vNNy6X4rV{#I zc$fZK&(i9A9w8Ewpd@K=3<(x*K?BpUgy-PHGmN`IK*z+d5n z1FnXR$Hka6UTi|pauE*=ZurBL0llPl7XbuG^`HBB8mhpdgJ&`WVFU(kEmeQ05yzlL zG;TaCO0T=vjMB?ddODLXjA&aZ73gW>HKhV?N(C)^DyZ;rg*xJy1l(zj3=c>JLm(A6 zCUXfgYo?q|pMo;DhC>2^tOq1WKzo1>psm{hE@F*O{a%uel{?K9H+W&!TtK^m(t-yj zOIZ(03#&0Lbg1Cdf@R)v;56NU56=@;JZuR&@k6vHkL>AAeNxTq_E|kEu^ST6-A9U{ z5~C@rgVBCN!RV&#oY5Y`IwB-=i;+%Zv^B$%(Ujq3ava95I?Nk=@)Q|;AC11qjE?Yc ztOn0D@b#qb>SQ`b2855(S6k!4Iu~KBCxb(wMABGH9*HH2M0YEONlNsuV)5Ek)ESC8 zHEYCJ91^(CKJ}-(go+#hipPrK5=E5y0lEs=X^ddL8ee~T1<;#72b|`%i(s)Dw_r8a z^`^sKl4|^RH0so#PSZ%MPEd4F5BZ`)1>aKMT8)Ynn1ekVB#Io9v89agPc)9O566Oi zozb({Y^GI6Jlo;lgk!@mR5;Sv#5~LT4~t*JgLioZ*DxU*opr5J(w@GR$%Zn~86v15X>3x$9oqJ4%?F^K#DOZ(n3A3~)8_H6;HgZS&OyOXRa$C~G?v9NfZJUBBVo(7_!;Bq%jRi!Vhmg!uTP4Zh4V3?T}7Yp5WXheeb;6+JKHY@iELYw{- zgO>8`s>4F-mhK)#LCJYB`c^6y9bQq%d$D!1Yr*;|ZFV>QMv+;?RKaM-T@Ui5dF~dS zoUcfg$QL@a5&qdLLILGw-izh?J+bJ%vm_}0tMv9GZHTQb5xrQ@HjJW#^J4veEy}m( zK9+c;#hP@(3joquNe5taC#>8WWx1pXwOpY8pDAs3Z-Mo+Xi{a{aQndW7)fW}Ps%n) zOrkz5^p|FpgGr9bd{X%8d6ZkDJTEcH+PS695_N8cEGzBY?inetRx#E-ig)DcFz!$G z;5t15Rk89aGrT54cL&F#72S41_amo9brq+dgfUw|VPd|@V;FoF+W&$A`0h&I`JmPx zcn6U`tNz4VOx97*AMnN>E~-Bf2bC`0j7K|*Rkd%3lgj#$&5MkwkY8iF*2An?ZoWCmVK0QP+d@d#k3b#vgpMcZhx4{9n#uHAt1e4bp9d z&P3>kgwPNz`x+byablw7nEXZJjJiM`d*yB87Ua^n)av{rhT=7%isgI3ni_q1~x(0u=G zZr0{*0=Z&%HFG9R@fOL!495gvig#?N5!4wr!c|*(bCl~J>Z($84_HbYsoFAHc{b8q zGUS4K7d>j%L$zfTJhG-#+lk%?|1?TR2*T)oFWc_xj3n&0PWnyoY* zjHZ4E4WHBYoMFS~21Pe9RAr+Hn-(+dnUJiY$PW1;Xia7WX-2yduBIF))$M1Wrciw_ zDq9}ue1A&!fcbcZ;8o|FmWjsUmNUBUG2R{~nDYBUK3sdte7CIT-Z2;qkGr6AF89ur zd#cJDCK})L@Y+3KxZ#%im$Jya$0Bozoo^eqftK`D8kgI$JY)K*UC#8k!>20Y@6Ss7 z%Vvhoe@Yp~E!@ueS?BY~`R?JI^8*hJ$8v-eqXwIV50NmP2mxyS1AC~0Us;2W4oPJ& z28vL_(oppQ@IczPaG52GyNesiCif_-O~U+-qX0W4c7k0P1v{pFBC=*(5{ly?aItO*Gk!}FFuK-J?nxs-L{9;r$WvZ+#K`na|OX^oo$;ReL2>OWGBnO!y9 zb)%(%WLMK(QF74p(3VZg>?kG|zawL@?wwEn9MI-(H1#`D3D|j_P5+#$l)rsC^vy;t z1J^ZQHFU4!sZ{}oMMCiSs^6fa(6Hkf(JzTdUPZsuMt))Si<;(Q8zuq`^jj+(kJzWT z#@)ne|imqtSkdT8Q^Dg~kjhdr#!35Gi^u#PHpI%2yv z>iM8CJ`P6v%S{zl`&qmjABW%%mGFbuO>WFuhcTJv^tl-F#>Zhu*hqxX(v?ss?BbfM zme(kg_L%^?BWU_ylF-4}3N#V^IswxJ5z{(BwNv}E4AT#u7&=-VPJ&4C*Z4NZ5OmnM zT2}m`Z{BHzkw>wa%8{*uJ&4pVif!MhH*a-(By%ZOyLgROKh&FJ8h+=#ZS4rZRj6IG zqjm6GS<*F`%T=|DMdxB34SkblnM=Le<)qH#v(X+2K_fdV@sevH(dHDpG^y!4Ovb2s z`3e`fEo_AF_cLGX-=X-Ib=ktSg;a6+##qr}9fqvV%DD)%zWrs+BVk%IlGgya8253- z`W*CAZZ`M{hclhP|I(gexHcF0H2q?kQ#$faQR{GjTf+`6a1F%<61t&)fmR^&aLzz2 z*3w+5#WNl%x4aEb@HW5ARqj}8q537-7pki=6$@opc>xLq(+Y{jfSja;8QaNUN0%n_ z+GmYuVeXXWo)yhlwx2j{@!BE^xMx#X+5UZ}rMof-?Xk4KVOJk|E97sY8S%AyA!kJR z$ITTG;*w-VF}>W>^hqN_NYW#47}qLE_EgeUQ4K2_SftZxL#reyO!rA5y-p#IKzkN1 zJIE?JyH&MVwh6ueiK{S}-Tu3MHkXwe)&qrUx7U|X?7A#!W$0if-9V*&_qYFVL-UnJ zy6C5T+##!>ehDq$JY(5ds9k64zs36oze?Vz zEcZ(c4OB&Cc5d?OQrX+N!q=SYTM$_5+y?0^|(8b@$hL??IEr)^HF;3 zP35c}uad7Cc%8F4sMOG-#E!*+p|5@S4=%r)*EV8ZDi(MX;tmZ~;@nVR{?B(|Zb;*< z!@UY;3*Z1DbOWw_dI+YPP21tM70;Jieuqh$2F<;@xMYMcuV6(;EY*DsB27iPEczJM zSGBH)RL#I!U?}vtyZnXOKxU}IcET|DFF# z4{g#~M2=`TdbP5rPJYxbD|j3=t0u{hiXoEqsCsc6$?Lkut9!4 zlq+MYn~~-Ur$(b~FiWMw9U^z)c8UESzoX_)02{>xJ*?PLa~(+68H`*uSDfky8eD*= z`ul`gogka^HTD_`dw=#2+9X=J9r+c<5ImSJX43dXFt0&UpM=Wq^U6byT1fdN15-}T z-l|c&@=!rmu}>6Jeji)YURi}zY!2mqIh07)g3v4LBZz1=gqW^uKsO|+p0R=l5xaF7 zHrQi6;>^_#wqW@pedjV2Lr}%CEHfu7RFIXc>SmQ?D5K3HjZUAkDad76pMg!nW|78% zog&KSqq@zYz;wBs6%?9d$5N<(jrltvB&_K#zq5P^=GesX$E^`23Lr4lZ^F*0OPJU#$p;3<$1yB_y&)qg*_g zUKs$DXA-?PqkqPEueK}Ppv!kw`1{#m>)!+TmnDSLgLQc8Q2MA!7Kto27AU7QVM=!K z<*Lx>!CEBOjIQMJhu?AYR(PoRUH`R^^Aa(FM)DC)m9y@{F;0tZy(q?o z#kTu=jOQNLqc+8#7N!l>Bi}v_DysPyH}*8HLlt*>{~%G(h%pWgOQ0J>*|Mx}#G2>w zjxrQIWyu=NY996Esww*j!`(5MeT01@)%n}S0`>NkuSabSrA!+%eLGP_ZPs>9#S&#W zs;JKzD^bzdyWk->H~QVY|R zQK+rK1s7lWoL=WCFGO=2>rPX`E)Q;%uw~z=x1&>^eh!zj#JwPtuwjV!{82!>kaz0% zKJpDHH1Otm3F3D|rB=DLTH&v_ax}2UV2wPA%;t{|Hq~jltDh zoLgdXBRF+%kif!+T3;iv1aGxx!F2lfp%(gGHCg4JE?SkR48qOmjd5J6)C+W`E-M+G zFlZQq?F(FuuZlo;8{@cYnfM*OkXhle!Hz|&meo`(g{?MY_-Yw7gl;%<2#B|a1l0Uc z`AiBOK3T*Fba?>@cA+CAi$r-?t7wZGQi=JcI3UT6g&M)XkG73UeC2Uk;nNZJ(bi8+ zH{HZ-y+F~HX`BU$rHL(ZNIfOCiHjtPSzt=z@=|e^*Y$YqV*4GD?F2urTkwRq&igx`l zNm9kj#VoRFl$b@z`79DWz_=U3yR6MMYj{Hz857t#w^`{<_hEEGHDI|d$U|V^szK=h zIo%Rf5o6C{s|G@^Lddw1UPa*dmd~(qdcO%9k0=#D2i@%|#&io`4T1zZlkeM%PG|?l z3e}+4jhc=Yz8dJiuO`vMR@$+M)u2(V2CK&M)!_P&K)l$f_~m-5|83o|eM&uS{7KVy z`jaLkH0~E$r8mR(>d%4MG<>H-aR-*(+$#kZuF7VAuR|8ai2ZgfqOI1Jl+KGuTGHD? z^mGZ+ln2b#2>;X-3$gx<;;ZZ(fll+vLrF~cq8=h_z-XIFEPOR+7(!R;`M=U+$0Ak( z>bD9FgtXs!NO>J&wW3qDSPkYz_N11PZ?+B#bd4V}I-wd=TdP50y1>F$gCk11qf55# zeMvR=SBOLu_gkw$cK5kMWUv`y+}gEV8-HF&7X*5(%dwUm zPWd>UlA+^XO3x(hxkeh%M$14rqm zY1~qcZfmDPg_9Y?PKVJ)$g2^3Z&?e&I(_<6)nw}QmHWkUC6FILSVQ{Wf;4Pwe_jd8 z5!!7yRAl1Ls# z#7Y*jfinZhsWs5gMl;y(^OEubMq=i=4O}ldd}syM8coO)czuIe*oe{oTd{AOdP+y~ zL;qy65Y)F_HKQbfZSK$Q`!n`!Y3t{Vx$u~E*khRNgaqk;-{rEBEWIQqGVjFMKLXz8R-RKrX`G(T5FJ?OYsB|Td&aK#(Hcj7pXg4Z1xc&G0wy_8 zkX$ou5km|Px%tX*3YDh8iZ=oyLM(zzrp9_zZVl*@W@exUP_;t00QEJ2+fmFxHErgc(v=@&bZT0syU$zV`a?BZ zqDUIfEOC0_U(Z(DSB^vE)Lr(9p;<9*RI9B2n?M)1p3xcTGzn#Wy)|XjjWSkX?3`Wk zi?vkhZ)r(k47G}Mz((lE(ovEQl8)^8p>(^VX6AL~f0({`cRyyzv3#p|QJ^cHS#710 z(Y#45KX2mlP#J)wN?c#WJlH@U6jHm6cGh$ACX&>i`h$57!nrVy!C5%4`3b4LQ8@{9 z#I669Ro0^Pffp%3+9oVQX~M!<@iKp~2!eMr!7Q+Ahx+{jDjg#3aFLq%gA9*mncm(F zk;)+MJ;rz*A+A4qm%7j9cMk$W((d!kp<0xd7jc&%G)@Ri?CP@`^QV$79W3Z_kRjA; zjdAP)5<=Dinlr9jN(N|!R?8M$W(9#++(At^6p~D}6$x~TB{ht$9K%66)z&anht~Sf zYGT%QT#fU5LLZst`Gh`lA)U~W_L0ZR>H5hBZ6DaGQJW)9r=S{1$NyHkmcEQms7BOB zwpHjKbcA=>(=h2x6}sG8n2{4JbR&%+=-kmVest~PstUzmXk33*tVRL(-q=|3V~8v( zox1Be2D@-53p-ED$Kxl+FQShFZ`rK9K)8(+tC7$V7EWYII>M8@=?=~Gm|$4hrYVB% zs>`is+e#J<_G)yBWD#?7eWTE{7ZNX_g}t4J7PHW*zYJ+GSa z5l0s!&_&J;V|1)RcT?3OI$m8Xxbz(4ZNT(g6B7p0|I7)xGy22uP_eEUro9*-@)zZJ zAj~tQ-vh3f;HJXUWND!rj(|`v0%*oE&YY>En-541{dztusEBZ5LG|;s6=|f;(k%QY5Z%LOZ&ekP@QDi{+Fo=Mt zoNun!DxOOhRScSK*l<~llu4QwiEg_{mu02P?pkG~V`$X9D5pCNL$`Bi06CtYOiKiE zadJMwy8R8<-)& z>B`)`ci24qsu^Da?pnWAFh&O$tI%;V?O?}|WcyF<83P%S>yJj{$lT#3>5MRM$RLtk zy5=+HZ@^a5#_w32WGOSw8xIOX(L%ZC0dhQ6WZLi@S2SaQ{02PqjPLgc7d_9Yup5w8 zwBaI$SDd#iJcNsLLO`gQX4)kif(y>zDMm0yoCjMO|*$UfqXSDN4#h4PdOHX%wIFVu{;g{u@}}nb;2^z}80eP^Dl@RRFmd zQz_o`I{3UfA@js)TX{xJ2b(s(&jBAj(YOGvWpB2{6dg}zIiaVjVsOI-W{5SWdi(+h z%7mPMxj4cWQ#_1y6Xkfk*>iCQ?i1jvX5$%i5BVn=SVYw4=u|9FmU@Rm$sYU9N#Ftn zND1*xM9u1e6@+6MV6qJaPt|!~Ppj^Fp95j3x9f4soN|do^@}BTjOEDa+6@_FyT)lc zr@+}Ga-*%*O_cu*G@hwXD2uMUe6!R#qg#8DC6-JL%#Z!!(GD2mKKkwq#7XX^+g!{| z7Qi~Toq=V3L|6V^ejm_<>G(GCu9(L!{{&x|+%oTaY?0IBPqQZEnPO{Qc!y1s(#Cg& zk;@R(Vhj|IjSuYN$~f7G6~?n91M6N*hW7p$9Q$^#UJ?-J-`RFa0PC0xQQ;}8G}n#S z?PTRoHr_zTmj^uJ+%rUlhnLg*y8X_%=Y+Qt<~@gb=Q$5~ol_r#hl)MFLW~N%*W4aI ziCC3RHa4LAiene8fn^EO$=d((04{A*3)!Mhc zzN)*RKP|A^uN**?-d@x;0=Za;_2;Uo5c_KOiVcJDW%&a{<@$E6Qn>i48V09sYy^oy z!%N}Bid^Mge!P0#OL>Z$Dev|87}B5+{ox)6G^IuhT7Lw!s&%I zYpT5A*o5?`gq10^TeB#9uGR^{r6G?y@lfxrC1)huw|B+})#5UEDq?OvCrhQ!&FFT! z{$(0JS8wl+FSuwlhM{1(^o1dN+uNx+_K`I@S(mBcoa_*I*i>`|GoLk=Z6bsB@BeB&c4=B%lJstaALM6K=|Wxcy%O_skR z#O#CZ%qDYp>jf#)PSetb6cWWGobm3Bav)2TA2H~ zd!#Kew z8_iEozR7h*Trbcl!gTgBS0%;=%hxL>{fJwH49jqYDaroP!AAI1(H1PE{2fOFiq-(q zz>$v&BAL0)o*J%FXb@{g1ObJ_}n5vLYmj6&ba@Ib>xCoaKZpu zVEd;qg$D0kF&y4z zILPkjqgREa)j}UqI9i>m!S=d|zda#N2oS}^_Zc^Cn8@!2?W*)*mvEn4bgm{P)@BS1 z*cbK zz@w5w)zKkF8U@EI6iiDw1u32LW=%w#PXSfulq8$MfjbdB;Zg}<>WjNyMsle{(S>RX zt}8VhEQ!6f2#e$tf1*zjYKdI9$fkv0p%c}t4eCAJ_!q*Ga@=OH(>jFZU)|&qp}LQ- zRBqBTSeWapFUXF7a?{oJr4Ylpv*V02b+F5G+wJG`2h4Hv$qEhM?I=RjKve?Ihh&e1iW$YH1y=6+YDni~o`;o53;vI$T39SJX93fD@X2Gmlsq}HaTb-3owls`s;uTONg!IJZ@!!=cdbpFqwQrme?#0`b2u4bWU9~=qRHv5SVMf|2QiOa=;%09y>1L%0qf&$CNa@om z(3F`TaJ$}qIq^0w{~^O zjO8~yej2w(Np?6dRi&mcnJ}1(lqMgo=^+q`@YP>3cfUH(jl&~00+Mb=W)(wej?ZegVUlBJ8ToOeh5EaR-k&0n$MXoocZ zdfsLM)5s+OxQm0wv=rE!@=%$KriLB)D|)liRFg3B;ykCa$kS;)<1PZ;=m-PYWfGQb z)nsHT^nA_6;z%r7;qG8!DUqv#yJ_y%HFY0^UNA*hRyr&>Hic)UMUovc|3gkr9k;v0wT#r99L zT{_+tnqakYRwZ^B=)zwZm7SgxgAo|BoxAdQt!gTo9hw)yN(xcD=UeROG_2>Mxc6+M z8%A+RxjTzuR+FdtrlKJZY2mziQ+;)&<~R8O4ZN)D`QW%a7oZ^29fdx$dn)gYPaEx? z8tG}1-BWoNq;0l;+N8}J%j36Jbun^9Ef=p~O^;9~zPf-lRZ1)$OCJqgxQm|0TLHNH zV=DlHeG5;SSHKQJt&EaNhiu->AmK{xXZeuP$vM7v#?Oaz3$-3+v4zW-S?03>8IakTd8dM2T`t6{@VacextTdF%2$t0OJ5#u zv&v-xg{Y`Pa;2a316Q(VuD)qj&M73qfnhIP_=--_?1zj2|M0HeLE3D&v)j#MmQuyM;3-!<6WcRdw zQ`aiqDTSA1F^M2iL<{u%s!XzbWW1`JOOGI9v<%L^44I(OlRIKwXEiP*vz2iPzFt4* zGseLHwa8CZ?gKM*y;k%TkEptQtP00ulbaX8l1*-3GxK<&uh-lH-a7dld0oaLzNCr1 ze#!PEF(x8`&BzO5eF1vOf$H+h7J|O#eFnYk_9pBv(aB-v!0~egxKrzGCGzTF6v*%# zH4R%k&^c;tJ$0)j2Zrk}%P6dScErtWl6LzORRk8eLh~j@B;3~FVOju1m)=aWi21L~ zKC<%X$UUIKc%}w+=e(9b$4F0?+C7zbMOq6z1>coFI|nOj8h1w6WwT(9Q~sOG0m8f$ zo$_Sy+IYDdK{IK4vGT~mj?+?uaD19ZMUKMpX&Mzt&~Uw?Ml?*?5scf`cipX`%X%C0 z77!YaBbY|uS+caAFXQ-l<9%g`-6MI9CZAYYXLz^j0jNsz5}v|3dACoX-b#<)9`iL& zGx;8EZN-j;p^08-E-AD6ir~YclbF@A{@*>Z%(l{et%^e5dgZ^xuw=#23c^f-!;aHX z*w2)tL$Sh9Woy!p3|8ZY?PA=loGwMG z7z~=Y{6$m+mMwr~ljQJ1`Mce7lUz?mnqw^gEq1PWO|AIV?L_*pw)k@@{JgrmIY|pY zmyLU^*!e2_{J`ym|0w)s${gOuy>k0_T^Wo8CQ(D&tqSHMMjPLXuGG?g#8$L3fSutT48@km4KS+ z^KF`h`@Ps9^JVjhg}=Y&uqqK*8uGK5al-oFzq!ew@rn)&RgCTRoqb|HqOzda>il=m z4{&DcL94byc8$1Aul8r$GV1|%;YNiZy}-g9-gmvGCxuf-*t5_rPuz7wPq@hEtZEUO zr0%gSO=`g^b1i zk_@^@O_Jq9ugS=yY0FqiS%_y{P*tK>UEeMOw7Chbpw$c9rs=B~w-nmKlvdCtUQ?68 z(2wj{{spv^s$*!9q3=dkQop-%u%9Nm(A#$ZR{G`Z-fOyZCH=ae0;;J@mKptcSIxo#6@vMKH zl`eUujgIxS5{5Z#3a|Z+OQ%KG;0-S`=Hes2o$GO0H~4k;?@J9*pMX+(`h2^^Xkj5naR1rQ}bIY zn)mWiAHoxpvP%~1$VB=0!dE8sn(8ECSKqggVW$qZa%m62Mlz~uA*E+w6kZ)H#}QUY z{u%Lnf5SD|DRgzjGq|~z@3OhvP|+LroObMnQpgrvqE0}#93(--OH!3#WQIqd6J^P6 zkSxWU{h2Ib?`w&VXh}F(LOT>oe4gRT@vG`Qmc#YgJ**t8(tF%cqltp42#0f3HhEIEn?M0dkLfGn8H3c;Xu zd7!$8CWh|#1_Ak203^-|$U@b51SF0JBuJ2@NLj>W34ko~6#gviDxPErs5+gmWwRRH?6u*2n@mwzR=YH_iTGnjY|c~Zap`Ml191>VCf^w7tQy~D)SFN?BDt+E>4u*sqYtPYnfsGM$`NmRWA zHVNCqFU4_%xIZixwK;5VW`(e2f;0i9#_t9Jq=ret+Y2fMKpbM^2N94;9*~EEth~)S zJWD|xby^O{ous>96lPpL~_N(5!wh1$kD9DgDbr(66 z*6aOTGR0u3TP|<;&(2{?xtVTnJuQc_2y1DzqXry??EGLTZZoPK^$cgayYmCV^h=DJ zX$-0{r%mbLjiwhlOfYtI#;sa#e-xGui7PR`(x-*Owp8X4wSNx{55#^w_1SS^2r+;!^CGaOV|ot>M|T>W#GrB5f+ zZ@bN}=!E%sXmWd<;sA`RQBRlWet?gJ&8(;8wW6;RYjp7IN6gW}6nO0@Ey6}C)~8E> z+EU#Lz$_1EdK0DLxhwVnX4V*%ho2w-a}4b>9r`%RWUsd|-2F!Rlk0n@bJBY(J9Bx6 zWxRI8>ltr51PuFt%gONCU z5=x%-eq+M-4gHZg>BZXz29EzFFn`}{bsiFXxq;;L6-az12qk-VKr;f6_^KBc(6cSt zybXy9i8u#|w+16|4iZL|QH>`v6OB9J0V3eAM#7Gl5_3o1J@xw5(~@@y@)iSG|!%wu4wk zsL-&hZy-zFE5wra3>xNjfmn8umc>5E;`#-#EFhMG|B_)5#PS=llwTsljtGYNl3`y_ zS#pTwU_5DwB^JMKsHNdDvW%}JmI`7i{D!m?5lh89WbvO*EK7*R;~KIUe23o~Xs+cVgK|EFq&&OT}$sNhX%h-a!`4bz*ryEERtc%MoG;7=tYO`^b`!LoAlX z$WnZZScZF|mc~Dk#aKx!6~t2bkhJV4mh(%3SOOm-i~KKQxk)TRk4a05%hswZLki7gSlbmC{N7%H`}WN)_osy1wL{0d z5d1-;#WW79*hn`-tU8ZaT!_W&g=NvcNG$z3p!efnMHa)m#4?Lm4Ee;;omlFLC2=CL zj3O4@RAf`G0mW=bHrEoj4 zXnfoL1o>(ov6R1zET!{_pNmOF#AoTjprg^-qSs4NEsEuWK?x7xk|#Xn3e zPP33@yy_|ROF}5JXpa%gkj_|^vfaoMxrVeX9e`S7vq{VI#1cM(w45fE1;kSHHL_?v zA(lpBshmS)2_%+DVaQ@RyR6SUuV>^EOZ^`8|E}jad`2wM15u0azsOP=Ml99DqP;}L zKS(Th_M#Sty~OerUjm?lL>~&uf0{GB?j{Ri2~6(;c?-S+!+A zhx})5=k=PqrT^_ML+0jt@5=YPoj-2wa_93h_m6*ms^IN7MT(OmNhTj+ko&@CCVghp zXRds!%guC^_5a8hv`)LM5YUr0dFNlC%-X2 zVZortqDK5!>YXbOjV|9Y zRi>3qXlNsQ02dv&`(OLz`U~B^US+wPGN^mTj29CZ9+>X@-Dkau4qSVEWmMa|g?}AS zD;WBz)7^wWO16X!ZkYM_&l~isarL+#)7uPLUY`Aa#erhWLc>Es5c?+HdbiA8lBq@yOIy%ufPM86V0I%Rlponcqg< zQ5oxAeC?N>yWE~{SM_|crHygMi`sV%Onl+^XT5R{UHfod{u@X09?F(H@4QzLH#5Cp zv}Kk5A5*ltVttUq$sRIUUB|q;wUK``n%{Did8~JO>sglrmlQ3%WR{`{1qa@)96dH} zlY{J7-*MN@l-_-me#BL#ec|cb3C<}lZb92+hBsFREgZf3oxJKn?PTx0wEWxOR$lAW zHf^{}AKvTywMlobdzqDvq#`uxk3fgn9ZAI;#eq2;yySw4oXSJ5>AK2D#s0Fpd5$M! zf{H#VgWSip6IA?O_|C415i(NowdvaHD=s<+Dn{Sw`TQPdp%e{~e}p;AmXV4-Tn_x$ zp~aC@jLfbaJ-wlepkig&-Tljs2rBOOO}XdZ+*VMb-~CQu;wyrR@hh*ryCPdq(PQ$R zPX;Vk3M$S={xMe*BdD0z@xb(_G}cmlP6`LHXI6^AyWiR8 zIz%RmZ%F;}kHz=?uncN0o8MgiW%J2<%@u(v>)N*rvOZ}HEckZ<` z40=4NZ-+b1LEf+G7aAPjbvz(HKf|rEhqrPpy%-Fk-BZ)Ioxo#?SrI? zrUL1Rbx3*$Nu&O!dno(g^NOz&x$1cD!Tug|qm~t3E^8B6w6c9kMyF28HP7~QGESN7 z`pqUF7zG5AqnByd9%~cXdv*JglxI3EpXc4rNj?_{&I7?0gZ(S@(aQ>t0>MTg2zcR;Wa z2tqtT!WbY}3IxqS@D~s?0>Pg^@D~tt?gbJi0KrNi=(s^0|LhR|%AlBKg*(gJM85uh z`;v=5aOy=Mcn=6XfM6&P#KkPrRsz8RAjs+6X?f(teohHfcnKZ=T;}%G$0D=M_&_CO0dCe5S;S(Sj1q6$L;2{t+ z0D;rG_9eRhot75|_j4-UIoY+{G$8mC2*PGB(;ht0Cei=|+UGkhPY(eVpM!+gf#6#p zNCAQdATWZ8hd?k8RqTgSBmu!sVB~oqDEhulWcGTHFbE_>fP_P!;vFFP10*y9!N(`t zM838Ga2NtM%m#0sgkt6Z!N{Th9+uc;g)2^h4M3pv1qtz>q6%!-3k36k;3^Po1A^#{ z?Ms4&frJDo#Wj$y8-RM}{}K1*aZy$O|M(pTX8=bAcTkZ*O$oOFO>t#LP|#e)H8iUM z*USueQj-}59o!OJ5>0bRDl#)nGs>lbK}DlPvqB>qlnl!Zjf@QS`+S`{ckUhF{r)^Y zpFe)T$D^*pJ+E`l^R=AUS?)Qn(-*p!lbTcuf`38Kb`W&26zZ-5hRY!M5Ck*krfN&R zhN$<#q6W80%U%Id{{e!#Ah>}lz6Qa;3#eirKn`n_reA{+>VV;25Y&R876hhJuY_jV zK84+ew@Ujk4JG)D_3z($w`M_DKYiKMd8v7yfM5v-6wwgWI)GGxpgjosgCGe6KZD>0 z5S#(QS5{P^9_znO3xY(iE(#@=YAg*tYTSv{5JxihRI2F$j;NA=)|_Dlr%g=aG~SAb z`ew@z7BVXR7f@x;Z3vDunV3d#l%vubPg_E1Eaqy%d=%|Ds_tf^WiFz$+Q!q8D6OXP zv{xvty79Dylyk1_& zXzQ~KrmcmftznI5E3?@u;*@dYtf#HaW)$-~s+4QQJwA4bxb@JsxRKYgLmM zoYGVc2ag;Fw7S!0nl*{^YNfz0&aX)yFL@hFAMfk_n*us=-l_VOn$+hA^lwy6Iv$TH zqwYtUJ-t9?(gf7R_PP8snZsLnShDa?qbPS;DWz4v*=SlhrP-xvI;G~I8RgeGmWhU3 z(To`@Gh`hT%_hB!TPDi(l$Oc%6@odFWIHCnEq6Z6;*mC>vdPy0t-H7QF^ChGtSg*B zxla(284@M|$UBc1wu=laBTERlju?*Kg@?MYqA3S65DGIzGl@B=uQcMBL#*o5*R&Z%jp(I#*mE1C@=GOrVy0s`!5nLsLW$x%7bNmcr@Dt9+h zWk&S#gujxi%yCt@lqtX+&k@?*A%de8QIm9pfM`7-a|aOvHl@Cxy^Q&t1Z8gmm_PsHpHvmWNN z9;B=+n7EKNhV$>MIQ?`SBobt*E=7~Q+j1-#fncwLtC*bkA)jRr9)fCB9sJWY9A(w9 zthx$hm9?58X0`HJound%G^@W%2Z?AyBoa9xrwH%rKZ!lwK-|I%_ab51NtmV`UWz zJq%E_eL{Ds94vNr4Bq2O?pb3_8Qtmcn$aH%PT~(i z?onCf+-&>su;fbE5?HB;evu^hkXT8llWzdLlpCG|{dn5-nr1lr=+|)x*ARM+@uOw{?__$@y)n?EoJC$-Zqx$m(I=XZfs2 zlvVzLBx@t~ZA&B9qV7o&q1e>!E+bDSv2Sf6KTt8ZJWO)fPRwvpW`azm`2>TL^rKH^ z?gm-(Mk@c1M89xan|qK_rJg4@hT#Y!948uR=Az5<=yD<6qf*aP(DDEwvJ)bIikenm zGZ$T+M@M}_zSQ$vDH5 z53C%^28sT}j74gpXze$_fro>;9P<(Z7)bi9lIR!R$*f~csggb+q1A_kql|FmHPFlm zmuBn*(9A`tW|ZUdNi%jP-jDFBzGgpYqQnB5K4pnAR?!8fKBs$|O3oH|iT?ZEum%YDj6? zYj_APG00?4kcy=Pv)K3|f?;?U4~rBAY$vdc=~bc}D^JZLZ#$&aJm_A$a+C zW~|>?94r_@Em!IWZKs*Y=aI;d;&7?pj`7XyS>C2?6g_QAxBqMaC zXPx2+*Pw49b;O55+!v znRH=eDnEf=f-d0jI@XY#N;{8*6PStbAxwWtFloy*dz#YJF02B>r;-RwEX2Y1=_F4dpRQMQ0e&?o(p*1hJY# z$593S3-iRq2@K+1g7`NThKd}`3#OZByxI0^%tCrvwqkbKG`_W@S6pJITyh41;v5LV z*1EdbaK74ds+L80kgNrSxBHABmHba>eAk>N;~mMSwMRv+^zHJg2qzWcVbw6s7Ubf? z+m=dP{Bvwx?*AS^K8}Z=Gfc1-$}mT28qd#>&IdGLbeW9#(zi%FbXs3vH0g6=TWT^R z0{QXpiy2#uI^VG2?Vg}{)aq{{$kQ~DL8pb4@BqtjPG9|Tq5?yP?nI)p!GuwSma!;dPm7VhzN(wUNwG0Dw z)<}u%W%JZ*sL9T23)JtmTxNz~UYaKn%qk`r2eU&8gCz)BTkc=Vn#xDvm_C<8#61mm zPmH8_M0s8rOW{iC54*Ez0B|4iD#382{b?Ak?sRpl79V5azrV%6FLkHyx63>t4DZv< zun9VoVHS4dNMy*bG0fwam1sa4SI8a5iVw}Zy7gsi^4LZ|Ruj=gBd&Ep^W z*^DO1Hp@8q5a3o0d5BF{6JdubuHAgXzB5ZdJGNP+Z5So@+X~$k|*rX5X6#Eky(oOA8 zeGP}-_t~bvOXlLSXjQCTRZVW1%+C;>p_=4-Ax(x~S*mSH{+CVl4SVPEiUsX&GG|Q9 z$DcNg2@OK9l7kc8qPMx=T^){J(uJjidP=i2o)%7N(T%6I*oQR7`_eLxs9NNF70`O< zV(135UD-Wnl-VxAdr|8`*0M}X+aXnR(^_diyfUcIj`U(y>e%= zcYjwoYrhf4+4DNo7S(XRiga;TvSr9s);mz!2>BfkzHJoMnEZ66QrvYIIyi0o7`3oB zI8^;N`h(!r=uA=!)S6l3L4@CGVcDC1IwUTilqtln;j@{TJyFoblOaxW90m_i|Pp z%Vtp5lK5D*WN9`*_|Ze1#0U!@QQ>CQ@Px=}^w4`mkwm9lc?t=uhAoe&{ONe4@a0Qb zUD!G6bExM-i)_k7k=5_y!%&iy#gd>!5~d!Gr(l@ldCYcV)zlc~nM1D=B6h4;MiWnF zqJ|M7=9xTZJ}d^KkYJAALOaKU*-3c5dK+3>7Rq+JKUKZU-;q%j_+>xJ`l6JW7Nwf+1!q==qz(<{lR2Z z84Q^3=MLnFj<4t}Tcv+Wq>wniy9uoP&B(}?FLiw5y}rlfh8&;oZ=#Q1T|%t#UkHDs ze9ZAN3f}SEW0)Ou-;H_&2n1G53EEB?Ok+xmCD<;>XE^4Pj3`BTd^JQyg+x5CS@8wt*D zG_I*)Vq!vIUtTX;S=#N!(_W{1)s3c|W%+6wO;f%``RD=;cYXMF)ZxTgLY^fex4u?N z;>@FEONSJBj#h^ekT!FM7}5BSWf-WGtARhb7R3t_1(TCAVa{OBK?t^DqX{YuP<4i& zP3LZ|n}d_{2HWkiPzgXkrsO zv+r5A$;50>h}*=3w)w>HxAE3;o-~$>2Mx?8voDxgafg&#peloTBaXVq8!>UflAp7cuN28lc6wJzvt~A$=Ed@Pq*b@6lhZ-3r(OgLLIpE;!W~oyjl~2jcl!QV zj5APUPk^-+a=Eu&%gJB$NuG(=DbD^&@!UUPF^NoT^7oQ!b9Xui;GkAB`we=gqn{PZ zpI_N-ISI1fNP@ZjE$#nVyAyQ=FBK~0CL5_+VVy>93#OuYYI>zEHPlO+Dorb%Zpg#* z|JPo&CabWP+k&m-=3L{#@|0LN?NwBa5${UxTzjXalH^J>(eBT~)1{kbm=u@_PI>)| z3H=PN6Sgbtnb~O|`Kth(cd9I)_GwcmbUjUPEuv?UWSBfG)sGHfMs31_?+9{>s3TzG z&5AC)$s5t}*~ePIlrG4)(n_Ui8R@Be5t!!{*^V;#y5{LRe~M1?m%^}qs7>y_Mn4pK z`#xIt#C>4~r`BF1yAD}^hxHyFf`wzgmlVGRna96Ps^A4q-SQx#K!rLZ1CG3s7X|hWo4Rw%KPYhtZ5S3oe@D zSTD=>mJ1fEmtp`CRYZ{Cx}6i9p=+hU4(L!aqYh%-!Rn)0u9K*vx1}Jn! z!h+z@a}4-00#}T5De$8brW&Tj*=dAK>#9;?zu0XsHH2bHv;EDd>3r#fYSzZdtGJ8; zZkOmSu63Oa3S~Z-mPeoaKu&#b$ve1bNZRLSeK~cNdvJq|vKNUh2Z^*kTg5)?;~`^< zhKy3SiqEmMg~W2MD{Y1|cp$#{ei>NO$?Xct#&DfUL-U}aKn@MG$@6bt5v_cX>>FfG zcm)q7K@eJ`+iA7Z5?}YJ$@mii^*Q}D@9H?1YVxad#G%Kpl}rrBiJbak!6^%Cl>5h> zp>Ct<2c+qVz=|$2KV&7E3EI!>&*^Zv^Avg}zH!(RlP#N7@0vIK?$^IxmLlxfz_K!9 zdzYInZWT_|{7R^*QIxwO3$9~`53vZ6NhQJ)|xdA1&cITNlj9qs~*&(-V`)_ukM|m)73>A5T@~4q| zl3bkdAcmz;cM(i?#|Z~y$B!FM_^s5>O7RaH=)+#Ia%wB@iz{5^^;Wp*&Sg;}Jd6UO zu-gg?@8^D(1(~!}=deL?x%a+ux969IvCqJjWblT1?Nt^Q*5Y%#w@{*F6+)St8^d0m zM#sdhPFiV~P{yuYZ3E3AjQW(C@hhU3zmryhXCOk1aFhFtLjB`s*oh;b<0%5uHo$|V@kn5JVX?J^1H4H(HA z3EyBMo;ZW7&t>%LNj#&=h;TNKPE2FS6IhzdpfILRN967qIalQ-m7*9c^sZrmIczR@ zzZ{xnvjrew!ZnLVylX#O`iX1A%USeb-FL|qT==Zj(O_QN?mfxfQd8{LnW%6Zj7L0> zsS}tO85nfWSx=Nf6pKg{iZF$K+sDMaL@v`u5bsKwy9VdD*ms5T-)MBG|XT+m!aTXfz2u5-OM^`itX&Rq(pMCg^L#wp5Kt-+R(?d=lZ0=n$i4Cq+h(Zci> zwVYYrJpE@ zDqr>i?K%~{2Wiq_Q`H9cz3&rBTP;cz)r?@){*x$*>>jx!raCf&j6d+e=V=|=yxPbh zF!}UCP*|^#1ET&(82y+od;QOYz`H$`WD^teK*&itRKD9|F+pJ0Zm?~<>HL8B>_>UT zc^+koehPEIylxE!ew)UXcB6LP_`pxS=*4f5S@s$pRvO{fANcw2oJzcuk~8)G2L$}U zZ)?MWU(s3`N?Gp``Pb+JJY4-EGgYH!)v(o448$rFQB`fEqdvPvm_k)?UWV!&n2JdU zsp`dtslPC@Lg?l^3S@&BW=zF1vWX8QF1V{OlWNqX8?5XsMRX!4}fChFmZJ*y*x#`CzjU&~XyEecKIaKivJig7oiJiN%Cg=k(AOjZQVctv zrB@}Csg{;IZg<5ehU9@38a}vIO1}50#+b&`?&bTOM$Vywus_{T?87eh&L>kWp@v|m zxw|kZ5c-ARQ``MXt*W>HO=y9xYeSEF^vhf18}9H_^|}>g|I3~IY5OuRb=saUv0tfM zqElR_tLV)(rko%UTDHkvvVfcaG+R%;L8X)s2-k3?qW4Wg&YG}RDQWY^*_TrTb1fYu z^X)qkM^Jt=?P^lbk?dp1-}pJby4$bk*v_x;_OqM zIq(P2XQ$wy9740mz|P^Jo8j?dU&qpypRR1_u*kQ!nB7`Auj z39Jc3l?X-{WHPls0tVB4@Nq?8@NUFmYK^6gL2UVEWBUyDc_dNtd893U9w}mOW4MWp2R2HbS^#hH{hEOP?TJahx&xXUzV$Wf^DcHC{tb`9P-ot%ecZ< zZPv9^+*d2I8_i?!&%#Ut`^u@F%e)1}DZE@fdsw&!#syYRSCtV7S z{koQxBaEz=o)UjP@S9I56T|nB1Yczd!#9xdWl1`W%)TjPir9fS|T%|HMvbzI8NcQ*Vg)V9idAibn>1qCbi`mot~0oawlxG^$m1yw8hTRPCvtn zHjOxfRZ&-8R?zn|vLg1K+~3b-MVNxDDrZ9dRupRNg-Q}?Db;78{f5$U<;A{l6Z9&A zu5BiEiLn>hsKoya-n*A)U1QGJ3!7-f!U~lCgkO?rSL_8_EEDf_h!;vhbu&YL7pI`L zV^*^8+gbrKR*s|DDZY4}M7zWKevWb-OuI(}?e;WB2pxIfTZH;HL+vM`wq9U2fjNm) zM z{i4n^F%nK6*+zc`mOai|rhmn@^-w(Xs6E11DTa;hTnAi|y5B}^9tSP;{poO2l#Oc3 zkkrObJPPNI^1>3Zugc>T+5|oyLunK8@uw$*Lq~7rqu2L1VtMvT4Vy%evgLyX3#otN z=z|>O^EhLoyvp*iu;9@`K3&7Z1eyK6AZCsdGu48h5ftBa3?1Lh5BswTI{DLMH26ap zNY1}OZQK!vhx!kf6<|nJ>vMuICW(#VaQ{6V}dE19CQ8p?B_41$01$7{J_gwI>vX1=* z!Z9{YZG9`c%U4@M)#`+5A&Rxs282^f#vXq#dyt%zk_}*R?Uc_Tzv4EEs@XjywVbu&(V?c)e4NUlflBll*l>&JRv=wH(|ha zl4m6Wv!|zoBHqSv;bJ%_UIh)-URrQ09OegujMxI0YOfEdylXh&{9q|MM{&m-^Qs zZk%80Plz?BuO9sDx?$dH5RlBb;15Iu45>wQt0%p_K&Yp+&K1X0Ul6grBN1lwc8n(CdFzR|Zwn9?bR*)*7%KTK zBCc*l#6?6LJ&lMXHxlv7L@et~#3dt$_&5<~v?JnLR`Lr(?7f+Y-wXNq$2ZLViMVVe zF<72)f8m!I-LL(-An;Mqs(rnp4@*~?K! z#CiLOIHWa*v(s{}AXH)I-@_1xZ0q7zzSP7L@vosM+45vl5XV39;{9W)&lvGeBDPH; z%qxAtplLi2j|?NxjT&|2)7k0oQ_20>pyZ182*!yiOd3R7`V1rPLB#V%9r|>({X-)D zf`|)F5OLl!RPrMtu6}`tW5!%P-pjFpV9YzrN^VOfpCRI^cp~o948+_VB0kfTFz+H_ z-4P;QLc|4M6LIAjW?ge7Rp#*W{C(acV{fgrfG#uw2M)A9Du=rh1;$1&m z%k%qveY5>WXSdyutvipVhoD`Wu(!L;e>?QR+dUDwYXj{0aW-{zYTy0+=I@U@uzy$> zMe))H$ZV-g%zDFD(S!4%BQxZ~OtL_`tOK4!>{-m7C9+G(dv=BEKkfJJ41bpT-S+pZ zMI9M^HV!`^elv=JFA zi~%Q3XaA{d5;%NTaa>h?a`9mM2J;^y!!@T7ZEM9l0a=M!#QE}5$-fJo)5iRhIlpe|;y>wxM8y{;#Rw#?wX~PLHiT9OIa+(?-}=8Uqd*!awkyu2xwB22J(<==%-k z9tsLI7Oz&xBc7boMt0krkkKvnoAvVBva91d8r6W8h&02fm6J7d)TQrs4qs&&*Jk|{ zdA|>IC7o<9G)=tE_3F{`h_Y&WX4X*M4d0^MvX#$t1?edw^=p?7($9$W;s}tQ>mIow{^Tm&0pJ*83ngZBe@%@ti~u?DbIg zU}5Jfb?K@uAidea=Yf3bPjMi9NTfpt`Q$0*T^eOVSTnPiC0;cv9N6+;3wK}(HtZWx zRdt^hzQ?HDDC?!@p=hm|AD&0**ly(3$^Plu!@G@YVYvM?w+ssZ4bqN2=bPHpGDEdA zT(_5E!5ITCPb3{_IfrxS>utMlYOCUUjf?CRz)j!N32&DUOgL)fsumZ%SiqJ3TvM7V zGoChB3VJI}kU-n2V2tnW|NU0BLT=w6Q(B%^tqzY5uQXO~ltn6zY;fMOYct+YoZ6xa zaLnU^ew?Hp6u?bY4Gl<}sd>F%LxIw=NL3sjudg$f^2U+N-Sp!Fmfhvn={p@o*gcBi zRw+}-hgP@aBei`c4^XTs9VBPr()KI0s#^OC-YhKI0HraYMs~h6i?DX6yIor?PYGv{ z)b@@yB~&XPE#`x=t>he<*3*@IMfU+MR0y(mn^RdP=vs*Yc)bVwdY2e5_G;o+_Y7k!{)B278N}yRCG_KvP_zX0Cg05?iMO~ zPY3`v7!?_WimWY-0XCtc-cQ)II-#PyqfH4Wp`yXtI0xqrC-)el3#)C$i%!=>Wtm*v zj<0B~SAgPuXCI!UR;XxV!3(a4a;=O3IYQ*P*Msd^LsK|NigWi|lqtdHi$z!pnKw9v zbLiUWTyg7+vULSv<*hibL!m|`4_BShY8*C|yszqOt9DEgQL0B;?zE=0W${M&3HjvbIy!@F=ij#9 z;ht*Wbh$G3YGl=5-NQ5bpJZV}{T}!ksuz{6Fiqc#C~(_TC<>gh%Jdia?MUT8Wo{JO zi|&)Bo8FfBj`e$9Z8)-|dZlUiKChN4?wu%~t^r)w3VI#76tCN}*WV7K*S^-4)76Hk z?WHSC_ui4CCF~fd>>0q#f6Fgk%WWSPlxO5Zr-$VY*1bR3{G%-FJ-@|TZgPB3rIE|c zqSq&<;5CA@jYZtA-3as6AA#Z)A{Jc*V$l^N7M%^T=&})NuEUFne|9lTqk0POG2=^N)S|o;67r}We-67vt(3p7X&|ppahZR zG7(AcX+)C4PP?=O#G;cU7Tr3;qEk^UI=dtmoer_+vJs2!O~j&G_YeeiAgDtXbtr*D zBsq#jXGSbK3u4i&YlR4EJrIL!2I9ck5o#_21O|kfs~CwY5Nb}*3E|BKgJ2~>%{>GG zhcIvc2sQWe7_hkuA=bh{Fc$Mi*MTC*O$UbK2o#q*J2mewM3SpUs5!1TN|*tVh$Pp45lZ+4B_NVq-iL@JHxH5I zZh{~I1P&pR++QH5LL|8oSExCEgqjO^0R*SO#Tu0G2M7>qF7F`-yb(!GgHUq`vk;5! z958GHhET+Tn>Yty-Vlp!CJ3A$_#Lt6~9z=~`b^8&lZaIS0B_LQ`2L!7-7Q9=dnhAnpM6=s_(JLW- zKcd-mxFdO zYOKFv2MBsRg|L6~Q?U${UJa; zMC<+(1W6#cP9=cA1_IMFh%ERKK)wKwCs0MoQ~H3BFQM^%hd`1aqJ-&S^AnWt5~{cY zf}<#5;Y+F7+aRa~LEGn{tP|kkRe-Dk!Ez9M2ZFrsy%Jvf2>yH46 zD52nrSHi|4K7~nhTcyb^poG;R82YA0^&uR{BM_LcqTzf34D%6T@iIWZ4-At*Z~!I5 zznrQ)3W9VH=w1TBcd)2KVDmW;oCLvY5TyTrcJV3Hy$~46sda;32{7CO$jdLM=4FH6 zB@oy_Q1K&5I01rWl<+4ACW7D!2#$lm^a`5ZPblFO2r@vR832$V*j$cqn4baUVhE}d z1m{7p16A|_!Hk8ed51x;5d_>4Skz5a@f8Smql5tlPT7X;yM#LpGYV~FFL8clAvi?CtrUqGv3S=YKflTKB z**ghZeZVy;EeT}Da0#}zBM4;8asPHkjZ<4tQT$sEj+UkWZYSht(^(7Vvwn-sM4h%>o6E(GF&cL zsM`XvBSiKs$O3Aqw1-q$3Y9hrWFK|~*_^E)GoAysqq9(&F#@H92ZC%D;@k=gdq*-h zVnP}{o)+06@emefw668t@Mihg&J$H#2`bYKJg^2QrhQ6jw;NA8Mrl=zr+q?cm5rwz zr8H;bX-6onyzw+vW~nqSX{L_iQ|7a{l`k47Ze@NUi(6@8?>*vH9&*L4%=M=eOFcT` z!=HI&nl_x}i)u8D&zB%gD`~018UNni$NUjN;&V;yaG-X@uT)UfN-WIl zPTMJxrJ(o|c}(%s2tq<5iZ5vPISIR#1nPei4~gOnnteuTb&aPngW2?RqxqP@Q z&+wW9v_+NxY@f4rPQwy@3-u+)cxiM}Gx|d1vc$W5r7W|K0djq>cQp6vm<7#*sOMrK zI%z~lEc=G=C0KfUp@gIuPW&)&Hj=5#xfS^2yYUcIRzAELV3b5tj1;3m8A5+p+T#yF zm)oP6P9jL|xp=s@{U=2`n-L48mK89Qa&7ZO_6Kd8|4~~`(F4Z}@T$;CzJA@}UPpe* zSQ7q1iSUzN^#}L~>J(x`))MQ`L(>aHb2~dIjhbK*nVWnM9s+g*#;i2rlhxXx$=Hwh zP4nRc$%u!>;SWLIziX(<=y6o#Vo8-)flYB@C&KBt7zyh&`;F3a$O5$V?Vew@zZ{Hp-hm;Ku%ag{}I@y zhkP#{YN*jXd=MgWY&FjJmY)>2O=mD_JyBbw)Eaht<9Z=(`s0sp2yqvzak&JDKiL01ezB%eckY^a z0yZv(DE!G`I~WCX3KJ9*4mzYIvu6_|_c)0OjX9p}dYs%^Z`N}q$3j(xX-U=4iW zh)pqrNP-%YAUmH3OXBQ21v|MF-trbHViWl)7FI}5#HXcl80FIJD$%!O@P)CM)D)RU z;#*49bPgxK>2~UZjIEhX#6lDB=fPcP?nh{z!9#M!LcJsM z9NuI%o>olxn7t@zLm2XLNAJsMC;UYzqf;59$`izZQNpM*Zz~{!0X(0G^DzMni^mnxxwnstk$0RoPBdoC*1QfWgl?qsWVXaEYYW0@&kKJP zE-oQy6ZZGm5;O1`8+vd`rM2R$WQ)+8&Yg&Px{ES+qO@9JOG!5;K8&SsUx7;YJ&{Vb z3w66jX#tI=U8l5okFyu2Vo+s^< zO0_pF6&vPQJ}?%iRO%IB>lP>Z&$1;pQ=Y{i{R{LN<_#~m>*yQk+QV7uLze&tkE5b$ zr9}ybus4e-^eJNS1w5pNus4IH^=~vS32z_k2T0Sp%x^LmmoTQ+iOO9*oTRK|QnpK_ zoF8KIp>449<@f0DQ~Y*FBzLm|_w3mcL*;AZz?s%i)-^D}Vf`$ZX5>9@ zlZ4Yr!rA2nz;!M{uqgZ6tkP=Y{5-2vJg{aTju(%uKT_IlJf!DyU1@_r;L3;Xwx;nq zb+OJB*ubZ%USNaxGUg3`K^8H3V>QTd(x`@p7&vKUa-TE`Z~Hq4T>o_amo%!_DK zuE5Pz_{nAorQNfYv$83^$>$v&bgdWPWPi_U5{3;5eysly4<-zd(GjCc?3XJ(o`ax5 z?qftH!-I+FTNEDrouCkIN{ob}Gf^ld6eKQYI8@WD>0oT7`_bNgztW)9Nk#!LX453W@fIHHJAxdE3|l}aqQ|0`V-YLu zuJMm`dMOAW+n7dXKZL+m>V1BscvrJe05E!Fbf|kWy4J$%+(g7osbqOs_{ILlenR ze+>`b7lm4Il)Gic+ToFq*ik>lFD)<%1pX| z;u2Vyu2y7t;6ta2R!9FMdZc8&G&{Kwn@}1KfZ=Q}*UL^#w|Oy|?i&dcQn8z}zuy;f zINYy#wTy*5a8Bl)w^?E)@P2q|%MtY2jtqjY?XAPE>XatJk7$UJs_XB<^4x zvs#=kUeyc-u)ppC{~=h4ZDR^ioF|yYo-l0;W}KSAEJy&%&O-^NpH6d)wh3-IE5dQ` za7;`zQaE#bb_@B;8!G0j=GYRm+OLI=dgF`{J}NsiOK__v1E>#87)q#9@ThOorOh%4 zDGT^e%aDq;Rk|^Q(tc$=+m(jVo2fUne7|&@c+&2E=dLJP`7e_StXvpPn7nA`qjAe` zHLi`E!py2>Z5+tdf73)D9l34QBuB>=hiYIl(-iOdU?5TxiGB`e_QK;g%^`<`6hZr!nD)(7sdc-g(tKUF zq%*cG^@TrNrwmGBD`1JhiO~gdS%S9E!9K zER;gYS&(DendILdbDGz*Ys5)vuAby8PbX{WgfGxBSCb4A#$*=Fny$m$_>h#1WYGS&!2(m z$=o2teU&+JZX&=BG?EAMbl!;Mbx z-#BAKS9lNNLgF`LJokA>aH_rZh@0=A{& zti&5K3*1-Yn61a%7PsZ9;VZyl7N^`x!0Pp(xtsfiUc`GnX@ea;PY8Nmjytn`0}f2b zy={TD8ejTj5dK)#p|0X7a_SuSJz4u`cgn(`#ApiWpje*KoB}+|3A}0ag$Q1 zJ7Ws_FLmXrP^`{xPB(_X-u1iTlx1B(e~JMSuuzjEryGtd)(sy(FCw=)ndYQc zOn>i4r>I}+Iw-Dj?1pA@HLGe}2j6P;;te}PO+XF7_8U!5RttG0#b)dL%$0dv^4DYE z_@>U^%eiu8Ii1sJvhMZoY`a+90l?3qpVl-y{u*^OyIRAT*D~ zLzzz%*VAeImLX-N(`?$rDxIASy=`Yl&RHgskA?Sze+5v1+0rzwPI--_l)AYSbS)U* zeO7YT1Iigjs2Ny!LyVCez*V~~F%;vi-$`Kp(Mp}?@O;VV-7zX4L&AH-Pwp+J|`ES7>D zBO7TDpsXz{a$hlPyA9GNWr+n!=5fPHs50CCn8rE-X};kJ?4*1rV363umVc_{EEExi z8kf#2Zq6hxYwb@4)*$T$*@Kb=b>QRsiMDd{KQXI5LXN76n57|}ryvXg#8CEGs!|GC zlx)cF_0xEUlqc}sgCQ-m!Et!4Y+FCgdo2S^GfWR=B@ES{V%28|;~Hll7*zOT$&sHb z2T8;_h@^F|k>+R_7Gq{c9Lyu)I*z0`NxuD8N(%Xv6{3uwLTaRiOBZ=*z-2I)M-s#rW_!`{MYbtIcG6%(7*Qa75ew9 zwyu9We*7J05gPO3B+^U)^A4A&YATlx7{Q`3)owXPCUT8TL<1p6O{7-M((W~ywq;s1 znU5KXQuDbHH79#KUMX2+60h<&tLZQjavTz+X7Ffc+xv}I@CgB{`7db;$)b4B=ub?O zGly!L(p2wgc}CdB^`?3ug>Rr@%Vs>(@8ZFRGDIc}degkw>N+2`8VZyQdj0>!sH*H- zV39cJP3Ng;$uVk=oBBXWrwlX2dz!v5ZpRgQXn;l(fV$<2q=^vL+%Dr6(*%(T-Ah~! zwSMJLlF~%{62|GUhu0s&67Qs~7XvwjW-{IAuvn8~V0t4FV5%i3zGVVaJZqBQQgwhb zEfwZdyR9oTpH@c=WiBH_OsL2Yn;(M{uqARK!Y_aKFHOkHYCcu#{*`yMe;Il84f;#h zjc<~ZR`e^qL%#%mW~B8-z1Aq=XO4s)p}Ta9xJhnRL+BFj6RGHh93v_zEwu5p>y&0_ zJdMVXDe;Y`U86K(<7wrTHm~utB-s?eQ+|wx#OtZs6*S#PuSDj?&v!+)Tsoj;)bE~) zK*~)geg-w0ghZ2L<(CgY7G$#3oY|z$Trq0jW%nXbi!SUI!VFsdX1-fkIu@4LV>hv@ z?2NyFfR8&v%MrE>zq^;%V>j8UMuSKpSz>R|h24P2KJ@VAOP1J8>q0_N+H|G-Kp7$8 zm)MKFXTa3eOUdZV1*7M93O*PwkGY62CsBV>AG386yGAdG5INj3u$>n%TQ?OEX2umy zA;BEdh28denMTTd`4Y@&>%s-hENBmpxrE2ezC$@h%wkxda0zCUCuTmhSl@fhbFiS+ zG|G{FM&i>P?7JmPW9$E6`N|v$G`!)B4ilUH?HOoz|43$_hCfk~o@VWA-~uvt!)>$J~Pu=LM;9-zG%-_n=H3GlhnK>~v%1 zDfl}Vc+AERKwxPU^T5u8$g&R)^(j1Dn2(E?2d0W#F$xLh*w?GcEbXi*vGOIDQ`@Hs zm_HUVXY-h=33IiGnNcvzRtaWDJB1<2l^Kp zzx@O`f(!BB`wx)8a$drCV#A52AEb$P)@c{nnQST^N-XiY?aaLfywa-=rLkq2BK%_I zaZ^@`YrrdKu^J7Oo2MY}?}{na=?W{Kx;nmm))(??z-dplG`rS--x0%8IbvCd!2N|R z3FzDTwqaltY-*woW|*^|p|nOZudZZ>80Isea$#OAVqVR9C7vsuLd2{NW|%_=Ghe<0 zbIwz*3z$!fm~Zfyy$Q2f#LOu8>68Ss5`BzY&d|zO#8}#prChhl%}0yqCzj_h~$N#nCT zHEW%6`t-@Db9`%Mfwao~_Rs4k%c4A2xkI;o&W36<93xxc*F!)i^z{43{7SuR*|$j3 zlSK=&wLzRrbsZsVL)%#~CUFgw9Xz%7_f(RUS}-kj-vTnN1S-x-f@dyLx1$tuV~lQp^j#q!ad6M{E#>n{j+$OHqFc`=rM{WU!Te zirRU`Hg9JdO=HYIuyCEO01sowpqonxC81PED-cGAmy7x#$+{S6!PoFhIxqPDJS~TL zj_0IU){Rm`a`NP=YXwWJubi>v?d&F6Y4q4CvLYru59S7OX4S8->EfLS>NryqT4OJ6 zms>|`?2@}>j;?2$I@sCOVz4euH-t_&_D$B|m<}O{kLSrc9KN&T(+o7s5@UVlZ1_jE zUTC7>^_pn%w&T=9UC%B^D z+%mw21|T7K@KBP^V8&g$?N8CT2e2kvKx00hLTcP&29+8f1!TzEL9P3?B15vKt!Ux||53 zV3?yNm@68?tRBb^RS+Vph`G&QqMQe^UYBvjQ%Eplha6$fCCq&J63jm8LILvx5px!g zc|KvbiAmWb4)VCG|@;E1Az~Jk9GV%J-@?-y9!u zkMX-Cu4Cr72gK7nvdzZp&!M(voq~s}etZbkOu4Eqewua|^cs*e`d`nIlPF%KcxLg-36O8NLS`kM0o^)iX6eUc(8$(24upgkNjE>Ed}~0D;-|~7_+xN5 zuUqpnaeb|tFE5_TBN(pouDLc{KqTkuiNyLAn`Ts#NF4azB@&PcB3b$NPD(pYA|ZnM zBFXOlK8fTqi9|~Tyh!+oWtGoMl^|NUh)0X04ko&b647bui>`*(;dK(7H)HF(v{=(k zs-K`+u&vlV`+ev@GTnR^Z zM_oMD<;c!I^mJs_TsH1WB1RlocI9*j%1KoG`cl|x;}1-g@?zp$@Vx*zUYQ`LjsSc&mPi?+(CCG1XI-F z6eBM^5#E+bEogw2z@#@v0&m)$xO1@vp``b===&gznw}xg$)zt{f)$Ig3e8;l@vRhjS-d;irqX4c6FC- z$5rBqiF}@GAVb^UDu<N);5Ss zXgv%2JCi#cc;uR1BzMStRBw8bcb@sp$7u6WG2~OQe23t%PnP1gj709} zwW;kiG}BPv<&3b0%2_to^5r{^J(n+U@G{YmOw7AkV}9W7(v#aTb&k9bb=rs*$#XbaO4&Lb| zHl?DhJIM?Vy39aW>DUrKis~<5Qh156B`cu)CH$>$iECL_CfS1$D@||n4XLAwT3(*S zO1)y*N5j-NWCwee}hJFnG+PcYT&CaroD#Y{0zAb&lS zE=(DzR3)mp-qkIz>E%#lD|`{|?Y#}ydIv0LQe)q8oo$X(Q8qnBD-Gr}$)|fR!0Qxm z77tf9LX?l?88(&80+7ccwCP6J-|>71YhY-ykFB&F=2APlCp2?qv)pteU2I}zp}Br- zZtI*%fxx~n^*}fLTL}G`k`v8TzT}DzX5;Rm!`K0z$j$uFOrX`+IHVjYgj6A!bLGh`H>a`{_g3F@V+gbMm*Xmyv6 zAL8APHXD@Yq|#;sZ+S2JqtXOZ%q^?+nnY7b&V-Fz<_*oqX}w5E<_)q>v}Ck;;_ihd?CymXVL&@gG0fkBN{jbm zuYq$x*XNQ5076w@Z`LcC3pi}pN=pvGhAn5tfQx88`3WXg*t!nhugcgyu56p@MeCLPi-g1M#T*}s zo6X>0Ji;2C<%QMcTH;CGA+4$DO8Oh;PS)SBdyKp#AzUpltYm9Vd?typuQ4}gSCNSvhn{B zB~;WGsQ<3Jg!v|6E@i6HUx#MGLvO~0L9ufJOEhh0zu}s#WF@v)_C67s^TulvvnwaF zwMKd?C~j6E)uW+$LWBUc`MRmFv8rG#nF+gcV#MPyPXutnf~fUeI(>XgB4^+T69@l6 z#rXa320{zD5BGKN@)n5Xzmg0Nx@3Tx!z$RV6E))}L$sQ95n7~}GA2pnY}=IVmh(|D zQmwHa=B(kvC;KSegQm=g>;FI*88q{A`ntwf!cLN$G3-u;{iLpZ$%)y_h~Fra^LJ$O z_k{yYiXIR_Gr7ufO%X)=OS|#|fAI3z5_>1QSo`56#cHhr#|uv$m4$99(4Ai1=VhJm zOq_*0{d5OgVSrFw{-#$6^=nj}JHD>4#L;^aiNf*|Q5@l?sO-~XzKUf^;WLRJhbtnk zS1<`vaM&ZN7fp&Q;CgAygC zvve#){iz^)cfuahq2@Rqj$Ulq&d+iqW)jTk-}p=k7^?+ZrMHX0>~?9vY>p<)n>7wMzsy2oRYID390%` zMk-qlQma792Rqj83y&kKO$FV<#NMvg*JHa$_3gtKk zx@3z!ed`7MuDbD#guR)6GWPx;4R>$)5#G{XG0A$9Y0-lE#?n#!F@^T z!f>tp%rInD)?kzreAD&yiyKXjyYp*jh&$K)W&WKZlbrDZWD)6J6mdT1!ZwM{i^r}Z z$=xHJmkT-o@cjygo@*`!u3tQiW))BRkVrLbSqI$` zGnz#bqxo7en&vT>j7NGZpiU8k+LSC<`$%A=X$G%=>#G|mV2@M*dps17{xv(#&>6j1 z)E-!^>+&LvG6n6^=FvVk@uZJPS2lr^wa=yx#P+EZ+UMZ7T@7u0Z%eWm9oE28;<4$R z-E;MSvGqZr56IT(b3`>F5x8dbshy4@s&(eD=OxYJFi*YRMP@ zkk!76mIhZ?=bR0CS5r_6tGs}Ahm)5Cgnl_g{wWB zP2S#iqyQr+pv+SNe>QM73B0p0n#kECcsiTG5u^YX54>8CDx6JNB^0p8Qvp0FiyqIl z^-#bsq5`h3b}3*+!rX>_eZ@Rd0E<+g(9=T!|2w~4Th0_fJ6#;pTnd0^`M4T!612$xTHk?NR_w23~_SMZT}Yx8KKz_Sbe^V~&LB-@0C^%D=zqHEZNR`FeEXBl~x zDvvJoSI2%7VQG(t`W2VEfM=;nEd%K-56|)&PZ~(1NgkdhQ{q`J37(~0DLhMk*M2q@ zxt0CkoPuw9c$WXAYagFQ{%tW?YdO2|PjcPHJ$cwnO1O-9EEI4(qkjX}UYB+YIGm&_ z`STtMXwGxn-~8VLj=gfd8Fqe=zf16k+LvhdM=6K(6Bl;PQ0s|MH|k zL~8R;K%=fb=z1frT{#xHl|x7YBe8Yj|LodJcas9%X09DOY6aJ>&THt}c~Uz48f6nm z|FdgfWq-4wYtLo}HFOw*q{QQTlK-=7AD+ZqJH81&uErTW!L<)7!lAW#uAL{%B2un{ zhi7TjwO72-(6z6vWUie)`X%)D@GSp3*RJ}OtX1QteWezVSZz?6a;GKVVY5EOj7u^$222!nW8oQ z8@X7~v3&`S0JTp)EzVUkqknmuRq{%`+B2h9kw9q&`D14XaiuBN?-oJU5oF6Ntkdx? zDJ4fQ?LM=kS5ofFOdW!ZGdSu#Z;IZ#ka=(SjMmD|FyxU?Lx#A7ibE@Juf=cA5&M3g zG@nTI0x2IyrKCaEy4E#fH`5gWYyXjUt+4_B5HtQ?6Em;6Bml?aqsAh>XA4cNd=Es? zeeh8K@;|oF?DhMwTISh_hIXMd2El8{)D1X+CYt(&hMnjl>4<%iM<<#&azDv72XmIk z&Q5MqA3k|x{ocshelvZzHxPS{RWA1ab8nP;XYLuB&U3(cHFq*HTPa>raHWS=Y0_}S zZj%leZ5{*0q810ptI+aCRM}aVR}n_+i@JV*O5}s_P*=jP{r}@QE3yTazb|?AmxCsL zi;M+(31^+)uKj5w9@ntHyv6sIomhW)%cH+czI=dC3?mc|T^be^PL!Q?jTuA<)!2T9 zMx^g$g1-~y^dDyrZy!3}F#Qul;xU@5Yzd@^i~I?BSdJ`P@xhd4J?7wEih85EPQTwq zfq6_fDfJp(cI+WiaTTe!Iv9bD;tpEOvU8qRV(CSK-dnkz@ zgnbIe)We77s|itWVqJr7stymmJvTx!*{iFX0&?YQU<$4hOo!dRRk-Gi-OD}MQ_*Cv zY&=_63((MHuk6B130vt~+1*E-(RiZv)MxAMe#eNdAq4CJ)6LO>Uep(bNAc?|5pgeR z@(R}fSh%@@twu>!!_J1DqvoN#gok{CO9e@KRRylth%y=gVHVcpR2v@ck}P0unYf#o zTMjZQ+kk1B_+bW6eSHg&E|QR{Co$3rBDD&n#xhfJGd(MIJ!rY|W`3Z5*lFGX5V3o0L`2|8{0SmojeCX>=`TJOk#+hZOisCq|@WZ;cc|$>0%Zq;$CD;FU>hSw_=x^OePvX66iPEtn`Q3fQdtg0WjvWh$y=r1FVWedJ_?e01(JNe;#5Ld< zqZZ6SgGkBB#CrD|GQVra=OL7iqj3fi=UOKv%Xg~EaqQ|h%K&?y0a)$-)!Do?{tXye z(fsn4&4ty6Sw0I!QYrbFF6Zk`81)RQRqi57UC0MnVBdl=-kCujdpe)VM-DZ6O@#wm zUi_@Y&gVSIa_^+vNmy!P1F!b9sF7$r)<#&3zFm4$V$+wm9s;?#57~5;VADY+W7efj z`oqz4@+72XJgJsQZwsVL+4`2><^tDRk(SeH=+?ZlY;8QCY2$V5kGWV<5f+R}-+7*x zSxU^*)|bFipO>dn0-`_@m)oZ|;Bxu~PVI5GfjYRLQooI&M8pP?3>?b*#kjP=?-mggxTEZe5=aPes zEn6<1M+S~^!|jYf!Y8=(JKGN-vwS)p>Lr3(XDdpFqi2#4Vl^0U zL*-3-*_Vf^0G?C%`_ms)(q{u2kkwTNMe)4%jbb=~=AZgO}?rhU3-PDOp;>TzCJ|_t?i-egS z1D^2>`@c2ds!3F|dMUNaI$U$_(bqm`{Sk_hlT6e}=xhCDH1PE>a^wGCyk&*#0;Jm;Q!);;HrbMIv?lIRJhhb@Ks zawW~K&!-RiFPQYY6xh%*0w+{2H!mOOd-4NwJdVu63Tr`%&exq{I)#GmMe*bc1lXczx1+^NWRThr9wkzIoucTuo1eb9pv?g_HtW zcd&qz#*CEgaYV`<6DfSBlz#r44l^04NBRFK*cNHrq}wc_sN}qP)}tCCr=Rkv$;1}s z$&K{$qUw`4>@>_P^J}x}5*Ez;SNutL6Q2DpX4vF=*gM*|DT@7jV^eMXTPW%*x4{Ow zu9d{yKsTfELo4n2zY3`Z1J9J;16dnB2%7GfM2<0$(Z5$fzt@>=sYLAI3)u6I>@}n- z?(Pk|2k{jK^=ZYQlT^Vo&7VBIvs`VL8abtZqN}K{RF0!< zb9V#F0OdCqLgLO+G#BpfJPBlxk{j?pF&q+%csAF$NPgrGvidZ76;SvH=)~c^>GUD> z9Gk1$>`vkN0ofDpu)@zUIm{z=|Ed(!Zovw;xyqdI(I6drYZreYE6gMM_(8FvkF_@uHJ=gd9?qBM(1NbdyG!Gdouk?fz7 zh@V_Ed(7Z3I}s~6#EJrLi<|r%^sg*X-WEz8hXGRhhHT-j$rdU`1Q{pD;#H>zVlJY2 zstDVbmZDYAwk0pxMA}KP6s`Wtu0&4>(c_F=ZVSLkJZS%qs#(?v(~aOOB9OBuh}pJh9$MnI8l?kAWT&80q_8FO#6&lAsc?dtqUu z(ZBkY(1${S1fPG|gD8H_2x}+srsFbQaPn7 zn4*7H=pM=_+K=6C_YxjjPA7lE`69U2%V`NiDJo06tdRs1%df9I`by; zMB%#`e#DHVeFqLZkksa;Skq|q%u)ynQYHy}MBx6zB+&q@n?x)4)jZz%hwWX?KFr(r zzlr*%2}HQ&8zP)G^n}k3$4z-|h^7xkwA@kiiC%eLE1er;J5Tw0j8;lQvj}ffHj>cT z{qT}YCZR>D24RiEqfZc?yvI{e7?9_L&ormD#qU2vtc4P5CHyE68G*y?=q6<69l7R5 zx?!e zbqefp@tOD5WJ$0L-X$qea1V0WpA-z^Y7ilj*h*p9w$+t}W{c3U-y~cvmwtWa4`9jf z{K;;VH9{BJ@yearavD{3p~`dk%KVh>R=->L-GjED;)D~GsTYZLsi_is#Jon={n%(- zzf*9voT>IX0^M3^LU{k^HR|8&edCyk5Y&!lH?oizxnZjrfvk``;`Cl!jCrSr(|gf$O;4;Tb@esA1?PL;>mKnJL&;vQ zBo>Mo3$&3a>;c&sM$(sp)^LNTLEOBFyo}vJ2jSeyCYX~M6>WTOe#bDV2aQ#T*u5h* z1=SY4h!rdKB6M_q)S&&ckcFLV*!i>(m7I5^Nal1O;c0z?tY&S@m-9dB*mpenxA&DJ zKR&#<$7+|_JJ~8f<8Q(UQiv?i^St_=m{W~2GLiL+p)}y7k^|^VxC6u5)|}WLbl?Oj zCZur$MIGh0uEZ;M?m&}SOhQm6r85PaP7S~QnTf6UJx;q9*olKVKRT~P$EJfPA=KGS zH(Ffry2zgTSeV;K zos2TP@x&x1XW9^rrf1}P^ea=Q^}yP1mCq^DRU6`bS1YUS%i@F}j^-Z7Bu-zjU{hI( zFZvUyab1Yi0Wg;IpH3kWJN^givV3STv|$J+`8>LeN3gtJ>>Dd&Wru9l5?LEmwUr)d z`Qf8*Ht3H@J%C#{wrr(uIYurK`t)liFzT)zQDn%NPbN39TSiDsyrui;MayDV20Pf; z)P}2TQrjsIj$wIVqLN?omgKxAEb)XTg~!5EDmuuLqm|${+G~s1Vk9|bREd%hQ3(pK zuCyz~8IZJQmu$^^+O=i1+11>NEhcjhppmn~!V^>Z`7S?{X&b_FSt+-#T-~)|Smmc0 zhdt09%VMgT*JV{4DBV3=p2&kHvL&n64{mT1bw(_AT2ImTG$|}FY&Hq0Y+XIG(Pihn zB}Tk9{wnP4wd&ml=9thC6Pdr`dVBFoJL>KGG)03V1iii1pJZ_{p(C*qDN_3$H}`fQ zPIzCC@Pc^uBlT@)G4jRd2LQ@wTznSdcXjO=)yM0>*Y)38;DaHqu4$T+L3(^W$p74J1 zy_9>v<^^=CaMMlzt@Vol^e_iXI|07AJZQz0!ll0uEC$W>HWvWRkO7Wnl@*0VzoW4$ z7Ffy^-73v~(mAwy2yNbF^XRrHgl>H@z3b5p6Oi-zXbjR-7MP!NnBS8zD0$5C25MKD z4>YTDL@>`*ye7|?y%mM*h-r{2x~z(i!DKCINPvLc8sizctBBkn9x2}UY4I5@8@6fP z1D6eFSDUK~VGj-snT>aX{IsC2mB0HcKM2?`guP{RFv;3oxgGy4(&70aC zerJWO3?!>~J-anTx@PrL;9+|Y{?E{0b~V+ijgVrEp}&EsR+8pgqBv(5C`KIFp%{+= zdH;@JkYAnX+UEoiNcN+Uo#u!3tyIk+-nPTpP0LQRA{}g-WBqP=q=N(go!ZWAbF9h% ziQ;uPTlJ!U-8NhGcHj~EE+>Q<+Mks@;1Qb0J(sYB$Ysa(C2s^XBi}Ga1+D(0l6iRX zzJSRijpUJd#cVsz$G0Q#$#Okogi;)hyDd)b+Y`g_bPx(x6=ttzQ zYi^hk2y$?-k+NoDe9k4M?=6VnMx*NaC#QS8QL;2**vY1;z&ITJq z;5KXBL3HvTvVx>$2dv~ka|kq?2hC+m7h7M<5jbG(!hqC`jE<=<8GG;TWn@I$!TXf3 zJ;cb)OYfLUxEtN#zRx6GsXIO6^fAFXHb~hhy|*>(E?n1_H0w@-I}Y~T_q#aQ5>mKs zTZ#F&*FH{obPB*%$FqN|gcd-8c0Cbv->DnO1h~r+p7VAS=^q%zPE*w036bWt+Z@{* ztMI+QXn@C^>2;n9s^+e{sSBz}^Co2$amq{wPvr_4(_1 z@B``@Y2RYWgI(B^w(Sy^{%*dI?VjHd+bMTZNGWAVI5FFCh3)-DGC7j! zirxJ~ZpVZ(P1^Z`+jjn>w~}nYAQ~5_oiS+MUl@ z(on!{c76mAt{}pLmze~^bF1k1{Rt97@G@{T%BsEj_roNG$HYL1KnmIUIuodYwu&ZNm6AeVdc z!{}#no!Fo0@d!u8f_=lt=J~0A>S%Tvsxk2UW+3B|jVz-ERqY*Yx1Q@A{7^Pw#4Xk# zen(}gTjdV%YavOQt)(+tD_VZ1OGjac7@K155J4vIk^So(s#Qk1(N{L>$c}fQOZPFP zi)7M8MWsb#9RbWbmJ7`K%a=r-2hkUI8)j|ypXDZ=a+)G&Dkgp9f&P`o54xM|TvZEv z^G!A^#R5K^QL;F>sZm)|mu4q7MH+UaxrGCfjdr|?>M;*d#MQ4PFU|GG#JLwSp(0Bz zvVAe%QeJwqyx>4wha#vwIY;h_SBUJZTGW7;HPFD+06^s<8EAV!{EHa42$0f^DBS0T zpXC-SO&uZOt8D5BVkWoG1RWt_HC2k+#8omcG^HPTNs0+2#mEIc?f&(gengA3A&}sD z8IsOF8ts%~5`G>Dzl1kh-bx?T9d(I582zzHRHLeKTDb0-G<$pUM_U263;tq+ZzaNW zDswybtCrt!A8@|7$vk=hVZUa$vt)#Jv?`KK--M}gjgein zPD`;#BtmTZ(EeM&u*i7yH^BQBppZ>K#*AqPsPM{9SQ4^4B3rcK6reN=G|U8wBeDm( z#WJ+D2yM7;hAk4eZS1-T5)b3jcTsqg(}X|U4S4CMDNGj>bwG}1FCjVixi+p4IpKU8 zrcik<{V&=zF%TL_-GSz{zxyL@;wKHQ+sL}WjXQNNOgO+s?A_`-p;?N%j%FzjE{kzw z*5rv)5xZd+oKm%wdsO1Bw=fu42O#v_dDT2EP(|#bN_4eHd14>IH0gLzog38pB($E_ z&fwQ74?|H?N@hia!n&2ZaALi&Nx)nQ=^m0AclS53i{nmZH_1(I6?oLa5p24rrrKJ{ zh^A~3gNU}yULT@C2s^lywWO&aX5BBOBMi}YG=Pm+T@lQ!zt3V`K96D@AF7m{Da^X( zPzMS}P8ORmr0(Vpp9|Ak*%?MtS}Q+S`|}}LOg3pp7Fk)FCk|rqq?}HVmuI_zz3gi5 zhDOj}JTHMxTSJVotuPor^PZgnFxi0ggRo*=hWg%~baW(-3Eti2aXp%f9`9yt$I(7QCiXtdH#ch6H6GLCJSuP*R9yb#$+X^G+WOW{HM7k!8xO(6=|+)DC_%eX}JE2v=BpIxm{ zzNNgf%<{r2x#9ulij_|KmyS4%>Y7_9Wb;i8095&ufld@e-~6xGxywjE`W9a`MPFX8 zufhm}hRJOTOrCd!`L|dln!liux!(+){+1M@BgM%5%!=uo8S@!qb^(xZ`@R1t{QGxa zlknq7_$j>6@>ZJO`RYpg5R-sZwnkNr(<0}(an+pbC!5%T)P+R28ab&JyW8(JjHc}~ zd;mxNm5xYsUm#7B+a6S&k5xEQmlIwj@P7Ac088$okfrcCwE1y4rj_Mt6?$$W+kt#L zv4tQCyuY**@NuV6xc5P(iD`o_)nhTj5L8 z1nkB+rqHE4$o#~^_5{hjQ>SBDyjhE~=v22( zG=lPx72BE#*6o$Yok|m_x;)Nyov$;tT<(CkGltom|g@YFU1+VPb#I34)Nf14w96dCA57 z5NENav@fNUpf+7_T<-79OWSmul%0+jUPMxAPv`zcNg^8Cmd+Pi;pVUC6tbAK7B{xC z75?!8_@mj?MJePj{K#K4T6`49DA9=y->-)!#8Px_3F2*1&#**+V|+5k5m;qMQ245U zF}aGRY`yL6DpCRETPaNW=>gjmbRR&89oa*Gg*}vYaV2|L0zA=j#8qD1@1YA3%pz4r;ll~4$!F0{Vlfv z$rdq0Ct3SCYR2mavk#Cw@k95IE+93gkPoP?RBnt!dwCY^WwG|8cL;5ssXb9m=6M&H zC7H}5$%ltkUsnS-_xgjD7hMCjuHAivpJeV75g%ego6-4i4Rt=*BU2w0HD}}Np5sX$ z!c^_NW^`?Z$cc@w^Zxi;AQ!_=Bo`^IvA9zXpUJbTH_ie}mn~Sj#(};d&_bRimhyj3 zz|v-uaadj2d5aElTNp>$ZN}k4pzzJ%Ib4kPeH zr}D;ui|;4iq_>)Q9I~Hl(3pxkt1ad%|zOv|T2^ zp72{Y!tRj*?4on4{~+fUwBwvPhfeakknD+(nNrb0gz~_z5@axNZ)%RR?e8LZ6vFfM z9jYRlTFC?x3f>*m3EM81Lj6XSCXkBf>kF-Zw)k%&;YyqeNy9{IP06hEIHv5zLS}j= zD(fj!mS_D@uml}?;N16{1Ud;F#FiT$f*?_cV_PK{Oj*2rnZO}d>3GCp_WP$7>`0+x5Y9zh{JHHy1y zl!gD)OnMN~T|Ps=z~loos*%QHli@ z=VL(HFUdA6PwW)*=t^XDN~^@u_`k2M($o6g237TWF{fdd>@+0gGR6QWUEpDnnLjUK z4KdPkpUHl)Q8Dv4^lW*cY{%clWC^;Q%2svftM+|`7Jlat8AM90z2?5QoO|f~0psolFX#{mKbS6i$J|4;$0oDk?vwiDsnC~m zF)_0|71}mBg~nQk#guYKkwwX-H~;D&Wx_j8@-#)&%PL#$yOte0ghNAc>pR~tw<0{b zTavII)#ADo?=_8mxH;vgj)9JN1oAA8fu8%gk%q>BQZ2GC*%guh!^z2yZN4QEeC5aw zw3zXHJn*Yg$xSy5BdT~hkzUT~_3+~B{WIxfpHwB?&UPRK3=6n-!@|>NxYfej+jb*PHuT)vvbWtq8!EuF3RXuSmI-;>l zqGXSYZHrEB>m>VK!rXF-T=J)yS3$z{LBG9M9<9`?@#_W>Z-I*-tSIK;{NS$fKkFvUr+A9KzY=e~>_9_d$hJRVFjPv|KqTO1)T zHo=W?=HYlLEl`Z?{H1}~%i+>WcStp3Nk0oz83GA6bjl$K>uh=oB)mYO>EUft9f0FI;Vr zrRv&6aP)VgE6b@>SAW7APntNmQ!H(?h15RYP!#kmK3If}w%%^STH&Tzj(?f47mQZm ziozPdn-3r-Esya54YCKuv6Z^{&R|-jEYJ2y|7~f-DWRn0`plxN=`huE-CpCsjg3=- z{gl9{ceUk??d8SPqZ4LIti_4CibbNYtkqACIvsI-e|dw-CHC%(cJE{V?)la7nTf9> zE*h%qpG|cuRoy$;S~GgykB28190z@S)Jf~{?VSz!P6Ygu;$}w zZiSv@TUtw&1nfCBL0jkitD{cs@9(*`!DC|g;WyjuTm3`7ua>7jA2#Wtq4U-j)7<); z8@j7?_Fsg#xB+3l@44dc2K{1&If2Mgj^8u{(B;Yv@fH@|CFz2r& z%wN9%X6G*m`0{ze+|}mvp$WQX1pM(q=9LXGI|(>-JprFs+ecB*u5bj=f5&0T#EbH= zYwc~nvVFz=9(N6YFR$r{-?bqmgpgL?%YQW?G#)h0J?a$n86;MeN2Kl>1tiP65OCx~ z0)9`xnp6S~I6}bt2{`6D0UJkCi;)DZj3D6atpS{Ul7Q2W5%60A_IN&Fo04Fv2w zi-04&NxWAG*!3g^$rXBb#>TWVr# zTRSwT_(nxdf9FvB_q_A|r0a{^)Z@Mf52yAkH%i&Vuw zoK+dpK5+z?GK3MBxHAJQW?(^A2uu>qz?2;bvu-=XJgge&`oUx)jHKvG$qHLdYyV6J zR@#xkN)E)3fvv5!vmWSdU5^?qxS`C_2VFECV;HVhBY|imjIbR2Y{?3#rnTlThC$ak zaRKN_olf-V7c+WZ)eC6q3e|Te6{b-b%_OKbB!wI*TwAZDtrG-53UA7s3#Eg<%lyWEdJZAPk9*n6w8m zu-vW$mUf-dbBtjtm`&JJ2Ury?t0Mo4s%WONDiPfnZMUe3?Q>RT=Ul3ilF6#PWL46i zG0CrBRRX)SD)%^c&N8rW4T%`9SB#w>8CYZw0@MA;Fwc>ZaB>zBW{8&>54 zs}j2G~Z!h?-`ikEdwiHnBOlU%;FD{^T@&E{ueA4iNxL_ zQ7!9CXGd$1$QeI((AIQFad@n)Jvqho$CQQ++Z*-U-gM>m78kaAx7eX@fP*d9c9^`w z=f@r0AMa4MSXw<_pB|Lq8wdrlWUfZ-wda zUPA-h44poE=J*M1`b?S{HDTtYh>3k>M~sh}G(BQgo8Xz##*LpIF=t$4@XV-5!BfYD z1<#5aH=})9@A3cmNwjyT|KG*c4Vm4Ge+U#QM7>I^MP;}#B4u<+oFeXehxmMaw_ z+m?N8XWPg%uSM+1UozhWgw@dl+sej=R*Fia2F+bLs<-ok6VjgN970O_DCBz4?65lG z;ztd?seNdrx-@EfpEaX;2QE1AWz+L3i%R<`6#AeMHP&x^x~u=sApx1SoC1MA75DbF z0Dh}$zx3GF1^6F7+FIPWD4xxI+{O^1Q}!X`x=exaoc}WsEv4G8&_SBy6d5p z%F-y=;C}>Qh{4L#!?=&p?MkKmlGv(<+ z)!${tiMArw@9bvxm7Vxa`q59MOYfC>vWuaIuX>}E=xh_e+pm^B{Sb57RiyB)@%VDH zC|T|Izl+o}Rs_y{rCqqaq&?TfA?fXU%eINRCK?nsY_3C;rY4@M{_a;aQN)^vlbx98 z;ER1rYGRQgb7+~Hh&Ay@^K?tr72L0cCL-LUWOe*-sRT7qu3fmt*oJFDVt>28O*GfU z*8#<2Ta-C+O)T;Idx(A<*F>|LC%$v|XvLc7w#3k5c!>+w#4F9yJ+Y^`CN8^24XX3r zhHD}yW#Qh)L0l8{>~GInh91BK)wOtQ%cUkR0{s3SX|Jrt0VjpUkRnelPwG|RqwA(DIxD^-zR=3yN%g>ogM;R(-)@l@B*V(@ePn@cXDJq_9ky8iDjD{;acV>Df`>t+qS@V~JEWaL zWAWofHT`6P&(=9FP`BHmxglBKut8o!?SMx?uSKu=Ne@ZA8n#I5rhGLd=C-)0ox{KZ z&da|~mV0$-t8$G9iPai&tb=n`*k@^L)mj?dp{boFe1P+M0|2xDcpVa}NIq*F9Jty( zOINqnQgJ5$Oa;IV02~3p%<-|>; zoV99Wf_-d=doAl;zMvr*7|N67a?f_Ezh>O&dxpnmCG!vL@c7=~_? z%l86c^n_Tg?t-;AoZfE z+U4E^z%ev2y}ioS7#6F@xnwQAx(R~nT+7-f3K)vf#8m+70)~+jW3`7bTdO>_*=Ggz zgf13?hIb(HHE4KB7$(Ll3a?lP>$cg)uJx^D-8;Ie-Gz_9@DUh30N_0^ya#|N#Xd{! zTWcvYH0}Hy20Gi;{$B3Xtb@vRKzOWTy}>$I4giH8Ff0WPb_1Q)*8xDQ4iFRo(hU$) zioMlZ|60~Lap1yrpmXhZ0GI%PJOFIIYAqhM!`|v%pIX+9*FcgD0MHEpa{*8SfCm70 z1OTgkwX8$e14DBF3 ziOy1f0h8MTfUX0bo#%cpm%r$sQa+g!t1bqB>mC3MskJm^Cv5U_G_n4BxmSyhkmTf8 zZF;_S@Vq_tu~tKCE%n$9K@9-F_h_PHN0sZ;$+3#!d}wp8z17bnYAr3=4>^nh4ab3D z762Xtpx{?)m42^%mU?I{>)(F@4P${J695a*#78s{f8AQ#cE5dW?C4t76OMq*DUf6V z01kIlDI=$#UtG6VJ=$+?RdXx=GMd`0oDVKu0pJNR+?f)q&il<;wdVjZjH_k6^fUmX zfx&r`T;8OUD)5V`vD(xEYw?VO;9@*5oJA9>0Z@OF+^c&hl~M(CLogjy4vD(6$VDkv9dJ2s8x2AUS z>0omvF#Lfgt^?rkEo)WGF?%b&=`h;6Q1@vFDiHwXXu>Z7{i4uXJovbMmSH9Y^?Or0 zNgkR=MicEit6ak)Vioy?)~e&j(e-9Qn}0ymm(j!)VCdOd6_^zft6qQGTGi#GeeCu* zFuA{A_JzQ39CDZofEQ?@+f0zho6RjSOOwHz#iU%hIeSzKWz{sW{5XIm zWdmD&utKGLL0}6Bn=ioPy$Gx}VOyz3S2_c*!&n9k3~Gx;4-?o|gw2~8bphCmZ-A}F zIW(F)9$*H5dHqR^&Lyz!gsmYOjZGlh0H!Skm}{SQ$#SQbZosyI!1@EMNmF3+TZcyV z=K)q63Tz7iCJzSK`hx&lISOFCS_3R=1F+4%h(-+)0QMAMUh@F9JOf~wu>cG0ps~-2 z{2pMRUjnw&Ff=-%JsRByur}#U! zCtyqZ4UOhc0k)3-^YW|*Y-<6gxd___VX3c_J+WgMgdzuSE$~r0l>Zm zSik;6+irjj`2}D_j{v5g4X~`P6~{{tDrh0xe;$^tWSvk{evY|@V+d9rebtl{A4K~$ zcqD~i?ylh&OyAy9wocV=LG-Qc)8BmQTj{62^`vhlpZ?Z^zCHQ$H-^(F{1zE3x5e6B zURLN_QRGC01N*x{K?Bj4AojaeJ^by|*(rt&J023*&XMBvr6takGu^5_T}4=Jvf4Hj zwMzY#&c(S^xf2etUUfW=gS(~$)VS8kw2@Roi8u#pZvzv`(5}gucnivjzep^bUIioQiIySl;YSRN4n!Hur2#bc}DGM z)yA6NEIkp(6u*E-@co41QygjE!auDURdm8+#k9>NjREtH@xgeq#p1lvMGp zFwvUMaTjP@ahh4%XsHev`m$?~ag=|cqu?d4t6Zanq+vM~{5w9`)t1&vhGu5SCPqTh zXX@dW=@}dbiMKT{MD`L1Ql@>F%F;+>nvE!Um5piS2r`WRZXhE_A@!jD(A33$@OwC` zvYAd!6YE+O{Odb(y}lzm1gn_~!51p3g`n;OO%(p)wu0TXIH2=;KZW2p^&eUiem3iM zQw740oa+qYQ)JP^h!`)w;dn+2WiW=!ndqt6UxO~$Bl>2b`Y1m+hwp46&1}oyr1_cOCyg$(~%Uncl%s^4uCF!fvR+uk) zJIk5O3@#~haZq&#J-IiX{O=zKQA_GbrZ}Ixk8|Z8l^rfC&&X>W!hd)g+CbJs#t{Z=U8dKxRB3KWJB~v_(H@rI|^W2)fN?S1O2c*B@l~aK>T#c7Z7) z&n3v=XIj?p9E%@wlbYu8j?kBq&C8A3i0V`nyk+vXR_0IJS~58@hke<-4gz~Bldx}| zpZvzzVPoO9#xXcR9%CM|nvAOFf<}eDI6BRpA z@LgZjM1rg1RW4Jsb8#%e3g@MZ{i+dJ>~d|bV+TVwB(t;B3GbT%q9je;6H$RglsHpB zl(#{GYl5Dd*h%qN0b<(-+3|1kk!q@`X3?*LngMRaPKKGC7!y0aj)NVe1v`g(g_APa z+e+-73qY`hgyzIfjDVdI0XsUzjw#9Af*sGM0oY)SP9~x}P6>$ecC`UJuTAXeXM&wr z3wFjg?MF3pnIL`>)a2MXWoAcjVkf)^#FkjFQ;;)>*y%>vc_P#fV@EGwr%b?(QNT_} z8=-dmn++qPj6{^`w16n@AY$jEiJhYUWJ(=`+R-!{K{cI!K_!nuK~0VwgP9$1UC!86 zsk)()YKv?an6Lcsz4|?>N;VQx>{1Jg>6GbmL5*{%>a%rWnW1VW)NKfa^_s5RR!Ye7 zxMb+EZ#u#9(zTyOA(_;%J^to*;N{;GVC&pH4=z1g{v6F3ds0vdohGnU&^WV#j6wyqVG3eLOIBuDDCo5F6<`q$Lm_oXQK2AF zLnx?>SI}TD@_iP)OVZ&iDM&$XFXaIWO9g$RcWuu4yh`udF?cJgO4#!RrQLflPW)yh`uNPYa}P?C3n+CN7p(j|d{CXc@9PUL!u|5ubV*@LBTt ziIAm>?N8mz!VvczBtHGwTPSVumnEMDfgzp{7-BIqL{h8SaEh=c2~L1pn{ zpwd$NmWDXiY=}C6AzBFy@ubiY6AHCth{0c?i0h7`!VrU+K(PfTL$n@es2a68KPCm) zQNR*Eq53dYx=rvOqgK3R5EQh?LP4iyY(v%TbO=N?9)DRXs6?QkQvwB*2|PuCg@T-A zA4oyDq#$P$6~^ZnP6|40Qjm`{4GL235W4c*@xFkU&L&TBt%`y~)y%G3%PA52$K80X(tHhX$iGRXJw@Gf_JED3g@CBwyP+<w|9(K2-7Az7q`U!C`a%>H3eu5+ z_yJ*XlQJksv|6YjWs)07VGR01bU6O1q@WIF1*w;F3TjhC3JMo0=-kSDQjq8n3JLie zO9g2K3hE$GkU^lJk4!<{qH0L4Q);S{nY)Ux&^4~RVBpzy`wk$_&LfX>6o2s^i65-h z@I38IH2AX_9wv!bt>@8H(xoqqDbhc!5tq-_H~^Eo#%)EIq@A9JmVTy|Y{t}|kIBC^ zUZud=lr}-%L{ewvTi228@bq9}aARD==jzrEQ_S3zMfl=y!8I(=sdJ*uYBjs2Ue}8L zF^w7Zo{x2m3|dg*MZr%rOYGRVxhLtK&V&mWE=qEfi8by5@yg%g**CiKxT2nLq8Yi` zVxpL)VGWfYobX8+?vGkd@_ zX1PR^UN~g()>RX@mXsRw9*)ImSTZo5YO;4OQpj+5oBzkraIe475iKX0`|RnWFIgn9 z!%j6~?)HC~Xx<-qhlq+Nc8Y`}BX2ty*r}LkhJYQ*iKgcl^o~??IuiuB1WR^4HPI}u z;#UkS$eYOK6FVf#|Eph-40=pN8Hgw`t={lNc?S|Zyk9BmM$d0q42As%J)xSS+o(h* zwJU1=^F&imFVhedC0-t{kn^#jR7OhmPUC@ULW^b7FDL=jyh zQSeTj57y3glAVci75-lyhvet<;ErY1NLF`9_jyrWG{lwGYgQyoe#>G;Cl>7_tykWxeM%c}@ub6X!?9RzTJmeH@vU=8>w58&!|})yvEJZUlxUu6 z&S;^f6@tSw%hb^~OsRR7GNVN_eM@8C1j~Rw+#?D3vzr<7u*`UVg$^>_hCbig31Z42wUF7rE4xw3$dMY)ckP4u>7o<*sCpeQ46_@Md2K9DVwBE+b6!uPtvP(G-8s@E`5vbWB@vm zgl@}Pc_Um%!pOEnLNJjKmyV*cfy9416fVa-JS$$8lPk#C_V1*LL*z*m8l09VFQl_M zFcTp#Vi*F`Vs&7{G`Qm@w(HD%&uIB0@m)rI>r4k<6jPPSaS95Z5#3q6$c6_|uS{4k zhO764$&0UNQm*M+Df@<;aDG1~-HIZvhTTMV+Z^j+T6Q3BQ%K-)to*UX?ng;2z~1`4 z0xL}7n#?CSBj049b1t*Ouo<_p>9x?{07GNz?s@IHFC&2s^Lo(Ui`^uQ9_7}(z%<%U z7(0pd6SD|~v@M2r>^j8slwO57bfV;qR&$!x9F$4)2;D=^AoFP#FPG8u({&;! zP(aX*F_T~6r=YvkOf@p^6;qeuQGq?>Y`xW#?KT}%jqdT?1wOWx&X9ni)X1w z)ZhARZ$_;$Ph_o^|JY#Cmsajj=!0f8dx(5|-_)vTN!JH(K6UI}wkkwl*ioH`+@!&6 z3Gs=TVNs3IQiL5|>vN5e*J`L@Kd1D)Q1P>mYl_Pj61~-YM$Cqk?Siw({^Qtf=IJDj zB0Bsfe22#1lAp_c0CW6YaPeT8DS6Q7F3uNi^V5#fWfXCwA4upQ1$r>JM6sVp4`l*9 z_>cQSQWeRWj&wyK1H>Gq=K_+*xf1Y0}OE;vjP&5Cfz3XNOsa;0tNJGI+lK_jR55y z2=4iw>FQPxP!-AX)itXCZk$6T4kOtb1)3Tk8sg71MLv>Nq)DjtN$MjbpIC(#QgQ|8<5hd$%4BT)oSn}!kV>w1bWAt8Sn$V#Ru&EnwqIY zKtn38RFyL_3HNTIjS zWi_0RNp$x^>CwVyIVm`%PNn|qv+=fq`yf!-x;><{m}MxWBLp)2;_O)B<}>1Eq`-W9 zHZCNq7(-SOME05PA#x*k8M?C(xyzC?__V|%O{vIe3=NGui@EAFssj`c6zd=Fte5IK#%bZY2(8~$n~&!Mq4bcY_i~xpNy6 zL8*c9Q=1;9a0-5HThyMNJK7H)MMexV@Z^Z*NgMM=+x8dd_-#@E%(lbDv!=f~Uc7Gl ztJB5DroURflT3qhQ(gD9s(%>mX+yL>Cm945pT=(V4rcZ-%fuDme>|tYCK+l;hWh%# zN>@n~DW*#1FqhSI9DbKFqskL>6T5ngD%e&Ce4FRgr__M1Y6Hw_JxJElSpuug;;dFd zR%_V+v)Vk8t7QWZsR5t+K*TucsSF5(sralrZ`PRqR5MUfeUqtht9qBM+nG(pbrVxw z7&W5g=fl|9e*{x$G_dI}W>fijBgsd#8bw?bZz|uDsRY!@H8;G2YdDSAS2Zv-oNJ@P zSa{mCjSWRXku6;?nm)XuZgN9WXe_o>S9VH~pq&ns6mYd^O3(t4I!12Q-nRFq_c4#e zB3o;^cyd}z>2C9u?Gz0yo@TqEkns;F*?V9fc5h(UUoa0_@H@@JIu9niQH1w-(;;gt~H=@xh+vl(7D!pmRb{5j!m^aaD4!|?hryz2>Xjb;pQe@5?Sj$Vd$h6Uc) zlAnp*x`da%DPjfT4dL)!W_Y_WyqgJcTMnMAs=U{jh+#l5j4;!DC4HEu*#&%b{lL#04= zi(Sb&ABEZ6y|%2UPFqIi?!|`r7z}!!ppm_@cQsThnMYTbh`#kjC8Y;lFlaUGp3ZFE zlG!6(qb^IQ6Z2D|^uFV;h2>nQBPs>h(iZ%7KQdR>R*hil@fX}->PBZu1M+Aw19qq| zO-}V)y-6!eNrpOPY%#4MNvnYoFIEn2vF?$1&*0B=T8NfkM4=gg23Uf^FO;CL-a(+} zA`W92?OZErRbb3&kcw&N3?asI9L7MxC>dyhakCJkxErI9={boWURQXg&{ zLw$I-y$y9dosw1`h6@xpqKQy};myL(o^%8$u$Wh%W2VXFz<7ZI4+<2R zBT!(CmO=#}1GcFa!Hb0D)$W$!_3Ox7BND5S5Mj;txA#Q_(xO*~; zI>H$ESp`PF7U{qkEX3HijA4uJ!hG2z zlVS5Q?KxL}J=G8V437*frutWf^`&-uh*p-EcS+*DYM@l>11YCL+olhW;0&P$Qx zOVo|oIIB$xem9K^Gv13I^W(zjHKnQMabc4OBu#%`6tdr;P}Zxb%|)+1laY!_$~!D; z^x?AVfih8=9fkVj?|hZ$;z1PZL&n|{nJ@nHTz2m@B87-ju{`*Ztk1ORh!m+V9Z@N_ z1EntX&NL7?O=HNXy?R09xH{35-TD3NYt198!_Lg+Jjvz`^SLx}A6CQp{k`25mEO=e z=-$|3P$1Iup)k7e4fq#1*|Ht~CPZ?@?6^1rL`m0C7`?~O82LpS*9EunF=Zz~+!D+) zLWkeEMgyu%dj)XdbHeQ$Pg(3QfkW)yq09{pNYlXCr!O1vB>@alMGP zRH9AQ-89SKC#1zG21waGoEUOWFf+6xPDz!Lxk`emU&FVz2+wYk*mIDvCOrj{)ILp} zz$vE9O(OBog{zxzKFncKQ0`4kMf08HWBpv=YePb5irsQ%G3Z)rufg9vmFgArib%j& z!7SZw2P4x!WL7*;jdz??gd>*WXg>!yR`NI!?cIT+pAd(u=T%~r9%_qLCeS>a7B@{e zwlf^v77!ilOmt}N-(ZDDEHEi`OBz8?21a<7~^*o1lSQ zxzr`%jJ@LO8qsJCx)NlXPwYAkNGA8k}{wN zl`toCy2)n8gjxCqn6U)do;cY)k>jLG8I}OIPo%Z7Lt8rFJxeRm2pK_ju)->>F*0s- z6uNJOUA`S{LX29j5NY59iZ{}dTvW5A_^69rrKC*+$r^Yok@{B&_Vhf~VxPKZI@*3m70W5>Xk<7OCG(quxmiBwh{L1tDCp!*?wIcqSIInhJ=f|ll0zb6VGZQ)IptLNO-ug`!=HY| zSj%%bqSE|Eaa2AQ7j}=V*I}O78>Q`HkD1K_>gWRA8?h{~l}9|N7CUZquu~yA5RMz| z>424sf%P-Y=JMbgBbVN9_ATShWj%GfGdI)qe7769sS_IIx?RY$>qZRIxLdQ2%%?{9 z(bw3Tcfm^0F%)s9(7V`#HP+=CLi#iVDj`CCKL%m^rfs#c=5VoO(juzxg8WG?O*|<} zge8@3pO%YSTvF-bYJV@Fq|&5%(AKnC(gWrmb#K@yAjqDNLN?K4W+Ij#d$m(U35L{c z;Cpj7HOd{A3g6Tb{BY-sVyE3fBmBTGd$WPui1Hbb={uO*NV+Hvp(K(?9(nXrHe+CN zj46fRONi=smnvkUi0gzw2HQ(uhg0U&Ylo&d|2I85lR1U3z7X%N9Eg{nC!XuNpIQ_V z7RhE)|K`qdWUQ_SeTA}F!LdmYx9JehoiO5uJA@Otsk6W+<~92p>U6(HMlo--DMWeO zQJ^+5$-fm9z1)EzO#~^0=iGDa9pXHlasGvgb8glA-f|~2#gdhK7e+$zi`5F-?XaaB z3tKV_eNSHG4cXEIdKy;5CwcWQG}}_Vz?K?CFk9lD1xaVNnD5 z8bw^8$*wBM-u6W^_Q3}s>a9pzjUL*u>?DRC-jY0&m`_HRQTAa){`{vpNm@*ESlAQ` z3;Pg7O=#j#$hKQp*g=7XT^Cr`NM>Q&fjmD83-jE`w(VVMnbLzFKc@%LU?s?8VM~2R z?!q95^%O}`ul>Y5 zAMZOo?=%B_xWd~HVUOh)u!vo*Y-#enT=Mwz`?fGmmOOrM+D%xtNJ7cuE02w$PQxXS z7d{kvp0EpSH@_}$xj*Cws*e3WhXJOWe6Fj_*Kk<(ShwNFx04^UY7MAb6vkO*ht(*; z?67i74(kUU-t_5RDD3UhFLu!z5C$4w`5&<8?Ky(po-XL^_rlD*UCnxXy6#(;OrS+L zDBi<%wAqt!#r#}>Cwsx<#S5qlB;y%ec;b;BiJYWIj;Qz>alRfaif1F^{|T}vQJv5=deIM zM+NG+DNs+4g)@$9SsxwF-AIy;vR&@LXU((Fq+>``N@N*QW_&e^d{zk-Sju(nEqvC$4=Hmlt|3Ck zqHup*;j>2f6NHqJE0U{(lm&mXYBQ)>4)PiPb4Y2^c4(E5GVga983fW?!vBVa&-xc3 zMY|gl;rLD6Xv7*M0+z^H7_stmp5*V`fn7#9{J#6JCC54=Ki7NMyYEB#P=Gm4WQW0D zVlumV;Lix$h0bahSIlb;IfVt<;%H4`jtHLK*mMEf2%$FE)GM#%W;%9*Lpf8Gwj10q z6Wd)cQ5r(I@x7aB$(yo?p-XmY)iYY>DVoV2VC5dk$1_45vpTfJfU=6c;sY`K_u%61)k5eiKPCnBb^Z6?UJoP=d0d!sJ*9tx{;k=dd_-^7?Z~59xH?yx1mPbDTmVJ9+N^ z%+v$<2}sQNA~Nk_LTDg_@!Zz4bPc15y>{#`q{@d;rD0TMwhtEVRLh~)Yegfm7!kOi zPWlB%tKmQ1ih{KV(G#OCtId=0@#mO5*kLMPE?&g<#1OKFesNBy#ZAf|MeZ{%hx8b6 z8PMF@-~0K2p9k$k4(>V)ORn55$TUTDXQyfa!sXTzel)>ZP}AR!9s2L1}4W_ z)XHC#Y*70B4Gf*i4UFV>u)%_QU2Ku$vFxwz0t}Nl3^xeFQyxR4zdVet@G2e98&qAC zOGD`*wH~t)+4^Ja8q&t~0=)b*i?i^rf2+gd zyn}k4x6Lu@3kl2Lyu>O#Fs5lAvpoBQfc}|hscG(pvA+fM=iXozG7lep3$GxKTeCPG z_YEy&X0SN!-XXpRU5$}-332>Y7fpeAF|+HGKTt84L?ivc$MKacj=Q%*EpAEg&+Id_ zq-PZyhB*FKr@|ZdyhGTUN#dsE#B%>~la7?>(j?LWbe>edx z5AlBLR$}QI3im$P+%*q1Ll)=CUz?U&_sLuq(sJwIZ_w}llt>Sn{Y%OS@?{#@NtQLm zj7>0e={e1Lp~=5ok7K;B+o?vncLXn{#jwS9(1gGhG%3-UEeGCBR! zO1?(X@%wi4AtoBTWIdH>z3{l|$ZhR`mKTf9kwqky(Ey4LO0fv|uRKVx+){YYF;B>$ zgm=WrynV9z&;xJosW*EPO9xQ+X7CxXJK0kB+yBSe*TBV`eT~mFH4}PMi(aHD?=P!K zR9>4Y6k=nowU#xd2+1JiZJU}X?_*^*yOxR8X4y4i30cz%h1fxBL+pgLu`RJ7HvG@I z-?@G7#6Hin|L602zMHw{+y(1=$^P~PbzJN^AP0Gf`Kc_q&aLc4S}5<37!3c?e{kN!O6cXr49@&6?1k&l@b&` zugBDXrW+Rvi+iH@d6mSG6D-i!ADc5efc7pad{=G6675(*3 zjsn_iy|3AVPF5xaPKzx2xii_M(W&6&;+2g-FvirQdocYnz=xs~J1#J54TE0Tx@8fr z0Ux`phGW9rbRO35k0-Z*0@_l+SECW-0epguux(5hoh#JF5X?atoC&6d>f3^=Wb6R& zb*N{v0T<5w3C1+I0t+LG>3sSC-o+r_s z^96Vw__bpa2o>#e`Nud_9dW>dO&57__M3|j_y*(C$FJ4ciKa@bv2r6;B1S2k2`0CxKIg`2(Gj$fPz z%<#Jdf5YxJ&RIIZ&psyu40XPCT;9B!Cw|AV(PN>=3n)Bjod_;aT_onvjPz44mPw9Z zmOviO_L2pW16ROLkl0v~hd;IKBl#ZxyQZ&X&t(a1tnmuz8R2Pmv&JMRA2l@=+KpjH z<6%S+IgR95Cpmc!VP$ETcs3W#)#FO%HNibHZ5Z@7=ADZj1e4WsgZM#e`071?aYUX6 z=hM&^CGXW~l-FVz(^C4$C)kpF9kx^zsdsmSdZ$}Mz2$vs6`tsQx_KUw2)Y?O@Gu=| zvC1$PPAX?zR4juqinQLt4cL9qZi!L?I#acB$33WNlu81 zvl?}UQ4d|`=c1>y^97qk>lehqe*oKI>(k(wqyGd(j9;i;Y z`@+KLR)r%BF}f=~X8wpq*J(98gkC*7Ari(ZT16h8j#>~8!WkTY+$@}2Xt#R!Y=Gew zU{&FSQsN+~I`#!hx&7#!>#qSt)F}%6<0eegWK;bN&Qnvjnm8Kla8Ms)9r!^BaMDY= zs}IvpQ-4hJG#$k$x~Pd3*qBxgx?3ULbCC|K@^fG`wED*^G<2e zh7w>0cLtzx(*)v_PE*c>>Ed}*@mG_Em0YVPvMK({g5gnNJi}`lhU-~|Z$O5dtfqoE z_z-cR%-{7_12R8J(-s1@xTVh*Jl$)57M?VRr9)rC5%5{opJfKEn8VX)mYDIlJe83h z{a~E9b;GQjU&y+_qXxzx+d_0&Fa8wApfY8!#Tfi|iO)TbCc*20j(UyxrYabNI64MF z4M2I31?9INkmFl5qE9U-S22_)aFmC5rd2f;`s~FyNO@x+Zg=o$MDrQx31pTUqaqbz1@;Zkzct0W}Cg7Qj+=K~p@*D^fMB|OiU-vI(S?cJm+2jdBRuj$?!%)MwT zIN`N+++`j&-Lt@af#QP0dUjfsM+t+W|FNCOlvT=MV7nLbh-1B{ppyHlV28UdTz3Dx% zKSaE*m7(T-A;@l<1eB{RD6brhN7TKFg!?Qge~Yr$wi*JI=UdpQh7NO)^4qcr7L+IO zlozp-2NKG;6A9NWC@*=4luPD2QA^odhm_wkQ(oBa^c(tK8eE5zS9pJKLHS$sz0@HV zeRK=Tx9!02h-0n6lJfaHrAYac$PX=ezKEy1h^2fWL-~C|d2iW3 zAn+0)kV?+|jVG`d%W`QinhNGt+!t;nu?MvzA6$isT-H^nC3uogE9rCHTym_pcsNE) z6-?AwcTlFOyZHQ%o6xEzxPjh}B~gc!?%#ufgE{m76Q!PLRtFpH=LYT@BM^5{;cVhZ;tXnXRMd_X4Pij ztUmN6P@Z5xxsNM}Z>gNWg^dV45cJ>uoMLOuKPU{5MbvG{7d&KD{-(M&Rlbd=5pgE( z{Lw;y-Xi)+XWbj3zfYd!FrDmhBq|+qpc$K^AOpXZHd%c*g3* zW({siHKK31!R%X3*jWz*T6#OKc8!RW-{SiJs`W>0yV+dpD>OoK7_I*%`usPiEB}M^ zhYyBGL2ZTuIzW-7eq3a<%>F94}nMBpM-d z9cbOxmCK)~mMm@xUjN^z2`O5S#`xe2{wDZBEFbuIt+qugK3gGeV;tZM=G zK-Km>JUUHRI+;mB*}yN-%Z|4 znT{MN?ET3sx=<*3kD2;$SMa#I<@)B9jAZ$)?p+JJr;NwFp7*%(%^r7aTZj&<^sw-_ zJ;i}A`-1Nb`_#ho`0w7Hp;(TmwIwGEa72Z(9g)GT)%&g()|Zy69AmMP;20ZV_nP2v zdaFOLx4gr+HDz2kjNi<+&|529>rptQ?mp%OZQT0In^`X03Fp^mT4uT6LzWA4CD8F5 zkqj#G8s3pQ4*AMgti$IhhRZFM4&StQGz4dy=#+)tdW+$ciTfh)i!6xW?Ya&Fk$U%@ z7R3LDwNC2GVkD}DS+h)Qqw&X%ObVsTUF!2qJSF{O!n6{webuXe>2^whlfZvKoFh%1 zSlpp81v9)I>NY)8@{?Bbd53+)UUzJ|0o?+4Tt;TxS(zZmg3me>6noX%bVCjBt|+2q zqN&vqWliCb#=@Cul4}vdA9VKWUH4%hl^z(UCs!oQ0Srkm@hlX(is9>-XocQ22f?KP z9wn%nqmR~sLh~BNRG7y>IC%un3RR)HHA3X>ZY~N_FZ9AFOm~dJP%;j<=5qB0QYAl3WkqqN$mW%_gdIVQ_1OgdU=afYoac^9dP*q9Kl8hg59Id%Ec~NBi8M_4Dca8H) z`Wv7Xfs^9RlaeG%jNVdWqRZfYpDkhn4Qqwl0N(L<)Ht&WaUv>Y?;-IK8Z+Kf0l4Ov z@dqKA>ojIOevduVa-tu3$37*no~jTUB%We-2bG-B-VN@Lt@3zhk{xD9ly%O4WwUi# zJ2*2W`$hxQnJ>@OE9m8!zfug6U9DRl^(HrGz)nz}G(^jBPehco?y6aBw=+enfcw2Z z0PaIB><&k9WDb-tIO=JUh*P{Ilj0PMhb|$zy=9j|Nnqe^_FB-fV@KKXfq}3f@j@J^ z%=E2hHAO=-tv4vXM$Y{aHJuL#0{DJqhv_hU?U%ywB#@%sevCZaa;?AtcIq&L%EO-0 zUiiXS-MFFrnE07%K9MrrJSJct3&40`O!Zd?3;&qzOOQzX^PEYND8)J9DQVwQ@KMY8 z^3OxiunLqgd1yFkLm{E{WFDVAv2sC*yUf*dsdzGU2O4Qd?Js}@?p?)xzJADNI)=|J%c>}5&mZ1c#g6s}1B zAv66UJ?|j>`4;q-OfwNFTTw~r&%rIgl^&~`oN*O82e7#s9p#9+eSH<9Ml~HokwCuJ z=`C;MWJxIv9@FdFo!uH7g3N!PIe09;#=SmCa zuZz)PpF`K^Xht87`JO!U^=9UuRYd z_31*mmA+`Ggz<%Yx*2e*#OO1inJ-*AQhfA?PaOD{c7gjcMu_oP2Z`2eAtNa!NPH@I zCyl@DxvR72BP8#Wv~SJiQ4g(C@*-(v{rjb}28~Tgd;c^!FEm295|o2P5P&fxM%-po?*Gx7lHcI=ODsC$N^~?^(SiL}@jo7Ddc5R8t#6UX2iCsUh&ntol6oRiBDk^%Zf8 zZEn>UV6p1kVTVc3l5ID?Zd&zCMCQ{dW!k5LQeeKN-)ei#gndr;_k-B$|LnJ>r3I1s z%`#u|k(lfO=8QN-ng9P8pqHOhS0VHJDd5Bb=X4th^9PuN1+o?kkogv?R>vRh&SIa{ zn-^O!|1Gh~)RDQsQEc4kV_W;8DRwaIh=B{gKZ;_K- z%^loPU@!e@&k3wW{kwnPLWGXVD7^#{FFVBGw%gu2=o?WVFVPLJ9>3OheQvhtpj)?T zJ_g>O>anGe@g$~*|3bm9UHy}p_cF6}9{Yt5PaZlh9>*Bjuqonq;m6>L5yu%LyUWimvYS9_|BUS1^aS*7; zOR%A-E#y?>3;8>%coliuSF{W%4Eep(Od%+-B;^^HM-w{-=JUIGg7b!(0GK|mJH*q8 z_`>{=R3whphNPYuIa@{d3nz@gLE;W#` zW{kSZXls*O{_vxjOpbivcHy8b0&>u9kFw=la0rP2P)i`(o-$ZH>S{Cl09c;lTg}?~ zVQe#U1dewR1guY0W&&8BVfVH%lOilh><2?1ylpM5T^`gA4~KmdigHZ0$95(Lf!Gg` z3;JIJ)4k?W3Jw%q#6Py1j_NM_i$m0Oha`}55(}ybk1+cd9 zNzD00q;@&o2OJ)YL-pF0xiW|sP>WmdmIglAYo+fY-hUbTJ5lN)38U1XaBw=`rDn|v zk~aR~o67$w2WRkI)=c@QuMqc&@{MQaP~{BSQ)pWBQ{^@O{8ZEq#Z~yx@t*bJt;h&CtcZq4i5wh;v-)Tm42^Ttw7|gB!0t@X7?`*JFR#DZ|u8vlBOVA0ZrQBW!~TeIl>H1sCOiLH8t^x}RwBrhhg8(3PW*y$I40 z9BDvpKC$#89>?jT5^>Tq`%@f9UUkA*3>s1Sb=(tyDPeE02#`Kukz6?>$tDFwdLi(x zg_KDli*i9)=wx@czk<^xa%+WovQwW#GgS0E9Dd~&mEnCWS8Fd4$rB!VMI^5&7gOX) zAco{tqWHUpincp#J<+VzT|+g8p(|eD!=LbTcB8X>6`8Yczc9^>gyudQdxEz~fIHc4 zOf$s0p|RuV^2V&&MKxj77J~SBVVemlhhf4RM*wrFM3^gXW;RClEjwk3v8+ouWPG#c zDv{2Kgid%@q~T}ib+@nm8KWfQ2#|dK5oJ4<%e=YDfGL$Z zW!OC}@QYisbo1Q6-+&4ot~n0yUJ1i1sE_n!XSTRCi}nI40orn9oEBRa zU8tn1C8|LK-1RHZ>w#ifmGTomcZ}1v9&iaRD7DCSGvVKGIgCs7mldm8Il+~Y@%HwZ zIVrhv>vHqZKwQwLjr|+e*OP;5*!mj}*TbE_^=F29qZ!WDqpsOdPYcuJS8lCjX40a* zb?BsL&v?p2gDNiy@R+GY{}Duk=%fW)Bl56l)l(qdf092eRZkYFZ?)#?60&Y_Woh()Um%C+TsbYDzEHpxtWLI{Vwn=Tj(`7*iQC|O(xK6ps zty4@bMV&PrT772_?^Wle8k?u#%A6UA@wwuwxxbl3s$F9oY$n+JITn(KOsQ!Gw%DEIu=Op|f$<)POPS%4^l^GE-QRyXvRTMb0a1L7c|3f%2kHgpF_dLH>F z?Qiz(LUOT3_4lXd{u*VdsAh*YC0B{Y+*IIYHgx<4z04n1Gq*%H2H1~-&LnF+o}1-Y z{b?L}nO9EZm0oVyd+ksmuEL0yIr|{i>nbH7hVz)|h)&m%nI!63TvY;R-iV@Tw{8Y{ z4cB#m4vJQLi6~m~&n8v1P5eEyAAHCD6;-q(K6c37ttpAp;cB1+O!Af&Xp*mWae#kP zBU0Np36)yZ+^2K71r|+2Rb`@{#i7wiCAax-F<>^ZQcdFDHrES)E=oxHcJTouq;CBM zGa)MBGSr6o_U?cV7Y=(?&y>O4MDkDC=en6PoYI<_Gz=y4=V=}V#5Coxx{3GDAu2Ml z$3-wxNnZuQWqmq#8ZPV5ACp}2E`%~Zet&BYPk#YJ|09O}l@|1u=8E%?{>%%Nlzznu zq~Bmcuy&T#<-7OcG%m3q+~I|Jyr}pjaH3N74N-~t7NYXL{UT@~S?SppefQcKxvNUtA0`Tiu(iONgd^VF`h(o zDHY8e4l9Cai;PgB30(W}-{KJivRLMvlDA}j%Y1)5#xpGv4V3N^W9E!tlY;}4aRca2 z<~n~x|Noe&C!rr6Xn5_t_yLVev>J!>r&9VWMuY*4J1yw%_@M@)mtLQiN+|v049A+o ze1e;c<^(tSE*sIQvPjb-*)K*_6`b~-E2W=11ohuj3>f+;k$%N;xp~^FTg4##MU?)# z-6Md;TNd<(PS)UL^D_QnXG#BC@(Wa5&O-iM&>wbGj4{;U+>P#*^#Av)BbWMVk^ZO^ zNqOgMJOIUOlJrvn49sKb1pF(Nqs#pOkyrdb{kcixaT zk~XDIduw)C%8iWpx-Sb`e2x2md^r8T`>4e+qHA`Mak$lN5si!pFtv8MMrbvS;i8fI z6kgJj-}d3eIUWHy&8dIa-9zSkXAL-7#D{^)LdgeFjQ{MD&HFmJ@rD9pPdcw?A9CXb zU0?6|l$Xx*v*LMHA@>>S%#D|J_0F*bS>V$QMBcUW?=^VMHd;mQCS@NG=GOn*Q*2Rj z?ycbcn5Ku4-NVCh=5;RPM`j;TY*TArF!QO0N7)G5Jr*E^+cKJg)U0l~I+~esx$(xH zzG=*60CcLrDpY0JcKz8_H>%Qv@M2oDD9n@{$<1B(46#`8d2U$UA! zE@wudmxt`73myz2BalA-Q+M!!&Dr)pP5=MPw(sX5@BU7*c?wQGyM7jUrsh2Rr#-FU zirN1y&;G9In$;`sQ>8uX===5%gEwc`|0+}pvh;t;uzx3Bc_Na!ysGX;zC%Uz-*f9P z4JeDreQox}s4A|xs+(+3o(J zz3Q}1{zXo``G{DR)m|aZl5cYU$ez!hZ=9v3S@pAGYvQ~mY^(7anK9eBxuxiKU2eB1 z*V+=$&jf&s_Bmi*rb{1*kMlvgJsZ(tTzD_q%DgI29$+g9n1uWFWLss3Tvce80<%7P zN|%bJu-e9h_C@OTl~e`M<@N1&jenW0^*^ss}Fw$TVI9Sg$eYx@p3N+;$8HprRE~9gi`}^+G_|7Xe>l zl&^%_oCJ^;c9j{PfTd0)SuNVx@=+Jj9F5G7y{I{_<=1sXM1ixg7u?f(*K9)g)kpYs zsa;~3C)Jg`um6IFIg-ZWOBNA>tsxanNh?nseKjemg$=&&V2!&$w|;kov5-k|Hz?za zAUR*B>bg2u$EqFhy{W%L@ZE`t);^%?%g=d114X^i3ok+Xee zoEPopn9yIbo6ATym*ayS^L!JbVYQ+o#G6l@;2!KC52HP^c(7xshc2#e`0M7XEc=M? zX#T}uRy*GmFEs)nqn(#}z(w?z(-^&4Ge_5ZY5fNDQ_dd~XB7(aznOxDY4YPAAPJ7( zLB_8Ff4p~nBg6buPK`L>@35|TrI@PxogRibr;*KmDj414>lmh;j08i-&cx3cbK-qW zShela2$tX)v9G(X`A-4vTJjSt-M39M9)W@I4&K0Dzb~y3FV&6gh5-`Rjq^LESSQ|D zfhO*+S%QN?C~o|l$q%4S&`Gj0KcmB1Y`@R7agG2?DR)Qzpxu^tt$9Nic2B%aIjv_-yxQs|3(WUr>ajEa0B zv>H%72d05#ViO%!U(rV!Juf1uE8x2_X)gzxvUhkw*H-mQPK-4HM?tM z&p&TSj1xWma0RpWP>ZCzVHy&>`W1~DkTWb-zQz0(T!86?9@4d#&#?Tt3!5>YVfh*J z?o4(%fKh`#r?E+G@;ow%dZo}em(^jiFymz^9sjkX;2G)hsQ|HT#mjm7Tw) zI-Wb8@q$)A0hGoCQR1cK;Q5z|Yv_kh$xOM~6wE7qDE>Zj3^_VR zc7Lb4p_6GdB?Dl%Eel<%(GPKn6zMZcUN34e%6e(g-s;x& z0~qc$cw#oT?&^dl%xL3Y<0(+8i3w{rN5>oj|0$)HZgY3KOqi@bh?FljQ*QiHScOHO zu#`()h!2{^%xM}m5)3u1bcGhU+zAE7dI#`{yaPl>K^R*Ws6;1tcjt-yEa7$&9Ukry zyMZA)i6PrW$d)=I+4C&Oe)N;Dcgf|Z)y-t5!$|frGug_o6#pREQ(3aZ8pTId?=7+< zyN)6ICys1Ipy&jW{S!;J;|u#m-a8&!l5JwhUe1tR$B><8L3YZ?6yF+`-c8)r5werb zWY>LF_!}0*v1F&e5}y=|OSU9iy^80yks~{5kj98)8(Fe9zOpY;zbT0d}H_Vcb3r2^c*uJMn z{amz{Hj~>gkqEkTFIS~pv|*n6l~$YDN6c!9GEz@8JV^VCI;V(rPQ!zOB%8lvol|MG zz;j%WevEUfNz)`o?x{d8w_|MpdUL(YbDRX*l~ za!RhJD%yPsv(QUgFhdVkT%l-}z)YDK08e&g zAadK+%AiPc6v)G^i|H|AHqw8p*yz$&Tn-gJcWVvqEBfTkdt?>>x|BjXc>xcTPxh{IOl_J*Kj14S2t#CcsW)x#NB_5KPcRnm&ml^TxGdE4% zG6UTI_H)HQc|h32&ttlQw@4RHr?{POv!sc0WUKIyw|F_7UIBf7;>}5*!-b3=66oq# zO)kpn*7q|}D|jdy5nb(w6%@NeKb1;i1wH04ZTgi8M^^k2LEEINu6&+$_<}8@hgF8{ zDIOBsK{JRm7YkG&&rvfPPH$S=bWpSXC(I_k<3NWAR{P_r!2mOU%ipycWK3rt2*lB` z%fGGc+^{B?${4tLLqz=@K#gDPCgOYPILKi_(SEYE$a-+1jiSIJkO#&+8{{x4WWNgC zGpc6lg4DZyvSMQdhTGB&jrRwG_B7c&!Proiy+1=z*=<9N=Hz2MuR=Eaobeb`YCwtl zq4^k;an)&lwDL-YXT>_3om5=L6E9}YK9D=J7h{iuVNg0@9@qdQ)FKdxFw4NzIHrdi zgB>OX*uLwG7K}Y_-*8*E;nkWUNUK$YJvm@(vMvz_b_oT7kFC`Y4%^*wDmednVf)l0 zSNr6L)&+blUSfN5`Z=9r;q`d8M(?Dr-i0@?=N^oWTy2_j*v`K-09SWG;Krc#~;P(+&-vfcCcSbV4N8pAn2z=WaJ2;ip z9D!tP+0>A5PT-HgiNo(7e>e11_|7A-`fLOq@D2i}Bd}>K0uN~kHETy9@Y3#B^B^0j zIsaP(ezy(Myc>ZHTVj@eyy^-93qD5RyQ4x*yjz@%z^-`++_fzLN1Z}oV^$^r4`~I! zPO}hr+~{Zk-b-rkx97=WyE+6;xrxAaA0ruB1Xj;S;EBDFb>|V-a1?8fY6rmCwFrD@ z3UVVKfwhYf_yq!o-b3K<69{|&feW7^aKk59^CJY-eTu+Uz5uLwiNMB_2;9*X*%(wP z#g4ZUBg}Le8F7sQ8}hQldbUNO2u0az5M`lj`2HiYL8k~x4-Ul-QB-RQ%2a}~ZW^K} zJPFDJu9Y*0q8Uk0V)|$&&ON8zOi=W8IajXtsfi_m>Pt|*bwk1?o<|hX9D<_q$5x!S z6O_lKm4X@4Q#U`a4kjo*?ueqkh$x{O#Glp9ahueSpzI|mlkKrD^|P>*?8yY>9zn_Y zm7ts;tuzu8=>bF$Zy_l8@r1DH1Z98+w&HZ1^yMl+xfy`1$c~U!P7svFIfzo7NKp0? zl&l+wQv4S|F$5xt{3p`NQ-Wfcizvcmg3?G(q}7NbYAL~Si3!rqntM)pnxOP^z`m5t zBZO@rD4(_`g#Atk>p@T^_s3SW7YNECf>NTTT%W+2#Y5us)2}NxK2@-5eW_SNP<|#T*&V|79f^&5LR#5JP%aF{R`d@D$`Z#;ATYJd2w}ew zl&)TglJ%VQdQBrJHqVV_ahA0LFs!fq=OB|Q7NJ7}E5bR6fJJ^?uorJJ=2+9uxMLUdW z+TH|Z`B+4W+(1wg2} zk0{x52+G2q*h<;Qgs>WdGV()2(H=(>@lAq~xnKI|#^>Ij5|nyC5ec~ei;@Ziy<7wW z7wcJ0t*qg1__?o6-ZH!8eVsGB3ZZLzcGo*=vaKLL>CR4TcJ^wy%l&?~&#aw2?(gg~ zYggazb_Lzv6*4Q$p+ex@ZKIV>+JY^L$n&8C^`Sv}QGd12%^>uEZwdKAq!#`zS6r4n zHi%b99pkzG2_*4Ok_B58$|gLM$W<$BuNS&%737;jzJ=}kB9alYx&NsQ;(0*tBbh+( zJ=j*Curlq~mO~@pC&3f7P!Kx6_k#to^B4FoT-a}x%2%OM4UI~S@{O1~Eh^quF@KJ4 zWYo0T3w#yhM*D`2et*RKzWsU!_LiCd9{PTy@B4EX&Yu?RJA7t*V(k2x2{VQ-OqiB9 zb8f-{->CU>rcRriuxP3(YJTF(sQ9UKRf$vQ`St5P?cYj(roB1Sp4B*1o)}#A?Kpu< zFtpBEQ12+1-bQibuMWQL53VshSoB`|RUZvgEj~WO;mkgt{Np#Kt&Xr-nJ_%1B|k zr$BJqIs3t_sAmnjg;oOBFKicfbv*v7#)O!Es*;1*bcQ+_+f$;AP55 zCxNVY>-z}~iyYsH+$~VgTOGN0aNe?Pldo8?ZdBT_zgORIu}SVPP)_!#xG}Q!W;dOk z6=`B(^s{hbtTSn1hUEC-mfb{L6Q5TO9xrzjkS30nJov`ytbl8x)1vp(oa;n$WDiF>R$*NSc^3xb~Btha9+G)J8p$@DdU)r^U7I{Y;N3<7}2X{oYpr>&#>*S9G8 z+}$PZ`@R4$3jlrr0KK20GGj!tS{c0K1@C*PRK@E2R;35F*1%O3fP(v^PdnPdg7Dc))E@^W{cd;%03VIQ=RVv-rM+v({1Fwn7tqU@T$*;4A=q0RS=p zh@PG*D=W8-dLL+*;15K8(8adw0`y`#5Es(_ybKrSZzX3VC^4s{ZSXK;*6#MOTKqSP$I*z3_w@A^{*90LIJ&fw^QYocT?Q zqPrtqtYbd!Vr$zQYES{dEokB_0BpSsG<@5lX!jW4!`C2k1EGe+08j@2w`Qiw$^l>( z08D=m0+#EUS~_Y{15q(BA9pzQ+T!456N2BI8V!`4SHjSRCP_3yNp-y%G& z$I&WIz|q1S`OQZwuhk0dDWOV$q%otF*J>%2RpHNXmMzD!ZOHXE%LrbMMcF8s-T3%h z(uNS|qd)-E%1?f=wP@~l+Nc3OW40&7$5#hx>y3JYY zTZOk}_1ob??#MerRpy=YQr}7}tNZG$Wp}X5z?R7+(nBdwzq({0AA1ox5Md>PtR#Zm zEM{klB)pxAWX2+InQdmSOk+_NSZf8lDBW5^B6ixzw9qMtU4We=FL0VW2?W`4Z|?9N zP7kU;!j;(J;;ry;gb*Z~6Fj4sRAwRr1E1Fr1hfmZJx;dPM+SjZ9JI5=*T;K~t@0F@ zbfcn z=edlANkHXwU>)*VwgWz*ui-<7*3QEzO)5ZCIYE{G1*olEKHyO$g9xe#hbWXqbrQ;f zBYxftM@lqPf%T40uzTAWj=-Z1e%8p$5@e=&QxJx56)xd?E9rykkw7C61hy3z>`V-W z3qYc%P?v|2W}aa)D2$Zx237H82aNIV8;XwzoKxx)D5070gB0CPZOtys{iaZU=B`WH z*nMv9+a|d~!7RCS*q7OgJy>88Tfd*_=AK3h=F208ZOm3|$AZ%m>ksN(-S2$lZWlQD zshmi$Xg;S{K6gluh6I(rtGb<*pSsct{}F!z{z3U3tV89d+W{ZZ9{5nc)2=iY&qR*B z#I9)Z_7Rcq5G}x2%C~eLP7h5oLVp9FCi%8v<=eVvbNSA&#?*L@U7+sZZKQ!F`JQJX z-$SuR3;ABhp?V{#jzP7Q@Af}5m+!I8d$2n>H9#=Q-Sltr-QF9friFY*aj05E)nnQx zifSR>I(#h7LcS#{Z(iE~Ilg^^ zCy{1Zzh65!B*! zh>EWjG)*&WoMt6xM(FTHxnN?);2PwJfgRprafuVE@zyS&#;FEQA5EM@C+v)f6|+P^ zh#1JQP;gZxM9v1{_>wnhVKmS+0do?QPX?8-2`Mi7k&a5F!}!L?tTc~|;S7vSH9Iot zV+=SlCc@tR@K^JZS!o^_!#y&nj7=!zP_?8pcqfdaawFr*jZ9G(^y}0pc4P*(Mz1Io zZ#O#LV%Xa>GQQl%6qO=NEJkJsK^1L8)Y}ZIoQ#aYY~Z7n1d(l1>CJg!i1<5$*wiMK z2G<7Yr=@O~ScWXB!+vUxzR^z$+m~T82V0nqV`gIJodhs!W$T&lr+Wv@$ zhY-=kB2tw^ouPe&199fEYA|;gVR;;IJGkHgL zP|@xvcC`Szs>Lg8RGz7So|r;N+>Vt!$I8e-BgMz$Vtv~SAnd&~pTX*K*u;03jxEe4 zScHTZ3<)x`i5<%|dk32(uOl<7%dzc_BT41|TN!7X;bI9A%L86RgYZIKN9P0J_%HM6e@=dCQPr3Ofu+n!>BsvQpHpURwHy82lzz3HFe4QG!@*Fs7g}T+h z3F$Q3)%q6%R}(g*HC^xWbGQEiYwrdh(qlu{WC>s4c)mg=#t}Dk@xRkuMcf_~^*8CB zn8f2Tbg$a-FW^hx3O;=N+qp7vHw#|gXVB;%5Z&AJ>WOkRwF8N~>b?BdV!62GA~*wc zJmtMt4mzcpIa3o6in*I4gW+1g7e2L@-0Wy|ao-ZSg`8}_%dJtkEKSE_+zodgs(Zat z3!}bBw4=dOUMPS^tSJw5r^!Hnhol{P%RozJk(i_o86A2KK14U*$Q`tX=QsU2guy|t zIPSgLE>_T=g`Rdf&Pxq(qMHcZ#Ti6y7r7iCoMj6_RIyN|T~j06Wxp7TgR{a>J^~7$ zL$8%SpqHYq73zEJlB^3ba6jQ8uWMSonygt3tNoQTCB@%qJILUXEAkVpW#P0Bym0_q z=M&pp63Ob)TLHg5lRJSpv?zpoSxclDaQ^2_0@0 z5urkO)VIFuB22ExyywCNk_+-vcY8*33o<*!cH^Z3ie1UGIUD|B18aAyu}t*# zvWr+&{KH%E@^A%NY=IYMw1$A(;Q1h$a9IGl-80^*D>=aqofnTW0dGjk%Lm35+sGs7 zBtZ7gJshq`zipyUtTX9N_M3-2JNO5)Ujg`VpYWexu4A*$aeG39d^giymBV1gAj< zo2x2G^1hG)?07bmu?%a>Yx$T*;-^GiX^(Oo0VgPAqrQb+eh6Oi_A(ikHN3rSZyhdE zLQ(TDdQy^{RrC`Q)(3vKAS|jSNsrgm8^Mmu4q8nrTq8Clm^NP$b#s+6XYXETT!adQ z=xL|pUtV~Y4Z#KovdcEYP3T&uA>9WnOU!rWf@2`5PO{)CK&D`N9ik)d^XG$Kb!-y{ zR3%Gs21bD*5)APhEEU-dgedS0L)YDsNSPew4;4x8K4=?@NqSdwz|C5Ewfhmd$<>P&@gYT@d9 zoA-O2g~V&W77{ADSQ|jdzm#&pU=Dw*PhZ5ZM|?iUkTV~AZMy#BJAPOT>Xq&1?&a{0OpY?l7K^d79CtxIljR?URVvd8IeF%>U z2M;0y;b6F1n$&e$_~gm9{UA*W>T#eVE<^x@yIO>>_}xOt2#_&4g7~2K1@a!b{1|nM zZiQ*Sf-B8UZxef9zLj(l1>CGH)YP`{a{E zg~d&bs#|?u*uQ%v*!o)WkgqJhyu7Q0!>rn0T zi-;cEjkXShHq*BvPCWd@xES3;PS%=N8JqmE%mg3q9dDu{#V*0vk?*j~5#MWa9U1=p#_bYBst)Ur7q^F-fjK+s9TR3)=LPtEOxw znDAo`VkDujHyW#p*4_-Vqx(hFiYYg+`gvGA>PP7oBlMZ8-qHO`6NH375be6l4ig`1 zhA%>Wne_}Xz41fzW%#gq$WKTi$`L}vLy()v95ZyA=Ec3epfx^`?Ny0Aaz%(JhD&in z+miyPrULm{sdb@gsC<`h+jO|m`=?Uc=Avl0ixv1YuA`k&nHKXmd1A#kv0@p)M;#6h zPSXJ8x8@ z*|Fw|9M5%Mc3AbnM{k#njU9NZC78kK4XQVG_$VFiGbhfBSZ(a^96KyL4+7>)nI5A<%v?z*Ljup$X;%b7Ai-QTVydaArmNr2YHnqUH4WfvOY>Wh@ zKZXzIVYploIgitWH&$uCylAPwMg*QQ^<=%f3_;yTvMOS-TW%o?U`>udRs(8Yv_Lpc>}@OVYUQDD>vqO$CV+&u znTeDx(a0(TGC}naKEwmq8^W83lrB+C5K01(CR8ubcEsdi#xh_O<@zQLFyk+%1^qZ-#wiMXmS6*vvy1$FE%2!n$LP10?ZdK|x0a0{cnNPUTTSBbDz*%QM?Eruv%)S} zJmr$FGH{Tbj=(3Br94L_2Sp&;G?~wicWr0{KuHe21aZCte^EoHo+wmhlKSX+G2(WflDc$X(DtCu>`r-p+0=tqDe~*5GdMSivj)W zQqDt9ans+3UM$5rYg7Kqa^$$Qj+qcr=tY&G;m+m>9DD=L}C-zGP> z^urF_gynHE&M)G?<3j-sa)ZqPNaa#u4gI3GfXX=NMuf)nZxmWEKme=ekcdvd!o5Us z8Auz+|FAME{s;4AsE`PsUqyoQl>)d?)6#Dq!`H@=(NK}(7?QCHH4L+~Xv`S{W$72O z>=t~`l$Qyxvwk=rh6-}|~y15K;%`WrC2Lz%c z+5^q>;hC|UE(4-)E_@`weR5a5sq73XTS&@ycgeL)B2w3YEUAPtG|NHVhd|DknoYal zCK7oTY@5GV5V=MV>h=BmqNbH`>58V6@pQLNed1Pw64u4Y*O#symu5HN8#_q5d^ssA zZdjS_gR@W|T_3i3QkvZ-q~Kzbwq{tFbi&!Dh1v(pLlz-*&0a9u_~D}#myyO?Vi?Hl z47Wd6zB7@)@;ymvvqO3VeoKKzcj`LJZSd|>znL%ig`V=%dx-K2*7aS}NZEm(A+Wo< zyJi#IQyg9JlStia{!r*)Ymo>%E`jEl-={H<)k+Y7+3S^zi?D0Lr_qa{!gP#25eorX z5UHf)ZrMSSQ7SM^mtefov8kZVSZseH;3OM~wGt7JZ&K@D;HN08O9HvR%C<&=r5Ser zU7gi3*z9OcHal`|3VP^qojJM$7HE%_+U6QM$fA`3(7Z}rV9TvAXH>VIz?m^Y7dXkY z&ec_Jn<{jzJ0INzbhph6eCNTY7-B?pDO(WT_As;;oQ9`R1UlzsO|rP390wrzEJN8l zg$1b?>eJN1#}RX}Ux#l7mOgQcT-~%7 zFaEF&1+50hDT>~&1kmk~0P6GJPT)S3*QsNXA=S&_BcZqZl=;G4TKn8SRd!d z&9&VG9G<1%TqVotZNXI@R-XvrAGgNuK8{HzH?#!NdcXSv2f>4(%WdHLs2~e;OoHul z8@wVos@hD{-_Hx*{jv_*cOzmVXk}1D7i~$BLzBnCJm;j=br;ZOj$RF_f(`PSG5{jE6 zjw-O&=Kb(qze5h8f>!>^nyhzW?*nM&%h19*k)uPfZ3bJI>+qb@spEr~I%}hk0Z=jS zEIHy$T3Y8_sM9#AFJI?HuFeXqQ`%H#V6Q){U|Z+Zo(R}rIB55EJD4foj$^h^@<+v$ zAc~Bl&|q7BD-uKe8%;4vJ(~VV5uT^|-RBpuPBJ;e@T9Rf0HS&YeA3YxQl%gbCI)W) zJ@Q;HF>oZ^_3sCu3ffZnxtV$-LEW5$@^jx~x1S;j7~?<^CTqL)pB9;M&JTJ%N(q_M zAb8kA6(hGFAD?H)7WRwG`_ZaYTtUMPCu-0$bUFzikr&X;p3XQ?>8a(fXF<*%1!HC+Y=|Nt`&*MKEZCLk$qFxl%|@h@qqhlu7G#B3w?LD zE&@t4@SHKFp>FNWq1h-Of*n;Iv?(-#c)eK!G5H?q7NPq}v;qxxo`SdF`ZyNy#t%$; zFQG|QH?*6Iq8|eBjASVRWla04&ixv3*5vZzzcG1){hD`B1({r`LS@QB?Uou&@3sV6xJqY|55*Wy9b29b{l<1@v!@NxXsEb^eA%>leV)mTRw6uwrG zl-k;nh-wZBmZ{N!FaXD17k6j8{q(Yu)3~UC3JK55@x{M!KsBTw5B}n!W3;LUlmZ=A z#YL)6Ld@R&tu8Bdoxn;>k%;!(=^*>kNdSd$soe9<9DdjtLW+^#qnl2=8kX3wGmY2+ zf#5>qTPmw_&X%wpV+blgiBq6)3^#e;+;Bm04F2h?N2g$leH*p+gZEM0D%|{&a9zb3uIf zeH;~$9rok^PDFjzAoE0|?+E4FGfH^l7yW+)Sj8&%NG5?DVDFXRjx9&ct*eH@6v+41 z%?e$^`J1SkpyoKNd>f9ZpcpzJ{MRj^yE@Vy8EWLBQ(KNleLm8aoTj2oClc~(VM+2MJOZ~Kr< z=){RY*tU_l2_3nh?j7|smksNM8&ZTDJ_lTgC@r>(4Pk zPhmiJq^|~{)Xm39J^BpxGYbrbm`W7hNbHB%hz$$nR!HI#Y{si(r^g2!Q_d8f3b>r)xSZz-s|9#9%vR4 zwaEq-ooBy+w`AExXXd#HFpbTe8XNGunuz=W%JrrK+<6ElkETaLwYYtuDm-?_EUSiI zLiAa|;?zCg8feCu7w|M2hfp-IS!MGA(9I1_!(JL&A=6TXurHuaX=ziB9?RMQOk*Yh zYHxP_l3z-OfAs|#hnr{|R5Um^K;Ye`48oO3?O55LQ-`vz#=v*ACzbsG=_|PtJWzjY z%J!>GPOsyS-lz+_*TW}$v6)v8w;q=r4|q#ggTqC=wkzVP2-F`0!OMgpXv75Eb)(Pilq1t*7a0=^(E4cLH=YXN;j>vvI|x!8Gx1E;^MOcjDah^!q+mrZXr?z z7my^&FwLAebmzNa*StIMmeBF=^NskvJgFor)>Y)!4eV#g@p>oyh7L_WH$p=gy|d`xarnL11BrdWxR90WuVDjA z-fSQq8>poXfcmc-UIolkrb38_l2jCL>D#eDhF>8Q zrV0;>#YV^DtQ-gJk(4w4$FSGr)o+Hq#+8!#g0a5I=K7k}?iJ6kLt}+okSqXr?bXE& zR*L9+@gX*<8+Zo`>49m37YSs0)y;T)IZg?G_^4??7rPB7UwH^5SUO^5yvG3w)ro^1bDsWhK) zkWCDq=QzWT=08?`uIQoh*eZkgVfK*d8K-rwE`BOr&*Xc4jZMd6)27u;5hn+-F_HG*C(#^}I3%9l+l!WCCsl;ruDq_@c%9f+;pb3W`sZZB#@v7;9vhhQ zMgw2#k*Xxx0N9rY(-r~OWURcX!jQc5PY`=49p4HuWXQFMorgWh$904H9DGDLdQ@i^ zi94XYgdtp9B%AfHAqKmN}QV2d{Z(pKLgPkX}P$bv8_uB#Bt-EYs z;_1)Vp~BK*Z$c-T>x1Q4r_Tc5ELN5hqQO)d|L%VnfHVW(<2Vc2BgdIN(I@xDy#=#R zu4|2tyha3ITp6hUU{e)2A^^U&k{2(U955bS*Mn4oCAW?h_Wg zR|VBN)}v-Mp5m9_kB0QcpL7JNElimagEjCx%K~`Y$5rrcnmy(&cJ(O7WNG1WUcN^S z93ayUJIFi3DZvY{)jF)eNLz)ijy+{RfrD2Cn7B}chJoe>ALeZ zfNycV}QaY^taU|)5tFrR@h{fJCNHY?w&PaV0#RBTjk+mbeTRQ#y0T4#C zxX9W5?WWO8^N z5ighG4P~#Cs{QwaU>MlTWb;CnpkVC7F*Z=apjSoceNscf9>6PMZ<3{Ocv*;NqW7KW z?$eS6e6axo?RByJjAJi`h$@;SZWiQ32O1o)`)?(q@arL&MM0;hN(a3cZU zgus!`2ps7Hz{MjGIBqop`?m(*!Y&AWXDHUZ9f9jy5V!<^Lq9^`ptT4*2Z8?|ac>^i z)baHJCnONSKtNn@CAc7U4O9^qBmoiJ(W-TCKvcAdXszN(ASk%wR&ft#6XB zogCHV%M7UT%QN#Qz6qy3x*2$2^}HeDoW6T6|r=z#kLXl1Sitoe2C5f%Cs6@C%ZhbCoRbdHQfG3 zy}bGHvboN(*&<1xP7;J?CVOVFXSQUIqCC~j`oEaYD(BCvaFa}>lmu%fDy5!1B|X^R zJ=8DMElc?Saa?7i9C)s>qJdCbRGswy16Nu1|B0%sJ9x?p!BbWh(pwZdOvLe&^;3y- zV|5cMST2s-&#i^~g;WD_%dfnA8k3D0W z=jXj$t73qwxuZ2TEnJ=6;Q@cFj6EY-(BAui6|i8pdA>4L?i(1_rt1QguBG8;y|`L* zWQDSNk)qF6r=WYJ$!zim4X;+Zxc(0YxqAId$>|Ihy&;kf0u;ux3nzcHy`4Iz;}lLh?v_;{E1H3iEdksS9-t!yjMK zM(Ya<4%u+aApgJi40)A1jSqri)%uNhipRcnu#s*-s2d&$_xIgHhmyv zBw^hZ-+nE7(3SC!^;%FB(xsndHmb9&RUyNJtt4ST=^t-O z>nUbMTyDAO%Ldn6xFTL#7VhPF$RsXz-{pD8c0|?ee5a-!SBr_K{~A>A0Z(=I?L5~9 zQmz(@s_blPtJ5EUYU3emv8YSKFe?vPo3e%bc{a5UE_Vm=Y-%kA)a-1Zj%kFb&W4}< zYlN?r>TF;AZ}++STG`aHt3pOPTWgWJ^zp&dA=X;BFIwAhw6%z!vV{kYR>HQEE_Xj% zx=G9#YDmq*4hO77%s&0s*cce9MCnx4Zpkl^OMacY@Lba)(X;xFb7gtk{EfZTIT5M1 z#TPmg5!xc+Ol!3oL}=F*Hiu82Ef-abzVd_5<@M?z3Q<4l2k3(l1U*2UX*V|$XWGH$ z*8u(1@Lc4Tp5X^Bt-*slT5ii$%DV?>l9OW7ROO&fGlM$q7^u@$6LlJx(Ux7yR`$*V zV92Djssms~(}Ef8M^L9l2KhOQW`LFKCIH6*G@>N%n*DlKT6Sf%i-QT&X$L`_W||4Q zt|}B!1_?zVUpsnES~h>Ji-QN4(Y`0@v_*qFcK)5M6g>koS|y4oJtq|xgF0=mkC#*j z>a=kwQ1Ug3c#R^$z-zX=SX$O(or^;>n9;rmb=nW0PSZ62U03@+jc7CgUjZ-+%xHhM z@{%g8)M-0GohGtUr&W~}qX+=@wnh=4P8$d6w3a?wl)XbC;WQO-9z|?G5ui@{eh`Y- zf+D)2i0J^7P!Zr(O9Hprp8&)R@pE3geUOK!7XY(BzV;OCY!#63Hzatp_evi;0uu5d zVK@ML17XR@X`($~MkC_0BkjGU1`wZZ`y8fzA3A&uZndPzX;q+36I%fI1mtT6LB6*3 zOB9g=31yH_1PNInU+Vz!wMm`5q@oF^#R=5nV^p&eI^2YW{EJet4uD2rXN#PO#`*@0 z1^Tw$&ryr2$!M&LXspd>EC3FIzHKe&+eDymOYnVHliYU-iU2!X2hg`o3Pcg0ZyN{t zww9YvL`Sf*jh%ubE};kj8iBqoatez09z|?L5kc?5AEuxPNH7ENBLD?c{hZY$9KTya zEF=^|!p84G#s_}4q#zKV%>aGdEzq~+gPm zz%iE&fGg@ro zF(=-Gw&;%{)^Amc+I7>EL{Cqv%DW~NYr!#heYjWpxlhsDgHS{^bO`LG5yekWGyZf< zT6So^i-Ybxuk=p);88;cdx&&hz41@&TPg1eR=b+LXg(2vGpR;ZZBpiW+cu2Sg z2?u|Ymc@MT;$Q*b;X&vy9)NrRW&=apAPHc#}ME&EBzNbL^BAy3ML`qR3Pg zVd;2nyr`68yDg{QdE5riyE<@=3n!KYoSFPAi2+r#-DvHFR0&^G!>mR{%1-7z)j)$RrA zGg|kwo>Km$xr}p|1*F21w>XTaJ98Mr?@(GxRuwpmr#o{P<`i$HF^6GJ@n%{gQ(7p~ ztZ+_;iOq!qW&mGzCWAG!fih7Sr5{UG_?844n1#9hbaklG`6vVn zDOO}X@~F8OX}|Lqg`75vps+0^TG`|(*$>^&>mA&U9Yi5yvB*~M@ z$|xhX!mJ~jJEIw*qR|XZL|OQ%(kAgDz473`$Kx|>bTW=P#J1UL zM=KUEbPl02glO*|rK?7SvqJs18P9 zMOx_8uDPi8Mxn)KacBzxO+qxk$2N>CCK^>iUyd9HCaip2tFM6cY; zjzuKd_siCC#ju89W%12e==Ni8`YdrsK|~n{awcQL&fy%#)CT)yvWJajlD2Edd-OZB zAgOA}ELHT=VzE6z(b8k=ta(Ysg-8grh|3!6mdSpn9NUI?41Y2&NrfDx#wQYrzIa4l zOh@q~4TlbBz9Jy{Noiq@Hx92x(LWCTi+}KgTiYvCElDO->$BR`k`T|@t0V!RVRqjS z2=|J7^Z?EV@ps}y4P`{0q!@wyNhc8w0#wQT9@|c(N!q@|SLc-AE69-Z6CQQX!$jwH zp&`Ua9XlSY+a1fetl5-RCCvJt-BFQUL-r^mdt{8ogSSV8NV=>o^{;qA z|BAgwR+cp(D9K;>>c*uh$Ue8`YJ(IZcOm4 zZyOUlTm<%zbnHj=s9|G5slXoS_kW<@*P2My8oj>V=R^|FXb<&XcGFMy2m<)t3;x&w{5?#_6(}2%MSdQ=7mr$;a{Twci2lUl z$Pcn{9LdsJvhfLFTPU1kP3+jI;4|DtM@E4fLVlhnFevx2gl z>6S}G6t%{`I-}FQH%8t@d#Ty8=~AHTF&=!g|M$q7A50!uHW-hL1$gitiIF$RPJ)t^V)9cjYy5t4D0`6}S}*zLG_C7xKj%x|+~cLUfGeG`mb!BlAaeO&^a(S~@vg zYaw6FT0=&kWPM%Wo_lD`r9q(2xbUN8TXpPz{2M%3BXr&NccOhSy zO6RI;kH1S9_w8I7E>^)N{LuZsjK5t+(Ew1x1^~LJEEoV3FVRQ6mkUPg)*RY{7HiIP|B4-Xo~Cjk0nh%~-k|bA9@nZ4iFV9@dnx{_5C`8=dTMj}P>z9JKBxC*0Tt;n z&2eICfA};+h`dt&ihXA!WBMs7A^I~af!1?;3H-t*Z<*aNr&v|N_SIdwo0UG0dkr5@ zAEkF8`a?d~fwL^vZZeQbXdvr`%(>|%DppIyN-j~cP|udeR8ldOin%nA8%-#_Uf1|F zewND=`yyp`H!b;-mOMm5a(RE^&%BTQg$6a*`_zr%(9Joz748V7FpaKBQyQk0*37f~ z4Byy2>v|S>mUtu;upSTIv%C|PLnOFxx?*z@u8U4-(xAID{lg#s;1w9JkF+U3yEyy{ zx#dn-7o1zHSRTmT9KEV+TcB%jc2BoOtuTx%$i=T1)OSIit8uhIp0;%m$zwN6sR!ER zSz@~3TDNj#1+L2$S#R$I#oDY>@HXqjP9o(pm~|4Y*1>hypG0(7w9|HW9d@b%{C;;83GM_VBE-#1g7$(&u=D|A0qb zx3=`7Jsm|pIVc@@qzym3CX6Y^P+8Duuw7ClCn<|0>4lOoy|19@-Eo|HF`M2MNAXT} zG~&AbO+2UBF&c+050IA~XyeCWHoZrTi$+Ga&ym|H8`ZFmV2V5WG!1v)18iJ3okIas^qajp%$gUl2FBcs3qEVNvOYKeOImyT|Bz z&nA!Y{uvp3AL7CL9?oy4t;C*E=dE@VtbJNcw$2%khwLjncw6&}BiG|P7~6OlJ|E!! zz~R3A9aXiq6n^7#`WXrvO>1B4+7cIZTzZ?(snsj#&N@1Vo-UR6&mS=*CVNY){^WFh z>iX4jjna?56IAkf5E2sNQnNS5>W@=Gs(YiQ``-yFri2?++F9AzvHBC!^<_?OA;l1r zJvn(hyP}f(sz9?fbwy5_=6jmtm4uzvY~{VD#)G`HU;-W)CxkV!-ha`pts=dzliqBF zk2^rvMAU>}%=)TW;92)t_a+(h$g?U1V+{8uGrHbq{3hZ7M?r%J_}pVFL27Uvt#DZ+Ny(Q|b=o@{YC^>_7`c!b}-AS?G)a?d`bJrNFR=az7! zrBo>iZPoDhz+1^jJ{X6_cg1kRTlrzW;BGuOOG{zbD6?_W69TH7@@ ze%%S=qS->Eb1C zy)5e3{NhT|FN{_?qi;Z)0--0r5XZ<9>aJ#5_cN2FHyDg1=YrGT3i;Lh#e>F`dt}X@;#xC3(z+ECRn?Elkzvbb2a-WqdG#x z(KZzC*#GUFiG4$Wm2HD&%s!v?#8?}uLoR+Hffb9fU?vTQR|M;uDh}P7(CGrSZ9CHBcnvJ= z3g?+sZ#ZbkVQQ(u%Vhg|`0M}Xpf#TCyA!+nrKA%1r3A)K$&92KcF;*zsoMGysy0nce6``Ab5t$s zI%ph2OV~YA+H~O2Z#Za+Rd3AfTaMDmt2#!-(J=jgbI{90%t4QkgO(tdz(K1_z3V!t znL{5X^i2W!4F?TTfr+@*l6q~|SkGf;_k2NgNi)OAoD zhqe$pPl&GNprQ!hP~wottGCBKoVFffA^+3fLbd|vX!Ae3k9H$^CjNc&ob7$osb|oS z(eb$f+i6=E@25^ZTYfbxDRr>r;igaRi**TTi6=k`l4Mpq=JeuP%$z1e_Jv9E(sj(^;{MV$OuJDJ`D9v8?#c@QR-mK2W9Dmw3rxyC9>Gy9kB9_@-3FCW(ju zIw# z(XDIuoW!!*iXKd?_0UJ5+nMe3dAXo53m_7{hi<(UG-g2=&O3!jH};&a>h)or9j!M&aZzI?+>$@k`R7-XlHg2yDDU|mdh`B7pAdARVmy?L3Gwu zGaPE?Pu+@{foPv=!zJv>2;dmcJDtW_C1OTj!EW**X5(G|3|ea^bxI@Rn2Kd{?ba*fa7-JO6^CdSr7lD?a*B&~5jPx$sN$ksXchB` z)yh$n-V)nxrG-0n^v!;t3YW%C(S{qC|}t2%pQ*G==>{p){22yH19JmAi+r@P5aL4U`0-jXjdjE={P6Tv=5Bo z5^4!4MqWYQIA@YGYNB@+yVh~Lcud@Wru&0$5yV3}bp<35bvo5ez-ePLIHulXy z+5X~m=JDt4Ev#uDJnM~$xDH`9u2oc;7xVF2;wi5A#UPdg3$#;)J^ITQ3p4qD~LXf@J@?)grmF(W`r8nwArX|3QMzWxFWgAGe)(l zLoU0n{XgVDmDF1;ykl66%%_p78oRw(Ax2mf!|?as84W|K&zOe4=g<9R8U9`e`pfDS=RVib>>W)WBjNA8 z+R|RKcOEXy-q@#Bq)><3P3;sd6je=dGItX$Z65NAWJ;=BKj{n2 zr)o9V5HZ2E(cob?H_~EsPy~LQr!8yHEjpkm_0#u|=;8Xw-_eqW<6`y`6WXV#pAHy- zD5`PH&mqdHb>tW9RD*%k;8~UIX)G9^kxR4JsN8iq)rh`lh9=u<6#2y}GLHET_zHG> z<%>7q=>)HO1MbS;hu?s&TKb5JeT+=BCBhF=#{(NthYU@o{!!KczW5#97vHJlizS;p zY%3PFbt|gbQ;l~VWrCIUY=DjR%+k=4prf!tNpZGQ0$Mq(Cu-=!cmWb$XV8QvvJ4$W zSvj)vpLjj6KA;`4(Q8-auzT-9J~lqsYn+ZVB?V7 z{eE9swPuqr4bSChQ(-{_J9KiFRIq04VP^ub*u*NwUPL@-Z@S3J;a;)Hi)uX=*;4of z5KT+!_wbxL8lBhZzARKAr;0NYgL^Y0y(%YOX~yNL_`s8lq@mP|05X!{k;t;M#T9HY zhBm({(Jh=y1fyPQ*d*tt|I^Gs86~8|C01j+Rq}-Et;a`Eja3)~UKg+$s~cXE*l4$t zdQfpW<#@>6Wy=PxvDPLHqlDC23E(tUdNy=%2U&&s53Nv+VwSHBp7AcJEgBhZa|@5g z^+~rxa*JTQTX5e=ik5a_T$XHoiakUAtd2+HWBJg)O1Wv-a7{9Nn3WZ8eVs8*)4A;n zxH7H4UuUsdt*ZY6aSNU007#FA&2`?j$2PAF>+DC+QZ^YeD7F)}EB!#Dy*)D=G}@c9 z>(Xe;P8g`i=}zJi-poFPazP~w^;S^#>V!maS*^lUo|h|NqwdVYh#KjY$Lz#9Jx9Wq z+WB45<3OzhaIv?I{Vw;7N)jg#RJ>n@B3-ApC)-siX_?>J1jgx z3e=TfTeEJUtXAKj zJBB$keD<}hhpiaYO19 z%=feHox(a+&YQ(bVxQGmR?*1u_OY^bDLXB=1%iEkqkVgR5G{4=M}=hz6WCapSgzcP zvGSl^ER=JHGZKSWkdZ_qX4%HdUpV_J??PBAv#)@oIC=9aC6}Ym{19bhKH$xlbpqZz zIZU2esAasQRp?*4dgD)VpMV}FkGPh54EIZp& z30IZJRFz7;Dur$ZC+R)sNz0K~XmkvpOuX}K9d^x$5m5N8w=)aMzGa7djNejyee8o0 z_lDMGDk);5Io~X^FEwP}8fag{%w-ZR25Q#t#qWALsiIiSnTPSJS_ZO>{wJhoHN7BM zYns}H4Qm#@0Bm^Wr=EfqiD~EUwJcxB8tiY%UBlP%9XaOg(}UaGuz#0a%=vl>RLBUm zPIH)%Qe~@BqDhwaagVBJ}b`7q1SI2^)%T_ z>ci3YZHr=k3iiG&QmD;OWXdK@2^3X>5hseLf@MtZuEFad(MnstlDs78Fzlx_AfP`qNu#VpQ9TQP6(?>~lB>=2$mCX>)g8g0;o(PA~^&1waCwTXr|Jz*mY zO$r$w(((w$*5oaT>gQe@v^E(Ow0n~26=`SS)K8v6!)47~ zD%c#E9E-h(6#p`0N^O8)evFgcZ7eJ`dPD1K)G^Lu`Gu2-61T866n8}A41@VM>6?4_ zi@R!lgZ{L>VvysPC2p~8h!r(;y{1k3^684M`Rlq0HaN6bU zEJ+6H#QfHz0} z>B+OPZ{rm}6$#+TN%MAD-bdZ~9164h%@kI0#%r>f7RB@1Ko)uSd4wMuTBM|cIKn^6 zlC&gv(~BZkyEo-EX-Qetq+u2<>i8=6iu1#kQ>drjjGkrXpMU9c7Hjk>uMT$Qf0@GK zmN>I!VbcrkZ-JcHJ!i{y-2Lb)XUcNS_Rrh-Z$>8=dVpkd3)HpSng3|Wq_S;z@H>(D zl93b?XH#bc#aZ>yaTkdtZ3MW?Eom`Wul8E>D_XGLs0jSsjMlF1F`GbIJH&ae-S!^M zo+Oi2VnrPfLqY}Bw5&NLXdB+NP4Y!&=}Vk!2dQycxOQwtEGj;;$-cp0P*kR93UG0# zw|B<_VH_pC7#*xit1`Mi6#uIexj)AHT~>^a{>i@}#d&=PvEfbdP~5kU5*X)JNobtg zTYss2oZINO6$NpWs(Jip=|Zw2uk)=K} zrP;bv0ee#XZW@aVn^L(Ct>v1^>fOKzt99*f+V`s>Z|2cpt~!f{zL#~t!-^;(D2&Vq^K+rI{X2H}j zS6TlOkbz1Pql#9cA{h$=oz&tt1V72Tkr1;`$hPhzWB~~=<1ZEsDoD9eDZ(JVV19zV zvsw10kWi}{++fE$vVvFq1udWw)z_Tp?P$~%Y}rm}a#kl#!-as6D{x4Ocl`VsCfXJPEpYbfBz+#5-D zgH-m5V63wx{7yB$T&`TkY#l_lelD=Jaa%94wS{y|g07ad<1|c7ykMf~fg7gO84l|) zOg(6O1aq}T1c!T8|AxJLl)LSu?lFZ_uHm}}-^=Ff_n{Jc*2}2gv_%2>rv_5Y@58#R zeQ4itxszRmbi+A<*WHp{*L@+I9mybCSLV@OyA!{Y@xmNzTwPQ-O`(gCtRG-!bH37P ziUB)6Gr0fu{z&PRVBoprt5-91>9A&KCbp2+-Yl=~;tiy3VGBGItpwa})=Z2eHB%7U z=}PxxQmlj&Q`Wby#3Jt&6*uRFT&lka%ie>{!B;M6C^#B zXD3bklh-c&GShAzX_sAByPVz3m@P@LkeM$1@``l&D3in}img{yD-L9K;v_7}U8r{D z!|2XY)DENDZ|YFw%owZ2{RQyd_x+1@eqMs7nE3AJ*xqK8E&7n3RFa=WF{ps=KI&Y= z01V(MX5sQ+&iFQs8JVc4&y5hJ3;zajvd$aC-XEz>lFkQMWfvV@h%wkZ2Xtc_Tbyop z(zXS>ea$X%vbkgzqunkXk^H&)EL?S#D@BzSnRZptlAU{fx8~bx;u?p0IiJ-thgxl; z!$7u1j-*(RSy87Nq+yoOeXM%v@))xOCtCJ^oo|kI?IaM*Ey8;)At5!?^yd23U1-Dl z?zFVaytu)>v|GmkGpU6vJP=1=b0v7uUwUu^+~SB_TZQ?9^AX+`Kikbtvic*Nq6?Ky zpH{5uy@oNnWVrgMh9Pt``DgRql4)Di4=oeXFC@dqHRSM>c(Bl`M>wmh=rD zTt^deuekMG0#!sB3j-Q1#=wBl0nRbXRQ6^e;QBw*n%U(YvP+QFE~2ry5(gOYR96A; zFat_D5U@LMK$kB!;A71<8&k=3PKHWFNFWELf4X7*6%?%RHQS z{TE{2g*#}V^uA@AK@_)qe?nOtgYOQ01}V{*(}^Mc!LNtxGL1U|Xe6fV(kUgpOk88p zLuS|qt?V+_axyiWAy~GPmziInYe74#qd6*XZ%G&W2czR^weSFZb7u3HNtA7pN z)JwAIUCE|DMhszlgGRw=vK_2iLr8@xUWFjY37dj_034C(k^M#mZ@)toEZc}j#x7nN z>#8|?-i1A+B@*n@;GC)Nlj38kvT*_;Tl0>l$_7!HnPu>u6Zm^cLtPwEu zhR>TzC&mmUMC>P6uG~IvQ&Co{!12_GthUMc%etmW>*kD$0J#%e+AXfQ-Ge5o7;9a) z9a?@JV5{UusN}L{<%YQ?ygg_)-KP_qG4cyUsm|H~_UmbU!+v)HNIBn4cw`vs*iS$2 zaycv0D6n7hK4!mhb?s-_@opJa-M6El>UYXWdJeN+Eg`ZYab!Q|m4dgoc?+K5CnFOg zwBMZ@b`?t?j_#TBE5187)-jIg(`48nWm?di#=Fy>jF)4rNNwZUYB&cq1ZO%9p*=9o z#S&)ma>1Hz!MPETAfrHuK1DpaFs>NtZ_yfLsh|^8wRn%(bQIOi8z*#Yg;!f78xJuV zOw4t`f~RT+3*16?&{K>8hbo-6hzycX28j_`_3k<^=DueI-c;3s4!QX(A|bRZLEfZf zB;h3yr}+&HejK;_NK5$eU_5LKe$jC^B8xN*%%CAhL`1~ieaVwHyZvQ*51q8=Q92n? zcC?PGOjmagu$?i|O+bP1M_Ix6a73 zH1oR|+3Yr<;yxi7&{|IKldcfH(-brzijL3eU8;pnA2M8zY4^_!@8`p*Cz5s{sVxtR2%)cI@8X&K7WuHBZ;BVhJ1V7-~9!CDP-*xDp&*V z8F~Ufsklda=L=|Z*Y#Qalq*0e-vT)J58z?yqyI^W#1%bqi`~+NhSX(U`|P9Cb`t-@+5|DjNS^z#x938z2 zy!J!Rs_i1emrN(`&n9G9=Q<*?e6px#UZ9?tFBtE_mxR%7m5R$`?=p(No7^Bd+wsUa zDHwUn_r>8S8C^2*c_iriS-bwB0J6P z%>^5;FWFpJu!HLMt#u5+pj(=@gmya%1iPJ2+r3X9I?vq}AV;9SEwstNHJw;uw zhI~lHvj=m`?3O_972?OIycuBF8^HQ-nd}D?wr3Gvf#M~#k5NFKJ&V)0np(po z(D(hAZDa-X=L-Nw@&~KT(Xj`hleT5vxW2`{O`+4|w67qIGtavvTOdpU)6dIUi?@*}|izNZf4>zMSj4 z2HD4|Nz1OXv5I!`#B`#WhC8K%K7Clo2-f&U9m(BH%-uAO=wpuCg9T2|y@;8cIRRai z621tYbNiKQp7bMCsy*6h1nm+z^Iwo9btH?=d0BlZC#yK5Z?MEXej=n;GaHdf`m z@l9Y-#vRf;iD^zpU4;X6NzMW?0A1fr5v{eaDko)_Xmn>^p~OKnh&vqc@i6aRhJwB; z#&JY`+DopOK)URp@#ZuR6SD|K{4%rU)!RtaFm2xx49Us<^YDAdHp&z~$-c2{@x4vj z%pq+uv90DxH~j8($@|WF!|zGsOZGcH8Hxfjn3)V#m|UmtmWAiDk6GBJd#!58NaT%? z?&y`Z&ON^LV3&~StvECbCym)ShfS^djC7*bp~olTr$}H035>?6Z+28o-u*y^JH6KO zCmxU5PCVj5+tG7WV9L6G?caaWhuI6v<_qLIV@&l)s>)D26xZ#0h@SnvrIM?tt)P+4MomO!*&(vGxlSXk4alXXK+FL`RHKm) z-m1?M`bNI`H~X<%{iTLC0kO zr^^#lyM76@eheOp%DTO-$wL|$ldj_-S%_ZOLZ@mUgXOYOc!x2y-=A>FPywU2W>Dzc!6=x71rKU$-(mZ_WsYipKH6Z=Fl8OQ8GGMO~;Bb z)ekwd3bn0u@v|h8wdyf4^gZ6t{0VFR^4a)yt6`e2h1dFSvV0j|QND6(zW?QVPqUxM z;1*`3#n!HdQTg-3{a}n**Upkgkg+A>@lZVDjm^`z@b@MT{}_s)t=65hSu9`SILh~& zAI%xB)BkkMbJChha>*>xOdM);1>BH*|8FcbYu)iw^gK*1o=Ssn#!IVBZD;w*>3Xbs z_TN4zh?+nv_CmB!YKDGMzeQcCzN z{8(98K@FCXPlkVvVXs0aEiHqxvBEpKYkQp_WphYb*(X_CH-+TNhbF?r9^)%a>01bbLPUO4Tg;UDSNx zUDN^7J^)yDm7HY+ALiX%ofV6rxz<(6!i~?VAE+Jz9ur~h2eof6n7FU`*OxiHF*6BfwkYpWCPTXa>%>M&PkL80OzX%@%j>rhPXu>QmMO!Z2s0 zB=P3wd%*Dho1kTenZ$%4oGJgh8P)cE`YDPsIj^%XLt1ZQo7K)#w}R&UiXhA{?;Goi zz}M^29oydYx%N+JFfiQ2L$b;`O!03jhXx#E%VpLCf@$$v}-$a@8Juw3mI!Qfg zSP$?r3q=Ds1Hr<+I(kyp3%wEaJU_>2;2 zsyl+6INhf^mQxGm^uu%>BS~|XVtCAUiMKBwB%csZXv%1Y>TC7U?6%RoUugS(N|Jvf z$M+&b!VggVg6l{*y=F*e;Gqb{9SFSrct1o-JW&j`w}hNok$ZTq-3cj8AyVI>09nKQ9dY20M`U?55in)BTl&^}<#|B5& zbv7oqrL~ycPBu7<8t4=8P-yLg;~vk>m_=F(NpY%%7ejN!H)QN|9O$(!r@6Q|0Yq(S z%H+Oj%DSwsggiAFRkGS@RCN(~W|q{(s~VLisBu->b>x`~NOSKEwpX>()z>i~`U~lT ze%ag?Hct^fhVaxu_5snE)~YMk6Gx*lzn$M^)u*liO&Vz`b*S0p8!}< zWO-!U&TYM&6;RAZC0hZBB|Z}!a6B~Dht495acb=@;6n-D9z{A;P?j=23%vYOtj=6h zgv_mHr`feITeT21r5B;oJ~r0FGKGNkFtW!wX3RT~t>4OfsC9#8*bsL4Df=%Z8vNLc z(4{L)(Q>cNf^L%S#bOQrPAx}Av8^p9W$W7~3JUxastiu5>*BM9v_W%(z9bhn`B}%a z|90`K`K9Gtj)@~#6l!3%ZV<#n4)*>a`$u=I7N%I_DbL^akZrzP-ej&B(+T@-$ z0MdMmhpd-%B*cPN$%r%uIAAO^HNM%J7PQe0f?Hv=mpDHzaeilgMwn!t%|Nar<7=XC^*tq;j%gGh2-e@I@| z-34kW+Y>mtEx?7J5m>j0z()uyexJaV14xY|0;@X{_$q-VCkdRnnZVTq_WgjsRRc+K z7J;J!2|U6N;P8tC&e}rYZe9RurV_Y%5J^5nU~LeAuM=2*i@@322t1d-F*6BVGngb_ zA#hU9;df^=iEF3b*Gck-Vn3C%xc~T=d{+54KYUWQ=G0#|0@K&;8!*mk%+nFmE?NfO z`6r=C@%e?jI_Z1P|Lo}G;&wwf9h1LqQ*h@K@g9^5@6o6%CNjm}-3lyzpCUB9Ah0NwbdDNy7=fa;%zu1j^5}P${{=NDLR0Ex zlDu&&RdD2ngqat09}+luFu;Y!9Z^983+MC~fi>mSg4x^S7LIeuW`UwUqHs=&I-`R6 zTNKVI?<84c5ruQoRFmYqooyD5TXu^DidsnE3tdR(7X&W;PPYRUWMHX;EaUG@CQT|( zQd_t=ktTChlXoXl9?StT_DCyPh};tEZK#WMdHJ<^bKH6zJjtijbvGl#!?nd zBbMbWmc@LAmC}P{xyG`X*Q6euH*VPiIaRY_62%}Bx6zZ5eod(PqRuO+rURX*BC&za zB?#}efdZd7ZD(1k<5)nnjx5UzmPL1wvV>1yS*lnT^JW$+?K_qwYl_E9cZv|j#k+dl ziQ-*7Wbv*#;*Lxq8^h%fX%98ZaiJO?j&G0N@&tmqxr1??y)a0~S zsYu}dCz2(2$UfSniT*ykmoYrpDCzP4A|Ds~>a>K9ebsjW)$57+|J&GCvjV!kCGZt~ zg)X1(k;o+{BqmjfesNPrkwh&WDpEI)h_XjYKb9>McWRD!P>)P1GYfsy!rSTFED9JU zDb#gZF(x8wp4R)?nVdh>4o$-1ilZt{CKvZItw?>`FF<)ld&WHPQ}66piiG7Zm)!85 z(lGUIYK*B_lXI428cGJQj6u`mxs;QA7Qh z2n*z!&Ru!>M7scSryhJ7YgkdRLi21?fcA_Qk+`5vz2*WB1{Xk-bK*?pF59L z$o0*7j`H~O=M||PobulN+>Hi{5c|l?B(0bSs z-IONFpGxLjjN0g9n9wixB?bR_Zc=4#nKH`L=;Q4axS{L!YNL-~rc>I#qJ!^xRLbIa zt<#38U$2t>BW{||rbJIqJvD=LD#CjEP7>lU5F=Hd2WS4cpiB+qbHbT(o*fk51mAraM~V*Xo{= zk7`8~A77YOATs|^ZB7$w&mi7dXQxvXtE`b6&bV*)-}iHzB&HQ&nSP>tQNZYca&6U0 zaWI0HS>UXu{jsAwE1-^ebc>Ktik{x0QSuP)^hwG!1uF_<`dRYgfYF+lS~KSyd7|Ik zxgcOqki+Nb5NpUXv0RVPWMVEfnZ(;^iMgkQg&^B4Q^{YMK5*uunaQ-?I)@8<)H0LG z*)b)61>M>)R2QRkeKnhf2s^nzRA^~1R>l?d90j#MicyY2epQO(`Vs0TB395t6!e+e z8p5lYi%LEsm5&DIuJz7yI4@$c%ht3psiGa%bJ4vf^w-50T-$Jg!cHy}6>1yu1=XOS z4tzmRQKM1uEx3a2qo9N4o+4JGUMOfhA9gIGzScXRk6_lhtx1){M=IMfKo?`NMI_rG zDm2-Go4ph*E9k(-Nqd2U-mhxR74$a>`qI*cE9f7XPRoZ-lc69}+IL)yI-^E9d(<^u zjHuo#2hMc6M1`V8FC974X~oMl9ZS7LqGqR+a!G*vtV&sEkW1c`UskCLm2Q%5^6M&X zp}|ekU4BQUD^$8mddPoM843;Vl3wyhDpR3SAqkN`S6K=T3Q4H^l}dC**+8GWQgTXi zZDMmv3&V8^Y__Q>1va}KT+vIt>73@S_(6#K3-{>fv&{2!D=4s8gXI(hEulj9Ow=t> zc0iUl9Q{T8{XoY`@rA+e6BW_NKdM@wyRb`IUoLVCb7|u(dN7ZE?mP!S4`4rc3#Feg zWYiz4h@QOByg=7#p9DSO*$`PrZ&CYQ?xR(r22m}HT9N;R_K2@mFoJ@n?Q@^45?ve9 zqFgJ=+eSbCG!j4iIX6SFv}Iefl?g!s8t=r|G-DZpr4=JsngPMma=HRA4Y9ATAkPmWX`?0KRc}EPIY39s#fj;np4k@CyKDL{lq3u(Vs50Mtidsy2uLHY5%@ z6iZ{GDAXB(rR78*=F>_5ngS4vFk`U*m;fjNz|tB;Ak>)zq0W{C0x$& z_5$FRfLc%#vBRV!qKI7vpb`KBVt}a-!Ap~fAYr$m!&d-&2nkOCFd|4;JOCyD+-5++ zT}UtkkPAR50H**@A_kZU0AEP3MG-p)z<7lCGF?UtFaZ1zMJ#nL?0_g@%Wk0-`2frT zpb~%z04xBM&4VR>fT1W3S!;yX8W5jm++vo-I3q}yvJaYjDGY@mVauKXP!0(b5#q}P zfIk3Q01B2t2R8r^hfGd!$QA%l1ArDWz%2a`9BVZsG)HKw9tdqU2Sq&Kf`r9b08kD< z=-w#(1CI0^s+36uME)2K&GhpDf@)QACAA2GmU_QDQ> z2YYyI2VfdvfLQ>z^piAZH)4QABZ8MNB6#U1LWl3RDie|sW^D9_(BV2<4uDaJzg2($ zUAJbSh*C&60SPtJ({$AU{DS~pjV8jQzNU^d*dwbN5*|Rp%@5Ob#Xn19wteYxdddf0 z(zx#cNI{6N*ISj!HbI(_0SRfwoD!*c+98+Izr`X@*;zm5%+&xi+onwD8KhA!0U-5; zG^W`R7l*Vd0DKP#+fYO>0J9)-Nl7WeNe?AEVN!e*-LG z9$-Pe0W0=_v>6Q`t=|er8*>J*+t6Vp{!ksoi26DGawArSF*X20gmI1Nk23fHzD6>^i~90b4c;Fnuq;d|LvhUJF=0!7K!O3Rps$CXlv~v>gCgOk2R7 zuLn$j9)%u{L#3t!LRuhT%eGRX0|84VSkeZ-On|A%3ATi!O#$rK5x^v4snAY<89o6l z^CDoT$$;H~w1khS&=VwW0$>k26KpeJe*$LwmtZdlmP>`2N!m0jv9!R}%gli3Dgi4=22A-2!TtoS*#fjnc?e*ByCCiJAIKn6 z(Js#k)~YGm#mf!8w;Zsd2*CR71}yIiwL(0kC3Quiy#RCa0W2yTFzH~x&h7>5!yi$o zc^Y8V1Y1bb`jE6;fTfQBY}99fh5rQD(dmGV?*`Z*z&yqQHtq{5^nJjde-4=BXB4{n zL+EBE*nN^VmkK?Fnhu^w(!PYxTp`#qg7pmotaUR;+eFeX0`~o6c;N6OkXCqu8Y=;X z&IL@~8?a+L0o(Qi3f+?cY0HiQHt-g7GtD4JC21cM>?B~#Zd0K%A?@kcq}y%MEfKKj z?ttwFEa*DvR!OkAq}xf-4KSTY zHRj+U7IUx@7jrPdz+w*82x1PF31be*I#=C;O^h4JhHLTQsS5c|^Om$OWZ9nhG!|M7 z<%KZ^EvGwETD!NVg|U30Z%yO!#R$__%t3u;=VKnYQg=dG6Nb8qMEDw`KI7!>&_#+b zi0U(@dJe(1n1iQ`tl`Iy3Nd)pZTNzEC&<_}WKa*fn=EMff_ld(?d99kn8U21qs4FL zV-B;uR=(0K=@e*Ns`tjlSVOCHe3zZ2xQgpjbHbDAowgs6dcqZYiZZL2Li|SzH)->1 zoX|>DOa(JmQbcoHIZNdv=sQaxA*rv({sr}1Fl#auHJM~99(5`BhBjK} zOaIU}#XtDA+!)TV+~-xf(XFToJ>7P^tt!>vGVh@7 z>ESBD*V_A{HOOB$gp8jkFn-c}4=8^~kwO&_^ zp-}?%yoVlCm2l=qbjQ1{A6;=TBVXB0{-C7ezwo1^B}wiuQLQK#oU*JZ6$+Kb<+}xH z`T#$65K1j{ll4qTMGrN)0kb%!ftTcnTuPxSKIEA4sFgtF9FI-G&0L?XxBU!gJH*^h%4v2G1_K-9TADJmb)VxlP;m|qU*R{y{4fR}~ zJSs4Y3Y6sG!TTy-n@X;g=28nXMr-&Qd?6aRGZPXP*oXO-Srm>r z7e@uy)fWEq&`V7VlqaKTAU^%@MTw_IFi1RB%)>{gM`7}6``M9K44uKyQ3AA1PA9qZ zR}KY@yk5+45OO3izK2L5NitPxFRzfg{X#oUjBgH8Injn-{fE2h85w0FYcm#3{eD8Q z4$j>e^{juNJg%HRS&DAq!Hrzp9n*9v^XubKGV~N7V6j!+%E{oFNoqAyEnSZ9c-KBw zWnH)<-t8i$L_cSaY=z68mCo{?qWqUwei~@^SGG{`w^+0K+7ZRJ60GEiMf4e0v4`4g z4~`DlhL9f5aMEDuM1Mh(wOz;RKc1TGGu}dAoCIM&jfAe?%%RwImjb;b$ivR8L(0}@ z3Pm+RCLw*cYBB1oot)7CC4VTDo4%2#iuLty>T1J-gWK;LOxEd~w2oLTrz9H$~8 zfiY=xRyWUES{RXOC9aVC?Ysyl)2ixqLL*8(J=qy|bjSaGs|i44s*i z&w_cC3)^mW>Ojm{Vv%4_?53f`fIglCpTL9fZfLu7NfR2ahy_&MUEal$4Q+AA+t|4$ zu|9Z2!NLb3X2HVIhwh)Y*kb%(URMRMP@+REb*9>O`@tA^6@-=6k@(#*BeN|o27XGqxwVdA|f=!@x%0i zNci%KVDhZ#+lRDbfkK2q{7fBF(c@S?RIIXZTpLNl!XbSJ1V$hFsc$*{vCYHxy!y=Z z`ktHkhF%pBN}`O^V2r~Q1q+ApbEB(h)Ql!4lbpaqFod5QT}f#yNc5X&B}n2z2iwvb z_DRK9I-~Cr`&b%xh*_DU=qT>L#mbsSe?m+syIsVHR>{hx9@WfRC&ho0wYm<3(v@e+ zsFedw6@>Yt)=fJu$(4f_)2Lg4+Al4*habls(=83Owq8ynCG%fug(}v1)&&rM9$~tM z^bem;iWx{TfopJSg}VSyJm!@)wKK@#3|dgt7@O5dt3|F(nf8Nu`c7duYL>!c+W6i< zK1Ndk7;Z~>U&g3HqyuUj=|KES9612<3+kTYP2H#t10>(`+YeA1{jRk#L^*XqZ3u%QJo9NcXF5(X@vaJ5~Iea1){ww^!}@lepY z2G;A0?Q;bP4I%V)gkVmQjmKPv$(9bFg@1#Ga8407W~#9Bw^etMD4bJFOW6oQSCvC+ z*trqGobp|?GtDX4b5#dcRJ?sox#ZX%+A8QIa%d(x8k-eNpD_YnZq~UJVPtA$+SFov zg6GCNbM((-`ntV)Fq8#!p$utt#f${?{GPeKJ?t6>Y*%9rnB_x04NH_D!b6(nCGpZv7AXV`Pxqc?O zB;T-L25L;J$x5ek3>z0s9cdlhECbhN;={xO_0lLVa}y=e)qJJbjvSycDO+~do)$$p zXpq2Y{KiKsg015ZmVHcIjZe!|-)Yzzg zP4c`OoQ>Pa6Y>0HJP#Ff`r^SZar3uK^Miujb_Dp}Z2i=J@>J`#jr@!5uBBvBr>-+Z zD6W@`hSOTpP;R300Erw2`u@`4D8iH`t=ho{@BC85;-&q9TZAjBlaavt{rhWZab*H6RA$cg8GW;6PHj} zALEa8xP)Sw^`cfcRX`}a>TF+2MQ_LLLtmfRqy@H>*DMarsP;@WmMy(V~6! zYi0ft52^lDvD;2kh+;#fRPhRlhHLD+y2Y!R%D>qW>;yN*3Orll#sbZAS%nGV%woaN z!H0r6bDZ~90?*Q7@(|z4cx1dw2)Ym?*&_#;=#cU@naW{k#}n>Oq(xU>T7zDZ`obf5 z0#L=B63U;-@^_>Bbl^`Z;LTOsG20L_hM*EShBU>U(Gtedc3RMoq8-=i{%vlbjc5Sg zkvSnT5hy`+h%bijJ106P2%XSib3*UI{&ea33w`Pc(CZFX;Vh_9BE`j)%8xy=Tpx(N zi;5i4;rc5vsan#tU|Xv<-C-)cIT%hG=uLYP9W`AMX>o+yAzk)8PjK>?bS`9+XZ*%a zZ^Nga3j0vhS~?i+bB%l|iH1?W54o#@mr@v!r}`)M9v97_uM;|1h>npsG-M|UpZ)?k zP9Tm{;wnp8s5kE6@fLaJf05)5B8$-6&3A47ME~fuS4fo$BwWXYGnZhz=%W=Z9M2_T zTK*`11r$`L3l!9H3eF~6rcl8Tm)@bMpM6^i6nf4SDvKnAjtdn^biTxzs3e~ehP7#n zVq$kww8gxV5{F^A)&XriLVYMs8#88<>(^;?hAyzi~uj&?#nPRCG* zBtrD=D&MWLoqTPK;SkM0vg==U*QkS{wfxZ(d$9UD~{3~^Yo}!p`aab-{Jc)S3 zI))SqB*nZOI|j^6@98}e;9+$ z@@RJmsT)9JT8*G9@cR7HXH+U{=x+g|9$L2S6P-c@J0|2!4?!dh56AZOkBWEQUs)vbwo@|nI0B%UT*l>vYaHYo;YD$#4tl8PHz7#Ak|LZ(1 zM&&XOG4WL9r+Ry;nCKPt=?BYi_xjGEsU)gi17G_0gV^ru-`+!{a;m3Os|YH}JJEj> z`(ca&QT%chwNghK8aEWPi(V)gM?%nVSIg$(l`ked@(*l6%@^aBua+*a!p2q@r6x%} zK!j#={U6=#UulfpgQReJ<4!7^_R_H_``M7%)b{iD5o^G5jTeMcXQBZ-B5%?N|KZp4 zp~H8hMG9#HKtU@P+nc2IrQk{Hho;Z)yM%^w;fv1NN}5H?Z%->O{L&^+SXkIL5=FPy zxWbUuLgyjtfd#B#-7og3N9=c$CMp*3F&b#w)Kln`-aOa=ixk7Kav}T?Q$$bN@UQ&* z>zwX<&&mh*os$DgSZgNsJ4R(x2-A}0dbWn};wu7OJULyC5>8u77q_A{#QMlJQ^#;W zciPB_K3w+0WNi=s%tD3hFRJLk;){ZR9X?d}skR%^+>utAmF_~VctoCSa76nd{ziPi zpy)$wx31$E;0()Y_f~QA1r$As@@;E1>js`|Yf1P)LeQlE;pdY6$A?>Rq{r2q2vG^XcQl$H*`!i^ZdFbi_iI}{fG z4qHg0@_f5Sd0a&q3NKF(bdr<&!tZ( zRlLfsi~bE=4sg0uk^shv5BFo64bUa-4P6fT{jK{PBE#RN%Ypt(m#>-4Xy_K$^FaST zEP||vbmZ((M7MBj*~Q4|@{j}+5m2B@{2RIy_`To)+6r~qp5s%u|6K7qPW`N$Ora#H z{4%o8Ep-dme@0@M>!|mSw{@m<{kB?gE81_WXrXO6`o!-9$zgq2EtJFi(#*j6j7h{y ziKshv_Twq;&C+cnj6|z9*+jH@+nVDaxS1h~!Js2jgQMbCr)?IDp(o1xutA1QGr3?n zATzmCe-57mWQ~R2cs9XW>QvFE^xuRw1>AoF3s!qJ;r+p~JemBK`81|by9xOYyORYZ zjs5~j;gl{IQe``JwAxpG`PQ@pMcTt{$+-8C` zpi>9U+Y5L}`#vn(>6?nNG!e<;(&*aCo(q*+9Fg2#myOs`=T+bA#R9}mgt{rTN8m&hyX+^yzV;3I6qFax;#|%Y97jQL9 zvez*7SE^yg1j=+kP{YiIMl+YgUc_kkn zM@zHXAFsnSdyI9M!V^F%Zc&HXzkVS#W4{Ki@(B!eboe)R>1HrALRteSxS0cDu zB>@-QaieOj4d8-1Zip*^pipZ|+*;g&OGTv>cdV!cTu@PQsYOKvMT^!dTB=kLzUR!F zm%KrG@BQ8T^^Zv3dFGs%Gv}O{IkQz8Nf|e}vY4@lDL++m)HQe|@}YX(iG_$N>zCbYdk^|q&}8UI96eqAQ#EK1-W zRkzB~gGf~=g~1CiyL4Gq*Il_6hBL6cYv8gsx~sUV6*f_uChV0QmvZgIijv1_QMIs3 zcecGutV3xCCOG0=y6#W}zEngZ;^-(UT60e_Y#6<9goTM~i$r!3!A;UN+dU`W%*KOS zj9~0UCH-FDjU%OWCUlTiB~DP>myML;E;1eLNKSSW22f_-&#hy}rm`pca%hyEN$Y|L z8K1O-j32z(f&|HN{3s>~qsBK!vt&SCjsbm@CaEjKr>tzkiAS8_0i#viTT z3Z9ZQ{3xbScb~qeOpx_!mX+CPlCU~!YkWZ$JXIK_tf%AF_J_86Pm(`G32IuR5U#_l zy*QR7s45e5Yc=-Krmu4RhY!4liQsKhN~=?{mCbGG>BtRA+7%UN;@^OJ#hR1|hq3(B zRC`~M*WnQ_D-l{V2MkQ6sM=wH@YoKG;3mtTPm|wud4(T+Luyq+t9)*uZN+yDhuM`` zcc39(u$N0kpk5(%<{VFPrQ^BTyC=TO_Cu;}`C7A6bykP!=OCzkqnXnb%Z2i}9CS7C zZVwoAgoBS#!u!~QDn9nP*H$1iv+$#s!wth?+*HBlTmRazl_djBTvgcg!!De`EA$^1 z;q>(Wc7rXoJ$F2tYHK`#A4wzghz(>orA+&J3F*#TraMgi_cmEtDbttj%1Ea4j8KJI zCTNbA?UqKpU<6rs!hCi3arSg!7$xZM<43r7^RnHNS(M;cnIIk}#UoxV9(;0U_)ts} zCd)GS#JQ<9xKM~DG}=W#I5zDDs{+eUVH0k#X+>$}a#Uy42btx$O_t$u~-ui&l7<#!4{iXHeduTVX-xSPL*ZN+yEv7Hbo>{01s+;Mj%&40fo zzVMpixVN1bF~z}NtT4agcCAW)W4l<8>nTYPW z@G1VsOibL|qUVWJY5?U?{7BqT#O)4s!Iv$tk^>7QX*Lf?7ufpCJ16s^s1s|Y3u=3+nU&e0=l`Y3DKVog*V(4rnnIac*7Mr?47Mt?f0yM5rJH@dkl z=w)=8Eo0%J!b~aHzcY;X?`Z!}j;ecKvf3AuD3Nr@YzjEqWn%vhKZo$VPDrm=plx&)c`{A?U(hv2GQN=`Am{aU?iy2Lfj zk7AKL`ObbM3_qF>DALU2G2+UPPKEd?eAl~)FbJbRZSd!Kl~OPy+`RuPvL?>MPwba8 zaNypi6RBO~Z~Z1M#IpM(&)QJ0$YoNFysYr=MEeAKUv%0%d#QDIZ1QIB zF|j_+#hHB7jthUobh(!NbPg3>!U|ttDST=4F_hTjdV*W!{HP@R8>%7oIzDlH6oh|V zpzjsK6VPFOzP<~xiqx%&EVk2Q4ROh&zEAN$XwN+|8`CB*5EOLPB`X;x8rS>K_}!|j z?lGK6n~|bfFy{d{L(kfiEe?`SKs9KSn&aE*J8a!0MxG}2KGf~9K#^#-k-R(SmUZ7) z5PWQJybgN0;)Ju!FpZB$Kk67%M(IH6y2%B4imc3*HJ$!!e-b49x{(ZMKN?TdFlYmb zk4sRudSVgIcnOPkQc3W951Wv3qmuF7Zd6U59YWz427OC($|xb5@>u22v(}`~+}q|% zO*!GjCFhwANNI>c!s-7H!rAl16VU8YDt@^?Jow`#*gpI-sNaIFG=7CVr3OE0-Vv4zuA58j(-tbNdk!NEZKcZmn z4c}UN!#k`13?X3%ey1VxRDVfSrR%EfG~}&ucI_tYrMry;Pdm$wVM|tj@dy;U9n4ATglrUg@+ye%P+kX?M@JIPC#SZivjiF++I~ZOsGM9wNW%6J5MsnaI z+BS4`w%?vKH|uRfYX@&6`TPvHPZIWoA`pyHQz zXx>#`M-|IK^J%1+$)JW-#ey+;x%HS_GB1zn#uO!y)y=2~~$s9!)QiuwoH_uQ=dfn`=btnXmr zatl9hW4T++xqTSJWPK@w78%CLm5YS=HyTRC38sW})|Z;YQS*FB;Bh4If(;Zy;wIBI z7yrG?@1tOBA5i_F9kmXXt8GIQ=|L{somL!GZ6mgp9ZFPlsfihq4;S2Aa2*L;`KM=y zvP2rRL2@J8y|p4m()Tn>QP;9Z$-B7cviUz#8%g-m!ez)7yTLLX;F#$)vkTYBM@A+w zVFmUsuZ%iL!e3F7unA~lb3qM3uqpXS5VVBI6uB))wqlkrQxG7~#*V;uPNmbRROZ;< z-`~#)o55ZXq!7v~pK3!W@wawUjf04)jJ*i09a(5;v5B#)#qJ`N#X&3rX##;Xom0I) z9)@S{SWW~hpN!!nC8^wNKBtiwna>Gif<+(?!`0NX_DHRW;@)QAnj8desrLjyi$LP< zXhF#-wmxh&w9*Xo*V{4M#RGDc{wwum$fyTK8TiOa>_4;7(=1#W?kT0l>#j9|tznHaN zELb9<#w>4SA~_^Zfr>e&lY>+|G9m~$fnZmB$LVI1sr$Bild<1Qmrc7}1Jy_!Hf7j|0+(4D?UTi2BrktrT0per zbmGT!Ta(hNM5a}G)4ZT*6pf&*o)y0kJ2(TwIlN+Ejy+yJP#>>_oNf+ZlclBoi1iBO zm=JISeb>#EeMX+iyRy$1ZPOl-mUinj(q6J(B&jGR>BeD0N0DoQyFA@Sp7*d}k?Rgs zfJ{~V^-N<(z%rFf4V5k)e~Ol=(jB-zjTyQ|>7ouNqrTPD$xydQSNFu9Vnv3iIrpc@ zQ8Hg7xmqgiChdWn0z{Ix^k)})$y)QDZRGi4xj(TgRLV!#$e%rIXueuEXX}Xl4@oed zmUd^`iI8xNOJL2!MU>z7tNSVX2Dfs~H}b&qmB7)<+bi|g;bpRZ_{y>?FJ~*e^0$b@ zS;~u(-zQV@+c@x-Jz)j#ulr8o)q?Mta;x-A#fqP(XBrwx{f?p4YZ|Mth@UxOCY0VM zhJRXtAODoi*$W2Tqjiab?XksIek5um%+%h<3TeHC!bOj&bWNF&VWhSReb~LuBaT(} z$L0hB)jtTkBUyipolN$T7}g)@r+;hN+ErmPYn1TokRQ?+F@2wfTXoyZJDXZR-`21q0l^ z_64*WZAj;i|8Y~6m>edd^Q{HrHv7E1nD)KR!`Z^>hqE$=M?@?=8~5@dq9-Ve#`i!* zmf(b;#`mDt1wj1-&qQ#%4FPO@Z3s(9Y4z4JE^TW26LRR+a8EICbp)MjJGeI+%-LO- z5VP9|>L_!#%ZkMnz&t+BzBH)ma6TGaUj5{56W1c~ZK*}9%F<#)>mh9!3vTAyVtnhJ zq=YF|o9CRvGPbINzHCcJuVi8KfQ}3IW`%kF;lP@wI9J#_o4DpN7r;Cr zyX%o%#~hXdlWI3kw^~aEp{P6n_f{e|0n|Q>9P&S$!Yz>luQ`A&*mO#X*`3|(Q=Euco&jf z{bIT6nK^rD@An|1Kxi-7E^P18#x4STIVWFs@grxNI+NEm{I&6wF)>&6ph>#)G1WSKk4{UmG|s07aSx$1NT4HYe26HfW?E9z0zs?xb!9Jn z7^KBQ;|nTjH@R5Y);8UPloN8zZLOq`Z*@mASFxf_Q*rSMM|;caj;7Bes(4Big;6Zc ztybYRmCgSUsR)f>Vx)1S;*Y8>C#ug&BABgN#A&!YH4*0>WeqNoDkx=t2%9%_uIH>A zyi!ux7Eyglh(fxlhd>;%2DfT_oXa8^<{lyM+jaBu%oPWdYAc?+ikZtCBUkbMf{O3& z!?p`%YkFE$+!qzk|EG%2>k8Y$@YB-4!t+t zE$_)CFmqvJtY@n((4tv|sEH+*0tzbyKv%VWwAi(@PNFXg{#2*IPBObDI|$CbBq|{Go!v z$UN9Z^;*CYJX}FXuA2PyQ9w--J;rFGp=+vdG*tJtr5)!ZV&d_?zxvVtYR&IB8#(#1K2e98NcjBcYeqI@D=NGwCu`n^#mft#c3X{ zWp`N@*Z2re7xDw$!Tl(N5PMRKtN4{pv_!b$o=vMy=x+R8O1c|=)j~-1VlQh2@Rzx7`a5McHnE)u zkz!XOKZf5w_W-f?!H=R7udQKz#{RVaRW{g;*1wdF?3L?Nb5Mv)<%ep~YwrQkV%oo$ zY)b`rsc7>Ao-xlQwHCMSJ2XrRhyAj<47L4RQ6GsqyH-)O5F~B;goduoTt#?)F<`)W zxXO=x{n%zm`5{IuOcFGJ9*viGu9M1r&_|m;wE3BRXk%&snXuyU9hz>kV7BVB z7OT2eyVCOK9;7-BpgK)~h)%BL8l7okHw{T!r~ z8$`u}*(QioYT$t8G~5%sVWPX9r^B=c2^NEvb-7Bjh!hGTFuHsL~5mOFD7CaTE1Inl}S`- z7-!E<1liavl%eu+_SyC59)tX?9SP~>s-$JO7&^IEwuX4Zsk3-wY}W!Ru$Ba&{{qQE z=MglxJ1s2bQ1x+<9?k>kZ3mOa6vmH$Vr&xi?TWvL48z~qUP)1H>;X}qS8fnDu%B#L z9@VBSNL7Ouz>}EHlc@z#EzKsy4F)1+emkp+FF+8k?z;ps)3#twz1=36#ql!3-RwhL=v+?BHr%L~-)&AbqC+v8@Hg*l zwr`GjjT|`?%hyp0G*!|H1rLxpXD}P~$S~S!3yD2{xx1gCjO}Db{=A z)Zew{&b8ldo_FSpT5YB?{BEUuGgT%yk_ZZDbRz31aOuw-rqL(Hx|Ckn z5ZStKL0w+A*VnN$kYp>XY66uyk*}(edk=S#d>G1z_ahOJ>iM~&#dH&g1Tozu3oUM8 zHeFl?vwtxDewV5dK=*Ud8w3@#GDCC3WT4LuN?URUnjuQpx!?$1^pa~zJBcVu6h+CO z?9_@xS++{pM9P&lu!BlZHR2W|p)fM1bHVJXut)sabu1e6oM;e0V>l?iE98^FLwB&r z)Vq~bPP*m<=TavPfK_x86-4dCv}s8mm4ZLlrrdpy+MB&f~7eU>5vEaxX#!KXSuDf&T3=-DQAsg zZr=U^=m-wVUIiLwhKh#KiP4NDXPLCLI!NpJL6TM@o$fAnHWl=oAr!))5qC&lyGRIG zRzg_zE}0hshJZ?X#yD(i2V(D~Rw9n_&L1r#YAz<<_WaKs;J~{auewK313jsj;;6aP z!ybUSClRhli?1()dUMdJ1Wh$VMJ+{$bH3~u!lb1lX}!5c+UBgb*U!R8=iWId`d{8T zY}`OfEv*e}e^L05;oaKzS*th@p*{9+BKSoScL2)CAK%k-vn!t=qBEdtFC+4Y?4 zHTMr9JhqCF=k6})M+rfJFl1-7NL*;NoWHC^$==D_Tcc91|&rG5Tr=rOK+FF?#I+kVhbUr z#IJ>(X=~8~r>34m1Qw?WTtdcapvbJl2k6dqilnwoejzXx8Wdvv~wL z=b~c>b?Yot(440;!AbU#oYQS91>LsfrddHT2yk_20z*DDgsYX(Kz6nR%^_MNLfuxp zULa`h_#+MOf@b0gBD}yXT8xZ~)jvWEZ>$_x=8t_)(@1~XPZc!I+>A;)dZsf^;J^x) z1H)qAV)YPGY%3>K^Rt~KvSbpO*3&F9gAF;U=N~w!455>H&YV;Nb5f~RPAYdKZLn0l zCec3QMT^sOj(>k>A@kS$49@z+_)(PodkgVcw&X(#IWdMr7IhLov5{8V_+MMd!+qmO zw5254sPR=Sq;&5`Qz#*E9J7!BJHv+-lCzM?6m$wdv8%1hRIP=iM*DwgA)#lUBYVz$ z{75eR|FMv$F^8$SjTll?nL`zqXK&w96z~*FTBy^ePtOy06O$lDTOhvyiaZ z%^zCGt8Vv!C}3qeWL2hWEhNit$cGlPU?L z#QpMtg&g|q9W^6eZsn78>EBvNkXzP=7Lxz_5^&;fYk2zq$wJ<4xDT3%mx=HRi-o8& z_I+R>nI|7pGsaOf2F?8U7Shxd_<@D2`tmOlSvH9*pBLHx*oTyUMihSZ27E<%hePm~6J7TX}Y2$xwArDWNlW4op_&;i1 z6$|OIf6N||c>zu7zW(YqrjJdQtfuK>s=IxAI=4Tu{%xHT?LaXGd*9VMDLc6)sZVzv zC5gcV$>p`vO?40^ZERi+k0*dtEu7bye=~Ke8PbfxTFIC_ zR%o%jW40_`_h9^OJt}eMpeY0mL7KR_U3w(n*s}mw{ z!mP^FDT9NKBWSi6`Y)zVVaI;`VCrNTEhl-MBq8j$B6M#5{nTl4U?yn#(U4E^+-#}* zgrM(+_r>_GrZJCXABN3qX|8%kI9I*L&s8r%;18_ls@j7MN#L~GGct}BxCfi7zWHFT z`cgPoeZ%Iez1Up!p4D9S!L(QuCN=^;ZqImun=L55%e!4)Qo=P@Ny3B($(KoVg9>NE z#_{@PowRwz`->AxmSUGo1UGMx_IDW-D#>u_f4Sb67xif3XEpTi>h~K6y653XR%Z4= zm2sKN5flbuPaBFOVc|8hYG7AH8H=TGJJv6{$ihTeSW!!qhO;x#8$MZs7jP=4t>H(g zKad>5kK$bw*~e~Xve!~?npqCn^K;$s$E?B9xJ>Mp1<@p!YehnoAf7pEQctq%Ec5~u z={{!5Hi-UpC^GAy8#UNtYOn~t!R)EQ9Lq;bYF7pqR1{n=`ApXG!3D?iRRa-R7|R;0 z^tbkch(X!3l_-KN5*M*P0#55SV4ArdAVj0C8vE^1)3}1*spsDt=5SLar)jYFcbh(rLEf;!b)-^E+WV_rh_Qn)% zU=|geU&ZME2dnu4s`&`M=FaN4oyg|zzDH0R701GtSkO|r`2eT0$kt@^8Tid9P>>mj zOkgO2Q+HCmDTXtqNXTq3V;0MpjpCUVEEnCNr@~7&J0jxvou#zhbYHv+R?pndJ92_+;Mur0uvl1083D`|>J%ruJ}0lH)68 z;#m06p5~Ty^uGkqC$?eQeYv3b3w+n|JE}=AYLYM^N#9k47*|GYtzRV+eysaAeY2!1 z3eqRYIp51bp-{zR^(y=uRQIu{Ig-o;(skVWiVBoiwLrR#ovA={*GgoPpg@wFx5-#X z(SRtC3goPI88Q8=(f$+fQGzxL{*eatGFHTCW-*EyP<*S&%ClOE2D128(4ij%W_<85 zO?><=;z!X1^J(*$U)LQt{~NQaVX^>vh(YxX+SLpdHKOQK%|268$edJaJTon~uZf1r z@Nf#Gkf#emo;CYiLsUgKP-KGzL)RXyEm51kKb@y>y@7@?g&3Q zPU#~knRA;+O(agd4Ha$pm2Uds>bN+R{9MVl&sljGOH*HN~%_)hUTDTM$u?NOPqF!M~S#o+?RQU z=7&nN^sN(+>J|nqLL~XZuW;NdFXF^2U`SpuwKuyBPW%l&9Abf(j8HifD@BrP_PK)^ zA`s)c36cvclnMkJd+Z4bR`eP_ne=3>B^(xWwE?xNARM+r)t^?jg8@qU?t;Q^3wA2C-+WWy7)~7x0}srjaPPdZKt^D|}bX=Q71qo+dKQ z+(V5;XYMNuzF1bX;|%o!LGx-E`8i2eOkH;DP_txth0MGkNFqvSlK2)j-h}fiH_cv! zf|7CyO2!Q3bc=$LO;+xJl+8g&)k11iz7=kcqdr}4a~^`civn09~({H zVg!(pVetN}x5MI{59?6X+q3=><@*K|;?&2ePtN^SY0-XeXy;#Vj!Ssm@qQu`> zda?L*fByIjN1b{xwQNr5E?%k8ogUV=lC$nKzeCbl74@)}hUoXm@M(s2_ZkUZH-NtQ zl@c>bUV7txI?686GxG8fBv4!`(kLj_!$*PisfekPuvp*M-uEjND|9mMp6mX3SKM*R z+TC?VsP}iDFusY365`wL%F;nFwb9W%Xw!8om-o&kxcLB+)`!>CiatRKT(9b{c&)|1 z(q4+dAO&BXY2T*N?(oRms^y&a)A#r;yF={5!JcpIl&<4YR_^3SF6w}|xoraTNz4iJ zt+MeUX|sl0t!y0clccnwV!yq;*(XuQH(lVL`(FNwx;7PwLGNkSR=D!z7w~r#SI$Az z1kL23Y8kC;{70@_S({citX=ubIR)e$%22%uH7zv?cMOz`U38cd!Uix`-liL__=#C% z<;u17Xz-s&cB**v?_GJsJvLhDEF7(zov{hIWAEX|Eyt|r+?2A(N}*q2iyi-&Ur`+D zPD64r`MlWhDt<-s$Fqy9iN1v4;I5@6$H_%y3x@*!$LCOcXCZrJ7>q(N$yPPshXN$^ zBj_Pk&fqxt=RUbud9vykX1)3H6eZ+bVRycLaf2m$4ZXxRm~>|Nk3p}ja!_L^Z@+z#VBnQ=d;v+DoLMBfAghT(iSwBp zN(k7BtymXCkC3}K@iZK2s=Ki}7tSixDiF@fUml)`jaKaJb#|>1+IAGsK6Q~nX5%Iw zp*+;MP@IYGcH<@K0^LjOjQhcPfk?U-Pszv@$)xC`+xU5u(mOKzfO6%ym1n4ux?xp} zV&%P__^goh7j)L!cU{3ZJ>eA|9R)K5{_imZVa zkLL9B1C2cQ`&ZQJ#vCjXSt;|rUqi@teKy^&3}jv|Y>IC_pLP%kIBiQmV&mv3XpDW6 zX!D!?LC=3b)``#UPP=G=y6&!`b-^!o;Ct-OCI7b}&U&`)YBj`B-0>q8rrT9nOpDKs z`d>84WKUl@P z`<3O(_mHoMUZ08ZRU3^528hWyvaozvuA9A)ch5tY&JC1KVVcCnT8zOiIZ)%MKAV0Q zbFmin_Vbo;+rzRc#7`|*$n(q9rSB#ZKOQ^d ztg*{ZT%VkO_C+BEEM1ztcuswQ`Q|5f@=JSaBNgI;@~0}E$((Rm`IDtSir2MioDwB} zfQsXY)VrrAuo-FH4na9yAE$B@I2Bg$K=I}Tc_FfPS?A}2k$>2eW0pI&!?=R{e5{I~ zGvCu-B(nH1^IZ0qJ>@9q7YlJo^YVscoZn}_$Z~c*MICK4G;CZxbQJAm%h~zhIqhW2 zbr_ldQe6kT#I^+3^`?I}1=zJ$^a?TtZ*&-Gpnn%RjLhj3WR4DHmMo?{4SJD=A8@O=iPl~c{l+htbv}tJk#!jAX`6B;5xvt6=MYRrFVBoWQp?9 zsv>*meYkr*kxq5j`Ox)YSq@Xs-Qs1-s5)2zir(}sUR*{cBP7F=eNdB8__sn!mGoBH z{fWV{F}Q|gSTX&3(O=wP8Lunl657QUbbxq z;%=tYb}l|&12azH&0a4fgUG3zt}~gshV&Mm)P<;u?yuAg4Y%K#CfM_>HBMQm<-Hr< zFYEACHF6C3yb=ewRQ(YhtT!G{9HLc;JY4Bir@82gn)0(G-MHP?S^E$Gfu9JdVUriD zdq!cKIDdy)AC(8y(zGmq)pF!lR%z@K%|xULjyU&G89ILC`y;D9cQDq~q)i5m*t)B^ zIS&6-&3#?LzM2n0uR8AQk0&75q2FMrNbPElg{w?D$|_Epq#723p)ZVN@5d?l~aCQ;Fv(O{MI8U`7mODEo_h#{aBQK7U1N3q zx?pY-6BI_X{>*j>STST{!GpF*s7K-Tt3aXDjas?xLM_H8rEkhmp9x5`nTsmiiFV9t ziD;Unm39~3wFfOXjRPgcp_Fk|hVBVLM&jYuCHYr2M-sc@o)$Zw>76Wb=yUa@3rPxb zl0{}IaAWr3+7tEWxHj)#;ycLc-r-1B*&A~Qfd1045%oDsYe^cy-I_ZJKPNq1T{M^-RmfUsB;)*Zqb zZp30X05%_wYRJ{WaHFU7*9BBF!&>gE`TXefnwo8B8anMZ8*``XPF$XAC|yK3H?8#{ znW$TJwj9Mm8k5(i5k7(;_)OQ*JKb~mhAh$rQNaIYzc^EwD#4E@!_*l%~}gSpyx9TxT2bxOxfm`RsaIbb(dx3mkd zK<2miY(}z3k<`6zae5v8w9i5>`(e~&_US*B+CwBlS^axc5zM9`bCd2Q0hIW~nahd* z%`R;$G`#(=fu!NVT-fnytY$K`Ub!;Zta^BLFNq!YX+LZTfXKHyjPeCp z@!ef%P{3_c*`6zNE#vZnSn)z)Q>qGvA{@?WNN?>X)e)Lg8JADe-GE&P%$&|ShPgt5 zSBDK16j;21D{yfD&)C@dG}l@K+yxTo>%mDNUH_G(wTgmV&|1sd^}y45nNFFFr9mi0 z(Xe@VST)Lsg0;!?NTvoX8pb`fSFp@Oy$Anqc1?ZiZ4>S0T$6FB((&6?v_4u_@>I4> z$v&H98nq&7F;%w7(9f%wvoSb@$)?94fouS$xVfSTpscEkE;W+LJ9QqJ*N6^dd9Dmb+Kmx&kY+AJqM2yZm%Ny=YaPP*<5|Pcup>`l zjw#v{cqRO6q<xTOW>P>nKu zas)McxkAj~9-0tKjn1`}{=`&L@(pUl_s;uVOY;V>ymWclf^o=YI^^5*4*L_s8?2+78&Y}7{N_!bR;Kb;S&|-{c zt2;DZU}hZt3pTyM%L@xt@U@r~IQ&eYrMY80rPF__9b&$UIlb#XGUcGa3(gkb^U|EJ zt%g+;2fxq_r?PJN2VTXiXAOk(wZdH=ZmgTpJij(3vjaT9PUtW9;ce7j))ea8dLtFYTzN$^&zOp zp}O0lPrTba6q0fWDytuzb<6DjcoX@<^%(_!bsx>{WC>~<3Q7ARsZFl+d)a1?F)+8iP)%o{-t zF=v)xo2Y1KisQnT>Fh3paLf6}m#)QB%9PsSe3L^mc3(QplZnpz(kf=Y+j+s(3&T0x zQNU%#Qn9Sf!l#I9ugs%Ap#b}&E!)C(CuUDOG+s;|P%(2qOj2~oh2o{fR~jUsrMY!#y~Y+cU*3;xtSSAJF53 z^6-Bke1GX&F!FhJW^)zc*IX_B{f}k4;p2MI7CF0$WZH}cLr0iW?-`xq#`eNlBw)ZY9ijqn%C#feKgq1tFPJYWBW-D^{uxTrZ+Y$R*XsDM28!^Xs|cx z6w2jRWFx4nVy&DlXeNCfxRIuX+%ji?7h5(owHt#w(Rcx>&uiZVZ2tvv8Z8~0w5%%z z<=h^uYI2zyi4PU5m4_=jTGL8rjd3*;0!1$r?%xza@aV|wbb;0RpEsUBfo0di4x6nm zE|!+Vqa)7}jN4>Wc5uBwFs=`&u?3&2ppt zWL@0r=__}vO{^bg`X~k~DKa_}#TgfGHd*6U_d!KJEsw7P-{M~NH$f#UL=E-`+-lZ% zH3yHwd`o4vaQ8J)OMq1TIBTk)e;X)er+N;ZjfeK_;IwZguYK@hlf2;jc>>e^5@LGIgNz2uuYo(*`B{otJ(86+bfH>xOZM$g-iS<`B)@GcKPNra9Q54 z|5wc=)Y-l2+X{soJnD?7>IO<(jicOBiOXR+C|?}kP-rbZ>KDHOy|9K4%+`X~%ZSeP zNiRZ#dJ#KBT1I+Ncs8|);TEhhk@Hm~YlJc#BBkpSeM--!vQZ0nV^PogakRW-XgF2S zP^IGyOOLgm!7rGYd_vf!NIFC4Sbj^;e<)JqeXuwbnd9_%mHtE0M*r9KZ)QUvaU#sh z{xh+UuSV<_yj*C3Gx5_KRmFZl{&;4m8_?g>^p7OjTD(n^pP@c4+GEo;Rnt~`M488j zGGt?(&aPs6>Q_jikcgQN2L$T$tgyTil1*8doJAF238+z zb^1D_t-dyZZn=_syaVr~RQD4c*^W5hMgncH-bR`0)rD&1-uVGvD`$23ad=egJ(Qsr z^G^dND={23yA)pMZ+p4M{%JUB=^D1T)e}Xx*&%swc?HYI`Cb&!Q2G9DEj=07%e@}r zz7OU*`yvY=vL=!2e1SRI0a~nF5h0ZVGB10CT~yji-XsX>kl9wk_+Ddtv6(T)>%$?- zQF#EVT!3PsxzswgrQ% zeenmq9y`Bl?)CVlc z+F?MEC8l%PWuFK;iHQ2CWTHB^_f>jN**Gcfck@Y*r3oxLqCPu9rgQTUSakjbmxq96 zE-txaalKLBYgXc;;?JQ|VCw9+@V_wi6Y}vScVm2H6>ahJl+*_qw;~C&Mbw|`@@|^D zyviM5#Y4DJ8|?s#j%8dFC~LuM!(iZnd=69OgW&I@NQbQrc7NQzD~{HRcJ&$S;{*SnWC2 zY%qO8LaJCb+pHIS?`N1u+m~^sv`8RR%?8c41aG=}wxv6hO_uf`W@UYo1r4>Mewwz} zttIZl;TBK-`8EyJrF7aMDRU{P>IMdS$C*UE0%v51lr}7eILV2mi3B6M6AvNE@ z`c1a!qO`9Ux+H@GMXB?*o)ZjSMqM%zi`cqR$EtXmK<_M*(nkvxsX8~#&z8W?rXTQa zmm1Yhdt6z}``Os3(jKm^9Szw<>?VsJ1h-d6I!QW#uUqhr z$7cVDG4-n8ODLfAj`g@p>THrh{r!9avAfP&uw9)W_r+b(T_RvbE)H*4?HvjB&Nu0L zPeV;C*!-q}rypCKTzszl+j7-0xYh5}buej~yO2E4J?m5Hx8$cuM?+U_tfHfSog^x` zy4NEMJ;g0K+v#Qi)-qll@2zH|uipJJV?uqe6`V(Xwbr*1O!Ri4?K!62VOWbLx57PQ z9lb_L^I$U2zgRbHxv@y%PUweo15YlPuV^2XF#p?l7s1D3A>vYa-Hpcq! zt^%##t^zymu7W*-D12-8?o;6@`x#PpI`Nr(uEm^w^8OB?%_?V}iYMwGgLmdPF<4$_ z!(KML>n%Kg!`~I52|r8Xzio9#S~z-}oB{qUB8KLocFgbHpc*k*N0 zf&NoTXOze-tLYyW6};nc1x-@rw9^IeFlrL&pNd538f;WPj2vP+%5foV6L~wPBcr&%+^d|GoEy;VQLz7gb43Z65c`;(O z15KRMuDF`-JD^idM{qL$rZQ(+w8NmL${{D+mGsNVEbF)%o8g!Z{f{S{o_gnyVmMMu^b+vOJQ91^(p8%ZE~Nw!k3YL|LNO?$XxT?=># z__J~B>-zkCBy?rUcNjhL0xz6YOq&cdH_`Sd^L#F+=K@j!>l-Ozmr56t66Bn}&nrO< zYMzu2mEgncD2&xyNAYs2I0>8;k-iJfN`Q-E%1Y_YibK}-geV*6s1r?TvD54!xL*IN z@RE?%=1W3S+;V9A_^*UIyC($2>K=G-ZQs2?}^(tC=O0< zb%eThcE!aDZ~h)0x=p1m>JP79dp7lQ<|BK&#)fvFyM`-FBWY!vd3`pZdhp>rA8CSn zJ|1xQe5_*o&8!dmee5)z#Fka}ewEJZlfL>n^1;g}yf-?!Gg;fvt0+&ENY>mA1}aZf z;8JsWc*9jRavCQdIewk`cyy{kPc&`G7>tAEMf(UHAhm z>SayTA#z{dl%2PeXlafUrw>zNp9kDVp{ys10p$rJF3Rg_T2hvM+3!@PI-8a(?(pF> z#>;6gwTr0UUsc*=`#{MT3G40(yx4^f`P2r9V{ZuL=y6>qu6N7|r8_5L5aOm0`$_1mV&Q88w zVc%Qla*3b6{uFB=b$kEo&}g;rz{SHsl36I6TmG_gvjfBJkXlud>BGHy_c|1v(|uMo zrWD`Vsib{Cy|;pr-s|8IKQ|FP^ZR?}UlDbxbRG68O>AXxa;|Dk}vmz6)!hnJN;evouD)iIw;R8 z$2}9sE$+H54+W4dpp4MmKJ7z4kc*$0=i^6}JUc1vRdPBG%1*EF4E+X64DzMRa5&9s zH?rZn(M=zIOi(faOSIop$(%dt%jKYB*e*Ev5@Ke!)ULuzDxp~x4y(@0B4e>W-KFT} z#2)hu`@YOkImU4#@?KsYg2KMNhKnuzP!7KteYHvIjfbM z>pGz?x8A$|aEN{$nZm1Owh$!0Of{ zBwj%zBlO`!R{eu59nLY^w`7E5(w9pq*lbp1(J$I&`Xwz*@T#1@`tSrJ@2}kVm@++2 zR`FM{Nz%6Ui!VAz|8D{wKwW|LS<`d7rF65F&n9^dnkorTylk2hutswtg)aIWtJ})C ztmj&z%>uhQ>PZF8xJhuRN_MyoJ_X;A%yBu^9V?%KrjN^prdD1m80Zx4m0PbqwSzhC z79Z&JjHDS_zslFA^i|D$Meu8IM`j3C4e^;11NpD7&o=~jk>EcIPrNtk1ZhvJ;d)+s zu+9)eZ+2BV#=E~kF8S>guTHcE!On&LZ-JAXy`~huM)poUfT-Z)kJeblAoyKEOnqs>UJa*SZr zPf_PdtHwWE&TADnjj!%>wY&UPH9kGuDF9X%soL0uSLOfl+)&)FIy!F`o4P*}osCr> zHo~dgt8{$|eEm=I@(u_>@n?0lUmzk&Q_ssL>Vfm6UQS_%!m{^W4%!yM?y(srt!-XZ zn6spATxgB?>6;)UP1!EFh?dePUMfB4E=+`l=c8^6S9B1to;VE`{p-qGg%s-=KS?9X3i!q;+P zLaVb`EKJzj^*=hBCAyVQ#AG=w&s34I!r(O4JFMZScw>Dr>b}y z!os$Wi)l=std7UEKcJz2gJOw(1+P!=3nf#Tm$LE;O&b;>`cqNdc{lGDROXdL&4H+C z(%G4@f*Yna2TY9Yjx{T+zG`T0uZXOzukNdNIKS{!&GB=7?PQG;&EX?Oje1s4v|zn4E-#{`90@c zwNI*X_3awQps%UWbvwul5$zIq;X^xrc|em3pkj~T*L=J1F5I(W$;6z_(=eLnwLWc{ zigu}F{+<&ou!Xc~I{K=AnhJNqx7Eu7Q8T1O6tOAszdi)_$wd7j#YA}|C#@rT8FXdxAl?3lv~_xl{>dSQ0t9OS>DRcUof>E+%cWb5Q)3v4ABod=NSg) zgl_h~^L1KvP`prj>><@j70ewkPd}y6{RF}N(=nouN&4COjly^pLQr7Tfm<*vt0{|q zlH>>pU}1}oc_qM2Ee&c_4$VcApG=h4qf5FeJds{>OGm3ivR`V_1{LNGoz?yq>9Ul( zxY?GI>%|-{Hm`mqj#u)nPN8=5&(afCyw)x5q`jh^7fPRIe0Q@Wc~Ulm!KEyd%$~GZ z?F>(`4Ra6m$J*>6?_zZ|CU#2^sj!OFP~$0J>z1S!t`QVeShBv z$J=Ip5%Jrh30kKYn~um2xv#tTU1CoH7xgXf{`qp3mkUEL8k^L5I=%kfrlp(PO4j@D zJ~|=b`~3Mwz8KK|Uf1dM$MkD(s;#7_-Km2U)GhbL9+7u`^jFSzrep%& zSV!Pu0*k&RaQQ`0)_=VKlmj{v<-?CbS)51UM3rc4MhDN&cq8d4I%G?ihXD%Y!2OM)`VB~NViZT%Xvq%xH~NNHDSsCFaeRMV_r z2Kz`)5;*BqO;G3uT4r!(iPTP+?rJv+}QJl=C_eW#ukLc{t0GG=;JR1xn6CGMPUySXM{F zU>h0NhX1} z3|2CeRVRi~ZuK!y4l7{gbdpi4g?FJW>a$UYqD|jvzGbj*2J?T!D7R*?^sWqcnH6Ax zGf5zOUOp+zVF~F&N+bUcuG8v2=uR;0eFiIcPHTMIDU~LFz3MOu(>9#X!jTp6OEK5RP%97cGWf?e( zvZSo0EX&ukEO|R9OF;{kC8Q;B2^he*e9p3zvMizvEXxh9Fb5bcWe}shfWe*(C&~fa z7_3fRD$KJaM!7GeJi8T9P908JBuOlb|47P`vxj9F#j+Ibp)97)SeD;f`L~8Vix^C| zk6_Yu43?VAsyU6p#ZUtYwa;Q zJ0{kdlIXf8v9aT}CjGZHU$L##g>CIxZC5$M-B%bpOxfOL&-PEBZdbS3QB3_tk=n^6 z`jxx=CnC{Hl}MBgT21<98HPIc>JO5lm*{}~x_OSAGhy}|&w2AYP6_kWgoX7Anj7Rf zaAru*G*8X!>7D^WAyemgYK9H+^cyt1-*C^4?K-zpng8xJJiv4K%z3jzCV2LlJZN9Uf$lS>@XUy>onmzr?keM^WzYGhSJ$G`@v@d6Qw{I6x-B*-l?+*Q&BIo=Q zpBC*35UE7Hifu)u*u7F$bNA(zwjUo{k^Vd)zTWcDy~F0G$(+w8H%aY&Z}`{2fi(*o zy|{X2e{}Bg@;`lUtRHE+;M}5=S;?+%pI4|=quQ5#VJ~gsn%ye;_`?&W{uAq(?!Fwi zqUpgEqLK*3+!dpHyDUtb)a{&Oa7iDP(j=NUv97r2<(3t74zAFZM0oc3c69H6g=zJg zgPli@dztIT+eIyG=9-|@b!)x+Mt76QPG2|X={hZTNIgwf271~_>dFHq1=ch)ez7yV z{a*V~@o%m*AKgCno};u4%3yQz;feMA0$oymjgG1zO*$AHccPwLt4bJYyY1YfpVlNR zCwfXH^+Dl`deJAY2CZtZ{qt|%^hyHY@VP~8<|Zp=%#=zRFcvo&zev2gKRD||1Nk&m zv*@0aw2N!9GW>;|#1()5adsn-=wYqI=MSRZl;lsc5xIVEKd*%%?WnB0i6}j_SJLqX z`8|4;t{02WHuJrERr2Dms8cQ?RlAx`XE;Y(QD}b<>1KTuFu#XsQDnZSRJ3wH%+G&1 z+>_Wvb`@#IHo5UdkK%DH%dn4wSUih*Gq7Nih_RR?OPlYg!jMoUXEZ(Ls+EeT;Vl3{+?tN~zTFhA7^(k)Ly0iwzLLL2Pl<7;3 zMZH>S=N!vz7>n+!@_Pgp*W*~cw|%ic`V`0FTepaPb<6BH7Vpy+{h05`vG`MVZ>n7+ z$D+}w;w`Q7YI7|5M864+!geqc)Gp_=dNrDIwdlS&|HLSzxfYvkU!3>N;#fF0ix~2e zuela2O^Xh=;JgqC%G=@Inq>yC$ozHD$v2Dg>n(lId1>KiOCQf)TJ-(Wr$?7Q`*rE_ zH%p7_MZW4BS@K!r>-mwT-$%YZ8reAFdJ#(Gaihu`mL<@B`YizGcMkJ3gG&X7rwI!l6p0e$-q|f^)d#Lvh zuz4bOoL(zX5ioO2%0k`h^)bIl>Rf4>+}IfK7|>rj6ZB?3`yT46gMEv{Quh#=S*z zd;Q>nF3YbbDCOJQYXW>jqK&$ZS8tCx4*D>po`%h0HpxD4vE&4 z0U%!G5bf2Zwrydr=Jt*&2D+@RyI!gE^wI=q$448pvuwr30EqqsEc!OL&x#-DQb)C3 z=@H_kQC|kYcpfa)fJJk#7z`Ghz@j?<5uosTJXioAUI!Mfz+wbg>;a3BV6l%_OaO}u zU;#jMYq0nXEcSs#7$`g>789a%hc4P`W_|Av{iMUjT*gz(VD%2^c>SEV6CIiR&FQ0=#S6o}Pq4<^phFy;42_fE)nQ zF4=0LHabMl?p8ZSJP(rm9fkZAEK+ti}#@L4iw&i zLNNe^S8RilHald9d~3%PL^ijNtAHHJQHC-AUQdcP76IUr2nxQCLks|pgIo+BZBWWR zJAg%Kv@R#dR=hva!Dh8z?U z&F%dg0?-A3IiT<~G+LE+)mD6UyMs+eU$BS=1&={4%ZCE+11JPcj@Bh#v(*Icbcnvz zzjlmiU32@))+obh0FD4Kdvdhu*)`jsqMZ&lHiK*1_Sys%T|r?E3i$+pTu?~8ZY%z3 zmqT=uA!w{E(8(SEEChwW0VoBa?7D4`{ccoq7(~6JxxIaVuviBQZ98gQ$4!aWW!*qq z>~^r3Jsb+N3z8fR3Wouh(ov(n27vJwTXEOD4$;AZwQYAMgT?0n907}202Bd`_Y2g1 zuR})4XJD}pEJDHJDk$s(i@{T)bxAjE#X&zpC&!>I!ogz3AeTCKHz+-h0}wGa+E{Ya zHmLYVG}bssGOfA&iikljYhMCT2*3pZ5`MJ}>ax!vBOido6JW6jEdD|no_5p(Tm!%e zfDQncFKgTGI0?W~0N$ev??Is)Wr)kQ6}#_8HG{$83`D&Gja6?Wbh491J#kvJF(=nn zvwJ_RI|PM13$_0i05<@FI%!|pa?3hd%0SR{kOn2k#LQ2^#n zkJc66wiW+<*df|x1}rNNYM%v@aTL{@S=%<|9+dV3SS$vGcPQjr z0Cah_;+n@CY=+IQZF{B=mUSK!HUiM8vqn8-2DBQ0^~azvbHL&;TJtgho4}$60Or*1Qi2rvO+A3cY4V z>tgQNip3{j{tKa#B>+4bVnD+p@1%=n3@Em|=_ie>5PdV6N3CUJd)1-}7t<qNkmodI)c1em`9uro5fLq;)R8gVA5#RREf;{*#O zY8?TSGz9FCGpI$!0(PEYMSumoCZ>x4tK$RM1%yIl#C1STxdKd8XTUT+7}Ubs!_S{0 zYO?|B-wo7yH`2qj<3TO)9H`w00kvm<$=?9Bd=p^$!GJAm0j3Am0QNUvx|j)oUGM@7 z*I&4-JxFC61E%h63HBYBDv4vp8&j3@G6HM6vOlvxU=~u+GH!g7H?oF9jT@S`y8+pKLIx3GgP70PoUQFS2R|_R4}~^n7jxu={&$PePIEv}HpuT?bhIi-0wq zNOC(!awAwFVCtoSd4vEaJwUKqXnNB$=vzty2yi-aKLQ;HyMsceO(*Vzp9Q=j4fqxJ zs4g>!`%#b;--Q4TGl+X)xSxk+Sy2h1)U++2z=mA}Y(K#Y0aGs|*h!)m2AF?Wz#eTPrkBCA-)|6a z(j34Z6RebAiviR3lwq{670pp_1=Riq%osNpuz}qGYte`r7qGYiL~SRiHMtII`=0=o z2Ux&dz?3}+mIBy?QGgXD6VscZHu`tSEo~mvl&H-C%y1kq$8ms7*oP{-`V}zoAD|W! z4yJ><1Gbl_-6W>d0NZ&4umg7ht9c%>^Fk_1(^RLz)qh8({1+wyY&K0V9A-y#lqCe*>1V z7_ebI0c-09*!O_-&jxJAV=xW=lb8agD}|;i9YTr!Ab#hc~4C7mXPf-+hq>X!=f|LD`|ot zUL&Xl1_0L11591(z=O#h(IZQjIoL$&Gca}qOjiP!>r%kvL4dh<0Tx%^5w6K;83t^z zpcdx`*o{O`D<*1-09)RZsJQ{ws}897t^l>Jy}@+HHc$&33fNCXZ4_WxZ2(*L5tZ$0 zP)i|L9$@Nlf}NzYg%PzbRJM<)Y~O;~(7s@LXgjFg15Eyss4b+j^`Nr3f!enC3}`Q4 z#$tlK1;QhuFmP|*5@a_Jeh|33FG0Hu zraxMM{(&{X=M%mJ_<+TP9}oQ6gTOnEA^L3rtMxsKkvIUQ&EE;wE5JM!6WJWV`iD~8 zJ5Z%I0M>61asL5z*A55l08zUQnEwpGdUpo3Ya3B_harH)?E;_B0UDD7GGX+0^esQR=XlvY@M8l#yjObZKA(oy%^ zjPbazW0J~|{oN?Hk!Y-zeYa_dzvZ3fQM6=uL?p%W^n>#KF1FZb+xBA|M9*}ZqLb2b zMx9>_U8A9t(~6<lWQ}EB%8+SOJ|-0%ZRzYbiuOX zaJ6X}EUlp0G%nvmVOn-AxumXRTl-YH{y?NdX#*0AqO~<>V@QcAKGg+IQ zpsE}3Qvn3Ka5Bs3T+3V9+b7OsQMbO`l1bf^TkxZ&3h-qw5oa_mf(Z84x@tkk zc4Jqfrw}?*h~_JllzEqE{6Q5W4HYV9Qsx&_xZfy^JvS^++$8;2N_$>?KBn+5glY25 zE)UtYM`Om~BAtVB=bBK`$wML960yx08EQGo9|^p0o~1L*Ttqx(;phL6_T>Rh9na$l zkpvY8YE=+{;7P63fCqR%01s+C(0ZWt2#82gX}s|yF+$L4!B$(mYEY}RwG~^n*lG=z zQj3ZgqM`;wi`H7SYN;QqznOh+^Y#VpSNr?Jhk0+0*_qjy+1c4ydy$mqIs;qZhaBdH z54h`Iw^Zv2NZ&C4^G>`S$tBvE?iPI(f?b|!x}VS#shaeHAAXHSbUUF*acJUycJm0} zG^qqSZka0^<}R6*D`P11Q1dCaOn_MQ93Vz0=O7T}*uR+vLd|pP2<2@2R`dLCc(5Vl z-1EQ9!g?k6EfQ0o!oY+!Nq^So*ISD9szu)_+*UZ?NsT$u8(wf3bmxJ9o|2-q3nco2 zUYI4DjC@du5kf5I%&JB?==4B?F+82aXE%U99`i_sBPrb54cUdioVD30ATldzP_hc- z%p0vA8UV4y{%wlW_*<((asWxsEL#z3a&*H^5Y~lbf^j8gByi0$9U)j6_OgQ^n5IAvCsE2BcP`*hZrpJ=(??PxYY^@o z=iKN=mF%!^e0Xi~F92Cqe|VVQfrk&2z|c_h6br-{+-c+dz*Q1J?zo+(H(ZtS_jk{) zyaf^?2ay`xa?Mq#h2rF`TAZ!gZSW`{=QMbn0Q^mHZck$2@0ds6Uxo1RX5s%C;jb3p zzt6+}Yl}GNRfk0IudgNW4?y_Gvhc?u{PzX;2TWq%Ux@H?*+tfh;D37sididxe>eP3 zkT%PA0Q`=VS@^p)*$71nRwMlT>cL+tz+cD1{|j8>)aWM>{2ScyoMYBNc$nUWhYkM( z{yG7E$H@%*O9c4yMDP#IMEJGa;Zg7nJQ(;pxOS5liQo^6Ch&iV@E@uN{}TcJr#$>h zFTnp15&T;;1pYzLmuWIQ#PB~A;4fm~PZr?6CxUD&(Yf`=AWM)O?OVfFQA;zn~>3!5!z3uZEzRF8P{2AnhNf)F^j!uYnmsu91mu z`58_WCrsNt|1`X&z-Kz5;#0;U@VjvwAfLzyPajowULPpEa4$ebOK;{%Psh?ZOle7b z*-@|;T4r8_oW__osJL;&9}=_DtwIIU+irU7&miO(3Z0o2z(Y))SDqg45>v#ANG&)7 z4~D&3?=FHPDk2harPaHjpp1ksi%76UAi+;O30!Ld!B!CoGCsJBB)E+v$gM|$1Wh$O%iy&-oPq-uOrHB!{N$t(#fxYCQUbS+bwBf&j^1a&+K zo>&0Eb~EI#m5^XKV8N6O4>1W|d4^1WLS_gewE#0eP!dqKsdFq45Dt09eN<`7Bajo> zfjucAtDo&SundgER`XFYI91r`9qIBX z^454bmzA6ZT3S54+c3OU&G6P*hPM{7yp=l;ttRC+h}04WsX&6_A zf+Y+IY6%G<$Z6BbED0X@qFG4N{wf#4{}>WH&S_z9T>V8#7vPPk$|X!`js(v!u9D+{ z1XW@ZghZg;t05%#1IHpF!4ZK3_ZSisO%_P-L_`9gjyN&u2npbSHWJWf=+nGLPq0KT z&P1@RCPeI$gWTd5OAYFz++t}tE|xSYRe|ypSYDc^Xo@wCZTh4xhegw&De7WP%JL4W z_~oaVq+(sc+fNi2#QvT02Ths9X2+3@+k-~s)=+k{^w`QnH2{F_TX>LE^-95)acpHS zeltJ++dll3!+rzd>*R|D8p~aVHgDoEbM8QCOhs-(ME4g>@JBdjMUpFOZA;{<2_PSm zNh$(r8}@z)+puvx+wf2tSw?h4oRk93P{{@G`*i~Nt6BJ^Z37VgQiMMeYS`c>vtz&{ z+w5q=&kmgh;1?^u%qFc6{uv0r1^)N{sr)L6*C3|{BK);t_#?Uj{G!=yXd8&|*Ao2K zga3K6`y{|GHVn(xwnq4uAp8;lUkv{%8-`Du(h>d;gr8&t;&~GAAO9Ojrzax(cOVOp z43vs^{z;7gB0)M;@%XQ;0{F$6&%K!s!e4^$YwE%O%9?NUTbT&|G=x6~o3o!&8_tDa z#1co~8*07+7hRJM=)xl&67?@Rwc#-1o%i|0zGDe%^ZFlsYr`if|G`!);9t{x(59H6 zGPHl#Nxm@+zvYO&T`Ag71B<*?BZNhsViPH6#&Y4L4c-KS9IaCw;2=!Vbj?DAB(P2n zE}uQ<@}Z|{Q$3iYoPn>Og$A-_!b5@5fLc-Xh)PnkZb+)DNGdaYe+D_eY~Ma6->RSc ztyy=hck}=H#*rV6&=Q|Ztn50q48E1#6A)VX)<#6=Ttw&!cu)#Ud~rSK>ltmK?w(kg zbDb-n+%v-^{SS#m=GXvi$BXgsn%XC~`wxXSOg|umpk!?J3042whn1o3$-E5TvY^m2 zQ$x6jBy?djJT^H=cD#=ysIspPQeUiT3yWaxhOU6_PtoNINk8{H4VkEXnsKQhgz`gm z!@<=!U##%YHeZ(N^{wWhbd!H9SPEGk6Hz)m!O|G}Y%5(L@1Ni#1D32l3dONubPKsGp#|m$&O5pVU|HJ&6N`5?zT-sH=eC~4 zGoV~0;P=kRGkVbz)$46OIB^5%+&9vIB2JhYLF>1uu0V$dav#>!DWeME1XILXrw4G~bKWAz zW7uZEN5aKH%26XOV&S<6qFoQlQI#vtAXYX43-Z>fZ1i1Z&nWC63woez9qBk6-YD>- zySVs$EFMpbr+Ql2j>6kJ{I+x=0KH}=Kia~NT;JgSj^s3#TR%t^lCh0`u~rw1nhS%M zoR;Y;3$E&}6}K!6!1PiQ2tL;9q@5!b#85L1{> znmq-*eQa46|3Sd&qN34Q_8wxj5J$;#Yt8!a@YQ_eRyQV5!1l3GxT2F>gIb{oG(9yx zX~YM$^%`lOTZ42V1%owpiNK+`UW0%VJgp13*4(kRXnM?!f>7K1KsnZyqT?B4l=aT_ z(SSy$txN;gxduo%gROx?)odj5sx!U9;COdz;Id1R2@f9@(6xx+Q2b`vXa9{E1js?nFyM~M zv-%5H37>2~2C679t+7uzaGB_Q`kXHs6Z*t}B1p!wl{w8|Zsq^lL^!6Y`IKO!7%>uW zI|u5yTqlJe+va{*3Tb5I?_`5;BmzU_??kqoh~ub0V3HW#37yd=? zje!`9oI#s^~9mzYb3%=+?@-;(qfd1h< zHzAGSR%*EMLUSqhY**o9_0XPA&p-o)MzOIr_aUKmI*o(@?ycVAGJD3Q0tRHn0H5SvhTyL?0>xTikJz23}JWKl&ZC*^Msn zP~ODFE8LE%X@kA%KK}WEL}Cobg;3xu2mRr+i_n=AgW*gW!Z6Dv?*p%lnZ?YL$2)OsCiEHk^oPw5o>#Q8^+1M6MgGFf ztKIYw;yVn?reyb({LG_j;z{a&IwV967)~5_xwG7L{r$n{a!9%keUW`T63`Ki0TCrE zO(k1MA63|tAMGO`9OhceMx1NC2nL2)EHH6oBBc`>JXGGHs)FO@;D`U?fG1KnkmDGmxTY2h0ew7BN=C zVoc}tsf{X`6l1f=#VMcZ4LGWyuXpFb1$ zxuzk*&;EVu73=d@tcw9+xEKa6nBR)BqX6VGo zv}1pej4zsBppI-Dk55jfjhbqcXnDE*%kY14eSCt2_w#+Ix?vnj_RqjN88VdW=NdR8 zd$=KcL=yHe%2E0CV0%2b3wu=Pu*a*EJyxTz%|pd4X=)&omGH9__w4gJF!&$zzbDAg zD%>kJPN4XA!rm)l5&u@yDfyhPZ;iUXf@L%PR=h>d2bYG)*#iD6TiylyrwOVvjTJTF z%LEAv&7lXOWKnd&&VsOCNYBm+}0pX+`e&BBqFp zm?FU_Q8P$3BP%;hV{$1Dlf)bQcDD_4#XP4i|Dtf8y@kWf+X4^MX?~a(8Dy9XExbtK zY9eMrdBsBHfx%#@5T*3;3fDhu1`4QZ*erggZ^a9!|3l%fi@XfIDIX&~v%z~!@cHrz z_q9bhOtQyqDielTYnW%Ra7WhQ*i4_`*e1}i1#2vdGT>2>!i~)O9+`1GxSo@f*4QcB zQBGqc@k2Zq?axuTQ&Py@0Evv1_T-6WuCH*lTpy2-;nQdz|4HG>Qpt{k8n;QZXpb+i za7)~Xx7rUz9Ac&Te|d%L`7Po<^m9b*6#@T)tLFcU!qwFf7uGr?#UgsFdj*B7yoI|6YV>{^lU`2Y-V0xh{7fRiHQukRa0|2Fht3q6aG1Dj$(wj- zD{EnxFH*RwwnuQ7@yKHl;C$ybk)cEJv==K}2s}j*VL={N_wpd!8QI0!JTf>an%^jV`=kPV`&{DrW(m!*G*`q>}vh9tHM_oqw-P!(Lg~rk01H(=^{B84rAr=3IeP9>}e~YwTHol>Q z+i(xYCs|GeESWT!*=*W2>PLW6HW41mj-bfNDT_2d(%kPfVm%TUQw1y8O5L%Nk*_4= z@JsvX4vNkycb!UBqG@WA`T0k@4k^Uz;NBWleJ)Y;2J||lh`bKp#1c9X6k@OgAJiL> z+#PNmeQW9>i6#%nUdWDJ`u6vTMv_)BvMoRM2hy<52gCojjDqFYYZ#2;xP`Tmzg>YH zg}(<6WgzW{I9Dv3b4A+(3@!(j?`~w@6+iRV!BV}O{CmJ>+F%<#)7W)?<=aOPpB7|Gbq5}w z)g;3E9jOc#@qW0793m{@^G7ijV!E!;HK z4PrqRBOS^MsR$XadcVX~uU3mjWftRg_>vuFX0yL>m_Oh!chg}~N7l{mN1#>XB6ujf z`U|r%v)Mmb=@wQhVUCJL51Si)6>Fq}e3(|@1_2LyKLW#+3wY=@+lk6CU6E_$3Mt(G z2@)~RBY2@Y9i*T+R%04=FK%3hX@85G(fG^tUIP3&!2dc~n+U(YhhK%9kM;VN^1pzI z;{Sjy4X{lja&so_`l%uJL2zZJFSzZA3A%l1Vg(O%Qpcax)-PDK3GrfA@W|`+3*Pr6 z*RG0NJmO(17_3pH&xIR9uNJ*7I9Bu>$wtU+oCDb(^HUvep}MbsikfY=OSb zpE54v1sB;XHz2#TnQT+FzW-z*WP+^#tL%Pur&+xJ9jS$y)gnJWPFIzvaVz z$FYH>3z-IPa{$(10}p5em8q63xP78uy9$=UpG3RtBR`Dr!yHrmMvqe88RnSGH+o1; zNj$S7Zw_`TX$XHW4R*QH(39UBF6~$cTm;yR%`U=fVX(?cc3aQ0T0HR}w`Mu( z3F%lthB44SJR|uwI#ditu(uIF!8ngXmGdYh)5klI7-6?QR;!RwWd95|H1ai{alawD z!q8~P(0mKrXhsYpEbp-JW3}ak1b3-$TO(f+NK(GSe#UDJWlrSvs>(t67AaasK;n

    cgwKjgy3Xm zMp1$fCgGbRcgs4`lQNgI*lWaGEjpf^Jy zJWLY=X7BtdF#C%ZZ8=~34+%aJJ1VCgy~3hx*bN+JEe_MThacwu;U(Ss&SPM&5DU1n zpGms#+JDDOdr6n9N`{IG4|w<-rF4N%l=Al=Y*TPV)v+EL+3v^4d3xl$tO%bYG z9{8acWC-Vj7wt*Lf7Jvf^djW04A^}lYh@x;#e0$!TpvEz)J@t)3C^{D-;->G6GyZs znej$5>=94tBF?8R;6HhLX|LZ!3vH*Lr1dn zmWMkPj%25zob0?=*%i&bv0VT|A?Lum^-L4M&q2-+m_;0`+|Jt~mY-LYHHOm4`N+?i zC9ETG`EX(9tqym7xShA{{$Mw5~WGOhpTuv+A}u0Ok1p!wPZdEf!^Ks?4lNN&6G>(ei|_31iX2koq~?NmgI zb}ACiV<@ZJZFtB^L^93sOJV27%&urokMD{2OapJpGgUW{OdBoi{6sbvc78^)!_+o5 zK}E$#c=#j;!^}w848t7H?lq>p*&4@|075%ChmH;RL1x1}j!Eo3NcaIAGGjI_*ehXE zTx9lVys2J}AEZ;^24S)r9K)*7^o#jOtmt#_P~aw;5GUqVKOle%^%m zcMnp*3O|SC=e_UtL;PD1|B{|O{@X3bowq=O{~%mA>&U`crXXxG8UD8#Jq|hQM{9td1Zb`LXG#wTQf+iA06NDei7pO9WVvOv( zy;L;8jUK3uxbxx(-9$TYFN!8Ows0*f1Pl7-WS4n6d*Hz%S z7b#rh;gdK_;=S@scrls)kc}$s+D%>Xl?HA*RN3b$_j>0Y8gISV%&~=+!ONsRyh5LZ( zV;(m3l=ktT6mD(TAndUQdvu5WE;0*VUg7$7I0i5n55q&blj8rS6|S+c2Jvq}{QJU& z5|95CANhU#FLrXXuaFdZSK(ngFOVYAVL!C+B83}S@*$QdR2dI0@K;p0dt;JNK&^ns zGfAJ9Q@H!0yrHzR4Lm}MPrkCkb-#?mG*rXGbV(RyOcf0Cg$g%zHQJtvweavsq{9r> zm>XXF7lmuyOF}b-03#$9Qy$}46}A+g}uV9@gITwosVO4gAEN{%I9^Q4^m#Fa0`z0$IU_~Slm2| zUcxgYU5(fJ&#yvbD-RdXzpQ+OXDY@tC9$uPVYRM=4D79xIPZWWk&#g3btv*$b61O|5fmvJW3k}hln313 z25V$$HMXWX2So#%uoZYEAsrMtI*;;&+H###*4xQAP9EScJH#yGD>{FIc*0X^J~t_z zs4NOJqPxQv!wO7)vz6|w$4Yy-N`iAi*KI7K@+hJ*b1UN)I58d(ry_`3#yA%OuXjWr zFZ9edG6ox|s^7>MY$Ss=A_+j}fY_=Dd=~+(L^qq_F+U^p85r>=vT9@}NmGQ7S<84r zMmYSRR*j~=pbV1*RsX0t}v~R&L*tZp2clx4@Uri6pIp$M~oEM*E zlLWrb10f3eg^^b0=OaF?h|kIt9-ptU@%wezFHo}p&q^x;U`yp?BCWpq8zrd*ZDE-U zf7$ZOM_Og{AQ47GpmuVuFwAI|2{262#_yCe3yv)p*;8r~c%_HrJNu2_@iLrrm5<H*{xwki3@OfS92uH6@G8`_GWs;HiJnM`N0J32 zDZbg?{T+k%RZ^S_7Bw_=^eTi4_57RHRC2|+HJINBXq_$2?QV}t?dE61kb%VZ%;rUT zk2%OL<6&gUlI=XZP^ZfLuQ%g}FTlgc5-&)%@*cCX(j=~uAR?z+IEttyhu5X61reD( zu^A%Pm*7tg__KB3g45nqQLlsjgt|-WcR*-~y8zEf2f-SrC?I(0`ddH-N~sG%A>Y+J z!Br%7X0Bsz#+lBs5E;4~9r|O5A$LE}Z|qJc0&g zUMP{%ewjNI`sc7)78APZzjd1mMqtaOE|B=AZ^AYrhR@IG0$ z9e4$9F}sts6pXuf$?1vS@~@m{kIpeIYJNA`JSEZ?V7XH{CS|dEjb?Q~#MnIV!DDhw z2G_#Zjk@M{vL=%cy90_x=Xu{A4Ie(tdvaX!j^YQ`BIo0((TjpJ+JNGpFr`VELpp@98e=E@xMSKr!V>Y!33W+_?_@)9yR}h3+^R{ClR{%WD^n59H8>i{xvMGkkw~_A})B{@+p+)C2=b^4=&F`ZN7P z`r}T4ccyBmF@|+mrh;(b3@EFE9A}mj#%Sn2SO60)4-@D(=CWV~a9ElBHl1KA(2+9> zCs>9PI&$2|1Tzvx&MbCzAl9ek|YdFi3^#KVz*~8+m?=*xZyxA}w ze>+kUe>%k9M32Du<4Wpf5!gjprvfHPi zm?bMUsAFc`L)Am}BivdtYP0R#STF*U)iT`fMiW=n1QlHdQFM8j0?P5Apy)DKMHjk& zu)R4n*ls?AE(pf?usJlCA;sJUND8Az^;tm{hAxn zHPAjZr!e%YrV%4}qPa4ed9(}!B+XRKwx`B|K?p#BckRDWBZ-s=Z}otdxrhwyfDEl~ zhkH%ZE?ON3Bm?m@GZuiJMNHm;Kr*b*2rA;5p@=I)5ywTYg-tkwW(bL)FJ#T4x*s>A zaaW_?@qb7sa_UATozkujgYhZn>qhcZnof+gN#pRtJl#lU7S#MrI7ZR2N3^8EyRbFL zy5I6Q3$kuC*IJgIwgx#^mMAa>%hwT~V+!Q=^m53KG3$-DK)knqmYW_CpB*w$zKWo*Aii*R!-UVy(2PUJB;{Is9iKZ z{aF9dos3xvr6M!FAW1UfZ#)2#W&s<7F=5Yc7_@0zCT#~|g2wx@F+mCK9|Dd=u|1PW zCB=BNP|3;Q4j1Y=Ou}Qba0+n!d072bi2{%ge~T1L$lA$M%)O_oL5u|8Ny3w`UB*T! z;lm;}LMMjnW^4}a2JGI?!P`?cDI0pBu9J&zpf^6RMI!jni6_zap)qh59ED9x05fWm zM?Vm=k8UulicAioNzz>uqC}@CC8S1ZTyARt zWsx`GynE31i~C?GvAP#Lhh2+Fhp@V44)Fg}&yk6DO8^6ziRM1*8^d@!my_4=AKoY> zuj3DHw7tH&_4Y6*(zpS(5)i_5cc1Gn+O5Tt8Mueo9av58{yeny8p19!C)m~MAVd_iN9=QUo`CRq=Mj(aq(RCz+)Ef&zA{1j_ z63~3#TT#N|LulI`x<5{pi`%-4Ojs%IG~_3wzg1k2@ANoyYMzcq@X7{G?TW@AbgY(&=sGUz2=|4P_Ep7^t>Y`=v&p zH=P}S|AvDM^}cIAZT|irH~Mpkro^0bG9&h_h0zaF^?|$EOFKjZSXxXO^quo;i2_oW zgCfz^E(6haX)g3h?Sn^(OVEQc_|AFWys~`=#1FE5x#tBH7oCjRmO{!4CNaA3QAaEo z4lS?+cVfYsf_K@1)3~noK|wC)c=wtzI1wgAeNr|sL0C^)Le3!WA4%8nlU_BI!?p8x zr6fS3g1~OM5iQ#3GQ^*|A9@mZpExTZJTIBVhS!G2+o|)s}g4S(YWKeAPjJMdhvCe8Z(QFGL$fOr8&NuFds4BWI9_g;7}d9=$T zQtq3FHXlOU2C{QFn8Eq`_`y)NcFMDm29k4`Spvn+WAU*3eFC$myE%^shgz~~We_uW z!qjQ#XU%F?%ghyM^gMKB+vT3Q57@~Wwf)|nBY(4Z$S=O`jluTB~6R-G(T&W zFZayO^NiA|3iHzVg9DA^2X{8z0~#CbR<8`CLh+gcfr5LNOJ~67lxpd_yb0j!>9#=S z3~L(Sz$P$~^Pvs#+K_W>r98gU>rH4Suw~zKga)og?iQH-O?MKC?bhsD9sw2}s6mb8 z=$Z+!te64pF;?+Z0adT{WvX`Js+yaAN2}^&9%e1xr{H+J^$s*9=IFV5KDN~^E0wQm zT^(;}e5BQi0?p47QoZ`!PVOKR3S5?Hj8eR3MPdPzSJWzcy6fR`5|9z$jd3WFeJINEXCA~h zoCDJwT%k4SN`hS#_|-sHWZmcNPZ|NffvP{YH{t~+71~C9p*55zfVcveT~_Fd*u?5{ zHh4Q5yr6f#IT_xP3BR4*3Px3Nqd<4P1@4acTAgZu5OEGNRYo)!_2IC;Z(-Z&)zR3s zMJF4PN?I*&De@pAbq*}dr~fMsYen9bG_3|W3;_zT>oi4H#oWjrL;HZ^Wtm2?$8%o+ zP-LS+GE%_ygBZA z^o+Q*tOG8m25d>RcJ>^*x-nY2B5v29Ucp4`1sL)#dc^{5W1z{Ub_^dfZF6&&vM_+s zA<(Wlm3+QkkC+cBq{0qbJTdT0*YXZPn?X)KOy3R~Ef&uytlGa8L@(9*x78VcKv8Me z2e2oI=A2~F9`s>GdHRFA0Bs1=c6UaX$8HyQ;3PVBYqSOjCY$u&0&)kF{w~RV9imFx zvKBg@kg+TXWb)8_kVgDjfLcon%xmNB=TR~uM^@>2(?2KWBj-dK@;^l>TYtH+8Pjz(L_8ri!lf4)VT<>Z}%|R4k9)v1jsi*BRXSK+Cz!ey^k~eKiktJ$nNb*BMHEL z5LU>`ZV2gk(g^;4D2r+N3D1NJ zed=Ng1(~l5agI6J73*eB^;67p!n_tUn}P^7tn!V0s_$_d0-(!34ar#38#YBB-B8Zh z?h5&17X2-|l1 zP1=1JGYq#P^UeO(#dWL9c10{d;YiO0?ZvfHwDN%lfQUuA1h!^}^;gavQ4CwNpNBlq zBpds}7;c9vGvKfL!2Zfwm6EdB5Px&8Gf{i(EVv>5#YoG%?qe9B)J2jb@X~ZH3VY;7 zTJRc2!ckL-h$45>_=DA!uye7X$b*Wk2&w73mUhF%!EZc0iC7zu!1_eQ9+aM1xLy=A z&T5ZC0#9ehWL$&V;nNS5^E^El9aIBOtjs&qg)iMi5!X0&S0TUD$*Z8XfK*6w0R5`ebRCKlQm{!SRfkk@m*0BuGrLYv4!n_HwJ;n`QL zB4}3$&=yhLZa~~th@h>BQCC4H;qN~YL0iN?o6A63#X!4C1nm-kHGwwpr~qy0IXrlv zW1+1pvJhzRsbE8om~nDb~B6H68|UyZC~8D7Oj@&IM;mGcM0%3$RYo<0+d%9?h5kidTUOQlo?O(^2!{G zhI7I|V0Uhj(xdHR)a=VWN}j{YQ%cDI79SD~;QwG{S%Z44T;We5&-41-qyqp}Hugc0 zyy+Ih@>jWX(ZMx*ISF^dA#UFV-e`^Pb_QmVk199R8_bfF6PYlnsHQXZl?Q;S9CGg1 z7P_h;Ddfvb++ltawbf`-6XON&O*x_ac81&;`gUuGVaz!jeihJG;B9OLZ02)k$|)Xg zfZkr2$DmxU2)%r{M-Vi?g|}GS_9k=_zNX?5D?k2&Yz~OP zov^%t^9BCMaC{fhE1G_ZT)F5$Nh0)C3Fw{0qqp3l3!t}1jNW1L>Bt`siuZ`nTfv~0 zoqmZ7f8>hL+ff#X=v@+9A)t3+IilAhntpt_qbq1R4!t@7y+J&ByFNkm+KK0>65MYN z->?~W4vF#kU&V7kCPD9zGKyYm?tSN6lRo6kbRwQ-IidUzi|5Y9UO3RQ6<7FyzEC{( zT0I2@U}tGejU)rubVxLS{~(?NEMx#fZ_)vPcs9+cFP^z_(Zgy){E;v4hfO?(_pUFV zC&(8O@%-~)5r4e8cX$yb;o}eq4(9rb9duA zh~6PnZ}8}~iRTk~xoqTKSgBaUwsnw!3gyiAL?=x*32{GzRgeB)h{hb-{J!Nh*z*l0 zr1aH1fPl>rV||sc^#i+pRNrFRU?mi3{j+SCGS1_%UU@V|SP{ja&?=0h*B-R9@W*$H zMd(VVMbz4Zf`z}mhjBE*A2Z-4Q6x^ox}nLlldvGteCW0gOB`kcB)?RGkCv?-3F^!okFh+zHp%q#9IVVUC z6UD@f6xtVA_@$8F*8k z^7xUpnV)0fNA5>x#TNdGX*vS!!OSbHP=57Ecf4CE!R>@|6k1*=r{8xz3eJw?h?eVN zRqI67O7-n|%SD7utT4>e$Pto)ANEn$R*=wxf4Aq;$y!Nd&zEID;Xvp-m}kOI6x^GABCaf@?B zbf9qo5JPu!p|F(IozmhOQZau6B?etxR&xa0d7z{JEO?@5 zH^tN3u%7X71?{FC5~k=81T#--B3{M3PiZC!8U6stapeiD8w(t3(qR$$6#NXxux-5jeQ%*& zI$y86H>ho!{Bp?%Xx^JL#vke4CrwFmvu zz-Kkz&vCtS8?~XDx?sASWXXnewBbTNY_`8_K497>tiwZKO`&)hncYxa*q4mxu4}&b zt-}IO8~YpIb&jyBc=LMf$oB>1qB^a)0_`i-k&2&0zZT~E2UyHyZ$XZAd8dqboE)z; z*F-~317l?EUy|1V@ZL_24d8F;2q(wIN)7cz$_B{Zbsn-4_v6|8%9_(=uKD*ExS%W< z)0my?m|k-sW72LYgE0Y1xSa+|Qom)`BVki%fN>t;V*F9s4VTePJLqG&(9dc6Gg;{8 zZADLfpQ)FzF8Bv`h`WjYzjrE_|( zp^y0cN-ZC@Ta4QY!;;YGxwFo2$F}5GtdZZxc~~*zuE^-IeCS?Gmi(~q#Vz)=3g=je z5h9B@{gy!j>STjR;u;^_&cEy^WI1hVE!#8;$ODn_?OM6O=ki<72p!q%?%62avZgJ8 zJw9PI>M*}M43BKFN&9?s2fY&+4k@jia{RV5T7#t&r;TNI=#dJ)oDrsyF9Ph92ebWngc{D|aY8D38r=l@Y56ybF*`(0wL_ zJ+!YsPNQj-#-)xdRXhYd%LmJ6yw+f3M5V@OQBb*wnn`_KA_Iy&pYfapGvJPP7);)( z`K^H*RND4)iGt~uT(^mq)0XRVWziTQba`cem~YCO?s_0%*aD_jI$z7;>4xe7g(8TC z|22k6SF@lJonLj`wGi|FOgcA=%LsGVChm;GjXnO};1YRpahx4?%uGwj* zD`*&KF7|F}16(=rI_>&!BZ0im?kzW-2oourTNxo zWQ~nT0rE#>Z3oHb80>=1L9R#nC>OYPgM5Iy$0E+_ksZd#$3&(8$#cU7$RKhmuHl$y zfGS&4GcGcv*|-!qr6_kCU6Vc<*2SGiXX9UYx6$rvM^nHv&#O8c%k%1S+&GuHIPo&Q zTC+?y&Sf^f&9Q%rM_!V1xwpUr<9h&mOo;zZkV5A3#22Qh_?h;^r*ckFU*>gLWmv}V zsLR}UwE|t%rMvuq!lui(?B^NY-38_j0iso=^+)K^KgrYHk_%^9Mg>uSCTsg^BTRG0D6XOI8b6Xnz4K5N(r(h>oI!(f- zXa!5>-dB0208nLBcq)lUfE{PD8Xn+mFu>>--PILk@i2 z3&rgg-rJtB3{e`-qEy9|Q#^Q!mgC$x%TsMA4Grf|8Z`$|+FgXwUt48>ZhvMDo?$~N z*~}=dhthaNsiqyK`d^;PqI8}Mxyv`oCx}7m??i};P&%J0r{8KT$NR|ylm_xBy|4?= zHcO1sZ$dGQOL;%sBtmJCi#wHV7{g~?(OYFxu$(I0OWf;p4v-TJ+BlM38#L%ZS zwue4H1sTHG4H;qr3w`+ovT8N`BSjB2&k&KakpSu{cNWyT9%*RtNmqp7wkXLcLQLH> z0n|bs)I=?UYR9{K@+uJ2HvLzL5dA8=d(namWmA(d2Gk5$24b}p3u;8q90F4s= zH4C7Y@}SNyMNmUUJTdEA=~V=^Q=u4C$9g=moZ*Rh7OPPgiRZ%9d^rPZsWA$%>dAr{ z)w7&{ni?an^;ZC@9s7P8dJRDh=>LHTtFOYo7bO^OZt}>tfl8&?2ABM9 zX<4Iq_Dy-W9{a}kl;AX%nr4fke-8WZ%s}Yv*!MdFIT_o#Pz?R6uhNSRfS zeT#cW5>VHi5rg_1_B{~!4S-|Ez6Ye}gj6OJia~u9_PtC}znFR~XFx4=oLi55%X{L* zS8yRql^E3Lug2geGj^Xbx&*iKhEpW zx}v_>z*SZBJx;6g8}{|hhD_NZ+!1QL2J|f5us?Q1egJw7sc8~|D|>W2LIM^5di4GRtuuNnk3hE>Pb%PL%PDk2;G z%(FQMY8ycvH{sQBuJJH{Gr(B{>NWX~2x?l>x5S|O+k?8w9YN)y3erTN&Xrw5PzN?_ z&w%RvpuXjB#X>+GHCPPlb1a8)*%1WCMFi?CIo?|vlHK%eF{s_`L2cAZ0M#r4b)FIT z*?q<|?7)EPqv;7)O=PjEy`n|KPZ70B3~H5t)mc1N>x{(!PLUYY-{hEvAf&kIP%)^1 z_Moov6hO@tfjVD?;>#zlVMhuoU3SC;I-OO0G7wiVUD_4)gk@+<%7Z!Neu}tWjQdIoG}P^Bih)QB&S}MFJM?GsUDvzc6b+>lJi=Ju^+V zduzeB3LSY1Yr4GoFuME{#u84y>Vlk~?9_2D?TPdGO%TksF1*<${SkzNSaV2!k|O1l z*GGLq1;<ss4e1dB;zRl8s81`* zVD^@35T&Adm(7(kEjdHWaVUMxyi3}NC=C>$w9XT0|14+tQZY&^>Y+4IKxvf-rB7WN zENgUv(w(yYQcd7WuYxD#k3&)B4IHmsWhv; zI75j=XPTr^?+$O7De2}a&**dl*Wrh)*EG|d8~LmPn5du#3vz$@b9X8}z*Y1Q@va25 zMMpyFK^NUobI^8J@2kk23nc-T{5PB#zhFZ}YQ*QbP0ZedplGO2yajKC-K`9re)FgV zj8#wLPCP)7hJ)>jWSB=yh9VL#JRH4up!;1uWHhR+(_c3CSHTKd`_J##);lDDPgGmA z#V*u(t!XhF=ET$GMXh?|3aj-_tvh#mxRJ6b^%Zt%t@dlbk;LnpGjw=CsnO zljFYZ3Em{@n6@i$7wmr87XrhiRa$4gewi{%Zkbyp_$nI(v)m9Hlr-wL0GsD>NGt_> zPJC@v?e-LGlepS4r=~fMasw_id}zGksA-^h$1P7vI5bCa8D>|iVqU*OJH&f_JgMSu!E0JYjGk;}hGSDs$a6w;SV6ObDu(O^N`*9!Zu!3nKlX^mJ{r ztRPknYYXxU-}%WV8)8WC|BH+=Ct1BEaZRP=_UrfPpy77n z5$bC&^0cfIg5_3VlD)nAC^{;I<>hmh61(&Wo_HzIs2*C{B?=*rNP0pXGnS7;$}VZuY*F>3ARE6yj7ky@QQfwbd4X@m;JL^r%5MX#9bX> z(`itxc95U(hch4&Nh1|An!H2SsTxt?p&=FFOzZ0aE{dnmVGnI3$Tb=JGgfNo;>UJ;v>L2VSSYvhk zr`~evMV0>7u!7Fwyp@Bc_se8^8JinrLxPH zaO0ib`BA>>THMq8^<3o0aSmg_s+gM_h#wv6coV=Id< z5DHnKP|{bnLUdZ_dX+j}UVfUH7M2^TmO3aX>>9~Fl}##ta~%Rf_2LBAMTYNVx-;rR z*Q>_y>LP52OPgBe1f6pZ^XUyL1v=Kuwl+T8{v%4q{v?v7l|jl`BCxfq#bYZmYx-8m zB+l_tjP>u4pc9O#CB5oo*IJ#mN7Q6ji{blt1Sr*WiSMVd{_^)b>GUO>QkSO6;DGYU znrr}vKcJiw*_b<^e7*K40J3-B6uscX_dRLwTX$gtod|FZQLhKHfvmevrwWDqq0r`* z8)+fRq^svdx>l~=j#f?#7hdFlQu_L8C@7d^$AT2UYA3zn0cX~zZFIf0xnSX~c*dAp zJxB6=-omW+;1zc%(DW66LvqGoRgdKiI*#|sxGXt*&Nk)K$ho)|7;7XIkl0we)p~Q- zqJ!`8Y)ehYLA@2f=_UoY0vKD?==5NhjdO?s?(5g-SLD7;%z0zu7UL-Wo*+7>DNkyD zRSiG)!4QUvj9X;gqoE+fMe|+HR3ENlxv0EXWV5fS zN#wAe6NTWeK~N}T@*-M@a>|HPhU}6HET=%hy^&Cm;gpB1iyJOmKb7H>b92-k|1R3e zupBg0BmevJUGVDPkG<#qs-G+Sr;5%c$>Y!e?NFsQ125qag{d72R_x1}Y_=cC-czBs z<$pVV2>|G%o{CI3Q`z1^4+*9(bA8(GNdF#;=y=@Asrf%m&oe}?`BgKj|Bz7(_iW!P z8-20s2!?y0A#Grd{j2hZg?4RA*1I*swlk+%Qzl3-a|9tEwJesoj+%<#aCRc4I&@d= z^7w5#2*l$&jo*+XYiNiC^rDmu5w|3*qdIq`4_D1z(` z0VhSbOeMit<_uR*L;GK6Qe~uPx*j(iok95q?6K_iq|hzq+;VJhKZWOy=k0aX2G?bd zM!k|NF5oFc}w3oKBMs`U7UWT(rxcq!((|L`8Pm#kczveo(0PI2D%DTQ88Tc zyIWkPjm>6gir1+nU`1E-hhyl|#s_+V^clg{7z8!UQ>thUXg0T11MpUhQZEF1?K5Vs znQo`wOv4p#HjlfGtU7J{oz~EDUZ7Hd3~(p=I~TNm5XSJd zexB8}?-<-X5%HSV%MzZxe!8fjn{p}?6wCu@S?G^-Q3sh>q=-`d>8T%Q_o{1;xEt)m z(s~_|Z6Ixz1gtQ2U0udU0oy1ZrTD#S$_?8HcxyyjmiI)iwSyqgAwe+mSWyC zZer8X92=*&uZz25Kbl!((!=X6?m^_~3KCN0k)#-xB7j{wR?h~f5|_b%cPja|UWQI3 z%DU%z=eF$L?1KH&-0ylj^u$$mYM$$zW9qs10y8xuf3td5+?mKu&99A%b>Gdxc}SLo zF)x~j?<(qQ;||%@fW)(~dkv;60Mia*N##w@ZkJ=;8Fd84{zPrsB^(@;eIr|{|89}J zt)>6XJh`G|0s@q4I8fGGg7b7{I}nx43VYdGEN-q=HL(3sy=3h?LRk++YL?C`RWA5s z59Q>gdd)$u5JUbE7eYg-H~d7&Pfg!*^Hc$I4zRpE;zC}?IVdQYM}sCRd=1lXu%_=k zueAQ39b_j7G?X9u*)4p$hHj9=mcU~a{5?6PKfh=ARF8zj~j6bkxBQ$;dRtCRes}Zb$_z$R1 zn+g@El6U&u+-~rRSbK3C)<^%w$Zi*bRdXNAzJ-p*pB6G{Bl`F8YZ%hU*7CUJy=Z%G zzgwqjevf52dY`eCYk&J!xt;cZ%<7-hu8)e&4eJTh3a0yxy57jUto`E-a1L{sO5rTt z@jUy7PVzzTDLNPuI#F_Hp0(l*Sv=o@*Yf7MY`<)sX8$MlQ$q8*Ic|sxj>x)vNj(E+ zT|tnA$sUz;Der`NBW@pxlhDpLH~sPv@&RQHC^&fErC%71HYF%JS0;4zWK8rgy>eE3 zwPpseuN&EHeo<1Bs6O#4$6mMjk~V(zug15&9{Vb0D7s3g_n`QqFiJ0np32?$Gs5WT z>t(e&9pa~$&1QcJKOoD@-oJ@r4_0_-`+-_9Gk*Z#K`rEquG*?H9q!GdCzT?8$^lu< z%KhsYc1XYI8XJQJ1t}ZX$K3E;Pu9DT`MbG8;+m-pt3g9**F=|T@ao@Jcq1+w+!eVy zzoXJ=-Pn2tu{#E|a|W@6_|QZvyz~m!gf)!?))+OebftdCYxgY6^k}5_QoVW1_n1^@_I2h+(u4W>W>p;yP#6p3E20|8 zK+YBq#f)DwQ!Y!P#yqx09n|=-r>(|Pea3g8P{Ha_B&ghbm!-p2dtS8X&?ob)Fx5dskmxX#eu2JO^%&c5|NSJ@J=fXWDo#>@>63cavld9~y4YG6 zv{2D!L}G&d#29haP_g>mQ)FWFtT!7F=G}56OOb{rjzSVo*UD^oP0Q$u%<> z?uCL|BLfex)8J3nWieOE*=caHPu?*ergvUaK7spPvbAD%z7=qF8!8F^*-mTCa7EhoGRaO=(%6Yw@R?^;bx*NynGI zdx_y$1vJ#U^xZ4)O5(`jU_9r>!P)(VU=W4>WDIe={d*$SFcAkh4O0j{9+j*?K(s`w z#;nwPdukzNm_C$jR$l50$M)!ie&l6NIsCi*NK8u=J6e}szDk&7niu3u*M6e%f(^-g zUdIj2C5GM#sTVav?)TB$P+fb%X~D{UxBH-aXHUkJ{-J&I>F@7T7*k!GhGSaPu*5bX zWZ!x7f36_2L}{R=95L><1eQ|f1F8I@OTB}1PhHC#s>5*WhLy_3BgTi1zb|FjQTf#M zvHaRo*2z}aC&FuK<136wYyHEzUbc+9%A6Xnm{*6{b67VCv@&wgwdZv=*0t`GnH^_t|YsVou_;^Dg|b_?|tdC{`+T zp|ihWc^(QD{rq_%!}A$Qs+NAA{=mrT&?>_O^Mq^2;J*LH;01-ogAu*@P~4dBw}Qhv ztJvidBLMlsJJVS|NOn?W%T9mU@I~3alXnI9FA&BFIT!HcPCTBgc!u)bcq>;QADES599lmZdvcivReE-N!RgEP>{9Ti)4rO z2ZI@&O>d!m)aK@pK*o}QhHj{C#^NhKP6?dma&w|+ieM&mSMS={syX|p{L=i^10()k z=<8^To690!N9H|k*ErV&_9Kbw>-4MYrVatpA>a3zRI zzZc|PH{is>L|)*6%djNT4JsssLj|fms*|iO`~8ZcSRyw>FK@H^slSobn-^ta%i;u+ zy}j#FPW(e#w&=pu*GZyAzjxMpOG*MVmwTa4g`}E<4e7zZqCyWk3rU@5x3x*NEwwFi z7hTkCU+?TWW}pLO8Ta)*N za66Ef+iTji7CME^(#>~JttB2s7^qOS_KjL|@GA_wK%vS9h{YWRgrn-k%nO(oORGTz zJgb`)F&iO4-;M~GbG>urS8h-|(Z!%*i(7yBp?;v^pbv)+V^JGITq|xc@#= ze7ARj3io(Lj)7l82P+nuzCv#OrBv}pZ4A)71e0XK#2Du5U2kG6RC}6R;!eA0Vl45_ zo@-ukkoD#*@h+8LOVP0tV_~FcGSp=z#;=B}`bj_X6QfyLy@|2ZyJTR^O58Xnffp~D z7&UJd)}I*XjF;RGH$`;f<~^7FI6+{z0W8DyAPnc;4jJwo%W$E$rHL7n8UbKr84U~< zyden+m9q>t0t)T;%vOkFxSlqKYeX4tYb2_e|Hs<9z%_L=jl+q-1TY#Dt)Kz{6!A*L z8(xqEsCYLjwYK#V1Qo3!-ccze1PR{pj*1$DR#a51mr}Jg;UcJLgQBGtH7K>HRHLPe ziu#|~laq4@^m(86{l4e-^hnOm%+Act&hF06*`43O;N<>>jkmtWtOCa1@>m8}&N8?# zmcgxQU~t*4wfv`rw4R{%D%-9Ho(miF-eaz{!)q?nKveHt#Pr@=bMGB-r4W?)pkeR5 zt-hc7QwLg^TGD%?7-bf+l{t{rdn+0!^QkJvFufJ6OxoERRyo|}KKlbrg^DitF{(?W z`fAv>k*K=p2C9ql7mMybw5To+r^(IcFn!g?Qk{xYT?qEo=GWPd@4RbT(KFQ|vx(7t zwdlBDu1nQ^G)-MknHwDAJLKdlfXN=q4lSBiMY~>XkK64Hdcy_R%q`DK8<_qo?cfUl z$TIz>vZwW~?68aAgy1MIF02!4aJk@`-Ky>u5;6>?wtoNRbdDLK>0Rr`&}$@R(tixQ zXvd+MH#q9s{TX+4@_lFPmPuZ18gA6&1KZL14Fc<}qz_`(8tNFu*sXtq0XIC_+xxZ7 z-!Oh7m=c*hfxq1!hc^>62&PE@sBEDHi1xxI{;8fXp=Ft^Bgt#duo3`dW?1fc8O z>|)KZL`y{TLf*&uv1V96=&AJmZG82gXIRy)Wy^Bn7SQfdT7a2M|26}c$Qwnm)pylz z6c_#I4nnW36|cpc%X~Hf+r|V}5sAW>1aR@vA^m>ro=5%QW@>s8@Z7abQ(sCOBJ^Ry z+?;1%TBY4L!O6?X=KR+Sf`4T3%V<6&^d87_S?t;wE&^uBE4Uzd)jw_-+nP+Sx$WQF zTEz0my49xXe|%oVGE44rZtQMrLUAs+ZXFuZ{?I@EC$_gYxz@IS{|5#LsZ)=`*_Xf< ztd_e~&MBh1NoSHXzgx`8O+ykz_ScnkMpJX&GR9_kKua{16ayn|gK z&*0)zVII4p^3_VWnjb6`?am;_;+IFjUEI_)D+yzV;x#Mt`;jj{*>{O=juqABzpuyi zDO`I}m)_)(Tg3Iad9#MPMZ7!`J;<89Z074-O@jJ0m`;O(6guB|IZr^?)?IhNg-K+s z-@0oG(}tRhg7=ki8FU-MbULF$7CINh$XTm6RCk8<3@N1-@^Pw`X<{}-Uv6g#wG1N&n3j4HK5X~1W+ z4UgdAHCVBDCA&g_^BsNH{UDDoQF|;~?b=w0NByr?==Ozsdyc5G(Y_CaLL0XwA@2ih zjdgcRN&fH?OJf)2$)5tcdv}Y*+`F?hCb%l-@*w^st+5my-gYpixe(-#XfZr0Y4;0U zY_yvk8#Rf2N&EC+ep}iIBds(L%4k5^u9$V-$Rl3cK6>3w+G*V&ws&L~B?!z}Kk&LrQ5d znSiD1$*rmM(zWk6f1MqV%43+i;Qrm4H7sK6P}XPsD$zfYv767Ztw z_Jow;87(iN3!YxshuL>AzTpgylh|SoRD(Fee)yEHxjGu@(+P6>MN6w1y^65mTSLw6 z+~`$gaHCgcLkxQHLY7BY|H-Ttw|lv~;hFVXEU4!}u#7ItgOh{|k;5jmg{$sN&%)OOfM`m>1?3`=d@NqF5t z#0QDw5Z@3i#t8-G8{{~w=CC{NgjceS)e0?UOOXk}TAb_WjrBqw)CxOp1?jj-%-hOi zdn3_lQBL#NsRCFN3C&}{ z_dC|TwP>Raj+=UO=Vh@!zoe}2bd0HVk@EYooqjx z?|hq4f?^l<&VQOz7~QJvdh%znl(5n{81TYB<59z{)6gB{Y;?9TGoD0Wht=|_A7l> zqV+58;biMqs{Mi|PMPdgb51;``*Wv)WvmrTPP{{R6ZMKpq-o$BP6NVEPQ{qsL!3iP z45Ws6v1XE*cLMFp_4=tGA_?e3kXugw<3huLv9$CCMCt_@37hoOEJVmvvyI&XxoUO* z+Y+7xc(+?7thHDIxmvUFD#NdlPf&f-AI=FkSQ-yfo%ZVQV?+I$Pk8I5T{oB?Pq!KP zgj3EH@t~Q1^9lNovLj$0cWC?g?|s6<<6x69VOmcB_SBF!=hv^9izq zgrmmr6bpy2(AX<`0!sMTg@%{+g?0Y?qbwy{bk2zbCH$LDP$e|Wt`0X?s`&4HLU{A$ zU)WvdoNcItfAtAp%2V5I5k@pr!hhovxaz=TDG~DD>1Kl5iv8Tb&=MN>gg)M7qsH>j zvLt`(Y(U8y_yl(#Ru&O$Ax3w2UvKz$WSh*H_yn#q9jPnUO2RukS1f0B$YQM_yo>cK zPPmu#D~Ir|*003j-qx>7GbPrq6yg1?UrECUP_G~yJDqQIgDKcKysepLvqUTJF~Hfl zoOT11v&cN+Tr(Do0bn{iSJ5!AYOk4PO31UiI60)H{h6)XR8e$KcbHBR)*Ieo4KRzh z>Mbx4k>tV}4&JpZw!`sG*}^Fe7SUo{M02UTq*pkjS7~r}vZj@NZnJIrg>+q=XAnA# z@9fRJO4hjz?yz1ZH?-R=EU=iPxk-Vk*COQi zSe~4lly{=I(W~Qi=2ur0{MTX}tEf9*lg$w;C;N*Y+hn-u)gTj1neH&Vuk-d5EL&2h z>w5IFer4#<-};piTGt9Or^hhsSK{8`)~`6dBdAw!dCOe>UyTeWF+Hb8gmdZ&+Hjh< zZrjQJyvH`ZMH={$Y94=>H(1~WwgqkgLUWw84GA@{8iBL@`H9^?cclR z%u2Zm(ncS0tBuFa?Yf}{nJH7WcVdgL`2Se6Q~@5lgS`SQYy0)qFe$q#{UeBF`UUCY z-V2<|t?LQtWdG4Mcb0;!)z+H4-10`RhVd67I+K%Bkj_csPUF8~>t&I5-D>$R)i*3P zLk*qX3Mg_gNBG<2bUN@@vB{H}IJ#>Raw}#<-={|$y3rPUD`QPNxjsnkaGdEQW&u|I z%~D_m;r6%kU)dvJDSYmXR7#EH!+il+TR9w#c~&2QbrZQ3m8zI#*lB zncE`7#op7cWR`*LsU~Qejk+~U%U0V2O~RF`<$Q&9QDU6V?#uIrNdWLAokzR zpv?_ZeOv2WhaawzjXMvr`?S0O4)0|rX>o@r#Bid7Y^@62{gBNymPme)tNowf@8aT~ zX9K@jlb-TYHl3e~k^A;J@k%hPr=7vD%qjorZC~ksg2g-k(*5K8e-5sv!es1up`A!k3g#LQg`e1FkT@w!)UvlU2y>PP` zLn+keOM~O6$tMKnWrF@`bN=@Hx=U{EouUE@O@)X zSvR`cw1e`$Wpnu-*&XDNcjC2!I4pP+3{-9IVY~2Hv;VfF0=gmLroD&264Th2| zfiD{C@{^BUEXJxm$nnW`K8<_DWGMn^3YfFATm>*x7~#CKwiV|sM_0C%so{X}SAdA;+b&&`<#<;|U{T{u)GLRkC#_aLn!(iJBaVeL2G zW2~%){XZ}Fa5vIc2HYMI_#w9|+1%<5%6(2dmsz+anH^i&r`|IkrBd8t#BX?du<_E<)%Kby6BTP;DY{@g&T)7sV*4&+HJS|!`q zbsj=!2$F=-e1=kmiX=%Wv6awN;x?#KeFK&H*nfPvm%E#00aSWiFbh>0&sM22!{k%t zydASshyf6lzF<^ZXI5#fzh4ljw61|lokH9rO8QE1SCvT%c)(I=Jxir8SSpQYt28Y` z>ieg24$XpP@ET;l%x(J|=?%2#3eHEB9~qZQ3b()qBUf{3+2fKnL-AU*lHbKLj+tkN zs!f5HwQ~%QSe-((DdBRq^{c$gIn*l{TOPXR;Mh`L_#N|M$`+t=dXDiCJ+@?$y}@aU zRp!K)yxwBy6>uQVYh6olc6q&vp##v)PVKU0+sa?W*Xe#gf?P~;-WEF&$$biIuh2qh zmgGLInHB>?sT7a$Pcs-6xSJEM=;p}(q*?ezne$B5$sYXf^!b26k9IG-v&MYGUv6Mr) zm0Uh@M{;;9_loe z85Wf$_)sd%eJvG%N)vnYHE0Wwc7kH>&nOGb+`yRN8z7sx+B|{7ns1y0zIg zWuzQ8LCq1&oaZt(P-)=|wo2W*8OE&Te@Dwh9Z^=#sPuzbrSYP`381+T4OBW}V$N>I zmJ@M1fKh23OQrEFmDV#VEu6ttsdu+3;X3{?ngt1M-dhv?5_ol}Sqcumq)kuAg?cI* z2K=v?p8}cPbVmvqWj`qYpz0{&2?BEkp535%zCZbb@oZU{DSdl)xPUvn=m}_S%_w`Z zvo=!vgw==Ax8?=#yCWyZ44;@sY@~c z5^bCjya$ZGR*Y12R=00iO-G@WCwXaP?H$Wy2oOa?x}=WIiJNAtO@ONcZ`R`x*YR zp3v-RJJ~n2RB?+nFUjy)bMkPh^w);3b_%2WRX=4VoMesEcTsn0ImMr~zcO#4!$)xl zZ<>hyHaT#B1Xv}m#=WBhV;Dta?W^3XBXzi^O;i(1+}iv^FdjTG8*V6SiQ1;l1qmE5 z^k>)*B!>k?WK=Rzm59`n3(g9A zwFY2!F9bFQ12BI-0!uzY;Oia$to{mtQ`RH!Dg@U1An^9#NVyDwIUNx=(i4F5qY$`m zBLe@4z+wIfTpWUw-yyKU4S@qY12AtL0xPy4a6ga7cXx)3LEyBJ2t3dZfW=)A_$2}d z9YA354g}6>gFH_~;FQtG#_}z7QCG!22weB+lk>gupN%;9!>r_V1n%D!fj1&>_5=j> zw+G6`5Copm4SDX-94Ol!Lg0r89JU{U%O@clTM;;VA_6b&j=4;+VC5VHe$^8NJc7WAGYD+@ z1cC1nHoij2@!RAJuNoF3@XX!_{2K!Mok!pm?EzT!9D%jd5%?BTHmpYAI3MKs9RjCZ zL}0NKs?kX=Mj|cw2ooj2c8N}sAy@r{NXHn4CKM)8Bg!#?5>tpM`91`tpbu&H&4`k; zlAxqTh`+tJv$88e33J9;lCL5PZyG@f?u#h$J*1X@2uki8tVKVTpe*iyD3V)ZLHTkpqBz_n zjHMA2(^8_bY*Nb{7etA9iYWP02}&(NG5$(wxj|4emm>=IEI~O

    g>OSz-vv^&wbG z&OK7g8;Y?Ngt3bR#oZNa8Sw&9g4Pq1$f1a$d`N0>6QL{{Rw9b*8bOI7C^>&iSN+s0 zKZ~G@^G6ip6H?0;1f^;fspVIK@{pkTy(Z#cBq)Ky5GCcMs%+I){9Od4B37)ww^RQY zL0RmEj2Ye$l=}o_OaP*2-;!E>BPiu@Sd0EOZ{(}9!Wx1S^O1=Ek)Vu{r_5e>HChvh zHs;?JWl26rP};5`X6cQ!B!7wyjn~^An=)ns)*{`6DEy@aN-EjjxWB36IJe@{^A4iS`Kg3_%!)}ow1WZ6himWLvWDTCB9v>l3Ha~M%n zq0Q}HpA{Y^DB90tSAOcHK0#0lK0}n0<3yI_1jTTa$TEY}@{yo8eL=)8At>`EBZ}@6 zsU?S?q#Z+)umuEVaSvoH=PM%qV}dgAb3_rJPe=q=?h%ywLk_YjHo&9DC(Q zg5ofjD0x(iiBA_e&-)Tlls5^=_XNdohA?)V)DqWg#IYY{i5C!*xullqU!{Dx@T&56 zf^wIjXn#Ny?nQ#~t`{=qum~CBts^Ltr(!LVhY4u>uI*8l`dma&T_Y&5y%D8u3DMYL z!q}8)h+=v|YWb>t=I!5omFE#9tb(AJ2ukq^*$rsQVoGDv5ykM5prn#oj2B2Pe-f0L zK3I!nHP#Yzm!P~PD4e&5!oNXKvVTUD%6|yT8G=&$4H5qhK`EPowTM3wSw2v*TqL#d zHQ2=l_>o%jNi7{(VN*VfMii}v%qymoIY#{hQi}^gc}Y+>mq{%n2ui_XM3L@96#hAa zQt=0(r1v8zS%a_^r(Z}dD+$VzC5WOtKu{cAh{04Nihc}1nKu|w{BDt2ju4dK<%lBx zo-nqTpj6i&iu(eBvS0|JXn#i(-aUfyfuKmvky>sMl-y^CqFO;vj0DB+KB?s`L8+pq zQWr@r9|%gKiPVxrQ2GtUTB<8$56<_>cP2BgvKZTYe4L=c|H8x^&c-erj-$TpSJ#^$Uf7)d%D;@z16(*wnx&Ps--RE`X7H*?$N-vYtdqXM9lM3@FZe2dGZF4 zzXwU*N?pt8|8rhQIGkT$4(Jh5oHD__U?h;{m?T_I&|sg>mdskb#An$uIC;)T79AZN zwlvIV%);=n`98A63w-2Z;a@NDkxd-y6Et?x$Voo^dk^d_G5;MrN$xXg;j+cyvwVin zoxgO};<-_ChA)c>UpjYT)DoYt#S3PHFN|6~BRXvH(z#*tXDk}nJG_aPoTHh%_tmYW z7l+C($~h8Fu*rrqQ^HYx@u_@8wWdW;wnxs8+P~L?O>SM`@^)>I?@`-lt=^X(O#RGe zr6z9qqUxb3(j*6G-!`lIxxQ>=IQb%Fg|c1H{mTl8TA%GvJfzlfb9kX?ML(x9Mb1o) ze@9`I1W;FK;ykyObWb=RbkSwx>~^Z^^!v{3POVea8cuB7)GqjOr6NVmeNxiBS!qy! zYc~LRoPIyG)2Vev02sG*db_nZdHs%!NORS${`5gDXJ79&hXj!+Tm1Z`hc*;E=6*T5 z^Ei=VnCGHZz)HHd%vT82poG=cbB2w)I^O0P_iemKv?yn$(cf2i1u-)?XrP_>OTcNCvkMS z!CB|`OD_hP+VePRWAj#(aIq6d(!2GO5L{xbL*%IHZbGyxJkn zcXFvJ{Izb~vmB`^Ox*VqW&1d!id~}WL-tQ>NEJiAkp_Gw@5&)n{Kb2FEU=hEs(9vR z*xatZIaP&N6_;)Fp{i(MR~z0uo~mNs2(4R>x)xLwan0UO(a)f&C=ylwYX6}bB}JU{ z;$%~Ms){$fw`USAQdP9-Y51kxGqV()s<@L5zEl;H1hwneq)=73jMUEPnJA>H7}f0k zO!o+?iuRvWcWvR$qog<~4fw*=nW|!A^S9UXE>l%(>Sy>S;BDC52$go*d6?58DhqUNHRdN1%X~36Lpo#&h`nM-%y!-L~JN=CM z%lGR`XT1OI{`<-qA0FTT@M6ZtH}^lP6b=b0TfV1Lib9^Cvg3Dk(kfCCRQCKHPB{ug zf~p1I$4RG9UR%nyTA*L>11@#8Xj_B&-6HMjeYR>30q z(yfYB%4U1*Z}PVP);ZNxTkoZ><#h29d@IQMb&$8e^e1_+XRFW*!>=Z^ZWRjf!pY?*Pj()TFuKCkWIcI7VG z>La>m9LGeBmzQnO*f9>SdsD^2fqi5#(K8byRRuO-hP8Hioc4~1mA$-dH-9z8VgFeG zi0mVCdISI!g*IWu>+SLk2;k#oD~bdPr2vo#6h?(7m{Kp~fY=SH80KZm`v!P;2LP{uf(a;871@Mwwg7;KV`5RT zm+dcWfx=B-(%V-S6B+?L6x&p;1%Ojs9TQdKy=>K4z{7;y;-Q%UFlrV^amA)`&@g1fF96^a07L>n835z}z>*%0iM-FfY{wrTx03bLAJS^QU?)1c0=5%jX zg2ZsuCM*j83;^Ih1DO07q*x6UJ^;W6pr9D~p*p zJ0Us?0KNbKy)RIh4FDxTVGB^`+gIikH9J9K1c1u`klq(4AiysGZ~y=l0PrP9k$laj z(rK?0P2BYQ468DtD!A!0Km7v!C2xm^vrHwEY*G zFuw!P76|YS*yLLf^B)ki2CBF-Cm}i)08#-UD8Ml>BMt!Gk8#-a4tS^s3U7fz-7hwk zbpXH%bWHS21TpQ#I_!7c1B&Vgii!k98EnF0(t(E{P*f5y*=npqQl~xQq5l13PO*^* zrg{M2WPn8t2QfE*MLCUi;P(Z9IY7Yx09mCrm2&~WA;d8;WE0qf3s4ve0ILAtZDfKZ z@rF%h>u>GyCXIqBc7P^(jCFAR8~{#074zmMNXh}A6aaEYLlwKA3LgNNu}3Vd0t#2= zf=%AEsgxZ8qa6obZy%_7C`hpq0A2%t2>@zt+Jw~s0B<66oJ`QahbnS_!tDMsr|a_)Oxd?=!gSEP^Cvqd3XTJlQ$frhfx;4? zPz@By002%7%2R$0ZE*rrJso)X2{aiC0B`3dMArd;(=lj^FQF|?1Hjy|4x4U571>b5 zmtQBCa&OyI#sC2CD`<TF`~eh7 zzD|&w{uLVQgk4_W8K9`2fyr-x$#+1Z4k)~YDyjj1bJ8x)b0+Aa007njg?4+zLdgJG zOvL;IQ%N~EF#yO2cT7A~1kIfait4*pJajGql+90&=zg;aOFjjSHODbge;s((2TTqH zfY<@h^#CCC4z$G?yS#1lpe=3zg>0zeO8__n6y`5TkeC4AF#tUL8azw|*u#$iuw<{e z)1L!meg*)@`5mPA0W4}Ew8cG8)K5^wY5=GMfR_MJ3jmxS?eZjxfrl#K;WCK19jfR) zQ06o_3fy1?m>dEu0X;l|Dz1SP`#{X017$I*0H6*4xaUDp%Yn%nFqk_~#Tfut2mnR^ zD7g!*2>|L9ps43yF!zB%DO8aO0HYQrNV4zQREGUzmv?g&blz7$;V+3qjN~-~tnoCp-eo`!e(< zLZ#qs9lWi@>v|*)-~s0|Gi7hE%+z#Q9hTKJUG^Hws+%r*g=JMum;Hlf#-_^%%`$db z^mQKOCG;M%T)+v@V`4{cv;h8YKL$1djLkZhyTDnaLX{I1aQIMgO1R1a&s6B$39g6C zZ~6JBeAq1U3y#5(JUrFmK}rR)BeEeO0F@RCH=G7&fv=B1mAy4mNP2X1-oM4fDxIza9PuN}|D zHrhcLDqJism(lIE$o@U*F%@q{)sZ$eNBC)@IHW_P;LSz(dZJOV(vl7lgW*LsS*At2 zd}2(E@KOlPX>7dwmLnCQ*L5@a7_2mr|m z02R{tcmazk-x*qFs=g4mxkWc%dunM=H=8_2YYOHtO4szLL&Yjl>G>PrAu?yJOnoT; z8nr=4L&4#@;}3NQR#WTpn5HgcH+6JS3fXq9Q?Gz6r3y*QnSfiTUJ5_%N|I%;0XAk} zP9PrZNUQd=cq`OQsc#TVlIRGB0@za$#YeB;M04AKQUXvZw83b4Z9rpkz=qG?#Nxe{ z*Q`StG<<2BQU@H1DD&mi71)dHTSLQrJpoi(%M09rO+RG>6wu1~QHsh@ ze~om8!NbzbGov|TTmJhkEjlCh95h@bwk0~F(Q=w5nEA-S76sD6ls0RFgrP&bY;l4g zj&1CMIxT7e4a3nfD$@99QK3Xd`Kj=iwTkNUMj{(0vBC}TV5^}nfs~zTx(sjU66LVV zrlz!j@6Jm;-OlVgzG&fv5EoDsDL(S8`rt(+F_Zt9|LRyB@h;D(+O%>rZC<-}kR2EI}8kD_PI@Cc*jH>+}VASjoLk6W0XSo*`P@~z> z&5;rF&V<~ET#g9tdN!;d4;f4vE~G_QH}8ZQOeun{ zP;+&2H~2ACQUl*wv|j*O8xpgAOI!6I+#&Y|jb3(b&h)P-a}!ll83 z)`i0o)WtV!U0lc~9g%*t&R;LmF;A>f%Bp zU3?(A5Vr+g)G@lKr*t8|x3y8Tj?V*7o^Zoew3=JUvL%HIGBnlsz-lnnSgEs15z=@b`)0TY`G(td?=+3okv zgzd*$i0${NQTxfm(UukMp#7v9Ew-%X6I<4i_S5n$wyf&Fwq+Qc2)D?9{0Tf5TQ+_R zwtSMUi;xi|*iwoE@K7IyM?+m4W$PljU<`6wh15?s)P+*j12mVN&hi2qDCjzb*1iSG zX3cS03NYWU!!E|T2u2bnCA-@{L^Vt7-LQeH8`{{TT}&;>d>K*38^YLdxQ+Q(!!utYi7ad#cQWt-*z3oma^!N zt|ndiAksg^qEAm%E(DK54Ri)Z0}O;)W~y?*hLpLp%hEb!C&JkSxvl?Trs_HhPK4W_dv3UFw?nj(e)w6!bb^;pKGF;&Q(1G1-XioJqF!h$D~E+?cJ_6iC} znOBo#HseXd{!e8!c=0jJz~qb2cT{b81DUsIwe~NQ>zUNN*$2A|&YgMt0Iq9m<H#1vvEQ@Gp<=N>U+?$L#}%wfb|{2!u!=JrNIOkoDaEsg=CYv4uJM!a{Kb|me~ zgP{eV%J^Vdo_s#oI*0$h^>!#e>G}ocTnQkVXv$`9$mVr(km|jl5C5Zh$!KF$U{9bX z=z!WNU}-~9uC#6L&Oz`P0DsGswT+s2NgFNldTvR_%?(kiM2X60@vxnY=687AL{&yG6qcK3;-jAs7NV z8>5mxJw<@m?+s6E6$od@arNyVL7CH*s%IQyOkVq$d7Ns2jXS2Zaoy|I+VK?UWStV= zE&bKL-+G)Jxh+S^3N~f>thZBsSE0W25Y)wTc(_68dYn|OnF^Io4F>LMN>Uc3$R;R> zPBWA=G$r~>fzx=|@yE*H@UWCsCM^=T=mXU(@7%^8l$5#?lvIwADGI1>3p{(xhoL1t z7u#2V7jdoH_Xe8&M?ojle+AJ$)4n&F^};f@Cd)jqOw)8(AiBOHF@rgys3Oo$CCMk!+U&?UxKDmTdQ%hh#)r{6S_-F^vYoGoVjMU4=o zNH87noO|aoY`iF2Y_ce=V7ZjMPIq~Nub;KA2<(cNvqDZW z=>P)0zJme^OMHXn@DJ_K3X=g?fNVvh~5QPUa*1^9EE|jZc7mB3#X1`&6$aljA)!b z(e4zEI`8Dig;B0FgB#^h}n%|9l`vVomX$@tJPaBIs5 zOdpo_>9@6Y%g~`~BZBFGz_~D75b}^R?myilQCGcRfBpFoGu-e7gh7ad8M=rpQAN~$*pdLUpEg#jD=@LSaw zuz^m1KZ@YTv4SWgKzYqkF#WZ)>sc~kM>+~N9lEbnP7o0LOeL%{_L(S%!8SUJVl^rY zA!R=_S*9UnxT>+>!KD8j&h*x#;vp`lgdN&}5@#-kW4@-2ujRv}jS~%*DGYnjaWKvb zZGPfn|BhChI-=u+V0$Hb(NoqR4llSI2Exhv_=T>HfR#bD{Ld}W_q}BMLI%iR7;M_P z{$>sh6|JhrzMO)6nO6Z1+F8*ZB3naNx#y5kot5y}A*8Ib$ua>cd(dQAky{CBnuD_v z)|SUf*LX3*2nfe8Da%GRM*}=NIQH}f)haY&wY&tmE5tqb1RrAJo;7+B_sT^Ai;w_l zm3%~)uL5(*Ub}=bgHqQEXa>Zb#DqDsIYA^sTLcE=RmwV~Z?y?kCq0fruvKRuQMLIG z;ER4*ix((u97k;!Sk@0wHK(UA)|?)>l;MKwMz~0Q3WVisE~u#5UO$#_FNkpYM^U)t zEa7M$-r9{sKN67^nNE_RuHu?g+ofB9KUhr$-x9$!T3ikg<+a;9HuO9eav)F+=94U2 z)`lrmKMQ@VfTQ7I-{U#`m->0=3-i0W(D&3|g30ey{lOwbJ5BE2;x>*wi{zgTnZjz% zxD8~h;AZB_S$N~T>;dOSo) zb5T;xJ9yADPdZ*(w2ts(zyLL`1|Fn0)sK8)iRc!s+kl*D83@uBsTkTxk7r0f6DJrH zeWGP5qMMu4dMY#ppn%qrj)EYqqo;T_AkPjBc@~f`T2GJt zP^3N&$fA=-2Ik%LP}_6j0eBNc%3g!7#IjvANqFRC8$LAKpq6ktpvUAY&?AR;&kqhp z^q5=^Ka2@sbA;=rtmKt3--bDL!?~+!Iy^LY;NgbrAoyAu*2q^lHhOJy)TXacdoL%b~jP@$=SFn;N{2V|v%T+9O#1VC9zCm>q0|KoMh+W=M z_yhEc-7IJw1-%EMudtw%Nf^nEZg0!nn&CNy2xa^g!1NZOo?u{;+iDd!_2gZ440I3$ zt@{n2E7{P=gMj6AF>IDEQ7yOynJ}=Jfc7B=bcBz2g6i}`T3i77sDS{GrR)xtd1INr z(7Nn4mWkPA;`KPt)Q(;Q3kQ8{HSC4@gu&R7Qivnv+fQ48ZY0{xj?kuW3q|mwx6|@V z+Ui{cVc4Wyigep6w3f->tKuV}MoXv@{TzBU-q>2K7sIX}(+R&A^!t#>7zl4H;o6UZ zAQDmbD&6)G>6f52QuHZn6x-;P!MD}+=YU$ax^n!Nj7z?e=?`@YPQk;i0v=|kO(2LH z96|9s6M4op1B++MAp8A@1{pRB9s-t^IkpD%Fa@R5 zGkPds^x!$R0wJUf1WOMiwtYZ7Tt_`nJhSvrp5OX=vmT~0dMHaoJ!ps??!jMXJrD?@ z2e~6@JEDge0I=4BsQ+A)M}_ietn?u2ud?V!(*XcbN9$h(!5F*C4xQ5&elg_K_$~%} zQmW$8boe6bY%0+;cgn!Oj$dy0|Me2|juJ*j=QsF(ZUHMIf-9nqfs1mpXo zd=J3;px@ykA`oQCVBXZ;TaWa0IG$4U%@Z7VTYl#@Zu%{-q*1sC<6kmE(+jWXZ=f17 zfJy<4npRN|T-+!xqIi}g&pd2S+T+6_)2#{?gA@9)Ce(s7BBA0e00yz44J56gKaVx+ z6kJ{njV$s3GYBXLc-Fv5Y7R-uMQJ2hvzpLimQEp5Jw%hd%>aNCP-pQ$(D?O4(74nd zC!FQZgP;fN#KGef_#*&*K#}pq=rCmPA1hiZ_2UW+DB z`3xQcWQ6$bI>&MzJptN0xRe+W17V&3p<~Dx7l^wUsc7yLeahU`4mP|t`pvq_;GT0@ zB?YLMp($ru9d?38*a?Vg$i4~%L7Zbg#dA6G90vbcc#dj&9mma_E#sK6@>%C$2yqHn zcf()VZZ=}njEu}t4|8ELl4rpqc3k2}A~ z`t1IL?8K+)8_51ek}v}gWtqQ~Idxt%)LIeHZstij@me}ZG9pAVEx>A>ZI0NQ(M^}V zLE~A~WSJvImfd2TE_;tYz=H)h_M=)E^|OW?=)TZ4(qFEx{O#K!Sh3p z43m3pjTCJ427fCvC>K-xWFC?*0hG0oZl;X1k~BsiCOQqZysoN-2u!vC})7Kl1c#lu1hT6SJ-N#knW{S|s-?O%Ycz==H^ z^p#SI6O~6vAiB=e0hW3*qlWzkljQCWK>iV1BjR?Xfy+=MBg_U>OjiEOg5ZQWg%*$2 zoG|b}4IJLo8dG7H@mL4PrL7}tk@@J7C03#Dv{CD!yNc$JGR6}Z9o~-afp~mgUuLqO zwvKFh&1wX$MDXYz;E@mi5y#y#=&bz%&_n9j#jxO)`_}0{4SRjoeozq{B-5OO@wx57 zxM*4V&&L9PISCoJ08*|mRD3*ZA68@!tVl0w`Rx-nR&?L)(u1{vK6>K-)>46N8!)y9 z8Q1jc`e+`Osi`t1o^rDLJ5+uFDxbr;{_*HR1n1G<(Kbh_Ac8IfA)QD(I05I$ZC;XPH>Lj0aNo(u!Cv$zq!_VFl3%LtKReQ{P@8wEw0ZAM% ztOdMhiXCYX#rac69JvstfgIr@uktN$ME}yW;6;S3pdsA{-+YWIiQ1r}Q1!uwtWax- zeKN{@1of{lH>I$n!x{$;MAoY@FnmZi3W5n4OZX&?N@YN-aIA;fOrOEO16h&*;j|A9 zXN6Tnxok2>RJw>YtVGQsOQc`1gXiL07ghyHEt3On;d;}VOAi8%Uq^Of{|Ig?=Lv>RgHHer(B1aFYFaN*?qK$v9)(|=$Tc4UCtRyygcDpSK;gtc!z0N0d^@gBUkhJKYA5bsZ#4c3 zDWNc^T{Zn9!yodA@I&rvm>d%Gv`p~6NMyg0Do#KA3O!Wz3Q)k};=|1BAZHiJ&bkBhhxl^(oHp=KqtQco zXb#@tDUTYPgDPAX&tuJ&za0*83jRj7RtG~n$!Cg_CU1nl>)ekp8s*KHhZ>E(58&za zv0Iku^RCro;)8WpV-k!xa>1JsgGdrY;^J|emHg4uF+-<(-xVrD=Ytr=^yPm_K#nY@ zS1MtJvSXIh6P2(E{*8fuYw+KDDq*d%qj{=cy^BniZev{zFwJamwCCIAAyAdz6><~I z3XxXt>WHtZDI|u$j2ZKh!AN9K)|M3yh+Df6!_W4BcFElbAm2qK^NeSm9_;PsXpW&)xf4Tx?fL}i3%C{2`v+D5R6W>7>a)3}Em zn45AjPD0nb4gC>%L>YSYCysphn@mUpzo`UHnfep}W zN+7ytDiEz;6OE*Z1|!iFnrI|(J+IkBrxKzXLi8nzDD@S@h4DL9bD~uzAbj^?SXc`9 zJo3rJy^vPGm!xh7hVSkbiQoqb-^CmlSj@aN3&pAzVB{*6Eu^aM+!a! zzqV{sgwI}uy&)x<>N!+wc(&JA)T3>C^CBO2ISM9k*TO`O>~b*ZY6?AhF|~d##T7nT zzncprl|ab7z1g%OBhr30%(C8AvJ^e>)x|XGLNt+XpL-6fd_5plu4S1Ny;vON$LX_ldPjmGG!NHhjLn3h^$UIyj!j_&AnhIZ^9)yB~Y2YDPLF8^lb~A4b#||oR!$zxMjd>&X4s2M#PL=SI z6h@YH?MJa@05_6819VL%3f(*dpu^(-pX)Sx0M7+)Q4@80c0A>GIMSq~UxTC3 z)fg`*HbgLifs+GBj;Qhl05kiHFik4JoPssNEih$+qe3I{IOfwblL}z|$KlyvK+t*B ze8zd-n@t>;1O=?7`yMUe4_j_Q1(m2&%@R=RZ0BNeyKw*&la39kjYuhwI;ppfTC;Z| zahEK`83|oMAEf()rrY9<7sSdt`KrMt%!@!?2m3nc=Edp9P*7Y1RvBQj2#dfKh5?Y( z-)az<@KJl@OCNp*tmI?pUGxS(&5J;lAdmy|>_KgBxk7{>wtljud#7?2QTsT}%Az`}`!h7qrB;gzE&$Piip)sc5-_KF)3diAK)Uzap1G zZ}7L;e$e~bE$FpSY=*}?#+!CF*_hEuArm<-#^b!h*S?}lvzCbZ@ zPz;AQOz=ijGy<%u5;W;NH!Opw^&~R@&wcUSg?1&StZ^7@J5= zA+gqdT1V7_+66`E0(yW&zIGsJ+2xy`m{3nT0m>&pUgXOl_zs+Wz_lpktLLQ4T+-%d z9Da?f2;n&xDV|jeS24Y1#GG+JUo#Y~dLn$vLCzT;jDzKaO~u;Rq^pK;%s1VK%rroR zPmh6uLKlA)7g^ziRaa!SmzfKlc||XHQf^BM#FPxagRNyaOtACa5W6=mLl@khZ$gGn zX^muoUX%<4jbu1Uu=Bei_JIFM zhMxNy$q;#n$PkJ$EdD>skjpy)45+&!7yi~VJWI7B1;3N5<~`+LpDSwrVVp`;E0Q9A z0=q^X-6^-D`z>@?0FCWTV#J%iyT`++%=Ou5SADCQVbka`0SBO&Fg=O4U2mS=dy~EK zAs%YLVy(doUDnE;LzLDQqqHk(YZ)$-;}3%lMBgJ9+u<~V2Cl*Q&?^TEq|nRPaDNA1 zB@3~GcujPWb_n%lLYm4MKU;v9(~4P&ROp?`6|53GQN=X!9rA zV3c;-&4tEDR&f)>PD)wN<}3TcCljT^As0cE=2W zj?3JA@DQ8_P~263kIiy<7&EpHST_RJJ)+xlV62rdhQH|p^{WJv0YejwYIF_wkKVX(4{=`~*%#%NQYL@Eu1`QW;TjJrQAWJwSJT9ua9BhK(| zl*5kKT=Ef7SgYG&Rwd64g7FCPG$^ipE69j47S83=$a^^(X}5-h)R$GbD8^ONuLoebv-i(Yyh+P<6)M z8>PV6PHjv0p~3?PjcxBh=tI_HK(%@RC_p?Q3;%U;7~r&K0Nns4x5JEn{+< ziY;SAZk;wVBIn0Pp~$He5+kzc<4Lq5)qZ$rN`YJWLQx0C_F&V`;D6{zAO)0;K!O`) zW23Tzax;!mLmn*pO3TQkEvX(o)KWfcwDU+j3aI)1@DLrMeZ31cUPZtNiQ!5KM?UN`^j9Mpx|b0d0svohf|kKN=KhNeoW?S=Z}uw?;AX-@^P9B{YhnryKmn+j zw8O+kGEms*h%LDDKgqE3StA*IJCm69NWd5U@PC$}V|OCMw?IX6*II_8;zAlP7>zPq{6EX!Hj&7HYYEK@YZ)}s9=zFiF^Up)2p)oe zfGOJZT`8W1((OaPI~|t2;z|sXJd1qlkKbjZUi-1;GHDYo0HA0n)E!U{iSc9>5lYQv zw!qATy_9^gsQ@Or95pT_*9}?48ij91PRBa;W1YrutF?0fE4v6Ym%<@iAWEzDZ3fYS zgiUQ=R0HiOQ9Cf!eXBvDgK_#pyPp9EQ9@ZZO8CaySTH%?-WNh_&#FNl+AT(Vu0q`v zqwZ26gR(*ByCQi;EEY&uO3mMhAG^nMo&sq&MADM(CK{MWluD}no2Ar>Y7|iLJql=! z0+Q^E=nN9zCp9~Pi6|4A@+_7mPYB6~-41nsG>~2GG8c{m`P_a*Fgi|{Iok0!YLZtl zqz&kTBW#0FmuJN_gGlt0Czwdw!%elQyGYcXD!|H$b2GLMA_bo? zO5Hr<0V>t~7(4_YSW1279f7aTVwI7s08OrE3JQ1!1yrzhO&)Hl`PM?j8w1DdhTH)w z^d;#TE@N3E&&oufC^YFhNKGRq9sIvF>9^H0fH}<%$Xqb&Rg(=M+A@cIxtnmU0IpNJ zq^MO}Wugk5@E$b3JM5}8>>Txkk{}l;4W}u!c;yX~g8*M1&h4m8ao$P$P*AohVsG1 zw2*qxU@Zd$E2#p8w?3l|;(DSSYgT$Ni-#ibwYZ*8qQUXjv6d|v{eFR0L0`c`rayd3YjYVZ!~E=k9BVNT1%D6BMuGmuRrQlS{-7cSRLs%1iydJ4~kx^wU}n+45b z&JD7*#@y(D0+zMuM|oK)bHK`?gXE)d2f5f0NneHY6!BcdmrLtOkm-y<5Dd}_Pbvhx zoy%ak>S({5^Yt<~p76BF#c!F#hDUoN)kG9QVa-YbrK}8OrJTh|>(PXjR0@faJEZ51 z8lV$>2OjE;q*FL#-+)A_@g3?ceNRIHDG?|iQ-R3Wm3jDuTG`F!ah3$HKBK!JXJx1) zBef5XN7dySN5T5gf3A^+xrC#4%lp%{)|Tva%_!4LAq)uQnWI1HcZqq`xWb;zfQ z)*$ftJ@Pq>&FA^;$R}?;@~NQt?1QuYbT*#?pKno%cXi#T@c`jpVIF+g@ zi*!c($_JC<-YXUQQiyO&WLb65AfgPz@2HHuv{jRDhEvK|R;{8c&cuo(5D_6)wNe{` zTleT7Hlg!`k9bBs;RTq_zUtCk04?cMRcZ%ByA&I@>xt< z!h5ChH{esn=2J7I5F1T$4<7kxG@m!H_i5RDz8KdBt-63Hh~^UxxOA!kid)ze1w#o% zIZ_PTM^hw{XR;}_9#1G1BSjrekw|X8gGg@M1tbq;B=1g1o_qr(@6Je`)j;y{N!QRA zeniP@Xvyi19X0-sQCg+jYBUDjfn>&r%y|Et>`MF>^#xkk_yCmJP_&K4l)O&w4-zCRMle2s#3H8-)ggVqrvlzqNswVILQy&8G1 zrFqY9DI2PSfz5rq;W;Lgxxitu;N)+<04Fbhp$u|KRgvT(^3C;&)M+kLmg5Kgp~7nC zGSy7tC}9Mk4a4M!pNNPsO&}ogiq*uSektj5vB0P(oi*N>wh^6T!U7;c#@bTlc-Xxz z3uTRArQ-*nl&4Wjad)$naL&Lh8$S5G@v@6}Cc#Rj03@lLR0NVFQ&TTZz+Kdvkr-f> z*<7G&6V+9Y$FF_@bVyXvof%j(0e=vyy$|p6vskm151A+d?;CiCGFTGSZ6jinQv|hz ziCk$RT6pqj!{f{;Uilg_SP`8;h zM?KcWB|y&X@q;W5c2XStjKsd6ImmUFbi+g{!((zhmq9()7AjcU{aGsxe{iesg`9W7 zN4jBZa(gP=_Mk9x{P5d6a8B{M=RiyadAuZ%svJ~Tel-0V?s|1kfmLC_5n(Z-Iz@M) zx;B8~HjidBPMRA!4?ZYAx~bkm-19p^+z-{Er-{?+^W<)4&;SEr${s&r2NT=y{O$&F zK9s;|LG8SFD(F^5L^s!8oiy0_TWP%W#LI8(^+O zw$PJ53uZ>gK9i!b8Iql7Tn_7m??82{Vunf09*$jFJN9cvi@PZ@mOs~rAMn0vP zh;MLfb z7au0nry_L|O&z|r6h>NWKIqVOR3b?TsQldAthDA0hjHX^3q>+Ymga*F5zQ-5^Oc`7 zniuUmLQK98xj)ZZt1rER0tuYp;WnM6dBfof6-|U=kNYlx*}jxZ0UtlP^&5+46pa6C z+y+1wRnl|A);KqGpFC4ac`b+pJ7FZ)p@#$%p%Y^iH88sa5Hl~k;f^0DV8?NEixXC3z|I>cFI7kl9Kv-YF`TNJX&3ii&6U2n?Yoqe$*7y==~D z^9Q^NGb1q;nKy4c(&-2fw-Rh~UB@E-`s8ycm_}ENK9h zdJFmp+Q!N$n6l#~P*&phME-Gl^08vE82nhA|qs?S-rk&9YJ!XkQg1y zdrU?h$DxklwiU~ERM0U6>X`F4AXIi`8TXk{ZswZdJ0c^7MI$0l(P2^Fe!nsEikw?- z6M@D@2cHJ+;a_!5qI40}Cz&Xn^5}W&c?HN^7G{{@hY_?NGhT_;Q3b&DP9vSdtL*V5 z3KWY)QkFox<5N+fIy9Q}=`4YASCOVGf%lqKER7lG?SxkXBJ2~ERVsV5X@gp^B7zaS z^u|Pv>_8%Y;o*ivQfE0emyZBn^kB_a%;Hg#^ea*B9^`>*MsGMs@{W%f(U|U&V}PLX zrRmaLMtPJpCmu8g8Y6Mam%+!ZE$G1$V#yX^kAl>4r&Wrg^)NRzG;S8Lj)ng$KmlVQrc?R_mIMEW}p>5a}(e zb#*;1qRCaD0;}2PbD|zyBRQ#0HWYkL;D7Qtn(6ORKjb(k^#xi#ML5AMc5p5yyYN6m z$Zw7PXM_-Xx5Q5h9wH^Zh)MCwKM@GC+;}BwBP_ydR5BeU8_|SOEi#(R{rn{kkL%iR zg+#L1&ckJGVR)qM40frFFy^JC_swB?7pGS#iuwda_1`enmV@sZwL@TAW>YvEx}#t5>QcbApy5q7u={@ zm7pRjD(+ORBm@Pwiq?g?)F7z1wYa0z%Ho2G7OhoOv_Yw*7A;z9skQR|p1HZ?vh?-$ zf1me0uVc7#=A1KU&N(x4W}`wnOChVb54bv?@bo~Ud?}K=!=dkpo#({PXwf=D^JALg z3M4FI?c{L}0%H;D<<5iQz=d62$t?ru9vOdAu4NX(BG&)7pN}oVRNl`wvglVjRsn)>vs_o$O ztwqC;8g}rNgpRGcgYV$()4-RVibU>>V5wyX-<k zl1+{vo4@su{V?;Yyn}DXzURQLq0J-NxfZ>xn0bvoga-0o^9GsfPNJFDjLX#c8MHnw zy^NVxwoA!|u@rVJ8NyC&sbwh?cKmu?qP254bDLx=|AbiDD6k~7<5w4`n#P?3(OGm7 z@=P#09kz!G<>gv~tS`pW1vdV4MRvJH#Mz}8YlkseRYJ0P2H{Pqj^w~!#0*uBA!#S| z+GukcjCb59+6{STGh>{NWP*n(v*oY4^h>Ia_e&C6LIqySEceokLnLc2?T# zT&VRvgmct6HPVR;kxs!O5~=NY&x=TU~ zp}|Pt-6~Bcnb4dRF@ppXBC--$6uXZ>#TODu-n^S6A9x6fyqie!`aA4QA+6r|r;1|! zk_rBkE0EOXw^GmK$JeBmt*nCp`3tAyaX_o2jeTqvDBErpup$ThtZBB1U7&2cnP*^V z2zG&L*>O?lULs%rjO4`P2+Xn4XZD%oB zkyif1FaUM&gAyDP+~oZg9fR9$ z9nr1({pAC2rY%4sOBcG#d_BkZn5}BOO4L)_uJs8)eS}0gU0B-`rUkzbPmZJvGx{81 zkT<&H?-0F`?x>8`Z?lfsC!L*uwvEnVwjRNzZ{0C{TlhKa(8XTCcy=jiy#tbR&si3& zw}OgidaqeU*xl-~Q$j^7^Tp(|Aw-ZZ@FfvJf$P@;sWT|Ng9L@FegC}=XoHJv4iaS) zw$}?a3jZpBYQ!#KPZ!PflFtSZ0e9jv)AwIzdP~;70-%OWAbX}o`!(nP~X9HH)$L&MI{{-gwc~Q&@yc~g9y;%V)7lrRHb;v zQYu743*}2;EU-)?fMWV22qKlIeVb_KRi*v3)?Pw0m(bi6=|k#Q6uVVk=L=c&A^Dq; zBt3THXHr*D^Sl{I;Wga@B(fhw0g9)qKebR6!ax6Xf@03Zh384k(jWeBV%Ag}+)g@2 zPrgL6d*mhaEh;F8A;05J(g(&2)9}rDXY8(9-e(3b(ckvI)qNXzED21J1uRhHdd<)i zHk;Y%u65q=F5m|+tbIt8zEM??&lQuT*iyK5zDQE}_z+>vcjI8RNX*dc0)b98SlugH}NY+wYKpQucL{1M;Ql?l)_hRS)}$ z2a0BbFbo#g;3>^SbX4s)@ot|-R#E7(=U&*dxK2~ygTg5%2hM9Y0g6+?K- zmtRcfuTy!pMe4Tr28}YlJnhMfRte>gEMm7j`ED$+tS?4wQzu~4qJ}Qxcr>#&UB)p` zhH2xflLlN0BA8IWh)<{n3y56MRLj78`wlwVQ~fHCjjq&N&k^6pkvRG<$W2cDsQqw_da?cN|%O&FDTsDBPX**QewsL)SZMsIPeA5hgYnClg@ z+9CKJz6VlYjY8IK9O>>e=o1Yj{Bwy_VR(9$s%s`4I-eSB)c+!bNCc^ z7D;6SiL^2xe_frxUJ`a05zzQqg&nv0EIAQg5TA&I-QmeYe3xD(0)L419Z;={v!0tktA{HGZ5le1>uK$>@k*F0M+KV7&lM%B6BIU+=f{FH#|`E^3|h)`*ok>NGtZ^3Cs zdjCjl!|C3CL0rnWNTSVx-upCy-d`vny^pdb>bWB71!C%cD;RaAGv@^A9mwiZnbk@A zlGUANRu@lwM5_4upX5ug&?eCen%8NUR_P;(z3-uIm2ZeM#ZHl{y)=l9J({UCwM#{w zu<36<;FFFL*$a^`Uz~dapW-$nQBH<0Pi&bZc%8+AD3BVriL%a%-TdJNbn>JM{`(YL zG(1648ULW$_{8I{gv7fkzANdqz$z7pnpPc2yD#W`1_MM`_>JQwh{IoeJYngeXd<(f za9$KVq)xhP)BLs-#~OrsEnTyNqNLFpVO6BV@!Pe4aO7gqd52{@LME3S0b!y2=$qaY zkjpQ2r+{4iczUKpz?%_4Jjk{X4_AaDLXcBEZ?7f8+Fx>=-jRCEB4*l%8c~`%o!XT> z43H#hM7jDe7QiL>#Jv(2fU@Ijm0$T%{$5~HmG|zoa3YI}_nOUJ= zzN+{MPPo`2YhAOO*71XSN$W(aSFjLboYekz1lVjgHMIiCz3=}37zv~Ln=r`Ota>W5 z_5b`Z`nON7WA|@}W%xWvL|yWUMZGb2@&B2DicS&8eHdOXjjH2b33x4NjJZWCnZ7&} z$s^|=8)9{u4az1T8-SN6O9#l%8fzbKkpe0 z+k6wy+1HU^v7HZ4acqrhPJhu(f3`+tIjxW}lK zB(AKgn6JHB$>c9yOwAt@3s~7sB+5r3F^3%8LSoJ$F^gAgjn~GLnAsK9o`XbU_8r&y zuz-Xgiv81W^Yk%2*1|T*)&7;1RsM1Fvx0njrOnR?N9z!Cv}rRs9fu4mEvpncr_c@D z$bd`Tta7pUS}`KtZk+2)?92bzI6C77efq*L-fqJ{Y~9z@4K?&Kb{5(utMLxKIyR+?vd#@{PS5N1Gs0)ZT z3v~#uaX&NmVx``tlWS9PTt*mYBu#CD&fEVCNwQRsWL~vxGtI>%97eXDzuiZ-{;^_F#Ssgkzu3CpE zzVtvUZ4yfVQyt8|SF3~PjILCN?I2Pf8Tj9}Lt2+6REHqqB46M_s6)isgM%nTjXIdK zG`aAYrsP<3Wa*yzF7$3!n8#$#`Z$;nVhZJcQMs%cjbm>)egmW}>f3uYo#%2>vue3v zRsRz=HLI78u^*Rc_r+FguN^h`&}c&LkX%KBqn^d#yX+1UWmdSg*EV-y7ea*cg{(s_ zhM3Bcsu-4Mq2<}nU_0%rPFvN*uGq*YM$=}@HS=1^y*N9{sBN-(U zzUrh8>B)R#Mn^&&gwcet;EI(pwq5YMA|8Euf2L0HLt3{hMep~A~+Ag==iEin?LxgD9RWhmd%5k#AhjMl}|;& zUpS9QdJ@UFjiSCO|Ik`|;;)v^5-n1OoIG9!8Recp@-G7Z`uO*ti7O=jYYqN*64PMD zT#u?6{800OB?B7l7@;#wHc7`QjAIhQwW-T#Tq8RExsJz_#3b9(g2`+Xb(O}rxq2E8 z^Dq0EU&r`E*l)v|V~%O2HN|C}*Hh%c=7;n5>41!@^?=N!vu8$O_6bBU0>Qu5Jza!9e)UMgtFJnylD(4|HL93tb61HVe~U(oFDQP!klt)+BaW5P>S^N+>$NXvF^ZsKbO`V;DC302&f%`W!H z1!TDjJNYXw`ix@%0~+-B-x9HRV|EMr#OkCPXbnH~Keq#QEmz?`+zcqXzw+-D6e!^D zAfGAgCL%X6+``)Ve|xfA+7!5z2k2E&}@FRf2^mYv)PXk{^yXtS`^AJ4Y0 zzEnuhRocF4_p#pa1GcZ0*ddr;_i^WC)~0JdmFB~NWJdB-OVbIvb}!`|q8iYCnexD< zs6n>Ns~>06GO+MmuQ`DJxU`;~>G`AH48pz z7-_YL7?BdB{6G~(;x3;eMnWi4suYQ`)fQHWv;&2#v8cqx)P`~zxcaZTW>qEKTyOeR ziljWPqqVK#E^n$&-xw19YK4D#={M*(TZfDe-)-qy|3&7G4zRhR8zOy7Ypf(v#I*l; zK_3NEYS*7u-gz-3p+>oNT1dR>NH}hst^WB5=aY)G?>6fi*etx~voSDZ$hZ~r9rCAL z)yN^Yb)pLw4!`#AzQtu+B_`Zhb;KJY67cGyCge}+p*5cTWPDf!z7c<#8 z1ROGA!?T$lOT7qq%=;%#PANV>z)AH8_&BRzFabY!`Ecsh(s5M5i|>)>mJsInuL$_< zk6=T4KIOrEpEIKg*rOIO>n9QBjCKUPl`wP12{@%bFdM#S6&y>z;|Vxp24T)=4`AtO z!mRJQ?~fDCe-Uuz4+NYx9>6Qo2{>pG0l(=$n2QN`z*)k4r~!bJUK4Q91OhJoZ1L=? zdCLgc+>wAC?CJLaHMMMzQA^YtI}`jy9jQuYeIwyH?M`i{x}+jz{8*7E-leF%ejO{a zj1@_oOhvRUS&=RttOYM?>YQtzJ(d+I@L^Q882T(5x8fUCWP6xu(+`^szO2Ye528|f zP1EdLd+B0UR)G6a(!8mlZ?t4Mx_kbo4QjzSAGHRFe-HD3&TgKQDR^;tGDxyo_8Ee9d{LG3BWttcd9uD>9Q6xzdA*m>(J@FB@n7mK7;kL`AgcrI%sa?V2(w zFH|9|IrcxDisPoX=QuapUlg@$Iqp4t?QGI`B{?}IxeiR~xhknXx*}7pBjaJxLeQQ9E~L#~|IL8S^@7 zhY#);IC#YSBRY2J(6xiw^1IK7ppGMEFPJ-NO2>ZFBIZw-J8jm~ehX$znm=v!ta%-E zb7xMRG<(*NYe##@IjP2WC>J7^a*3mC7u+#se$x2p zD%11D>YUGX!L#L>lJhQ zmrV1AE5`KASk%is<%1g~b2As|!?rZ~M%wx7&ZSLE4}OgKm3!8q>rz?xqG{$H8LJGh zG~NyuLV|0T0{`E`U!Cim&@|*ieon`@sE$(u#0P9;g{b-LISTIY&PIrQ|DR5#f|3<@Ynp75co#&Ca&>K zdEO-3^~?0Fn#aGA&iBx!C{5QKn*GfkU1bJ|pycGcIiByhzx%nqkcD@j8}F~VQ@hVw z$-PfikK}oaY->mBFE)=alOAi+>%7u5*s<9=?vsHEfR2m-=-xU6y2*8{JnyUdkV`!{ z;{EOiL)8Zy#(pI;&h-Ls4)(p=-+p&ERF$c(XAE`@c5dmO9$LC8^I$VYrb%X8=;N{NUJ3DKnnme|n zOKJ#LTy{DrlgoRtAUQ^2dVD}@C(T%;wU>@{o=$D;<^C#!3+=mlw9(lf47%8#a4&!^ zq-AmqjN_fd>S-VR9+EaG`(U$lV#oWvd$|o~skwvh^VNA5LK+zBWxLv+aeoz>7GuuL z;FTjtlG}vi9@j~F{wPT%Ee+qnaTi+#Jh&Vvw=XR0Xv3}fAoldOxTenMlNZ=??pqud z^q2Q?UlVsu!Kpjcemcu_v05eH*p>?!-|W^$y&zQN`pH{s7^2UG}&d25&E*lVs(go zgM<-!077{cgq9X(W>gUR6NHu=bL9!WN|G7>2uJm(Mms>Lw6=v%;L4`X=H?bcTRtL_<+GkWabA5;4bL!{x`4sz{wU<73 z36|}bwK?t=)JwDbL#>m&e*af7b)C82C)%}j=dPT08m{JU&34IaVhW4UKj&J8+4;J2 z1FtznsJV@w(&wl2*)4W$t5yzyg9j;mwq$dk=+eqzTm%5}0dN!m!2n1FKq>%28o9+5 z`nGatG<}fb#EV2#L=gb403h*_txg4i%}w26wY@-MF);iA45a`l0YDJ|64p6pDU@!p z27drV1EBWjD(99y;9+u%dV7wo?z8nE(cCT8r9W!%DM&Q^TouvVN1GotIVNrSWm_Hh znPZl^6>0$hBLG(T07DosTnE5$kVpnVSZgpjq?Lp83t;F442ywb)|42v`HHQsbdzJ& z>-RunJgS)vLCpccV_?VuK;l(fUC0*4th^2Y_^6e`wy!}V3IHzwP&_3j?Rx+W0D#KJ zE!J}~NaUav8^A*u0A2#a_FP+C9sn?biq%d5hO@x185lZr(z=I)#i&bx0XyxpRGr*n zGbch0=K!!37`g#qAprnG>F17Fr2ue=KsEDGi^Bkz(n*`23jotK@URUed?Cr15Y&C} zumB{w14HQ47*2LS!1L6SFZb%DD< zVjy_f1QPEJRwRrDz)=8%Pm9r;0gwlPv=7{Di?#ru8%WIAs!}}xz;j?I`_5M9u+K58 z)o>_G3Pk-r05$?cyDr-NLDOT>e!c}B01ym-nFqncn8At@M*uLji`G4FI@JD_tz^sr z$E@4IZn4h}LG8y4R`{L*iABIr3JlM_x0Of^IcC)#3&T0q%3;8C0DQky6>+GGRx^1< zOxm^Ewz{K-9Bqz_1Hj2v4)fF==b_Z6(^nu&hvExBwDs0N}Ar71704tCu~WX^He#dNpW!M6c$2qeY> z;A@b`0KnS&;NhgB&8}H)v4!)UZ7S98z;KJ?aNkyEJ_$k1Mr-~+b^#tX07I*; z+Weqd(1!wB-MCYZHa7FzV%tA~B(I@0w*$Zr0E=hE=;I&Q>Kc6wg<0rkJNX%^SpX8J z05G?!R`UuNRsw_cEF8#UF!`#LL;O=Pc^d#<0z>fZn6wKIZ6${Ruy6?g{y;5$1wbhP z9s@%j0CxXitDAJr(WXu`6y_bY`W*n?q86U+FtIw$sF$O?n{A4n5zS%DggeR%iP0B@ zsI~b6QT%WEk)wvwn*w#HcfLY8Vl~oIfV8?f?sH3^0Qn zV5M;YQ(OSp#!!F_@&?#mfccIgZ0!N&(g0uys{!^4z|#H%Sbh}1A_@sxB*3z}5m5p& z5m=i`z?L`(*sc@UOv1JpVB>lLEVC)VBG&<|7+`5H2<#n!sXTyfJ;1iT53pw~02aIf zU?VOATgqgBjrIX=EdaKHz>FUNtgt1(xX%Dq3t(w40G7X)zC+itZ5pBqbzM-? zRn)kBf$hmIVB2>CU_XWf+kAkjbO7`90oeV|flWIY*lz9tSkiX@E1U+fmjq@aun_>u z-bX}70o$JaAUflFYWnFQ8rB71rwME#VfzY1&yNS##V-l$4zQh?0c?*5>@~nnEFs>$ z0a(#QfY~1*f!sw+O%VVK_63-_5x^1&ESCfl2HtiZ1=xLnrTqyo%|(DkOeV0S0CTtx zuxB#?7H|?^2krw~=}cgIM_?v^C45KNo&rp_07P$}23X{SikNj;-fGNd1BW6EC+~u! z;%;h7ELc+O41)dsrA2@WqNu&-WpPQZuPLvj=DgFCS6p-6DatGQ4|(~O@(P0NCu!(h z!6!Wz(zyZyox1sB4z4W`4icQV=}0x`j@Y|@K9E;?D6(DIBYf3=hQvrKAh@WzB0Afy zgz|zm)R^~*^3wi8xx7kw?F_hvDJ?+nglm~DI!W5$DJ?rLzX|TBh&ZB1x8ZNA_)?K$ zBLv%r)jCVUh^2FqCMIA@XkG|~9?zf_lCl=^qZSfv;X9sYZM|xFCd$jMRIY!b4J6ks z=6kE;@?$!XkHS}F#$?3KLKK8*tC2_#7AIwT??Uuw?;8A-yb zp2r#|q~<)YqT3T#@%d5CohwynvL$`6GiuS}!m-$Id&*d!*e* z_~ZWYHs>`LeCvj41SL<9b|=QVQd6bSDicJ&4$4{NFYCUB6iBlfN#Ir_kiSNzvi$~d z2?JL^R2HKi=K7de-NHYH%h_>Z3HEVgy3##beHBOz*N_PBIrwo& z^i)dB$PDh+itS(0CJee8K_`i!b2t?ij)(tT>dK!!f(7z-&m_72NDQ%?f!Ntnb{l`n zhD9QXB7#Vu#cdvfEoboeEu{uN#Ai9XtLqFC3|j-?FIRf1rZzQ(69l^|j@ggpHC(@V zl9=iq66pkjAh#eHZjaacS|6#c-Gvrf(8QS-2@l{v)tXjyfo0g8OvX?vcPtSmNMf*O>b0N4fR?{< z&R5JfSTSy)fN?|yq@m_^)AWR>E)aP*>uCj^Up>`ywV_9eg6+QU!C}r10ta3~Z3Vq7 z3hY5uh$g)(6X_*%FXA`o-oaIKt-6;ae?|?dC$%g6iE3lfy=;5i)(&9!u-7%*&?QrC z&+IxWDE-PjYth4e(PC0t?y0D#V7`AT$W3I^ep0!K1d0}Ou>YNt;+XeA@pXc4^O#PI zBS3bp5mlm9-pG(VS1^1_O!Wp&HIJyO#8k6gvfSytIz#IZ;d$C+Yw60rFPs-xR~6rJ zxqmrM?{^XKGxbU?DQv~nZIbK#STVR4oRmf4-^xzsAC zmJ$+_lrKMsNdh`z zj5!bf!Zkimng{@Sn!t2M>t(XZrVw1;nbxT6{I8soeY|xiOLEH+a-;032JLN>V{Q~+ zdRL8m5N=*?(<651TUTLMO{8N1V3cEjLm3s4isy=ijmKN)q#S>>M%)+zrENLIpPiE) z3BtqTb`M-WdAW$QlTznrsj$SYj4J#VNqJC3g#iYGYkUp@Ec)nX>u9U{@=jt6GZf%* zb}W)KIL*r>f>=)w*+VKIMDDf!AU!^po4!M0JVX#|)W!S!+B_>oukf9Fh=lJ;d5?bn zd@mAtck-BYKS4RWC{r~>5fc*GEIG(wB@{Suq57axu_W11LYb``_{SUh@_BuFQ~91$ zehqd)Go{VguWt%LSRi6a20TPOgRrif=h0RtoGKudFRDr92bTf6<(7yq36z|1>HEc! zkK5#9J-o!ew_`7Tj6`%5PMYHmJB(c3p1z)Vtw`&>BJE3So~WjJMXmIM$yeG=)i6sAI|P#)IUVRnq5zK9%img3-DCoQeNG?%rviL*_u|i8BpD=Dte1T z7%JRwD@Wt_vulzwSb6F{4)|E#tH0s)t^y*>LP5Xf1L{(1cN}2dl@T|zjM!9cBPP<3 zh`Q9S653vKx*L4dQRmflp!LPW;br(PwSqlsmpZzKL-3SWc(bsuYL|M7oZacVK*V>c zoAua@W$)$dJ^EHNVI#IF^tbxK1JD;6RvE?)Xz7vao_b;A2E!`tn4p#(mOFz&R&OE& zx=qd?hdF~RuA8r>7VZsv^<$xRLrgdimqBps&>Sh6{IhH;xE)3N_QW}?$KQaC1c9voBJmKE0V-Q)J7UjlpNw?JVa0SlwSFvsme zIm4=?d27NGobHE@-zJR?Un|3p;P-U%2ZKLU_6n9?u^{cmyjbe$*0Q zWpAkBbP_Zg`$7FU&q%!I@Mz)@>*<7br=w_7QWC4vC-}u2VQLRfCDFrh-d*rw_xOl> z_aez>05j4p4g9Gx@@tlUbS!?C+t}~6c27|ZI-5cNjUqz#q_O94neW>VKBTuf=(U21 zr`gWN6GNV~EhjD-31diA?>iXyr#_rkT`WJVCkVsE3J5eqZDX=Cz0?-iaf1gHq9Y_! zXKNu=Pc17{mmn$?8c)(ppq)mN3xt+B9GSc4jhTj7qPEQLU;WQdLlBTAQ#%UrVK&v6di?rprY?})pWC?=Pm~I6G4yWp+gCp z9qkc}4i^d5v!xUDgZ2PCeU!Nteh;^Q+=DCTVwcj5KN~*=mx98NozxnnWc5js?D!O* z#oK$6yM&Qlhu;8{mIgy;WAoU;&M@6@kL6Yi&F-?0T8bk$9fPy%()zOAI~&M2QA~6K zPt=WwhKrgHTd*}QbRuf$?)^RJMAQb5DcywY0I?T)-fE+PMjJ3PVYm{%_CAS>2_cP% z40rc)w$Wy|hh`Bbs|%9fEhgPB>`N6O?<<(AfGJ_^7ZsS&Eto3uTso2T@BTvX=OfDq@ka(3IhmI^(O1NtGQ+Vs^|wJ{WGKytsNq zvszwYS1QMzB^L7F^IE(E(+-VNtv5C%`(`kEXB^N(swxN5rR^#((d>iwBs>SxrHl&W zu3BDmmmwr7c4SJ2&GEC!WOq0`%MiM^)%Wx)!?09z521UV@JHc_4N*)-xDXOl;r@yo z!>YAOYo?h(jcvw$KC4AoAk4DR{<8s&{GR^O3@5mr_Z*MsL4kv9y3VIgityQQ%yIF7 zsJ?7j5I{v%NV;Z(5D%*PQfCw?ToJ=Qq$ifT?4Xt!|EMdyos<5#sTTX&{s)d;1xPn= zaw4^&k<$zIVY9{+*0#fIBptnWR@r89&cQ8vzC_=~2s?8aYDD_3cO$RH6vUxw~qag=GHfY zzkO;ADJ&_KBtkFb-H-@fnlMo)Z2GZz53$ZFXXPbsNfGgqS1GUX{A?hf^Wils@=Hq? z&m6%?A0QC~1`@iFz`_7OEp_PDFLusOV+uVs>>Q+`5X*iJG|6N^EZ)xFj_ zg=5I;UjhTi{-tFkk7+gL_4g{VBDBt^bjqbftW=zb*|=mWMiDbF9JJnb zQy^n;fKLcH+pmw=mgSl&&u%vOn4*;}=B2v5(vbo3mUD*0}Oiq@eS$UejWIK_qZ0gug{FPT#LPO;}ftJN&YRH zqB}xe1$|F+m_{OtBGWmDUt*h0%%L%he0Pm`13IJwwz9~E z!cX!8$P|ZqT5YJcw?+c-jQF1akVkO{3)5up`!kv*#Kd6IHg?v4dil~e?kY9}=Wolb z>p{`-q)SNRX!6X)MFb`cDuO1nLB((yIE)2=Fw#a4!J#&0lL333$6K!!8P1^N=-`5K zya25l6)MlsP!OSg*OY-Jm4gVER$&+AWQ5w}T{}^C(d*bhvsAQ7WZ|4`k%f7VQjBpOou`(7vF@w21^R3-6U4y*ygSON{ratha4wUJ- z;A_h4dFvTvMt#&a3Pdy)OeljR6aZ*E|0MkqYS{fH)lhnXYWNL)2{lw(dYARhza)o| zM~Y=;(Lrlxu)g^r%DYu_Ua^Vv%J?f1QH-?zr>5*LD~O5asNG{+>E1Pe7HeYhFTR&5 za`1aqGl9o?B9MK*DuFeD_vlkaZSq{zBgQ#lk$Qm10 z0t~T$$Xj^)Dd+2yp7t^22qKP5*#cpm;;&QA;V*pUi&n}Y=TJx| zdSr{JsA%r~xIYULGZ`m6K&E)^ztSZo3UQgNV@BO5a8c9TKX4FhfgmR6hg6=m(Qa!S z1r9Q6iM}kVf;8{5yywtoE%}!?DqeLir*LD<^onHF4f(u&j6B>+)!wcLy3&FKuM@H$ zwML})lbHnuegR-Pb<4~G2~6-S372OugCXlkA)M%sLj(A-sw#aFqz?6)}C%hvDnBa)7+p_*=emNp=5i z)fON!W!))*2C!n%@-Ckf)g)GwjFB(8NnG@2f-qPiMEV;z_@(Y2GMHi}Fva`<<(Xnz zWE{k+7Lzd>3Ntg*T*2hd+$YMYKZv8x>SrONi3>LyGVj3(I!P|HQMg+^wE(*(*vvc# zO$J9@wzg6DXP=}JX`Pici(DoTex5{bTn2B?SGCyffpqwA%c{$+C6!lQUbH$)-2y#a zQLe#%{4&!gyOuy<<1?etZGODv)yn4;oor+?ii${2laj-)in}0w@gn0gT`VJCLMnZW z5Rw68>@Tqf?=x1?tugY&RtQn>KJumfXvB#5?acJ>eB-+t@6Fm`W(r3J4%+TM5@$(XIkt&R)US%_@;h!If}^a zM&eH|qg&<|7BWZbu#AHh8J1jCADddk%YZ@9g9jwsf`)nYuvMA# zl(m~q;NkwDwr*i*Yee5Zv7wc?ca4uwuxMukR#ATaeRrLmz!u1!Clp=-5(e>=@~q?Y zVO}ptW*cd)VfrUj0N)yf!~M&r$+F3%ZVjQpU$~7OI3^zlD-I8+htkQ8&d}b9_8&}R z^j7UMEUv)}*P!Otq}lsUH@yBN+Q*2tO1M?PGN-7Nhq*{wRXi5bjvGTpWyl9ew4mi4 zpF@irc>YYswTPi@RJUDYM*Z1KRofO<_l1NsYXItap$~g}TxpLFEl4i^LqDH3_h4CZ zJViG%vByU<+yNoakY}pVn;u9v1YiM~v+pohf(qJYV?Hm)@2m~UR+>v@5o$5f3_I@_ zgclsze`#6EG=J)miM1Fbt-p^S(Y%|Eo2;f$#kN_t2;Dl{)8cKe_eP_8hSdE`pu0%k zKh9<7?-KgyRu5o~*$x@E=)6|RVLV4ai`FQCRK(DXAZq z;`C=l@BDPvPJGYKC+25cnJ2jJQt1INNR_26n2Pn-cf{u#^*vduw!e|qWk_F~{M zk^M>=qbR5G`qA1S{*pyh0%`m!k0i}X7gb~T_eFA$3C```zR5{0Av=}EOp9_*Kx zo%oVX)Z`x{;k#D8^LAL5E793cK{akIP$vxhvt}z#=PR;@3e(l8%*wfa8?9EJ&NILu z_~<6IK@UA$b=K8pcw2u?>U|1pjw(k|*X;`EsoJ9Zo*k2PCxJ4Xz3fI;Z3tU5a%!z6 z86PI91x$P?p;rIr*|9mf3fg&79w`%b_ds}>S2V)NV~xq$jI<_U z6=@C6K>xFh0S3W01&q<2j4}Tgm8#xvQuTn(YGER}K|`B595Y46_-9N*x&zn0tf~t2 z|0b8J!p09qC8 zs8F|mP$7xWSDvSdzqTX;u?p#(*AUhBi0VdukPu^4A&HMS8q7bHdZi#IkwO6BdK#1k zwiUIpEGM3%t!JaPU+_!3twrMFNvKSO%1m0o!pIgC%DKGhdkLvGbswN#<6hF{omgvK zA;d?JScb^O*7j`P+zP>r0?c_-^b~pW4D*lH)ASrTGn_0P z>-OWVyG;tp&wIpnET(QEWrCUZ#jV=hX!w-BD~Ft#hfN^AttNk) zS)V4I12+ARNhiNn8TzCzzQ<7*M;XCJp4O%0b&12iL#F3KB(iZ7#}Nwk8%%|cA+Z!1 zsq#Mip4By%*P??|SF=#pCi=)a=MZdoo9B^g zb@_QbD0NT%MGSP`&1D*Ur-fLdKjk^E)`%!(&xy(wR8^rijl2n`nWzTw!9lxs6)Kb*ago|Rid0D3 zM5IE=5yjagR3H>ZGLMWQX(zD^a+P8gN{+ZisKN=A4%8||GEZ&V_h|V?;Eh7JpkHI? zHg6AWt#m?6KF<<=HCx*=k8e)~L8EaBWcg_5k5T;U9J)4T8 zW}I0-GB7b2AhS}?D`cB5p(-X+d6X#*dM*9&QI@2!#l%3rrYPUKleJ0w>}eDkA+Ut% z$|_83-ATs^h&XBkY9OnLy^w{dJN$!*J?Yn{m`p4~FtO`?4Yqr?xn3NEH=S88(qMNj z3~kt6NOR(XiupsqjSdy_hcPzZ5WKyg?q84r-z)xV9wY0A2JFWB62CH%rqxL(>~SCGsq;75_8S zNxF!W-YB#8v+v~~{hX7&p`YCxq#G?i+c}#h&I5>4k;-|bjdRIk;VC}Njz;enLM}-y zt!lvZ0~y2k4Az|djOEs;_3Sd$v7+t30Mu0gj#=TYGJXfOz8OBp8g$V#`G^{NNEyT# zzLLDQ=#9Xj{vU$AjDkDLv1|{^$j|*%^8zg0+m*MKySKQfZ0|xTX;k(HeE0TSMfa9^ z{R_0RycxzW{%7Dw+0AgmOmKhlUKBDqA(3~)*j?xoQ$J;e9^t#C(7u3ZO>d#2E3VSf zjh;Fbka3LRP@dtWijK~>;58|H8GcOiP#^dJXSb$J8Jv+lo_#|b}@}m$1eBrm4Mvd%{L>^m%Ywt#I$ zrhhSC-56_ihxK3!`fsVaCUJFv@Db5B4iVL=|1(xy3uBcsSan%Owz#@Em)qplwFJyT zw#L~&dN!Gz6vkgJpGqU>jQwWoRLT75=dAA!p`B?$RQ37xm97Nd3p-RS#{&8Ix1k5y z9kB+P<#}#212{PFUB$#y_B`b&GE`LCz@Z}kWzV<2&*=9O>v#H5F=L{*1ny4-2A<P-hLiCUL-G0+(VRv?JF|<|H;=~7Z1I45=_T?*vPQtCyvY0zCKEV)V=Tam za34pPBJ1{vWehZq8eEyfyQ~}j)`<6;DiQC(-&n}mTu_oAGOfANOf3_$w&yjAApCfe233USbyty1=9EGbV0 zE6jpeXt+I%DNuK$+&CDhq4z=?r-fp*?VjA8g5MMtb1JV{ zZ4VA*ZY0?xqZTDFA=-244SVlT?ewuwYZeD9^yiE_|Do0|db2G?IaF(n@bGv=fAecL z)!ImMmd_{c%Gm$Pss?BHDj|r4jz?VAGwXJelLJDL8ocOzl;l)E8D_B>yy*SOT>2QO z6sf_0{8+MVKYO@csJROe@?!O?#Zh|ZsB ztC<9nR!tzU14$r(e8$05R?)}(olh#sm5Ak#KXf0gi|~l#pz}XTav&d9IsBvZPoZ&5 zxs(t8SURbDqsLsbc@wOGu32mJTd3xWK$dn=~-)t;7DLt_>)bjC)F%dc zcbmkyh0>P1Nf~wM-!_x<>|fO+4gR$_s`UZsyRHz)8P-0Nc`#%g;WI=|xNYovv@GVI zev!Ez0q~{>&>lzjIQx=5#dw>laNrl%A82 zb<$=E+mMT<0cRy6-^meEsW%Ka(?9xM5%ecUrTnSqkS{irqEnb~d0sCrUA1UFO#{_> zg{@Sb+Iq@T4Df5^{6y&rQHWuB@rM%vy3z=itEZ?~e^}Sh>kjxZw49Ik#DqoF)TFsI zz7Gzd2kbi6Ex`%B#kErPA)Ip~g+aw3-u%?XWD_I7s<3xJZ>$Rc;z@t%I}h)zP$PCX z{IPZ8w;}K+s(dPiCi5Cux|jJ}%+ENI+_yttEZ7Lc`R?<-1WaHDB=T=qFNL$qg37tB z{}tc$Bz5C#h%u_U7rndGw__I(20w-&+lw%q6EH*ussk(S8vO_?TK0e*zI$s=f)Kiv z)@yWarh^BNOY2D+**6LljbP&B`+fmdj_7Ac0VK}PF9nXL+Z54;R{s>L06W?sFS3%? zGx7=Fu}!Ngr!cx9q-f-^rd+`?RR$pG!*X)@4CWo# zB7Iz=2hjGXugJ#Vq=8Qd%$d`b*1Y26E^Q>pz+?|6{!sY>oeG#_O3O&~+|4n-S z5S>$W>N?9j){iUvFbmHOIJEV3&*Um1bdTCh1X>xbRVz!d)sVfQYSVzj=*wYWXM(i6 zS{qB(Nqa6Jnu7Mqnmi+h6G#xS_=*ufb4uF&@2L9Qsg@Z{QOihU5!wi+;N4n4F}8C7 z-SPW7PyEjHN!50600`bzT`g(oFio*j+hKdSsg@vsMU#ErQVWz?n~isJe)UXwxDH_W zR>++{QWoS@M^w9)#S=k3j+R-JZ!NXM*t7Hr1fgl$Pb9Ovo7Q&b%zl1!)@HXmehUHPN$cKWyHIraPaq@dW1UC8a>VZ~tNN(9iWSk`ZLGpU=kfwK9ks%aXm>uYHs6Fa2(sIBuL@aRmB zNY))9EzCT=Z&%*{0=z1R1M4)B?G*3bX`eLJfE8ak} zxC}je8t;qi8PK^L&Oq6=pNDW4CKcRvZ1$(iuQSn>~7ZODUgSZ z;#GK@*V3S_8dKObyph@3M=b)4n+#{sqx`RmKI$NBBzAkxT)85XEU;gcI=7nF)=M~EV z;xe_)L0e|Dpku!=Uo;eHe)Apk$zCJLMQ0ASXuisH<{rbBA&Wd;wgTw+QJjr!xYkTnJQJ=1Y`9V=ZQC=hv?tq1%>-FZX+>nhma{I zq;aB1!TAvlNr)cK364^Ze^)BXkExr~7D7DlHW_*rQit6kFm$cR;yj0jkZ~5!HqFS^ z6~>v>s1%w}#XNG|*q)Jjq#5Q)no*;9=v>RtF(kD16)R}T`w=7o+8U~ST}eR$zPM>o zPz(ax70qDCIo~nW@K7a?ovF|q+-Dr#rF8mux~RF=ed2Q;I3>rB$bu}Q#fg$qZYLbr z$CVtIac3?yqnVn~bEKsiRd#gB{)xzec{2xwk?T?o-VeD@*-5<^N~=5mWh63JtgEi4Boc2|>kd_xtfHHq2)BbOQ1qJW0)1Dm3GN=s@bvg$u7@A@c{$CJ9hXUAfHiq$Tgd|9cDB`I01JIDeA znOmw^i1Z-cR};Zb&r?@eZaKxj(y>M&IFoV zqz}`mx!DX&en9pgMp@yG8>(AK z{!0>B;RPgdTPtbfe{CVjC)fs;JQA&TY84AfJ>(rg8A&vyTfOoKrjJ=WTxt54?itmd zzn9^j-i3c_(d|x%i^|tMRr9vXXlX1aNQ#Xvw>3IU-UiznyQJk~ewY1Om(qOP`QTCz z(g7HSf!f32$qhh7nPt%|Yev8i`0kmDBrZ)fb&C3BDL%=XB0(XVI>lz3s5W&GKTi9Bc+fgTh<|GDSOJawSj)M-G+Kj0PkE5;(X{comD1s^P< z=ITyu^F(M+S54xr8P%pv4|&k81X^6ltJFAkiv08YYE!4226VfUd=3erppp>&`>E3( z%g=!$=}si_r4~ySCIpv0SxF0WkrYHQZpE;9BfI~!muRk9EX-9uI7CyLN^{k`jx4hE z62YM8GC|;N*j#mSwYjQRJXc-J=Bjd99~TI5iy2!YTX)|EmgFB!`HaMyg7}E@opU?+z|2Z1j&AT?VOF0OZ5?w5`nFF=is)T_?I73T(K~3?6+QX-+h4{j% zgqVJaFN|kx7O>w#6f_u}8w*b3Xv(b&t{6dD z0jeBvyf_lRHM$Kch-8t)E5m{3IpKHZh+{)W!a&@_(PDe0h~vd&@YB(WgvN6bULZZQ zwvLpp4%^dE6y8kci7{=|3dC@4*m!5U{%P*kv2^`YADs(2wwUd!5Ro*j%8ZlFxEQH3 zg^swJvDJG#0)HBxw6V?&?7i%lIUjV-UM}=nO#=6h0&(TCs_oZg9tqL#J+b|RsMnfb za)~|#^M$O-I(df|zM*Oqq8fO`-7nGD8(sg!r=zj6D^)W==Q^@m0);^9KH*wQyMv+E zA+B}tuY0i0^{sb;5P_5{C+%elb+IZ#%GJb>u7Ie&5HYmWc?CYnCL&Q@#H-_2)RJ6+ zT#h!A;&mCpq90!hp$21#qgt*5SZ_!}6t6WGJ7WG)y6Nwd}N&}wv)&)oCMS{&6BS&fZ zZzR7WL9qOwW6W-9)dY5{As?z#ALzs?N}>$;Xh74kTj`$B=cwQ%NL~Sq%AT3>w`ML(bi=6-m3# zvo+u-?lUCHAqdNf7X{?QjQeP=tSp*>6B9lO-iU_r20zQ8%$aU28)FYYK*uR0=PH)# zkJ>JvMZ=NK?;7VAG%{&Dhwieu8F{!Qr_~pR#v$`@`^kD^V?EA|_!JnL9Q-b};>1+a z^lsnNR5!a8bltMAjjeJeO`k<}%1)Y=28h=3_8+HVvx%06vula&I@y1lH5PTz@{?GG zM_?KDQC-l2WJ9`iCCLUOiH;W}D=6gXYiofgZW9t^AH3_WvZ1hGt`{>TtZQIRHB*J< z_Fx`^az>spC0w86zqXxln^#OZPE5>)B+wPSSL@TW-tSUu| zI{4}L^x7}hY}HpIWohc6Yzfcwy&**QwY1g?w~F<mEQNSN&YSr&-*>JLq@hThyXY|qW$M`fQQkSkvneM{t`>-6+cY&wk zT%Y6#5}CJ%*~KnjvNJ4-WHkEdc6V@Tp!t6}co^tFJs;2Y|RE zNR+oMEz32eWu+Q?wctsAvS-_9?xK)90zo>4Ft-^ZG#?v&$}`UbFw#>;#;On!?z)ilezPYl+=! zWCVJ^nP4r@gg4Z6!IQ;(OM0WW#P}>E{<&BSVU}j;Tb2ub3%Q3t*gw_+|2$gFkH>c% zK|(h(4}hh@|Aikf8BFFCcNU5AwP0hyAaF_ZLln_h=ED+T*#jR!TdNG89*%^5L{spo z+<^66b|i~m|ENBE!f+&U9}Pz?h=(I_-y9}CoIrxj7M_>qSD_L|HKbM*twK2+^XUxm^IyNE_zFJ{xV1^x+;R5`7#$|McBk6?b~tgLw5f{g&~(3lkD5U;8)o;ObUe*XSRYWhLQ_O{h9*ui8+*|W$Bo`P zw-(N$e~?ET9&!EGBW+WMrRpF<#-aq7vl5q!J#SbfxrlCfn_rQ{y)-j2yKzcmesfTg zSti<5UVGlTzF3_uH@2^06t(AlLybUdeB~h@SbN|8xxZ6`D~MTRdr|M}eTSqTNNbYv z-ngJR;a_^+#O@Qx9%!RMbcXQmy3l!qUEhN5GV&J6;nT7>)BnBq4XUB{&FD^17$psB zqKm82ioAY?<~`B+-SC9zI{9Q*Ou$wh_CsGbB)m(-Ins84ZQf>LjDzWWHXgdKGE>N$ z_aljmM)l^@Dl_GE$B%Yo8D^Nn|Hoi7p$2o%_n@1Ulutn? zI(4t5D-xJ6Uq45~=xFyH7?;@0&Bh?KowkkhM(2ZhvPiQmU+G{HD(AhZ*$RM`OM5e= zPeA+n{{H=Z`UIcn+;h%7>)w0rvZEEOkvpea`lwqU_J07U zqD+N#f@SMN^)3>sY_Xs}b-&?ldPTv^r3y0QdqLTU8+FG!Ec9X>n&PG3Bidh09b;iB zhhqs{A6Pgqjw$v**!Za3O=naaW_) z4Q{IEh=tY1D+jNa5}h2Y$4 z@uO;edNi0Q8o5GDChnzCCk!cF1Z?R!%*uf2C#(mDKi;BoKo}KH zPKWd#mOX5Ub;#FO3byk-|6((JCV9F9@d$7!!^3=NxO(^3kZ7*!wTE3P%-&d!f?XZ4 z964jMa_I;4FvR6K~OnY z9al`xSsmi8I!dnXLJd}Yus{a-Dz+HWF=V>MPE<#m52AnPmOqNd-8J0?3Px}4eHk7~ zyu@pE)N+`CX(yVjfhdxi*E=RgyX|(gMN_1x*5)+{c185MxKo2ZA?ndfWgAZQ@mATU zEBd1zKH?C^XIi{E=%KQWqTl6B48wW59etE7zas~T*VU#u^4k+@qXou~e;e(;rrMjF z-a+qx7GT@V6>rYbimXo~+&%Ro5EgX%sf0SQi}KluZ1H`BzRAgz_VsJ_UnT!uK02^= z=^%=xVe@8kO5zTx3|E|qJ^3}P)`CGp7>ZhY zFmago^_TXhvaa*u*eK9^h+h9aj*YQ|g7%{~eHX_CzV4{Z$Zo{B?7B1Sp^rRnYdK)g z(AV=;OW_DEa@LuB8~Fh-Z;pR0>bxn2j9%pXX`YC?;6~f4@~8Sz>r;8c7Is8S=V!Py zl;mk_-;IVI#`J5;{fE!B+k8Y@)0me{$k^mGj1#(tQpE1$A)zPK7J5t?V?Fi>Cv=IF zgl;&%3EfF)5n15V@gy?$&6_!)hg*cMxHf|Xm#`>KDD>EFXHWdLT_E(prxOT+8hLh%dI>HVGsJ}o5fX?b9B2KS40ifdOm*k?SlGz}2^cn%5TX{acL8UUL zFRg{Fs6R%K$69or*;(W+-tVLAq7)QXs$xRXw;z)fqM|jt!QTduGqamFEX*uNuiA2w zKx4g)&j0L3NVo`g%IB(nLoDEma~r<*OTK1#u9@K)hi^O_%pE;C&9_5EzB^5OG!>6< z$Z}bpuRg9`lXy?ImG-7=(>>2~=X+;4H->U5QG92P_JpR3oNDX(^cib3&6>={|WnxH$RCYof=9l8U z>063<-sSJdG?p<*V=>9X_EUDY>4;9-s}b24qv6>3WQP+SkiK*7=&>Cfhh~d5p#}#H z^BZ2BlRGLt++FCb-fPrW7eUBW@kG4MsX zF@oEav-~)*rbqhHNCuW8{?Y7TFlC1-m~s$iM=Y6a#S1a5-79bS+}zBFyeJJ3Q22v0 zpBDw&+)lga?_L3DVAp>zQ)?s23cx{M{Pw~Mu1W{0z&QkFzQr+qN6$g=5Vi%w(@Vgh z@4W3I>)&x(6Du~eTJKvjkjnCxEzS)}cZUk-jHP0@a=X`E{S>byEtfRzL|RsN>>)UR z>D51^EX|;uf*xj-%g$wqk!Fj~eerh!ru2q~7s)LX8|CqSgt0J>hr*VSG8fuR{pt>B zdB1#z&H-Cj9#33K*0x?l+j44Hv|Rs0H!3cbibeV#4qG2`743h$oG*@KI@Ziju@9va z8?u#4LXF}N`5i^0PpXQJq9Hu)frd~e7Qr;LO1Hu^c^k^s8b}%9&PT40GT46CXooh$ zYKO0hRX%IfNtsQZUdQ@5yxHd1Bse6B2=EF4VnvHXTPoMts$6HToWWr)U(W0Yvij2L zB&3{xnSy~-XJroclX3u#S>@y=29ZY;hDsY{YByipG#DuXx zq}bwtH5qISh+R7El}kwK--xOO4%OuE^>d_9v%J8mF+R^ z%I786P&%7v9tfCKD?BCWZN^jO4;GJOe#Eh82HzDhOTeO!a^tveRm91lVg%8p8BKd3 zR;iB{f0u}pESd&hafoow0cFj1iOvqYFnQ2rx1kyRHrQ><;W-(gkj4@x#>DxxIJuzD zW1JjSP2gftFB18bwdDfS@>QN8DVgfu;x%f|QjAmHX~<6%@k1h`hk=EecTZuV2%9Tu zsCEyhq3Id9JlYbD(mdo96t+aNKg?lECuf7@{S9T97}V<6K+=37gHk$&m``c4fb;+N zEdGB|$yKb%X#ta2O^GKbhE)h7(j=}9Im4cCfr5*4laxhNvz%d%*<16*^#cPWbk86= z7_T8te0$vuuv3)9OeVwrq_ml6$8FXP;jm6I%WGJbASh*oX=eSNGr?@@8$Z$8K+e;W zOaeJi<;ofAKj2+4I#85kLkbDMTL!@W@;$|5eXdiEH?RszM7{P!uaKkoM(wbaP>ry} z73abde<#iqFS`Iz(^dIl^cuWG6!13mB~vpSb8-vmV$y=CV6oYRkSJ*09G=l{Lk9ue zNi*DSaU5@IGu6>74t;!uD$!C`{9PF0oN--@pusB+EB=|BuFq|(kuuNDa2x((l~p8Z zwc?r3N5g1@@u~pe*sC|uSqg;3zd)!pfwRPn@cjxNVL^k0=2F`GRWX`fA6@CNi(<+9 zJrPSP&_c}cXD5m0o^&R6x1Qdfot!Wc^b~&?u^xq=247f6^~h*yl~SFrWOM8~#Xr0f z`DoBe+V1;?m4Zr`!qREJDA2D8mGCg9K@yVlXf{Yutr;r_4XvcT(f5P!DUbNyk|R|f zZ11$Ii-~taKGEG*J|Cl`_#)Xj^b=D=SpDK)H8aXqzr1EQ~&#}I#C(8}S8A%znW;C`aGpK!W zY}_8%YV*v;?Ex-KBUTf}o~a4bNKUO2Fqv$X_g)_E_{m?Qp%&?#beCq6=^7-dg21{} znSqqRE04xE1zx&5+!OM;7!THIm5IMUBK(#Eo*0^SC6LCgs`=%TICjoQI zi>#-fLp`hv_lYM0@ zYhku8UBkNh)Hf`=cwIiV^}G73G=N%^Ps?M3H`o;&H$52bc7kPiMn`h2u(>kcZ$6Sw zCsvA=x$vy8T~Qu8JI&DQznV#c_%=(U`6vIW5p_wd(uaGm{h&oc6}{YUb7^|<2?)!9rlL{R}vMp z(9>oOms8RawARf zrN8^BIezi&r>w7Z%e;3Y5dOzIr-!3?JwCeSPMHXeQg1X$Vc33rCb2VJiPm7c(uAS4 zdC(Ba4H^nR%oT^dgVoq2O&;pj(ad{^zPE7-Qe)k>^%m*JWK1?L9h-Z|lDpKqh%Ybv zOj9c|?K@h_NNkD1C6%hsv#NJ&^rrXW6o{F&q*W$CtWt%5LOr2wn26>e^-%k zb&=0cx*tJ3`H~jvKCH}is8@F1qt*K@!oSr`n?j1>D-cnc0OUDHtW@6qov96d~w-d8{C)$E5HDZ>0*0!#g(|^((LrrAA8rQCbYDU|RxHUF_z zh!`+b`rFB7KccZ6Uz}=#!9rxGkN-615e|$vU^ytHe3d^*6(?sznRSZX*H5=2&;hf$)b8=Byk!J;$DfD8 zsU$nxYOeVceZ73Bl#U@W3h$q^S<98$H=Hj8?46_tx1OUeSnh@#Fk0H4WVNqNqTosI&A?B&?i)^+n@2Ueew{p6?es)T7hzFXFY{+ zm*}lOTTCl$pS%<=<27l|1*Ub%D;h6fW%jv^K*>)@xSuwnk$si?M75i@IlCPm=7TIS zWD1@vIKkrN7%9a7zYN*oT5_4aHV+}=mE!J&8R(K;bE5FMB(&Lb45PTkA}oBSroYct zu(QP?OPcgR`bfoXSq?cXST~=yHt#b+_PEikT7I1~S&I*^3TCDJN*NDB$W#cKP7+B+ z@#p{_Db9@M4#|jM2mOzcdKWK6!-Pl~U+*-`uGVoLCNFjyb$Q?Wn8Y(@0Sr67LsUpj zcOzDL4{qI6>5?Sx!RapZ4iyS)mzX~4j4 z;w?Z?Ye;caKGvhU79v^}^va*%B291MH0>okxaBV|w&yI&PmOs|(zK{v2MVxnmiK^( zQujO;H)Oocqr05V<1o7G7ra-v$D{m9n=}wt{NsrQBy4o2`P&BPNmwg^9i?Da0^e^5 z=w3-{Uh{&&`QZgWVk?%v^Sp?xDYtz4UtV;vasFl%jM21Ytvm)dTyGCV41v&=PH=>E zwV-8kjY5hA4&=YyCWDyju##trA5f)FF_pJ_YQ!belyW*4U`dHHiyd?@fLoRUl^3y*eQNr20hP4N@-q|`b&WD=QJLhe zKjjw?g*X=#-06~>uF9@#9}FTsHf_YUx3?5@tIkKq7OQ}&zC<)>6f%=)#S7!e6n5pL z{dnVP10ru1q`hCq}y8}ilzQsEu)iC}0u zQ#y+p9b@pf0{!GTKhP3Je(Cd@k1K1k$h+ltR< zMQ0kn$XEZQKA7J%*QT5HsH&RJ@Fqt|rzu|)oo99AmX|DUCYeD8rn+xiM(u@&9UdJo4{Ic6QBe;n|8Pk2Q=tDQbudx_r%b1o->9`GT$r>bb6;6NgE{a#`;ts_$# z3FjNT8)#{fP5%?-z<}k0+MiT_8*g3_xvJ=M4n$Ij7zb(}1DfEch=U zPqL@7YvT0l%g~46vMWnMl`PuHS+v_HwEVt$Kd|6(rOiX$sLd`1t#<2F`DrP?mhM!9^3V9G z8hL$?c#Qnvl1H#kK9)9akQDlXfTTW1pB(xS;~n%1dnBGkHz39OJgtE}=F_B2W@zXv zbHA`L>yii$(|XJf)8fV6zFCW}^B0&c6Mcr}c_i{er{=;#uH2gyJ_p+9j=TAQcGU5$ zk8v{8x2=21*?~w6O<3x86EwI`l-@U;(@(}I2f7SAkTZ8or=oqdeAE}GvOi`Wct?Ur^z-*=?o#iU4vfmreFWs4}034n7;jlK+eUNwAX)YTi>9O=(M`DYT8~@^Uw+?Ks>vh%BG?W+$Jhq%>N@={z4G=wtP18b=5rWNK zckgk>NYbd)b6C1PHg|nMo4bzp@fMtfcQRZ0j5KMGJD`JLJ!?AMv@);AKvNO_;&^i! zU#RPNgS|`1@iHSu(h35a3FbOZhPOF1P~C<)PS%G8P8y+)2SOLER7y>#@B);P-bFtd zCoZD&M%(#%j49gsQoKtK7p9f;=JF%k)hR#PJ7-zEYaS*Bc*oIRHZ};7Kf60S}%}KlTwxgMJt&(=PM(S6QcA}DGwY3xF zZC8KIG@*2JFRShVSoT%9^;_*bQAsZ>0!|y(pwKJVxfNtCa^R8|`NSQP7u4fj^SL0M zcBol#y>lhld1xizL(S4B>$>|=?OA@_I#cwfbRCzUw@%mn*z5p?h_5_)V$G0wX_+}q zUB1(1Y~dL>og{7R)l7@|rM0StH6ucA^{R{x1p>V@+yt zcFM?FA zMT2cbqHz-4!-MjK3;S309w#lUTo8HMvDM0ZA3NV{UA?JGL0s_m?xX>G!yBcELL%1xU$ zbez=b$+>B#MS<7f``9@;xZbfY1@5-T_D{}e`)%?;`OVvZ7O!>vihy;i3HTrZ=ZqrY z(9^&i81*SIXL}N6?Ok9FJ4?W&>j0eOTOVu~rxWnpv%hC{(f>feMZF04llBSPoXOco ziT@wo1^=!uvKq+`%I~qiU$$wCzuPD!;3POth581{r6j279(KT4toNsCddR8DSz#pD z%m#WwHJ24BE}$ZMcUEMuClxWJQW5DGR-_`FisZe^iu}fkU=adIwGS)ukQJ#+V^mhK zBD*4}NO@aU#K)N!GyOzG#A8^IVlSd1`jS!kffcEoOhs&Zup&F0gWD;=e|G{iY+zuI z8Cb?t#!O!Z_Jmypdy;BtcXhkCZ#FOh=KW+)NXm&)2eS6pC2|LX&9#y zwuF%k+ffE)_cN2m4-8wGH(`tZHepF(-r9r+2Ik(JuoeBnz^*f}mputg_X8t(g<&p< zBFwJySdp8oh{p{oQt-F5v4eD6(n|)mB$_dh#K3%75a!Xh7+7-|Y4C*)VK$ymAPdQ8 zNY-*YR&^Z4Q2aXso5;Wh_a=j{yqXZC&s$smJ;U~U%V2NFa9|(8fQ`Il14_k_R#&|$ z=Bw@igZdA`Al@ij*-$!8+L!rS^{2#-%@u~>Kr71~&df0@H3F zex%npY}*N2ULRKEKdeZ~Fe)NWVMPXpQjy{VN%hQ{9*8aiY)nVzZq zCbN~p7Po<0+NEykcxp@6j$1t(&@Q|(^q9WY=bNp4?r&9h%%bTs7d!uFj!PObq>nvB zqRqhNHlQedxI>UHy}V}>i2~p6HsX`XF`smsGsk;+bT|L#=%BFJux^8AO$wXY%|GVj zZkn)3Gd}6&A2Os{;E)eL_^_LI*Ir#c%zp=csOk3MtT{20CU@&UZD#D`m}yZ{`_GA* z6gzEJ)F<7-Vm_WYX;#$SiL<+VcAX^r7ijOyYW=jLVNu`5#ciuZ9-^R1iReBW(Wd^_ z?p%*=u|L)DFy_&)q%r-X=NmG{5oOy?Q=tWTTRg9_}dd*l8Eh?P=sUqy39p)t7cr8o*)t(X!8Wh9B21 z?5aGX%?Z!#tCWX(jP^IRa*%cafT3PSZ;|Lk+kk7w10Q~V^1MXk(Y4Y2p{-)l`n>2T z(#>9`nfFKf!aI+NUVhj}N@V*m&e4P9co`Nm;9MI@f3FMRU2 zyNHpvlw7tdZL%6B;u{{%j~ zoP5koqD{=VzAtP!60R#2{#o9QBjL2HY^NgLOd|Mpt2mxSiR;r!JDA}bA zSBt}|ijKapGuI+B=;56KMI4E)ZDYFm1(<8mZpFf9jj+ahW@+rKr}Mvky6DkUW49ND zvtAT^`{K-_7dYRrWY#~W-~Lnf=pR$Jm$zrVtQhuNg2Zb{QThr^c8GSKE`LqE!{R1~ znv}LQT-j!PD7w>L94pIShvyA7AAjPwKzDJ|vSM-Q`6jwnhJo3l zDv_K{5`wA>f;w|?%Oz|HPk3Hy<>F?bRD;fOT?k_rT`th1E7-!$>L<$6W*`v ztv(y-|G*}7mqWNh6FtIlp)P-CVyQTEV1we8hW;~j4-qDowrgh>IApM6lMNXvc`r|Y zO>}soN9A!zSm|f>iCf-vlC*x0MZZ>n0-0^N_ z=P(l(e#ua|f9L71jsZXg0PX>xaVsZDzdr5k27Wr&F}(@^_d(+7q(oieNs0f8H2cI5 z7bi&@zjk)1af2OKzsyjnT)q4?K@o|D)kPAU4_1H&Hz&!qe(mgnmxF}!I+eS(7f1l0 zq6j2bfJ8fx7z`5YK%xf#rUS#(2$1**Bvye$dyp6g5?_IY?>dz#lSoVkiBll41|&Ly z#0ZesHQ3Q{958$jfM@_zoC1k8Akh^h#)HHmkcb7qeIhX#Bu-2GGrzR2m)H#e6WiIH z`Vko7)~V$GfXTsA5)He~Nc_Xr+2O8lp7u+KO3 zbXsB))y}TqcZj+aB=&aq*F;QBG@Lmn2{UX$EqVjP+;(>QvcZl?*MZ?kcYpQVsfoIZ za}t}M0Fc-lx&T1IBVedRHE#pp900PLqXeTFzXE&zr*aZ?}LE<2)84G1Sn4V}TyDag~0|0g?O8R{ZT}*%^e*{3Bx4-5k0CZO*{`R{e z$*~aifp&J{X#ltkfNww|WCm(+MPl>bZu`V=0Bi$5;0*BaGkDksfaw4*U6F(p1A}oK zc=#SX%m)uofZ;A`Q4D}xKTB+;eQRH@-b6U>k1)AKAn^Gv=s$z5E5J#h>8ecVPG#7;=Ci8~{bvCI0JY*fj=^Z-K;j>e_!}7h0*Qyfa2*(oHzYQh0Emf)Bws;U zFHy~x!0-<+yqJ}!OVN7xs|R`@w7M%1I-1Dg;0>g(F68bK!}WQRu-rUtq5*j^e`O57 z+c`d+P zPlM>j$q>jD0-Fi2)!zfGXgt7{b_KSWFTvX{1Xc+!%}ao(wi3~cM06Sv^(Lb0iRf7( zI)#XK2e$8t=rtlbi-`6jqF)iw^F(wi5hbt(MD!^UT|`8EiRfk`N??@$)4T+js&z9& z=_U;jOH%>XuOGnnZ2`8~7eKTq5@1t$09#K2YYDJZUjXd*01$Qi8eknR0W5185hbwc z0Bh2Vz%~FZYbda-+zw?SEuUz305D}Wz~pfNOY$S4*#NT*C2ZdSoAYIWrB4UZ!QQ~O z17PVR0hYQGU~NYNEMzCZ_7d1_fT3;;+wuu0%w-3BI{sL^vX96s^Cj`>DIl$Hu(bL4+6o7f{hujVkn2EsV5||&r zn&bfNR4Kr2&jeWFK@c7DD~KNX7+@xVxqkw%^uqvixDK$%vrvU6-vi9%8WD{`6^8Z# zST+&846vf#iRfG+dYFh3m)6BBDPM(Hlf`77-p6A_(FyuAl7+f5+4?;Hg3>2Cll`~=u40H&%YutkK;k9f-hSmTSp z=6VlA4Y2?Vc^}w1w*+q)02??MVEw)Z(ZovtD+idN3SjCJ1U3a=tM>yecpMSkL)d;M zE&L9!ia7vN_XgNOibxj-Y&yV}eh088R{^%-KOp*GE{Mhvn2Ct~0kG5sGN&bBM*)_9 z9Ri7b1Z+p<0qi!wRDTlKD*{Uaw#kLyZRJhyHtn$%P2_xlP3Qyb^=Jj6N(EHk1v)J} z0kE650Cs`G;F3?l+c|)_&j6UTC%^`70Jh_2L3AI5!F7KSZvfLQqA(Z-Fvk#pIeY`& zTrUG`%Y9%Q^Cz&qKu}d{`+~PzfHj#-5or&wwJ8Hw$U{`Y<0-&4E`&Q51I+zC@iqt8 zQV#9s%24faxj#rumD&UJ}t2#M@7V?G6!rLPVbdTkawdjqn9ncNY-d0I-yE z0Gs?9z;6D5Dm3~VV5=7c>>`0p2bg0nz)qC`Z0SP?WXn@vyGLNv08_pMnA|{M7Xemu zk9hkN0pIu>RfzrvycNa)+Y~?4^dP{bWdPGZ1X$KnV0-d65q$-0S@Ebs3BcsP6WCt> zvwH!sh?f8he+6ttfO%8^O#Pg&;UsfM$3{)i->`Q;*F~}vI!(++8|@#KsLM5gx9J*S z>*)@#mH-P@IKX=4OVMFV%9n(x0s*!$lWGbu)l7g%dl9x40E=h{Y$3_OmIpAyZGdU2 z2-`ve^97ilJApL;Sa>S1sr!YYhp+|M#tsLzZ;9v_!q$a&dxv=Y4A_1Fn64UNnmB;D zn*g>t8en=KV4K_mys5tcnEwEP?b-@#WdM_Bp+|b1{-`AQ`2^nw@P(}iZ5rUq0q>D< z4tk+Ks!>p*4gtJt2WWW(;7+JdqH4Z0Ji!9z#OIlEXW&R zH`fE~(;)yW`P#B3d{6XRWTy&fX{w?-P07}tYI2x@rF(wWv|!kSb_wGUHxGUq%3(5n zt9t#n2>Mp}`froy+k=1kX0rNL<{=GJW1{$Ye4n{=_CW&emwq)H`=$9+cSjP(Pz??f z-r{QFm7AxonUm~fpy@xu20X-{yo@uYnH?>8x{I~Y$^&mNIA`Y_PC0AUJNiLU|xGj{Ny+1(1grFq? z&G#q<=Hq1`k^winTU*I&IvNHki;4q8m?)6*CL*2XW zHzo4=+FO4biK>DOR74Nl+iNued{}3ZJ54(Z^>vu@Hm1uu-#9&gN_wvI&GZ*2iLJ_w zDj{8d{iFHA@#12*|i0t-1-Y~kh+rju*?_v898!0g8^KUK5pSp55O z{TWo%jbxg2zeUqGcK>P3x3cI1HneOf`zDw=P%M`hIKrT(@L5i zHFTHSe$!+g?K(~D#%>2c9o+;+oJJ2&(&;LLw9H#lhBav)4(X4-;BrE}^rp1mVkKNC zWO<6GIsPOxoJ+hj9*SS5iV79Y6bCLOk$zlD6%~&3O-##r>wXila#r6K>r^RF_1=!o z*DV)Tzi%WXQ*FjWPgbZv#prH=Vh=6Eurs{8r6__9c26BKyRxC^xhT)H6sN?FC=@-a z*?OdJc^ik_#T#g~uPa;OZ(eCqq4c1F&rp!Np7Wde^JV6X6?Zu#L#TQ6XkpDao$;Cv z2$dSf`C_US9RkX$yI5v->V2@ZXC+wTUB58!BZ7NQiY3P0b;shL{<2%=}ca@eF zM>mx{5*ZYZ8;4`!dVD`QSmNiSE6Tf~pzI|~%Y|J;!>_zqX)_50*C-x|RBS(3ZYr_N zt#H~I4VF{bg+;li66e)i5}lx+!?^vb$l!|U!TT13e*u3t>Le7tZv_+n7QpE@$!6iZJCpE7*9gDgE{pIBnec;YRa3uR zLgA|(GvO~Ip>DGl{`yW}>3~r9OA6^QT}4N%BlXLm#iZ~Rwz7%|-@j9h@C|0+_ZbV( zMp_9!*sTW&%HBa_bqS$0URJ_4ScG3}5x(tii|~uBgx{FEEzDzR;#>cLB)80mN5eAmDviyBvOn2HFKNr-8+TuBBy8ro-ff`*T1aYU^1#f7Wm z!1+k4x{c0fb!$d-3$IhR(U!XHvefPPH&i#JN<|zA>t^G$gX&g79IOLx}rpKsH@SkYr zzfwF0{9F4*(H6#kPvZY#9sd7U-*|`hj}8z=#X^pF--u-AtBTi?Z~SQS4Tpzye1pSO z#B?LX^hV#XosYU%`$lO%FEApbE1q3$)~Vb7>KpGKWxm05L(4n`x^bwg;~N}w1=EcX z`i;I}yAb?a`$ol0#=kG||D+E8|Eq7jdz1MF(~XcL_(uKb;NRLeIOr4#OC}-o8-2qz zu8wb1C-x!vhYB7@h$FV7QXv2O)GAo}1_zx@&|0)zHQIWkZ!BF= z$2Wpo_a**E68|A}`2SyhquB%E{{V4REaZsyjqI>Y`j&H(xWy6RGP|3a#?%f(2s$o1 z*j_9>9TKhR3P8T&qW(#T@U|;;={y`wO&7L|Jf7EER3Vmp;r8 z`h(W3`M-6gZ0dHUavE(0ioo5(5ruM|Bk+Ge2mCMHDdc}IgVygMXbL<$G{=9gh5zwp z{^v!4|9tDNV9&e6zlrfr-5)Fd9Y)pkMwafJPwgDLV>{Q<8(F$j*c-_W+YA(e-x5cp zZk{9X|HRRW`YuBL4>4$cE;8TJ*OqE4~lVq1IYLx zLzPXUmrHGzewIlJ)EAKgH|k^& z{$3Kk`P`3ALgA+tGvV(8o ziknBRy?0W-nN{F3;}?{)0mn7oYe!?bfA!u0G?ugW-fk}a8Wb_kdJ_?wP}5qC)~lHX2DKkb`d-!J_%ltg1Zqx!ReZu(9w z8it%I@ZDHtr1I+eTm10KZXaG9z$?lm01+>oGj>ckeMmSaf$n){KDqn>NjGOd9%AZO z3UY5Jm$RXa&g$ELj(=7G_vl0!8Q$qXXK-qQ3uHLV=?olq)1@A5)H&pXq)o3Z={aSS z+f+^SF)T^_oCT}xo8KdwFQ+IhyN(BM^ZZ7a+(s^BRrATJOw6h*n_zNRuy1j%{np5X z%F$VXb;}99>C89{lgP);9lyx?*l#y0ECRh9oI$#!F|A(~`3HJhZ2av?$&cAxzv7Y~ z*q5$##KxeT<9&{bMe-(eqWQVW7c2p)qLC+YRY+Vp0uApYd0|deHuBR;OOwPz4<1`X z5+u}@FIz|AnL*+)00A!^-d0X#u#K1uxtPR9_O`o!8n6!UCSXFgjxpguOawAGvrUyv zFQF@FswscT8~JLE=rkglEhHKpXlh-PxQ))SX$NIh|3v4kpEk<#Eg8$%?Vf;!Um-Q# zM)DFq=uPWW%7^40VWbcLC_Iv(G^UT5Z$GiN-|CyM+W7fZXgx&wjYaFht9p>uuLD7R zG?3KMdXEo?iYsA~QPW3S=eMKuxF@6d=2C|D@z~pu)I56Y;6j)~&bsYL46eM|hvoIK>D5ijU=F`^lCqWPw9UC@7}C{@Y*Fs%E_YTN#xz zSbfXQ>v=*#+e${Qf6)+|YzCIw(?2@mAACCS%IzV<09^^>V!~hi$VhA6203X6Ysnd2 z8&VK>MPhNTxEIoGs}lrQl9VnXOtM3S>E>%N>4z}xHN>H-852)MKSUkQL`9Pn0?8|S zGTkRI{qo<+rDg6;v|(`kv?slB?vBpZo&K?-655V3HRx``_U^o-3cFdpWNR``MoT+3 zdDzJHw>bTfSn8D=6T`7@L^^pvr(OH>4{A@xDkKb{yTFuDsxk>}aw<=%Hiv)13nl8qO? zzFZZRb9{Zdq2aNFitq_l(0AIhW294385#d`kxifb$T5rB-?b#gCkomJ*)o zJ84)*0IOn*8FOz%PXy?IP3s|l-jRJTFehhla4fcsuw0rC(VIo7T^n{PnH1wbywcq( z>tM?#VNtThN_XeT_JV&6uU3U*9ccNa{9}BJ3a(y4D#RTXhD4|f*TJ|?YL=~$p330O zzrSrLm`bL7(=Isd!)nK9|F-Rd;JWc@i^kH1KVxmf92Am8SXx14(+z~7e;sgV8XAVx z1<%kjNeOOa?ti?UrcQAIH4?u-EosA-*%&ml`enKhSvcKhl`{ zf1tr`QxeuuP!C_VU3hJ4)Q7$#JC7zAyVkncN*@}*>|8d_%t-U;bdczr=?ECS3x>btd>oByLy05s#p3^?GA* zKK#Z05~(i3683L}4*e4x&nJ!(1XeNBmbxLb(mLQH2|R@dPilU5QfLuerD9!&Dl|y` z4R*1AxK)Wv5A~tMfaro)S3f!kwp{;-7|-$~T_|_yp>YonD_Z7jlYo;>stbnEe#NZ= zVB)!IL^_k>$O|NgAO+gK)RC^(YiU~TbOHS5hH0C!&D&bg%}t2LKd60l7;Qr^$}yH= z^|)6XL*e`NM8Sk9X$%*ufwp0Y6NL>OVad$lP^!UwmbV3b<9JsV+5tYr{zq(Wotj!K z79E&iD?xn@&+UinaYc@}b1cen{!;S$(=)k<9yW@ih)4$sluNhZ9~6<@<7`=MNW^Jx z73&}b9Ltw>T>Z;qlU?&{&DfKl*v~99ad+Z=luv^9xdE-@VTZHT z(SyP7Mw6b3$&I50+fiG86i0>9xk6x!H-y;7mw_iN4i8x`fqh?G+U-nTB-L9My#}y| z2Sls9WHyZb)ZIpwd)GAYP9&D@63~1mJha%6Y@%{s805%X{FURQfIFFTyDlasEc9i3 z)v7aenbU>=kd9dZ`i~Y-U9xWg+_j-u0ERH?xSvT95RT*svudRCgn4RLw=rse5w+XU z1}@$_02__m5J+kWxaahF5n(1>W^0}YOH}7;9ASuMntwf%E6j>mp%FdR`X^lXc|{a6?E$EQL}p zY9kDpZ#Ne*6Uropllz(*ULBjtO>aKcqPANT7^?Y%DnVgZTT#6Pbm-@{{=AjwHoMLI z@U#93k%uE4Nt?4Fs!Al%+fr;iJR7fh@%`17N#X@nLTyej=z=JrlhEs8g^j3j0*w;p zUjkBXF(q^odY!AN8L=iGTTYn-!!bMx+yO{Ony1@Qp17)iv%VsuiN>uVHpphU-(SppHIt?(qB`p4rT3pI-f z&ax{GL|;w;QG=Gu_oa}K`?^nv&`Tnu;R(giah=C27Hvf;w=`p{ zF|tu@EJ-G!9!X~CNr7Y{o#zt68g!E5(|LxUi^_jr198r&kxalGp=7?CMv}=}f`^PI ztEtxp$rP$5L+e-8LF?`mDjco|4Iuo)M+Dy#9TJzByaBL7FKv3?fB{HXQ#Ere)wJm? zsHW_!k*euffJb0gb2W8(H{^4cK8S?~i`XiIqA$8z&L9r!{+I@dMYJt5r@nbOnw5ZM z8V*-iki~kC#Tqt|#jaz=@mv)$95ZDCG2Dz8Uc@u(tJdn*L$*=L0wET!`;>%uf`nLY z5n@7viwJ|pmQyVLG->Kx@^2-r|FUTTMubPumaeNRrEYoHJ9H=o8Kkw(ezlIo9+reh za%5IE3Mq=iVf4MM7S>+b*~V{tJWX0!J>%d zo7d7TUrZwT=EBo&T0H%xm8XAKPJ+!`j)#mUVac9VG6}BaS$>W@HIK~fcm zLNhDx`I5|R*JBj-;B%cO17&!Jzkdyu%^lIZ?bh;EH3<;o*<%o@V&)4JEUC#|&?%`m zW3woR$s3WUZg1XK#GN~LGgjz;hwNzpnD;p`yvX8!1Is6(%9kw;cv)!A_xn?JTGo@a zS;cG9SFN^P0ec=jwI-mA7RHC%4J$|sCenh5*8&S@whvf9(@;P&vVg`ecei~Y3}_2J zWVzFpAgtgsOCm0y?X!5gtCgqU$e>iV?j#<8!Mxe9fEH;9Xo+(z0WDIZ7jAjMuT2?y?Ggy)-tWwpXBYj1`pXPv%LA9 zP3zbRZC2w$@agPGa5|AbkmAJAdlW#U)d--)LI>PB zX95XTO+xi=S0mIV6LTQcH#W6DCop>^G0N6k?71*u4zfkZYV0}nm{73c?J4t|Jc0x} z(=1r-6lKr%S%dN!H7HwIkAk(Ep2Buh_MbCkW{#)v2pnqFp!BM6Oi)<^{~{-5S?s# zTcT5rFgoed&Vfh8QHoBhkDHgh`nZ$X6j?ldsg_}zv zsi&=KL=8$pFH)JbAzAi(p~{SDrKB=CYuK-ZSJ^UZPy%ML2Bjf2C{Yq`MLfeXcqLvLlJK_GjoITrXI5FRn~t1t~-xMV5Hg8)8D#>T)p`_Vz`PJ zR$(&I?0~NA)KgCwG!_Tc2<^G`l2Wo~BWW|QhsB=PD>q>V$kMM)wCYzknoe3s*o=p) z#HXL^1jEsQKA63H|F_S07hO zAx&}a*#$pCom+175P2y@n5^osP46V-4yDVz9UG0Cg}IyIBy^Iy#?#e^?JvE-` zgOX&wcGmy==W)vf)#Jdeqzn@&L*2@(41W6SMS2BE{XLR;`blA-Oe$2t6$0z#`P!3; zgomV5${~wC6|DO3tNNZ^QPvSRtys>cexW%`nSM|fB6&t`5PgM8SRpB4NXGI=(miQe zb+ph-bi;2D!@Y>%)hEqnvV<0QEG-lE9l{u@z@dcdF5d_=W+9OJ4xBBQo@G4`6>NFw zHEL;2@@9GqPlZmqPT?R5!J+m_~=A6pS>zK#f`GeV2agt(4g|KBK5 zYa1a_hqJN!Og47!FYFqmw=MxSm+RyZxoKukiPn&0+y#A*i$cj%xX&TU(DE;rC%j~O zPCnC%^~n+$;6c`9-<`wy3GDt$&>Edy0kXQ`$`sj!+a zDyW*tN2!|qDa}teg|uiwZD52Ym<0%fnL?9z4P_F(rGkwSmDnh-0d>HOzW|fE1iE6* z_CJ=sVX=^5&w=}hVVd=PrQ;dq9e#O8HHlysi6BR?vCebgO)9htZN)2*OyH~T$InFK zo#Jme^~TwLKDa~loF@hW?2@)~MJ_eLt?A!-KDcE}uDqMqhZ2`7ZCd(lYd$rs)n8)` z$?RWQo$#;c{J*yL#=l zWiA%g*o}qi&j{y4inlS7IYD))<^{kF3HA%;MCLNWGFAuJx*>f3ryr^>v9n!mFBm-XUVB zQP)n76N7Q(dx%7C;Qoq}$TxC--Id6V70u1cRJMOidYMmkQLi$aKd;Oa9TtJTWOnIh zu|S#1_LWp<5m(6K_>(rqkus}EM>azQ%AEa#L~C<|D%(RaJ9(l*6qBNQl@Q4SLNb~x z!WzjWRp(qmM6I?YQ>%qT(n(*PB0}t1PMcw7LR=PM>mQUw z$e|&rC(Cj6WUE-W3fukCOV2<}@ds=M7kgM`5msBW2>tE}JCM~&m}C}{WES(1VOazp zOBNyZk|m4aBOG;2+FU{m=P(-zwMb^x8vOmHwCM9`L{0XbBolUM{!SrAXv+-|Pse@lygaFnVgq9sy+-|&6Kw@!=t>|k?{ zLC6opYk7vzq&Db3y>?o38=I9(p>%fUh#DbEdgg9JJ+0EBt5a_P$dwZOvQf3V4Xw9D zwLgvz$r?ERYto{j9pmULt)z6BU>3XRHEGc`j7C@?(HPD9?EfV#I==&(KpjmIFz^z1 z`?RRnN?|I97`?ToSm1LPZ5~}~G8lf)f_RQwl-YP9R>@k@ccTaq-+03|kwl}6l%Rl@FfzXdTDWI#l zum1LF(fs?wu&fm^OzQ^B4(PsgE*$VpX;Eb-M{?L$(xw`7JLas6O;ZyB+8fiNy8>uh zfZf;U|B+b>Z=V)5T($#`ipe1Cci^;DKzn0abb3FUnANYNf{%E!dHb~J?!m;c`VSQM zpHO2qk}*b@jdfb|doP;rD?f)v@~0MCiLlv>VpeI<>!)bqHn}$*E)~4I`LyWw_c!;V z52q%-HZ7{_PFVt-9q^d?862cdev)A&E7MfZe|1k#xVXm*PxOpD$+Eo!># z02UOnq_QerWpAGrJvyC)nnyyFrCWp=myq=@P3`kjO9}pcJY0g1q~{wH*-_Z@o6@4y zJKrV2vYquRnt!4@DBeCTx}_;ij2CRiL(#swd4c=CFC36f`-vvTMYLrv@RU`9@-_hrJ_r(iyO1S$<Y;SU#OT z7%{}+X2o^Fj$FaPxg_>T5_{NGv)B!6@zrhNq(?4CV*;Tv@ie>w(XCy2jb;wtqr`B2 z)Q>blEumb>12&&vp!tM+tI5o3Pe=kbKjXn>w^%NvHTASjG?}RjqU@jTb(a0}q3oYY znEfjsrzMqDFqQQ*tE^2TE!;Rt**^~-O8yjc**_VZjua+;@&~b*d?wU07NNS^?}JdE zTUPEo7uH?*ttZJhmg=s?q!Ztuv^@uVep3&5>yaW7EKBQPHdj-ZFnX}mNcMxpo+I7%0K=Qs``n!IIl<4t!{q_5g|}btv-mseD>+hUB=EXbKjh8peI`bc z*|6P%IlYAakhfaz^Q8V4#4wG@Twd@D(-g%Kn2q&%pKd;6EB*0^ueR7qUi&K8iuHP* zV{GMw@)?lY#G2*J@8u}{HuNefB8lMFv{Yi#Yr9(2D+LaP5_ z{ji2ctTol%Fx|p$y|8Ph0{WeO&9t9>6Rw$7;Wwa>`PbF_yLZj`din&1evf?X%cUJ1 zA2;yD6`BbSOBU(V&WE=2YrL~n?2$29DfTpO5Dd?cza+y^v5L&C zRgux2ek6~9BoEytVWH<#$b%~+NDMT+MFv%V8xL8jATcmgQPPVh~z!c2LF=ywt4_eLcj%BElR}!iSO!u*- zyv=`|-PP2Y-EDoMnj}F-DZR?$#aASL{p_y1&g^b+h6@TRJn-HDION>)sRlLq|-`XM9)Q;>-YZ4LaLTdL@1LHs%_)SBPTW3O``*;xsO0N7!D zI0bO=RVw({s-<|F6&bhAjwgmGC;uuT&dhLyc!~FDT+nMh^ziwFgy>44I{CRph*wO< zP|RxRar4X?0y=_+%LCq@_@Rf--`jKP!$mkg;*Wko1_T>t*jGn#aaPok zEy-Tg!a`W#%LsGTcZ7?wZsohwt;|Xb!t|Jg*2rD_tu? zi|D)2Bo|8h#k*&kefT0JaF0I^&f?d|?HV?KUn6(?xes3R>$JD_JPlS0Zs4JC$1J8L zfg9F(L+a#L8MSF1trr-_;w`xu%)$3!ozzuai+$%_|cgblPD;m#v{I( zOd@QlKw}?nWg(1dtm%51Q8;C4b+yI}4U=+;R39x}=sw2AMf$$fTP1$b>f8upazevG zm3~pvhnZ7s7bz>$Exb}jmA4u@l6`Q~$Kpc-eNdiBlNUZ}wMc=&O?s&GW|EXU4Bxzvai4uiCGiJkhm;ZmN`eKIY( zi1m;LJL8N@-fhQ?A-%bfWm(3?anw#JsN3R~bHTFi zV|G*}+C0B>ynkivFPH{Cbd(50*ClY5QW6DZ3*bsfpf|muaXlk|MGN)zU~2xB(+bPl zW5YNL&;hJYU~7K3lRBz}Mt<)K5#g#H#T&VcN`9;7B+cq!a5sKl2&hiFXcwzv(voOP^L_`2M~GK56x1Kqm>wz=ay zR`@o&SF;=BS> zQKz1fwsl4x$=mDaOYuFF^j}A_LzJ|9gCnt(=GKnn*`6AF@uWeu)Kb`D5Z)_3>mu+U z&rt-c489IWTlFd|Hs+0z{Q+>KVxbpnVLcrJth`;HVGxtOrd@jJFG|EQ+Xt$ z5)v}gl=9B9{HQz3-V62e4?Z8Kszz0+ca`p#>l2=Ppw0nmJ{`_HRSD_j-UX3 z;T0yP?DEa)$lkQIcMOX0c1{pwXEq@d zU$eH;?er2xBr{JEW!W7CB%_l=G770m$Urg+#bKY9JvQ3 zfY(^uQliZs0aMs|M^?qCA$LckDf1oWQYsyD3Z(yS)H6JZ(jCeG+$*k9yVlS9HP zN~~x6HdbmvXvG;`mzdH80(5P+vm)J8f-(aKUyh~1cs>?3w686B34vXWDv3{R-@bWXw-@!i+HjWH724MT5EI+jv zRvH1$AYJ)mi_Ou!Gj|H11`pY> z)k4GT&w{((PF$@P!=RuHcL+-+LZ?g;xcxOaWtQko$ChLnd~x;m zvCx3vxb#Q8rKWu%_4aZ2Lyl}Xth7=2$K$aaQ-SUJcvh7Em2xP}9T@l;s)chvRZyn9 z?LpxBiBp4SUs9=dc}NXgY}L6FC>?Q_^rG{89&zW8%3g+%ta&g4N1|yN3!!sjOXzUW zgDv<&MvGAlG0!@865EWG>@|q_ng{C$V9P<_27So##d3@;ixj(=fXzH76@$7_88TJd z!4$7x!fi7R6CS;A@`omUd+Kwjw&)m30%ahnSe8`864_(!N!9j;Kj>@jJ`oIgocF^a zpqdxOf_#dRzRz>NK98LZ)HIoB?P|PufOC!u*8XFq0RzfZylNhVHNbB0 zpM%|C$w4z*gvT~~1pUpoTFhF4y2BE~^hGIM3SF>l=VG}i^9hm#dVSPvF6x%l7IZ6o zZ@fi-$(1oEr&AF^_wL#QU_ zmFfS5{Pew?N6!MpS6qrTe{-uL^ir#FESRme=GZNFDU#ITrwsU%4WH;a?_gi?0VZAA zG@BN)RO;oA%Z<6YINRxFGZ@CKgMAr-+ce7txuwN!t4#VBKIZ=?=PppG4;4fwDh?en z!F6=kj5T=m(8CGrK~12J^5@HVSP7xv)>?zeMJGxYK+}Q_nz1I4$vOrZ3ghQqMF`zO=8ra3ORATn;$^EXzA#u-~`9;ao0V&S-fu)pAIONV;X(<8$DD zw=MUe!MO?Ga^9g$miZqy*kpkNUyIyKTlSFQ@=O-Fo!mljH)tF$v0Kt^3%AqKw6~md zDkU6NpH#={Q3?6Z`z`&1Rz6Vg<@H5@RB2871v5qDII^PsJX5+_^T`3`tYwcFJJY^X5JSI)U*{W@=`-Cc6YybEDesS|2vjIC)3Ys0FM)UXW0`I*A~9wRVYuUr78u)ER2YVr znaDAblvalR1s?o7q}zMNdxQ9{cSiAbc@S)H@-B&}ivnGX^^?gWhr6Gqh@dS}6!>j` z&2MOXz5f6^Tvv<)(2AEHB0@W)9G87huXv;|?nQwD#)8kDK;=(4sgaV>_b!LkpWL%b z$OqW*~`>7EZ7!`ijVpfO=qocB02m5t8pHAXcV4TIVOMo;;_ zGP5JZ|Gl^b?3TR|Gh1^jk(s3z7tzcl1<~cUrp#0$GsWMrAi~*bC6k%G`FD|-xhwuM zFtdjZGE>B4<_i%yW3O=688NfX%6ewn4y2eF*B+O;kRIG@gRz=te+-S4w&h>6heVz+ zu^lK=*Lz4p^pGstt^p5eEtXYTE{b4GW{pVx23jb0|7@z6zI^FW_X$iUxzdj2|O;>ufVBnP!2!Y9zLa{9_rrW_ z2R0W~=b?p^JKm53C(a$nfz?>tSR{nQq|o~!dkT(sS4_iEugtjQ0UKa}fH0Ln0z6$T z*K*yF#ePOC-eX@zSlp^Jgl$QsTEgPD0j4ajbv3Zqg~{R(9>s*kJtsm&T7Ud7P5C2; zf~AotjH2Kay<~bgO0OCjM1idrg;IqTMsbWqwlf#2Ir)`dTKT_<>=^!MBC@MmLsSKm zd9ZxdhqaKbbRb|n(-cBXiQg$jqtpu;nc3>EYBkgpAtdOL_ya~=9 z3Ddg^)XPC3W+>V4Kn=aMTrPSCGi1{kGjaD;4d%YECGPj|j{a{~$NeivZLL)2iZw#%68bz<%?=x)$XxD5p zU;2aJC)ig5i=%Uq#m0u#h2w}*5oA_k#Nw|Q+TIn&ViQ9frot4Ta@ooUOcwteLwiMa z8Cpnb*88}D#ZI3Z8d?gD*Fr+WebdldFKK9K+dI?|7PmQI#NvNrXuI2B+N6BI#90PI zn=-7Sp{3vy17&)+ZyVZxjtvd%_uR>71xgFEjjX`G@C`Qc$&vlswc`v{pvKj%fuYsI zX>G?FS%I$@+Rr)58yebmlFh&lLBC&S7+HaTVQ4#WdO-^=2^IJBqE~Ndudx1(?T=fB zCd=mHZlyE>0(emOL3M@2hp%I}zJ*HMCS^&hepGWh2;i_|b2}aD3OLyP2b%YlzJtv| z^c$8S3w`e>GeK@&C?BcxwO?V_qO|Rz{If~3Ir^c?3aAr2ZM$%KBTYpGWzY{Dp331r zMY|dV;D%^#UUxalgJek2EL5%}SqD>>TX2N0MZDm3bT`z&nXkCq1BNtM4+6(jQwkdRv9!a^+9)Zrqq$$3qPUh6^BWtcV zveH$P8!QGTQ%Hf9fE|?&nU?BbECz+wwAeq-?=9Uwy#amKU5t@B$%sWD3PQ3G$TK*q zA(W%q=<-hult{Btk_Cu@%%+eLCKaZrw=uq&i4w7{tIau5 z%}EgX4GO_ySEx+0+zAr`aMW!?F=vXPx_ey8Uwkbbq_f!NAp@7zO(K;DQhh70X`McK z%Ao*U8>2nPEj}K?SYq?@IuoS{=%FMu41Hc|^Xk_dzV#_t;0)*272m?~( zPl|`_GI*?-%^E`fk*0I>GC=QUbEc{=)W$iG2Gwh#*g;&=Xi=Ted2ASo7Io=o^GVfD z85eX*>`Y7LL{FVYJ}MmYk@{^x@rn}EedB16XA}|L(GfIU2q)A%3Dc7wD*ppnu=CRE zkl(cQV}_VQDJ1r&nKTkO#8-rXg>yRJswq)a$fus4#YgJbOP6wSpWaSqZ9Fb`MxPv;Gn|G}cm8mO;}@8V>Qdqp=)6BOl1%FmRKiU-l8=}=#15%B0& zKq$aIuvaK37^38I&J_e+K{l<~<$8;gyIIMty)yZH@ZUC!=jP##~^ zsJFRPG^QL@vGx5}=HmpPVfEU_k&5BJq4ws|v_G^0n$9>+X})}P9?f>z$$QMlS~N>6 zHs&zsilfG)7@x-&la?A&N=)N3~rv8;8Q)ghYdjktwSN?HNVKaO~EKRTy|$UVOnMF_cEPv(4es08#=AB ze0&6>|3c3!?B8|5ZPQ`C<5!4;Es=$=8UJ`4tb5QZuejf%j(Pl)kU2_ny1-jewj2xO%W;i_N&T;%ZpjISCTU@yNg`t0? zFJ}Vw|Fm7Ti7l4h6bm@>Y$=w1SJQyy4?Icn8_mQDn&l+u^%a%}Cp2Wa&HaQ_K=P@*bN?J3wNng*jYjzrCXh%rR7n6 zrpWRLEF!e6P74>VU|-3E9G+3U|GQS%5cK$x)_tE5tg0G5a;{}!N-C5(SSi^lIgPyN zx-Q^vB6JZ6(ecl0o_6~gU)E{pQ^{(c!b7-Rmjc? zSD~ogSHNz=D)bq9qh6J98y@Z_0=2Tr^C;a^X5wNg2P&iZ@$He#^nACn3hzHH z2J5}(>%6FL$p^-nfD~SwY78PnUhsBYfle0%fZW?fU7f}k3Uv;TaiMEG6uirzeHqoT%7wDR zG|l5**ukN$pX~;hPn{T|^7j}VLjD^)xO~b)I>{9l$XNtLy2NwqOmw>RmX?rzgnhxV zAOrY91i#9yGjL~(lU11qtF;b@uQ9m;f zEMDIO4ac^tQw^@l;~vy)%h{EBIizAO{(G~bEs`wC`0+*+Nfx=b0$0Vyj(gPLs>HNf z58LA}Dz86{*3FC`9|H;_S>(Sr_dcC0ijCN0a8z^du<$-c%DMX&46&=9keQW6%pA&D z4`43hlrQ=@Q;|Jlyn&g%dS)i?1ZLhcX69bSRb=MQ_n1h+D#TPo+t-wt@yJXnS+sL6 zlbL>2V_^|lV!zE691r?<&Z+?*zm2kgF))3N9!~7kl!l||R2%4&>FMl%lg=K+oOIXy znrles{yB;G8)m&p^36PW0q~sRKUwBHg(;*W@2mq;3__Pbg0l(vG-{eT(uN0&Y4sc2 zQ*}ihTL-!aVN8$oTMgt@k19*4N2S7pTYiDQs65P&-ayAUN0soxw7?M3+cl^B-NGMF%oo~a-p`2Wr*VVp7@&hmymf=F?6ZVZ#?q&@|f7D2yQWF_hJ1)PWOlu!*<#FQoQY z`AeWQ<Q`vFG2XgW(Oj@(vb0Hp?nJ3)S zP;d_@FkT{f`X19X27wsxgNZ;a-)<0y%6&|M7_H2K7F;e(!yE=3e-{+>01m4=fwa?0 zU+u5LDgflwxRM+~ed?uAcD*5BkD?4gEqgNtU4xS~RrRrjlw2ydkag1me>3Qq%v8tJ z1|4gZ&D60uRz*0g!8>}=vr5w@x+m|(d0(ypgAcMhOxDoWj^`Z3QEF4H=uv)Cb}b_t z%I@n{DzN5XgnqLzIQPHEZduP@bo@dRUeFT_=5~Z+Xam`uZ*`Z*u8R*nO3FffMRq$E zPj4u@Gm0^wq5NEinFK?u@L$O8Jr=soDSzLmCmM2bY36+!$ZmB{ISz@PR7(%(o3eXC zwYQ<{9#)hAx}Z%>%Ks|6la%Psy9^9(PR}ZAT3dcJtWEkzN0+ zhO%48oe%x^WfhZT!v7+>>#VR;9Na)0NzZD%?B196;xros&LRjzLC6Rg$F*SW z%{2EwAfzcH8n_A*dy(su?38d1y?v$xqS#=}D)q*!I%hvjdWO*|?0QsE3H=CsVpkXm zMDkD*V`jO{V9bh*jM-GqZD_$|UU*A}KsZnA3FO2xjalw}IbO!#iJsC!q6A{6!K=BY z_i9Q5vOypQ{9t6vZgBBlfM4n@cVlDr-#ZLDtT3Lt#C}&aJ*!~37H9p6@_B&iB~DY8 z;E;+_9qA!aA^Dv8Ro6V2T6ML8lW4hQMyqaUYplBSMK$oHvE`EgY_jUII^(KK4wzWx zFjw88URY}QOUSCb^sLGXs7y}&OwK2MQQ-%0dz&m|D)0oHU}4f4T9{+W4Z|TO!n}M73bQB} zH#E6SVSW~=MEmGco9aT(JXp824*{;2>H2bJQ*i$K>ftB}*;X$h$wT!Lk~Oozf>AXq z2d#L%n*tL$|I30=`;b@|-tab8fHvCM`OwQ$=~#~lT*`<}G~73pF4zO_Lirj=@~+l$ zpz}-4AU6!p8P?z$Q~$A&_>a_4sqIWj9_nR}Ehv5t#>AK2e>}Ds?N|=eDU(ugt{cYE zaFiWmb*^{Hu#7Zcw+mK-wiU9=f*yi(ln+FG*FSCnxZIR&LLcbxg4lWm`nY&$Ej9xx6F7Tb+p z$dW4>VVSN8U7<0WvU9Dm6z3P=&`?^cMWIx*^41we9sD9VH)0(*m#!*{WT8Nf@BIsp z)uC`E#0bsD+ri(-Z9mzDa=WP^IHiNQ`|Zp|+pidt+hi9Ph;f zE7)fDehizfdV-4$74(7#+fWHK1u-DuVL0+eOJe>aj*nH*6+AIuw9PH@s>MNuY`cpD z&~jen#VVWLl_ALai}}Mt<27Vh~dnY^F&7zsw@}Yy-dSu@_3|7xs=y zdS1Wjm+j6$Qce7_3*@%A;8QGSbPSu!f8m!UC}lf7(4B*yJ3J%-=4GeRru{FgMrlv! zk$iJyIF?umN?_uSeda2I{+?pAeBCm2Z+9{xOz})M>Yk|W_uZmJ4NjQ^iyon#7(!J{I2n#%BCZ`H5r%uCc8 zzc<<@5!*Qx?Ik)(W0=lTGwX<+-W|Ks!=rW9yIda9@h(#-n^|w(76%

    8R!MJJ=>B@rf-oh&ykRIv+vG4V<;J0)&W_%m=38iC%KRt4D5#-dl&nnM z6HdgJU_j5QWJoR>?`>bk2^a2S5qaSK>X@IcI=F#(~Ns`Rrb!w8p~p-}^ra z-lc`h`_jS$&ZP4qH0DNO9@aD2_Xpn51E6-AUkOh7rp^Wq$wxB7W-FtM58B25uRf2b zwOW3#l;#R;#hpJ1VJWs}2aNE0*a}odzn~0!itmaQUwPY+0ZC5F!X`SCP z6{v@`zvPe_8=}f{pZ*0pCt*?Z3B4IB{tU;|yz$rTH{;Ze$k7vjr{m!=ygZS3DE9$u z#wW8Ok8F8*EMpjtz1=vccQzP?mvvD2!K>K&($;a3RR(X$Td}C2HzjTY-W0?X%Y}I$ zW0KJ!QbT6J<1)Kdm0bcok8sD&J2x68yLjX%1CRuOYDU%r(UH^Q=rqgN-P_S$(R(qH z%ZgSN0LVzVI`IyuN1w&pd$bc2>zLy|>EJZDY-ec5<`>DN=lF?w94L0muOzR5?1*8o zqsrUy+k{*rJ6>W4^;Sj~bC>+FgEmDpLGg}f z6DOX--p6atv!`MLX<`PPrO#Xi+S6v^C9UB^!mc$+FSo9?xajJu@A{s429juWY$6|k zybsm`(N7I$Z44l!QA!_++IKPOx&S!|4z|Z>9(___4nXU7-UvXzY zHbgzXXL=rk=BqqL)*>r;H9g+`XnF^9Q)u9<36+f@(${9=*?S;;H4RTO1oc)aO9o+X zfb8@1N+cL=J-L_gU=-5WMCYZ3{N{$$7a%=EYE@kmTb{7lMco0-49$nWjZcL{UsX7r zZLz{2aEz{Bu)Qb zs(@oKG8I1KyY~C*jB8$&M@n7>>m~XsT_*i%(a0G@oKw) zBf8bqj!|3!8dCQ^DaD2;OXibD-Nb|qhm!Y)G_HwBsm94!Up^9P^b9p;li-~ zx*8X+t5p_4!2-4HXI#luy9OFm=h+6%Fl|?7nv=9v_Xw)X!S)0}tC}Vfa zWt31^drjk(v~dkXc)H#~;V~{c06;76)dSJOQyC-gaM~Otlb(f)Ym$z2ch@i^C8ZlL zyv@W;Oi4NIn0Y1cVmL$ODr2HfXyX3HPkL*W1Xopo2RL(yjG2q;9rRFsHV*^T#F5la zN8zco`076K<&3s|ZFcqs zQ!Wa6=dRQF++oOt%}dq~rN0ek$VI?bj@0(BCqpg-&`>XL(@zEeIcL&#&bmKH+v!s( z%_oTA;(nXecvsUvj(7l)L$N?sK*bURwkl6s-7uvfdbnwKRgy69{2kD|k z$Nr_*uC&Pz+QkXaq{=vme$}U{C4p(LF;!hNU3Lu@zCdQljF21b&bjwU>Iy^rX*CxqF$)aL`Nbh})eBKXciKF7+mx*VQUuL$gKRU>_-#=1 zlQSa5YFOo%Ww~%Irgl)R=mSE$KD;9~lcLc+(fU{ASlDRs#pw(|%$Xx<@`v4ChD2*_ zXu6b)-UJfO>PXdINCysptp{Cf8)VSM{B4}EIqo}*9l!rxFjM;SPDD+bZz`CsH`&>R zEjOb+MFmVeJ_iFB0~{q#L!iUfXb4~oaCCyd>jM}WE#okN@rlLm{*ssqX8DVpm%^5H zGk&0F7M@y3q=1GP#_(*ray-kUpXprWzEq8>J}`tK+W)wwc+iMnD$CqD#xQD@b zR`=GK+bEezlKWB~%dvTepQu&Vv=j?%SSseoPD@fh(o@L#w^c7bLD#m;NG<@jFSO>Y zNw6N}Rp~wq$!_x0TMg3FMdA*19(27c8nvoSJl>>{J57N+R(D#m?B@uO4RGLQ{5}Vy zsmhj7X2SNi#5+5EA8j}Jt!={E@xk023H$-f&8ZfCJiw@BVz>fEQfWN~H0#I%9kLxX z%wV4`2l2|)p;@M4;Bi|MbkKf3iovP7U9e@Nk{1$aIW>5HJuP18cl~&zP72m?5M2}U z&f74=zMWHQe(FBVbTRcK@ISl?ap-=gezbF1pT7bC4Q}Q7SW&ljd-gN*W7utWHMf(= zC&_<~rxR*ZsWJ`F_A@Qj_EG**U6TWFS=Xq7!m!(#-1UG0D0>QjDoC zOB~gx%d{gh(I2O)ea@PuuLwmwmD@$>os>^hu+jS44c42rcO-q2HXWvg zriR_9=N$sXyel!3_f|CZg6Ujj++m-uw9m%5(2HowP~Ll8*afEe`#6;-)Avnfh<|0Q zOc2)V07LvU?r1)8!#?0AF?lugTbD4juY`*^-J9G4!%RXdZ5jv?vp^EH(P9=zlFyY1 zri?m7OEJBK$((X$v!*^rvRf9%$YCWI(EUx~CAo$|;C%C$5u7Dij9thp*d%!m5v`0f||B*^@gqt>LDmnbDh%qVp7>=5UtTF(SpB0$#M4mFs=v(`avNyEC)ku<63O*|MyZ}4E= z`INC8R&oYd`}d{=C21ZjU$Jk~OkzIsCceemPDUP#>jU=pIS;PT<`X=aISdcxnrHvGbn{;yI%NCoL%EVrpe z1{v*ohrw`jH`fn0jXbg)`;DFH&_3bIMu*W8#`<($_76K#dU#p$bWIO`_=T1dTABAd zsaEY@hI|;Wy~(~|-#j`NDsNFm%*Sx&ih0aZLBV~btw*(`JFXY-yVjv??uPba2zYRx zw8mps+-I0X+3&LU5nZP;1YCJsxhd=TPat0`|F3QRXtt-!;PnWZ@wwhLIM>s(Hlk8O zWEyKDQrD1-A{PNCI9qa$Z=sc$Hjrt*vmz&3O#y?ao9smS;+&YGy-7n3;<-f$;X|l`XBz88Kx+ir*3xs z1yiVoJyh89&hI(;|1STzzspxDCq1L5iPh>b<~mhvMNpv-YVkm~)Ww(LwR_>>i-hF4 zoq952MQ5CJX1R)4CQke1NZxeEs#c}Mgt|M)pbp{&u@j`QPdF7R_%{^(JXa@rnOW2) z{F$um5{d+D5l_ZqN!Jglj603BziT_(UNw_mp5XQA9}LU?!-J0+EdQLd${n@Gf1zg) zQh(_Umj5+6>jeKtMVS9(dVy`vDs_XrjxtO#0KRx}uaFsL$?H?QCCc+5Lt-u7$X9db zkWcATqEhC1LoExV=m@m(xqaDJy5sm*7hRvp5cRK8K#__JaxMKGjIU}JV|yF`!wo)p zlpd~-JXrRvEo|C+R*R|)c&>@;ItotyHx7dL8*!J?Rd|%jDCK^mkK496f1&2)%Jw#J z5USPI?->q4=KLt1S1sy0rfteAr?liVr)Dv1Yvz1ui?=UMFihmy$BHq9TOKlubYMJh zs_X1fREvLh5dN^f5&C!@9h8z+{YwYo@>x;RCw{qsz?(HRc}mPK6tt6*4r9y11`T1vMWE$dvoq znsNzxm+9TQYGRncNy0p#Uj-DG6E?-oz}o;T18)LX@)n28 zIYILV82Gm0DeA#MCIbQJ$efcjkp9?*Z9N0kdIrY7Mh3E|;t+ZUX1&$A#}A!PGmtvW zDQd)_it|CS12#U;)jp?fj(B`(A~ zO_G6_Jc<~zgr;wR7=5J*Ju%w1@*aiV7SY65kYgSVh#5eLi6g`$F^OTn&`e)H;iD-r z@&(!(?9x9lbY0Y4$L=eK>QST=1xkPO_y*D+;=Fa~gH3qkz$oOG&U@pSv~34d`qOz? zA@g1^r9Z?ub0Fd}q<;a+E20C&JYe!%=RStXGnW2z-rghgUe-?@sZQ|~>HldE^20&y0$pV}_`|oh_YNgD{d%>QOyX`NUUraLn!5{N5FIW2cd1W|^!<#s(_T~V_Sff2(M zHSi5q3PF_niL>^7!qldy6-qW8bn_8R-SwshkEJk9TD28H?G(M6X@mExC?AErs$Xr%ZUx z2C2l{zEEFw#?(@Tprz2-qNT7M$gmW=EZ&)6@$E38%iK${Un{=nsk}%S?t3+Fv79wL z+8JPCdthmH+TqD?t{V7yQxpu=NaVA4e$C@QGUYqNS-$!e05aq|UgWc{B{Otl`&v?& zwY@RV5HAeO;$`fL|AY+{{P0hSp|BF=?J?)-V`S7B_-`eKa(+OGHmbc6{hA$@HC{S!@4XA4gHf6UUW2MDV&|b$$*1*K--4_fmqM5RA?`c*O){-xAnBPwz92D1 zslZ?W3KuM;foS<3vd0!a-8a%PEUzK+^om;?gr`C)Vj7s?sO(?4g)4?0)IN*8vgr9fQpPg!zOVahlR9W1z!|6wK`dNSI8+*Nul_ibj4 zj?$pMl%wPVPXTP_hN3Lx=C3s9V{v?%^VyAf@Phbii{};5ji@%F@83gXQb7sn$ zR}BR2An)b#cppcQ6IwElJLlZ{4FC-SR}wFtn6oz@CX+T%!+w)2xVYV#DR2jQFWN0M zX=vqK>B6qYZ5NMzvy7RtDTxdu&Y$7Zu`&dBzxf8I1k# z<@&L2+5=VR;i2V$t-zp=hlS_8UqwH;6yo)$5?m7BR;bA#UN_)Tl~jG5?nAsP#X=c9 zhiM_Lh#{Mu2~Rgu?=9)b)6MEY4j$9hF<(N~{h{`aoxPG4MDm_b@?(7enR*zja)=k$ zGQ}D$s!k6P>ePvK1%# z$zbS?9BaAw#G5ha&z%b|zuAYUyU@sptuZZZzil=?xiMr%< zC2dA3eM{HWUc+-s8-wF*U$TeV(^+`Ut`sMfbMF?cbiy z8TQ!Xqq=|X&Gig>+_pm5?3Le-NEPiSqzR-2rVYjYE;QrpU|Q`ofx3&ia-Q+0gu&*@ zQ}Bspi5rtf%y-rWYhiR>hT^dj;(d_n-D%9H-@__6P4D}Spod>5z-L(IwFPygWakW# z9UGLL9P*yJvN6ie8RmQHL;HE#HsuG?y$Ib=92&mkE=7A_^p1PRJIV5NneHmB-`{w# zSl$Q)a5h5#*K81sGBf9lp~;5WLcG;XoV9N~R~+|IK47p&RX>6K#JTt6&rup??*Szz ztb1zIMY>?@WOL3qx(mv<{x`3-7cbJa@vyJJLtAKsSk}vN_qF>D@Ko3gE%7j>il-(j*nreB(EEB+#y?XWo%_hS7C7}9OiYgkmc zL&`LAVK0>qYc;H`wB7o|3Yn~o7Ql3V>y7sKek$A6`~tcQArEI(eFLo@ zGZmfvL9wbvCcQz=?Emu=ai~jKs(|~FwsUD*T+_k>VNFx3$?4&F21^{yw8UnzIYo$>2_6dK3YFOSVIod?JwDRWp};~!%8;_|6swxE7PjtF>Bj7 z-k0)0y!3mNBOfF))`qZdGJJv~9~3ixZty8$lj$c-#5U7U>=_xRpCk#q8TKpZFuaiL z#qzOp?A6Af(#FP8pNjjD<6La!vd(+R^XtA;(w7z{sULxHWx@mk%rCy18n_S;%4pz1sQ!`< z)`|-2r>#FenV#Ww8@-hj)RuVE78zV6Apc;x1Z9h5?PKh9c>aeh@h-x`De`w9XSclS z*U(!=<9*DV)+j~cNAm=>pcA+qT%eOB2CriYvx}<5^7eD><*{@Z|Fsv9|Ax~OiVS4Z z3Kk_r1$JX7@NK37i~WL|_2Uon(OYL~8Qp4FMlZfHXxCPzc6oe~Y^e>A;|UDC1FYSs zxdsDFeqHYuCBJ4))^3;F#xC4WxU~HZ9X0zzhJ z6duSSGSdUk7bKT4h2*G9@s&NW)F`y>(z50df;lb zEv#i?^c>Ej2QG?24_wW3L<0U)_C0b@yO-9TZ*40$Xowy&$v)>&)wmlslqMTwJJ%t7 zF%VF5z1~T#VQxd-{>9!=8Y;d^vtqG4XAAoc2+}vV73D59SGsYh(p{u+kTU~cf_JQz z;Z7lx>=b5_ox(t-cniO1f5`I)`b{t1EXuDQWYC?Ru?)9H@LnJu;HW%K>rM~{y|KOM zjmczqtS#CHH+0>JRou&Dd*%{hrwaRAOquJgV%jv;pD@60?kqm>cC}f}9?wvp1>8BP zKG_DVn9c0s*b;H47xsnqT@b4%CwnW-AhMspnN1^mD>>8c;C$x%IQ_B;4~LNL5@i+B z3|7(dKGP~z_<7sS=T{qB#s6k=G3<+EzdB5H&oHevJ2a1RT8+X2A6zo8`f(T1a|z<| z)}ac;MQhF2NJT?qbz$oI#^_kVpDyd;qt+-aQLnpb>}e}dwj?c7XRn*fSiQ@Zh{E2Q zev*a0GyN15`rh=DJhaC2lQi^C(@*NqFQ%VVp+W~j1Z=ItxqERsjh^<_E=lVobf}@{ zkfoSP&QLsKzIa;!Fgkm3`a&;CE2oOT08@wcvwc+`KuGz_+t>Xk%_LfWY{H4BVe~}w zWrSJ74yR!zM-WdV$YmLL8jaDF%)A+hdq(s$27DqfG}lc*Ph-F*=7ZYsY^|jqHrOlkqjzs@B$|0r4e_aVZbi%e zxclj0i9)Gh_^`yhRey)69xyEXu*62$^2sKj{IioxKP6_TntsYVu-^1j;=yC4pBf#^ zr9Oc+9C9d5fFZABzTh5`n0Fv|ats3XvpX( zcm*_6FuB;cp#dM6v)$3{?4Tg-A-bWoLu9u9<$KkEO)P)e`IBNMEqWD6xU2eOZ4g)} z3v|kYcdUjbiNgcKwfv80_)G^vWKxyg27Ux48gR=j{O?~9S0(}%&zEDmz2l=at#k#~inE0VZPwJI`XJvW(={iXy z&0pFM7ORBSn!(%z41w}Ku|MLGwX7fC8?J>7Q~Dn)nyjklQohwWC$$Pw$H|@T67V(1 zb^Xi?K2wU7IcjA>1=euJ&>(vkW%_l44-e$|`v; zTwvkO70>Kx}BBsG^ws_W9c zL)lZJFyTq;63NUZAE+laPfu#@do_}pXG|(TdE)eIVmy;V{1PfJJ^G{0ng#bBK_Cyr zA$24_murbcll)xr0VbW(-Q+9AajO}0E_6=M06GhpbY?7Vlv^}KXH4gS?0P!ejG|at z`(E`K$Z0dmh^1EM(fh86&l}OXJh-0D0oevR3k-A)$Y#u6V%N+pIr z&+mhIP4GL8J%x5;)Mbp$j*rmXQxUI9F=jw(X{RAD+_NOu**x5=JO16mNV!)gmh8|P0Eev>}2`JyUm&v z3_1_|El)4{tP#e=}+qs^fsd_rqzEMbLsu7)01Ij1P^h`IVv(2b_e%f|6 z@bid)PTS5*es;0^6thLMil&nmuEL$V_nJtqmou1H>h#^gdJRt0Yq0m9a&9tQyfN0` z7Ul`Bu8S|z8cYtISdOjNVDp0p4K5}c9EcXt{2)_WeeH_8`@B;Q za8VMDo;3Y#v0VOI(a93b>8T_ILqxh+erdB^vxXiLBv$wHjv?z`{`AVAK2OqGt?UIkKXWH&=_WhO}wbPSI*j9Je!b4LakN7AD@#Ud330pt%bB zv}ru+*~ zyiI{}+mIU?BdC;uXLzF>zs68#`06n4O&|Cref2IQsCtAiD-cA}d*fik%9!t%yl%lw z*>ze7Xos_CnC!B;FUMJ6$OZ}KG#@_ISJ~6}Q<+E9P4#X@L>pS1&wuP$`IZsU76pb> zEC1x*?MtWem(Mu@q>=^g;wkTVgKtskRgs+3Oo5c8MqNcQ5eQ^%}Qyti|K0*VIik4`({4UE{WN&F^hC`{^AgAh1)ZB67gS!V=R4|s5^pY&jQ!g`*L;e`f#=n2x~d2NC!L0!z+)cl%-Y^}*P3vnDveFa(b60>GTj2poJA zfe*Jp;Jbv3vDosYb%SPKQ%54OwkrZ(L157-1n$)mfL&iA@YV?kd>mU=&qv_8ZbH;kAt*uzM3G-Xl>EU2C9gZ8h&Pa4N(joasn|=#0D|Jt3Q^qdAWFby zg7T>cqS)*wC}XXVu!;zRGJ*4|<^=z5g2K8_dMP9*!M)OeOExD7%4vd9GfTMd@rI08 z(o0rr>?QS)c=)-l`PBqvkr(!obDp5|u*P0;qOq5d)tvwQae^P`h$!mk*bB#17*1sf$GvO*TP^aKc{FenS-H z1cLI3px8Vhy_8Ud%_D@JASfpZO6~7t_;CcKq!0F@eN1}!L{KW`BMQ5KpnT_yy~y5* zL(g>$SWQsk`x3%lkY0KSaQJ5zkX~*Olm!H(@;w>;L4p$LizvF^6q)hkxG@Cf*h1m) z#~TzC1jWq-dy#)6DAx%}Tt7r%eIUIYBPcnGu@^-(=M^w{CqZ%hn+(5-pv?12lg+-S zN<{O;ZP^?ND^VeeD=!(vQs#!e2m^>UYh{V^@>q(!M5Pdm=SxshcOr_WIYBu{P*MjV z3a1-Ei5o_Sznb(COHj`4BExqkD4z(5E)Y=y{zFiP4@VT6b)=Wg1VxvLDC{l-Wm-oh zEckmwQI01lRRl%5F|o(OaZB$KlmcR+*y^JBlzfMr1Mv>v4NE`uUIZhFFkPJVTpzIE)x{cfUUDt~*Q!TS8EJb-`YWLl8x2-{hy)vmA7`4zp#3MOWJMziXEi~& zIv!D^FUeT?wnW0}P7$M2LQt~0Az|{l5->^uhX_jC1Vl-zCMXM9WIZQC^nmkH}aUE)V@FzjW0oYOHgWWB8oDVpd`d1if9i( zkvfste~l=TNd)Dy4-)2fA5j9X5)|1yL=hh)DBB21Z58Qd7D0*WgD8a$3Ca_K5;PxC zFg$P-!ci*vC&CGIbnwYUzP2p<~ zRoxeXWu|4P454L)bwoz<{TcRI+VPXb*8}?G1bC-$?9HlNnZ$&KD0r3CY1QwME_>{2sY=)UiaY{yH-_c*v5E z86chie51?d>^50v-d$T4GRn4W!pBvEGTw_DIrvX53{0xM8xs|l z+@s62)mPt4SZ){ax%aPK<4O#_&GhQ#A(i|IlROgR@Z(unY{2n6+&O} zMjNwN><7uhAVIO0nIvBB+}7eYC)<9!JD20YpK8Z`^|MQBr_S8cEgCsjX%|rSt4yZU zWVf+D_pZs_&{4L96F=TBITETm*P0(C3ic?OXwCJpFY46je&-8weOm2sjt~^L`$M`= zZWmDfyA0k&&u(LJ?%l%!Vdh5``r6mZDU$|l4CcIH$6sm{ zC&&q9U2x&6ML_@A(Fv1BW!o3H^En>;QT%6KOW6+G&KB<$YFJ!OiNgri93D%Z+du0} zoZE#*(!DI!rFQ<0t^|C1yYzwuOVrKwb)@sv!(JuRS@P&*()l&gg!AR@W~`OpC!OA+ zeD{~thK?-R_%`OaN9R2K`~{A#2f zs4mI_KJHHOVUsSpxm^9hx1=%E#V2XP>x`i+(#6A+cjMNiu}K$Be(PNp);6KK_>@ss znm>u^;-%pEW#`Y0s4kYcUHG7DNp+#<|M7Ur1s2uCcUKSl)|pdXv>BH0d$~K+MeAkn zlyn!{eAc@xO5#&p1nsQTww+9MF}mqLRks)gSr| zbRt?K;EKkMD`m0l^ulP~0rkWj5WI0bD zoiQqBp*-R+`!r|$HmhPMS)#7Ez$_$dS>wF0X3dhy+qbt63>|E<^jNx(AMPQEyAhhG zOTTCqB3;oqFQ-Mbq>QfZEtKO1+iX9XF696s2FTLN9|gDysdB}QdmGAj=OfLj0{ z=>;v!gccq^3&){_nPG{ds!L`eS^y|+-7M*)7Z4c-MCzc0r$EDP0LZ>zIS>1D!uUt(b=nJA+nzv=ZQK4z^L{XKO6);j01op01yiRRaec*%K_jP09+mooIDI&L_rtj z08j(~Td$dgr~yFU4O*B0U7P`c`2g?+07?L$2mn+Y8|O*gfz^Ydg>%rwBIu$H06qgi zYLQup+or~OvhE-cliOQxt^%vq10TA2O5#GNChAIx%*w9-fXcI3(zIy+a1*-N0RVoU z5_|R3L{ZXpXkkm^yraFEC23{>Kp6m>hA!qp3snH11%N^X@M@M6G80(+82E4=02Tv4 z9duE59Ry|@2#gO*RxA)%i2?&%?DLd(kC>LI%f4Y&E&~9YJ}`=TFq>5b%fXVtBhWQBq{sbD{K^Iy8 zCMy9}}6js<|@&_dMoM3L^cSqN)y_7>NCJAo32h zuonP^&j426H4Bj+gvlBQB6k9Y83_Q7poKFqiaY>Fy$7s544j+@tUd>vj0b=Z08kAr zJe`p!Dgl5a0I+TnjN$?ixdeu3vqi{n*Gm#NcxIw5v(zjk{0K-?7;y3uw6GG0bld{7 z2>|nEf>hr(E4Mw`IL~t`5P2O&u^GDXf)-{2fEHRPx(`wf07s`aOG>#309nw*Flb>< zFNyu|S&1U`1GDnA$DxH8(84_cI0P+Bf)*}73+h=giic+99Z!PtMuO@+0zRAtfCT_h z3oX0@fEoaJ3jptD!ze0X6jz{&bpX)SOA#E1)O{U5_JLqW&?mWGEr0j0Gj|nJRd}^8oGD{0Ow&82>|dJ z0M4x z|LrsWR`d1W{>I;Q|L|Ld(Qjp}-uT!_#pN)}kl9#3K6I^1pyA94&qa>!JgPUs9|5WG zhX4ZH%))LuMGy{fAr2)8-xFX&^>rFQ{4M&czexyQ!dHKz@Kns-ikez*>;w*GG8VE? z2GmO5rb=X_tgh4}T_WVdL%L!vyH!2^RTTfHBMI%k!hg0U;eYB2AX;j|f3_vzzx?aJ z5&n~Tqw%8r9WhtmHrT+qK)2dw&~h4bPPq*JpgFhIrU0^hOwbetXg(8^PCBIK5k|%# zL$;bQIW_M(Ha_>Ozxfj;FZt?kjSLgeuualm{MYgRgB&H3L*wziEEbY9$o3I)@g&Ao z86~UdSn(TU=>JlJ z1$4D3045eR3Tc`zzSzvt%#%N&?<{d#zMhGJomV0gZ8pOn%9Zd3Ep0Rtk0p}n-h<32 zG-2W~ioyH7`WwaI{mkELIQ~(PC>*d)#yEFzh=n7{k3dd%A}93bPMoIz1G9cmvVp5_ zDb1@yOQgDtSbDDhEf;0MfuWF2_J%|puM4q2#W=EnRqzKrvf?Nqbg^eRq>Sl77Er_z zW2Acvu=;eQ*qQ@}iM@Zec*D{rdK5!KYJ?Bu$sM_HWIVc4q#TcxIBdlfV5fNaN0cT9 z(xCgY*#;_7x~3t2MMy>dY6cZGoV*y|Me=?oFHVXHFJ>Yy`Z9S@guKXN@_(`NZUH#u8U_^iHNg%7iX{ow*jr_&p7{+O$f^ywYJ&r- z5$0*d2vKi(*NX6Pdw#l2F6rmq#6zMlHen64_jIstILN-Q=?9#$uj?HC#q9K@83XM- z9PD4)RBlQ=pYu~@CYn-MQ9{^|rp{Z)97oIft7U$!t&m+2rM+8SetA~uSbib0{QOMo zMV8-rb@_QpoDYy`ZprcsI)w7`ZK3kz(~=aLO#o|YzLLItKwW-u+P-A}4fQ%&ru%JWLlQ zHJ8FnD`N|b+7|mNx1_Y!Xp10zo>%c@jXk#p+;>v#7R!*(N&Gyl3m-DN{W}h5T)qiV zH7h%|f)N^V@@GBwywAJ*t(7fqT)j}IT^uBnU_{umo<5zddTgB?Rb8mA`U zoF7{ce~d6ZMlaoB66&~Nbf|`&LO`eWutQ6Um zeP&*3(Pw4`v(G%jK2sL&l?TN$&!>3d<%pN8Z$}Z%lWKt=t!#Tu*0*Qcwer(CFzwd= zN;6)ixY)0f&9)=!TsHQrWYBBx{hFt2ez52^z^&a2Fq#NY!s9JAfrxEgUX`PYyTXN<1VZNH0bb$LoCnn^niTt)6}m)Z`XQU4{w#8;pSVZ`Pi zySH(G9$%Wl(Qu?qw1-AhPP( z8BmMtfk(^`Jly4-A{{-9z7Dv@w!*0OfG;wd77qlaze!2nMdH0|BMsRL-`)!k;%E7+ zhLpD-u3Tl{cv%qF4JC2xo@uZ+4pi@z5Ms3uBG?Cz7=g12;XNov2c|uKQ!(u$G4&(U zP&L!MCB}y7*rAucYA5bZUTaeecj{L7V2N^*xqgic#j)v0HXq_qtgH3bA=9$W)W<~9 za|CJS5UOd}Wu^^Kr{(KxKQv(<+31iYh0Dq{7Fsl!7S#oo!zIvhQ!%B8Qd|O;-DQp< zx@K|O)Cr4Mxo|_$ZpLUY@OeNCNf?fMoaE5q?)*JPjkXrYHDC?o- z_(R@F^^spo3g8Mc-N%+A>()krKVtXXlsv}D%-YR#TS6l=Dm&S;xP^gK;OF3TsTIK{qs zy^yx6BV%>L`}rRV407Da?<7q~t|5J3wvV|nwqH%Hamu%ebHtIw2^e2g(D>;Ane5v* z2iwKcMl{ulEK3qJ+)!F9#oZc{5bqpIcE z*Ka~rZd04i5-)~T;Mef(@6_;?3`2yAt=8aCWh3rTkQjS;YtWm<`@f5B$MZuwoV;A2 z4b12EUY9~!H#A=RopYg7Mh9jM$Jx5WaV3@%t_g{!C_aN3;?djnxthXLt z=|+(wkXnB4SZNH+g({4AxuZiEN`O6ngbR8b#k%q&#&x0=T2 z@cVuufaaI$5+%L@DdI*p;@<=@GUeR8Gt@ z<-)4vX@fE6qz034LX(*%|YLPPQ{_?BS!KW@F7Dl!S99=UL)K zOB;MAB(Z;6G&`oY-h<}{X6GRwEvlBQiV-d35r0~%6%s9oj;v`c{rm)+D38le1+7P!Sv>`b^ zsH7MuNwJg@iX^oZVyeFQmO-W5*Frge(FC>tURKT^cfhr`h>nyRr3zZ;Ro-VzXYQwv z4`EH)EBY(!gFqBh&+eupOcW;Ykg&|DmJt358gmq?pi zZkoMF6CPJ4-znj#;pOIABGQt|O_RJox!g3#`?r;wCKdVaa?_TGnz2Wnh90hJZP?*% zkFONp-RHR;+WYUK?{>s5xp<9d>AM9h2CICxjwtC{!gn$1RrzjeG<-L&(?FH)P8JI> z1;TfK1h}N{F8T#)YjV4oD+wzK<9%0`byArYp-ziC!-@WY@y+omcTFpVr@S}r#R>K` z3{NFieg`TA#noVVDzUOV{t)4*>7A#T6zTWX5fS?&Qq^#XAot$#$neNMmWO!<%j0Vf z^;BcwBk`iFN~G;@jzh?B=GPas5fyLv;Uhg)|LLpO4621=i#;Eu`|@=A)ai^cJSxB+ z>2{fD;`dy#R~gBYOsZPp0psvX_5c_{ieE*X7CwKE9p7q*WQ|`X)Kd^K0&zk?h|Wt2 z9$N-(phkP~& z=Lwn3yJ@8m08}!Ih+36;V(}xDW)2c&RtmOCy`wDH-Aqfj7JBHi5&2lB=rZbojd1|i z7EAm#f99%7awrjMU{X?zX& zE1w0i^~t-1hwdOAA{XNpXvy7NSM5Kbiq^gQ}tFzI?`PJ60vpBnS<3LEFFXq%u z&6rhH9rjuJHBQniFY$S|TTqszsn*5T{KlZaiW=m83gr|Y$T5b9mq-W=X=X|?r&8wN zk}_A;*k&a8oFBu9eoP=JxL<{V!!d!BC)Vfv*+%F@+GtQ`+UIE%H{ved-&=Ik^!X$L zqlpt*9o);!lI_SpGWkbM=PtPEcR(FgrB8{O#M1lz70TkM>{Zh33ep-tay=0%Po)wC z#Z@IdYF_awL2|tVEXi#OLX@)PwJE(B4%6r3VWltmq)Swgv3_WkmUq!5UCk(HlABLL-7z^Wkrbj&2CBN!<0E)B{MqN)sb_d?XT6=D9u``gnmGDmI|#2)?ra> zVD|w^YuS5#8a+N}7anH1g4|llP2_>z!dhvhl_O{=!@~nAOIm0sjGhwnY9i*k`=<|a zulY$fT32`PCRb{%Gwsi`O4?gm25ywx#-O^qvJTP_)AEO0-S#$Ohem z=#dHZ$PCUdg~z{X_@dZn8*~>w8=)X1pB3J@bmeo>zXJ`d^hNaRtcPtzM2{!+pCRH55sb_5S=6(T}%*!x=Hl%XK%{zN#e6q!Oq=W0?LM05(5L0cL9 zn?T3RCb~d{jyP#C!Zi0zH&e`!B$;Cd&5=Vdlg+WnI6->>=--;4E0B$sBBAdQEoQ$~ zw5B#=zM~fo+yyI4)omsR+L9dk1ks|@r9ao_}ext~b z)gq@$LZnlOe6`3j=OPQunLGlI7(q+4H(It<`l2MJ1&g$&unl9W#rfix=364IB^O*h z{RXpR*_n)4lfyz(_F?Rj{Gu&rC^hCQ-n_Cgx)6U@qJqaeJ5`xzR%!M|aP<5bq;-sw zslugaMQik`L07zv31=F^og(aL`5-_Kt6LFfQT&S(1lucX>-xs<6W#~k#Y3WRZC(9b zocoMzpOUlzABpem_NIs8znMN0=;7DszrhSwNFFW_l9k>Hne!-fOevW)Y=R5)cZ(qH zMHYb@-0Uq*jLJSzu<|<7a?4Mp?q2-X%iFtg=IN`*%F8b{MPJ;TN9}+QC9QL@IU=2w{hnZxckt6lHf2C{@`B zI~3|~P@l`x$D@=T3Rm7NwvmbRbl51(p(BP=Ny!{it>Ta>*F_AeTSe@=-?m<%V=*}4ieIWS9*SEkRyIA6!)F`nk#)o*5Mq4dE6IGGGRLW9 zejQ=arDDjNZ&D9=CG^tAKywCBtf3h4F0TBpYBbk)^acR@kASP5y-DejQE>f(v{n{5 zrwUri$S7E4Nte3-U^pClY6?b1HoZ79a^lEH(?E=jG@Upy(ljU@8C6)W9vS)f45jy^ z{R}F3aDXx+BfV<|y(jWlrV(Xr)~_lJzpEpC)>A=PeU_sb|DL&|Z-g#Z`l^vpXB&EQdZl$GTl!LR%@QEbWO zr_~bt%1`qZ#a+JcPsz`>MA|U>$6L5CwxY7CKdDjL5by)_q4Wvy&*%gX*(OOPptQ{s zs=Q>{O{#-o7 zSy@x~tK@e#z)kKRa@x9Ka%xxiy}dfkyBsP+eSZ9BTqbJE%S7{2=40BG#Cx8jN>HnH zU3i@E{#z<)eWr5CF{|ORdbpvBHzNl3zRj=i)O6tM`$v`G__aRV?xJsuL6HyFT;%Ay zu@{@Q^ac-JXf!Lu&6Hgqj>J$oMcho;;CJQoIt_X+5{(XPX2wfABOj}-l+V4zDXPS{iLee}JaVE+eCYlt+Al+)`ML`39*4uyU5}VNyZy5x}Vo+hE z-N$3xa{QXv?`QOPwezf?wm;Xh|27UN%;L3;%(Vk^4lapod0@1mqAL295Xa>jm;HG7 zgLRqNOvD*6H#4v(RwG>RM2nEc+SG@#$YcCq?Ur|V>(S*lJ;F zRv+J}(%H`PUWH1x#!YGe^c@GX5%rydM+_H~~HgRtMcw<@u1(?L6& zMTh2$*_XySsBtdl9rf3Eftqt=2o&EJw>)BIo1VD{9Ls_Pi()L%5u02E^<{$ zPqi87U<3c(b`h#a{FUzRf=Z1fV*Rx%2RU13}37X#w3az zLIvLu$EK+Cv^eKX3Tu)OgslP{DXH6XM^^s*msJvdQp;6(c7@F#=zp7npgk`U zv77yD6W(acPrJu7^9^;r@$R2)fg80Nr}~n`92Jf>DBWa4Hrau3oKLRsEToI-eaj`w zkqc9n_E>+y!c=4p{to?DA@9l6ZoF<*l<_w$ZibN|_W_7ThboWuEh1p*LZY zh{0Unx#e+7P?9nj?u!ijZ5cipKr>m3>h$KzqRxL00km+}61N6z7CWvyq%CIwWnV}5)Og{^iG^#?P;T1T@&AdZ8S;|QEX_J@qvH}^t-+5#w7@)(< z9Hl=fa+Fri1a}4)Rm+e?|94ihTZGTpOjF#YWDoFQAa@%>)OG{L?dN?$==mya-*TPJ zQd-4#(JY0=I)^B6iXPeBkzaR$UH0ePJb|#HLmSb}Za*3Hx5mS4;}xut?p2E%eD`s2 zN%YpZp$7N6afi9q0 z@33~goW>2srf55j%6y4^Md2mUdrocz1tO1Gw}Df8X97<)k*5g8loek#4vcagEl^-dWpc5lYD8t0)DKd;CCvgU%Z0phw`-iP}vXrI_+~Qotv>}Mnr767q45VeHu#Co9!r>S7-x>uykyG;6i!0C_uzE&P9tXyE9(=37awS+F!kS`qTs%?`=MHQ>SoH_OV3W~)+jU*|opo$m zVUs2OZ-l`*zKaoUg-wO{LkfemX{4K#2OAT2xIG(G)52| zp&}~n&%S(V?0iiqZk|VM`-9DY;G0VvSEn@bPUXit1~6QZ(xf~75DO_=Hr;?Ng0d{a z549inc@E}Dw;^AyFM`n-TMJ7 z8KzM^fNP4Fl@n^7{CDte6#-U`?yX&Pu8#L8;jtaht7l(lkUu<#zH>P%ui%^IIxxQ_`86e=g zKCtInPv>+GaJc*+PH6!PzVu?2=j7lfbC4HSiWc{S7BR1h=%o&MfB7z7-Ip>ceNX17 z5j0uaUE~U`uIdKDmkDEqliEPl;$u-Z!`cdigmG@#wk{B3%h#1LqL4e-@O75}^QEUC zyLA*{ZD;;}^OS8}P*YgqZz^TPL&Q=Zu*(Q-z5yTL3u08l+w})!xEXO?Y#%G)cuBq9 zscEajYGCBxV8eWIkieiZxba@{^c~aCacFH>c!?8L1H(SOgc;t@sDtFfla>GC43)PD z$3xd0whO6sN>gJ1P$@e^pFTG3q|wFP$-LO-Gf{qHm7cY)psRaJx&boXiai=*Pr>H; zS{hiZg==ce&mBWJa@*05gHSETibVk!IAWvgY!5Gv^x9&iCy#=g4c-b-G9B^Ie*>r( zjHcQ|>ioDZ(B}mPii!1>JLC80Y}Md0rQZq+nf0%Qv0xrCQ+^$h+Z?mAfkWe!!S3m^ zms#f`PU4{zkqaE_GK`ka0`2qaN&<-mNYo%cq50e91TO}SAG$)JpgSihSWW=sUrgba z3vQ5xRPy{OqbC30{0WC)dmI(n(8ykeVme%f*}4id*K zRC9~0eqtYQLkvcJuANloELGZha~^k8%A`z(dY{kYLaT`?np>&nK5YlrYhIQJm*ss9 zlqGVDcCr)`+3y@;vj3MW4OgmQmS<|4=vOP(troOeXtHnE!(Qw9LEY7ne)u=D>FUTg zaT(TmUhf~4_jUp${b9K@ixb3_V~Im+m6#n?(FOdSrA*4~@3OP7gBMlEhaKQRC(psk z3$q_6wdR@xYDdsx*%71zWuwL&woaqA?5%&B(s&`J=jZ3= zWii172Sc=^sdqc%cHOSz&XaOKCdeS?HqG#$s%xO?SoT4&$793rWn-I+xnH6^+nZy2 zSv)psFnN*aTJn%T;~jP_SSL=p&$i}Ob7$o8a`PzuwD-anpcoWEFTe2NiYLmX@VeN| zZpj1@b7!zt-yA%!<6rSi$Yim-j__Fg19K-TxnrcDP0m)wr1`b}0%qx>}9!AJzD2R2enW z-VdN_{f2%qvo`Dn?d+@Wy|=;0Q{?O9Tz#&e!ugCQ2Q~663D*#xPR=?r=YB< zTFQwZ_ZNG&!&uECRB@Djoy2C@TT&*aO*(x?>_3k<4Px3p5JfJd`F~M4Z9P1Sb*L^j z=9R9lvTGcVr%gRq;t?}Xw&~I`uHnqE`hRqdWdH5RZx(DDmx@01&Oh3xF6H@0gtn4w z+>_4W)aZx38RI)+To)N?|Hnz)>x(!kThiaQ2%?#SXcL*JUHB^4?vr?5hQ6$4;cD3# zQ0e1_){q0VeOfq)n$V?i1J*GPB;d%7+(BPDM0F!qQ{6RIb%PM&(0VdDdvPAl=Csxu z$D`A|G#bC|L>*(cVM}}p zv>h>BdH&D=t!~Pkz!hgU55&Kr%dd-n?=Rbdf2ZbDyJ*9?*;?PqmpxQW0(D~tqQ%Yg(%O!Lg=)XhZho{d!_#;d_>9BqVA6V%jo{rXbZl0@c-L0efOV(8?# z29#n*S^-<%QJT8?mZi2ak16Z4DZMr~xA}ZmJ$XJ`-u3D;JLu|xm zM~8fEM;IWfLkj{-lxPnCO)$Q?6+pp(|zn!cP(ZrST4_1}~x{t1^V zyr+oHw^qI~fGDagw#y!2%NB=C%3>tBT56FNMo4hyUgF!OBqFsSr~};Nw^2Yzc#H)d0*h>uK&=(GU&{UjJZ$5IBI~B+breo!`z;7 zZg~s>Ri*jPLRSnva6;mAC+ZUq>lUvL{F}y&H>nKLXg@C1^SYt9FbLvB^A21Ce)SL( z(>1(ke#_q4iaW3TXW13xkKBld?x@^$T4#1+7Ww^}h=iE7s0^*0{d7>#T6LrTmYSaA zO4r!**f+PoJy>h5gn#c2)<)ysdOr`+F5|zWOxhKdmejdnTqK>IT&nHFx-PffuYFx- z(+}U2@?!n#Lli7{6ZxM23)%IbpB_qCxmbowN)wv9`6K$%6M979XG#O-A3l+Vltwd0 zI>R7U%X4(usJgcn8a0AJQ^ly_So-rJDx-?q`z51#lrgH_A%e#ZpGqMvqub zrC@EID$O^BGeD5Ls{#6(wVsvl(41&^;y1IT)MHlv=vh=moWIX&Z}m3R@5SMz>vyjS zACf^FO=KEl?bzhjp-Su;VY|OowsT7!N!t~Qy0}8I-I(Y_WLZet1-DU3ZDo~bRM2J{ z9x?p9uUt62aum)SHF7U=Q)y;Us#eN_n?}{Y0nVSR#QM?Jk(;JUndZ<<)f{sAUa~nH zsCcv4BwAvC?dtS1 zsOC{g-8E||qA_Y*4J#rg8l#|O#s%F6VL}tWbVaGAEU?dqMke%;!l)uNYhHYcc5TX$ zvu8)euKF5&WNGsi9x?4zb`8v@f-$S9N0d@N=EXxB3GB81Y3J6Ln>UA$KIifI9MN9) zDAQgCsoLwRW!mdF&rhl3Q0kIaTFJ2vBlxg75pZEF9Sq#vm+}uN zU|6v)-s!)=Js-Fer$u@$PlonWL^@sREA9a_36ZABBE9dyVetG)*qERlvhwqBo!6!X z)=mq2C;wWD{DkKD3ERhvNg5OXxvzGJ{^8h=wGlmQyG&1SH}X!($=63KBrK1d=DXV! zOXj~nRRuimyL^*N`7Cux%{q{9O-fJ%?_GHZCmRNeWuB&*S-iWA(>DM9c<7HqDS1wF zKdu#DwV`DSRhEhOe~3c!xmC=drGLHi8Gk_0KRQ4-*yK;kkJ!CD(saAHn~JHtgEk2z z^UPwKSi9k5iQr`tETE}d+VCSzw<1Uj$0!}+5O3_5vGbWjky`d zY?q2Nv9?ebVWVV|t~&pnV$xNvD;Li4c(D<+6ALHlw6!+NHW_o7>S;fqdikmnU0y|G z;(!>L6kl)K>k&&-+*uI;)iL0+?baRdQ`Hvi&gp@xFC%73H8a5EuF=7Gdp~UN}Z|CsgA!W=Iho1L16Xy zPxw7LP~m)9;+%RFXzfBPXX!v|MBo+0-Zs7eWTE7gO#1Wu>x7zaHDUSdhSB?P9 zYA;BSc&s8VZAGg41Bn#S9%4fjTX2-b>UW@Y((WQFEQqYCqAoDS4Gz;c3Apl zZ-L)c4D5sXtm~tBdd&>68Wwv9uBNeX6^2mT;@AiDJ8?d+`FHjzj|mT>NwmZ9&^NU1 zCxM)EnnTV5YB_ECzGR^lP)<{Qx$1>6(Ai2YXY|EmG!Pd(eNtsPUq@){+kj%4nxdyf zkvnVOhRPHr&0DJ}E|(}yA;mPAqR{-Fr1?Z>9$T|m^X$(g%{x-_?9UX<+mz5e<$%!q zV`|TL{h%YPY&RICwR1U9xZ9m8EXCYh5DkilWxDe z=hxQ&|KaU-&lE3?@B1$enXp7nS{y*zcJVlgY!Qm$?2Rbm6#IE%qv;AyRjKV1vYSUy z_BOKYq5g)x-05@c%S_a@b3wY9yx8;QkOFZ|+hWv(n0Q|t=a*>79~yN-`2jba?SJ1s zEa|c^tg4&&=Dw&a6Um~T%wnl^=U$5!tS(76@W@=Q>eIf-5}7`cG6ieishjczR%1K& zdaAU|N2~HAseUW9%$2pwtYp(X5+bw>sSH1DsjYX*7n&>|mZ7v7Ht)Zt-QxZRac(*) zv85~h3qf=TiC&fpMjXYkdH*dlrAnEUfrzeUB!_Id)WCm*N`q9}Z~1Bx9*ExtYfRJW z;p1m5cDIJ|+s!|zL_fwh8w;TBXDH+zz~d7L+-bxmVE4`+FOb(C#3f+a>t^Q3mw+W+ zuezwXH4J{gK3(l7MNBQN*R_i|G}cbZCE#$kBGRjJ>1xbxDAw~{mdJXzLoGYO6(y%_ zq#dWrs=HvGi*7z!9F5Z6>sqg%x-K{I@Lx%nM*9}(+v-=G>kb*6P9q0taA9Lm`iGIV z;IdO^p}@w5GHBUp{v0k{?a2uEA1A~htn(8ps5!GarnV9X z^P(Ns0@ALU8Kovi1VTGs2cgLC@rdz4+{e0ar}@WF=*NB)eTrps*e(=WK-mnX6*_rl z90_nIsQV0+LJr&4(h6ZxCS@wsAdzR9!}FNSgc<;O#>G|y^HcxL9*dH6uW zxO8(j2heWYLiknWk*&DP?R=JpLcPJpk4U{Ksb|R45nfoCNRck7s^8@u!nA);^E=k+ zS6q5C4;PzDXIQ611};AknZyamJF4<9ZBL}!=P9?hDoE?UF^uAPQ=Gh-N_psK_T6CR z{J(6Tdg(^eOJsLxVr{luq*mo`sZ~)v!nhkwl`g_K_s*|XdE%TesEg+@JYqUqJu%1{ z8`Ex@NBKM`UvfXlH>^Tegb|K7Y%d+RX|QQ5krRYr2NcI`qK^a$s5-VhS1`;I47p!P zeHWbnCgbU&V5aM!vg-XE3n(0~QF4ExvTEG+zi8E4w5n;9;^qFE&ch<%b0|w>6O~ox zdfmkLuJ3o!C<4x2iLe=5#=MPwOWB#Sl-jw#=g?@Ek zGGVGJJeDi@=x?-Uq1u|8@6ej5!bcmcthsQ;U2w<~n$1?V8PA(ddmNfO5rbFky)}}7NGLaK4-_{ zz0L&>_d(;7ZpCBACiC&q8N#5D_b zj6ZUa4~*>-hb6DKxy`L@K(1&57XF7Ae_)vyzxgY1ilr$h_?|;cHM&8~Zrd;cA7f#R z-!xz%_e!W@{9C2mCW3tB-2XAg|I7QOWBj^)@$8V&@8RLUM|K74CIOWz{MQ)2etqYg z$e)~zN6d&)u3-K;OUDG=5EL8Y&QUwqeyJLzl~QhBMv8PFTXW0%49YBct@Xw@rsRT` z_nPmJRl5QY{W0s1R@10VgjcoTB{pc4jPTBhor3%^wefI2iJejzE0Nz8X6q{!a^D9=p=$^Yety zV@FDf@mEgYMWIYcLiF8o(zvO3Ng&O$pc(Iu45{@dUC+>O(rC*iO(ka^EQ1<57`0h? ztg(1I=Ng}(%EpA{8vim6TQX2%S3|W?{jyW|0urB7n(O7WQ)$(s z&!LSYJE?4Z=$^uP|Npdc*b8CfL{QQ1`+w@9%dv66Ss}YOWq(!L#z(z8J2GJ+Y8`BB zH!xjg;|QrKRNFYG1xLT`52tZD$k+_yexb)lb@JT&yZB*qr_V&eefy@*<^ex*dVfw_Om3S!k zZEhPx6>cxD zfwFlsdDd6)Od0CKS6ih_O6)xOBObZ&NuY@eyp$+M%*17k+=g-?S-Vgb<=ENiB3@HCpoa9Sa}Qp+Px1p4~QtoN9#ChOy6NhDBj>Fc5zuQ zcS7Vl<19BRMh^Z#wH0^rK~WwQ#{UOvdB~$oo8gU6KYYY(8TeisPb>bgy9NUt9qi69 z&@tyL2D8KwKP>K?UwW0)$6{BtOu=*bH*^!;q4YMiowX|9QoNrI2;?w=`4xeLVfEmUQ7{%T*oN@ZCksaCGq z4)?N^ID?4TJXhw(pu9kSV@opQ$L?XW&FhyrAZXyBcyT~bV=vCddS5xOIQIRkJPNP> zmNFEUcCte=d+m`D;7IRV7~Xc@G|X5;^acaPo+vUe)yDzW$Au(2U!1Nx^iQBzlJEa?_|TEy=c{LIJ{}VbR6rH+l0d% zjv#lY$nAqoNn8%n91@?4u>^FKcg%)1UoZ9v4x6|KW325tIMyl8*|&Z_Q8c*>efv(f zHn~Z|c#a4k!Zc$$zG~;P-GGHE-j$3S~_WFrEyz_ zt4@C=Ii(a=BU$C>FZ+|$L8^Sew3Fm5CO5O-7NRP|=nr`pm!6@1pM)P2FR3!}Fl%8U z3pl8w%1h=vV4bs2vdmaW7R|-0|LFNoZ`=kj?`J$>Hp(OY&;M(KV}oG7-GB}%OZ|R@ zvTM`u&`&O9snc_x(o(6E$DdxCS!r^EktHm(qu5eta8?N)h|f^@+`NCNk%qr zU2^A?Y3jfHleYSuwmORaUt-KIyVAoKmq=hQ9{M1afm3Q0GSe?oCdI(XBk$3`33$Bq zP#HL8-ikl*DwN`A)dB5?YkFBZN81=PICU@WqVjw?Xm1BU)dhT?KrBs! z%D57xBw<{cQfTCS#H*hU9?Qe+)_ApPQpPCQ+h+|r2@$W3-5iTkFBmX~-NE_W5(kjC z9v2}eal4)GG^>udI^k+Bex>5x_Ijvx|JEr)aM*9Ro9f8Xzg~A~6?$aMF{?8o^z*Da zVwxq+Ag8qvv95e{AmJA54K6XSdQwr$dgp?QdtrzYfJocR#>Sn)!|(|kF#1lj3AeP3!^sy&g*wk427nLJH3q%7)t7q2qz;1P3NH7_XG z#fD5b3lH~KD#_B@42>;^hzK*3CGuzi>CTghB#fJ5HvAA_b2Wwme=&@W5te z>9!CtfnvI{v!?GAwth&n<-=?!5Ziwcw+W5K0WBGnBY_?hR3I0Kbg(keG~4GAMDTON0!>nJ&47|K-G0mO?rW z7#Suzn>9pU*oNB^+<&87X`;*{!=ACsW7kK)dqZlCOlFyvG@Z*XVLDn!ceJ$WvUsCi zc#bHpFjbkmOu9<}_=vfvDs$ucg?zOL5BI*~6>rqE6(>7iQ8I>WBjTh+cd~RP0UM9@ zsxjB-KSgWL#wZEfLdEn$ifehh+QX`<#RR9sSFpIjn{lo59U)3zn3;8tvKLbJ;F+Z? zW!T3q#{D_vu?R~A&-8OvTPj1b)FNY00V;iZGcSxuWgjrM{Rf%d`+!V1_fU&UiT0uD zK6c7!N@8CeQYL2guN5tpT*qisHFU!ad zU!P!RhFA25t-}f^Vuh;bc-JIF+5zeFSXM+P)A2jO@GQxEqw4stxeep1Hc}>~;}0IQ z5RT)MLyb($6^&w6Jmo0TK#jCZRT_0X^)p^&3OV2Y&pBS`V-7)d>+x_m$}8FbeZJwX zEi>fm`u7PC@c#i1eO{>{mu_V?!by_p&5t<uQYR;YSV-d*s{{E@tWDaAWx4#-QgIi9de|>E3`oO% z>8oLufOaaU4-rn!YvSI|aM%-v0xATl&D~I1FlC z*BK~LSqgRDCdpK=y}!+|pRp;R*M&#Lo8MyA#d8O>2Q8TSs?@M9IOIOjX}Tmsrb@sV(vFN#*7{-UTMt{P7~c_Bs&;N%>nd{{cCFt=_zH zBZ*hpNxSWGFYq3^NPc3@uI|je*j;kph2!%uHL29=LX>VKl_is!D{jS06}RFoGh(UV zsf)N3FUOO+tsko|44kvSI?UtFjqBk_vdelc=iR`Oy)WW2zIu`5Ip;F&=nIPT=kZ!g z{9lTnd5&)Z-|&g1pN0BZz4g8qPQ^NP`+_&|nYf`ock2^e&}V*!R|ER3R9`gMc@j^5 z1wY0^_qtTCy!FSvpdDVoCfzq&8TPE~{1;n|WS$m4CZ$(?*m4P9ZNkI-xvE!AX(tv2 zFH*_C&FuJWHrOr1aPn$CZiK^X`s{mqQCmOXqTGO}?;;8I1hisg!7vKFbLIq8>l6#s z5`=;+(}yo25+32rX@YHNHxxs1}N9-3IW> zk0ar(;Y~Rfi&Wd9i&-!b1}woq*dk_s83yfYfwnuZu`9(utbdAY=aUzzjNN50>tL`j zgu7aUmaK!i!(@=I+7gh~ZvJ{%N{tgkS|?YiN?hMn7*-Ts%$rgruKnrB4#9|;NF#ag z87fmhlT5vtJd0#gZ`&&F8;(coCv9HM&*wdqMxHW=`uynF5P!h zU3K?$W3H^4Z%UbzNO!{e%6v5i51-w+s)lo7TZrew>F(Q9A2ggTZ92+r>O>JvvWO%< zRhzowLcpD}^!M=9wzBja^OZ!u1d-dXkl?{bW(fs`4%%9lNaq(U0|CS~)X`fymRet! zKCvSkD4u9}o9<_Aps>CWJY=X18nd{os?T#xpV;9mQKCn!-C$_r5bo|R`HeP-ppZMa zm|&;4D=FOJC@m7S)CPzTv{~&HKd}>KU{CkfB4?4i^ldl#Ka~;`L-yX8NB83S?YHKN zszThcmOZZjT|D%y+be~*ZT&ZV^%WjIX{thupV)L&+j&Nke$^M~UyIrPLnqg5n$7SZzBZ_6h_0-&|3BTy6J_N+h+ATzQy9 zbeQ{XO+Fr%YivgCd0O9ofzpHSR&j4@<&Givt+hJ{-SSlV>gfTA?oQIpk?G1E@t&b< zO8j|shQ5)?RtIXcsn&ljWl|je!bA~C<2un>lgc4`?@AUkVBwI*R6~(HOUS@1thA+g zr0{eG1eETDm@~If+4(rK)oq|-uHfN*P2L+IhT#9{i?Ziyu6ZE_6fw%7|QMLqBR`b!u2;tH{@mG%BWDpKk93il*Vi-x<|(zin@$a}^tnX1Hy{lkc1txTIcM zYg4_#tQ%e_e(3{-+kfbA?UQqH74Q5zxz+;DpzW>9-nNeqj0=j1*!Z1u0l~rUM_*p| zijLkkd-#$Bf{oQ|x=g7x%kSQiR+)hUTye*r-#I_5LdrczIiU|J*Kh>bqXEHFdpI3y zrQJwy`YKXhP!-^ep#U{0T&-nsr9fK!9MRD_*jA%=*i1^HxSw@O1iDN8KKe?{<0R(<6vS`kDP?7iS2Nh1KHPVY&LRUpLTr}K% zpUAR$9Jxfc(l#sl&UscXa>+TzEdCP(m%w(+lD>glmfRIt(#MM|-GyL9B8%n%vuIFXUAGVNT!Ns*;iWueP-k!6L%N$~Rl*n@VQ;%bJ)+TQgS(?^mmOhV!#YT!O{kkwq+zpZCTIGhv zZ+hj=BA4Xvkwqi^3--`xygO+$)olNCsc5Uw=WL5eYZ3{yT#BvxpLpF z-eiAwWr;Z8xWZKkE+XT;;GHT4U88gOB4h|bPDx{rP>oH|sX?AV%f0VyN|)R3ZwMY*<^IMe z2M6qny4L0OO`pr_hS<*CKL6q1-EOZwfBR}$wq^F4m*M+klb(d_jrn|7&w|;9n@mDp zO}E>b2S*RB620k3P=7nxzu)-L*EZx_IMQN zo3zQnzJXiPkFlOF`WTvK1lc9etM9fvD57(VC0E*ISZvM1dK%{)t~ok;cm1Glx2x?R zGqg(TrYCvV_l=&@t-A9dLtxwVO-}YL+;)46UTJ5p;cOaj=Q+Q%+fh)Eg!~N(8s;CK zJv-ZJ%ilqUr5T!;A+8yZAB_CNwZ)Q~t1^P@&92%f7lKUY45#`pjRpq*>|N7u*=P){ zt2}I7FYKrO&l+nYrY|$iewH+U+PyXvG|S(QKD^w_DdUbu^k&jr?w3k9_j$vO5~V z;z_-*4?cKiFR>_0nm_*wxE@)Y)7}1M)lnP4;&%7^k(-Y?YXplz-_ zy_CX=5{n=GUi#UMl~{DDdboGe($ZyJWJ3>azEqH*&4 zZO^sx=mwzPS3f7+Y;y>A}>`KI85-y?0kqI2TnO}ht2%#OIX zvBFVpz^m$(x=Hu8YyQ+M?x+pZrMT2i>SDb8QN~@Hvv-`{R5ra1GtP^+vCHwIwt5}c z<@K=JD(Jb!^&{OjUCOP_9^H($uV*~8Ir~%Pp?Z_YP{X{4c?Y8|Xaf@J?yi?~^>NS( z&5KF8Bwf=_yJ)%@uYVl**yiDLr?Gm|fe$_AN8C78;kvf^50!H3CS9Et@dORXXN?;> z`1kMU(sDzBhjXX4zNw+3qYT@!ZG!_pb3AFNSuNVPH2@<3IGo@SI1PYX09*zj^)tt) z#Q@~BZ|tC*2*4!(cC__%`)Ev5(68CH8CzC3`i-ko&G!8^jU8%C?dP)dHURTMVcwW1 zQ{-vejC-Kas9v?`on0F{nCJF$S@JT$BcK3)d!TRzfb}aKqlUOwi+1v9>@ajuKbPIF z0eAzz8vqvP*e2JH2cUj6+ebYbJFJNXz;&HRU@I@*l%TOuLD@N=5Dys|0MH8*KJVw! zydD7EynIs^jg2xbJ7b$sdle`&28;dxtOtb->pTLc0&s^E&e$ezA)pB;3;>Hw0CWR| zg4nP1X>;#LU0PF#Uk>jFFXU^INC#(U57S(Le4Q=djWlujB`w?Jq z3=|fDLgYEy45u$0PkOYj79BhS6pjEed!2`K>vo_J5*3tj-Zmq49Sqg3n(d?znED3* zHUKcaov+({0DkxZrrrP+ovKBjo!Z#p>TSqy6f(?h=bQ38B+6g`g@@}L{SJ0QaZhXP zFyt-(Cm=&O0LJlAro}(nW;_F+QD?9SYwY0q0DwyXqyP{Az&-$80dNI?b2FjEBb4Sf z0QLhg5rEqORM_C?x426++ZMB7s3)Ls2Y}-Mqyw<*C);2L0KW367Tr7?EZ%^^zX1FV zz(W8o0Fba5YWje}B4`0X^Ec4qH2_5bMCRHiS50>G>*)tIqXBU2@6x>bdXKiXVPXTxafL&iuSPei^00sf@6#)G{ zi83W$v`rrL6=VS5>>2=C01ybkJ^;o7Z~=gC0a*M#D16!2A+vRVmnDfX)G+`S0Pq@s z3fmo{TnC_LC4z!?f0vds)_XYr+TJ(y{3lU{#7nlpeYQKEOafriMpV5n{atp?TJI6? z1b{oBkZ}nfwH?L%0i1R+O0z2{%md&BSUd*c_9ffolK`v%pyCviW_M6n2tW}4MF2!z zMp^8D9R{JW02uNfD69gYNeADQK@+1)djOaSzzYE02f(!-C?o*j1;7LVt^;rqfUgF_ z?aAWf{w_NY0}uwlZvdRRVw)Vh3zqy4EzF+A4r_;l!gny#Yyb)-qJ;tAA^_O{oZSl+ z6JW^;0Bi-I&!niJHCIuZdtk|rV9A33OakC%0QLe91VA;28jRBSGOP0CNHO3xMAN$OYi5pHZ57Ap-zsj{&d%fPVn^3xIq8t^n{Y0E;FSH2Q8UNGAAUl2u&KX`eK*Lk z2o&Z`fluB*_5a@Sq{~zk_bs$hhv2j`K;d^#C_a%Vwd z4Op}UU?cz;0DN)C(QnA70Q?3PKf(?h0qEMvH+8{Ov~ss?GpZf|g;_AvV*qY|!a>L| z1%O+maN9O{Jpr>p;R#sW0pJ)YEC7o)07Tvag=1hb4}j;O@DMDn0I(YrMofz`WdrcV zF;JKf7Jmcq1Qc$A#WBh-4HWL$Cf7dUc+$8C#a)EfJ_V-!3l#DJm#AOAz`xt+ufBgcf$0bW{d^X=Gl~O zG^h<|3s?%N4FPOO3ot$B3Tk$r0k)p73xrJuEZ`7f9|KmS4X8b=N!dOJtm{X;j*gu4&gId5Cz*>F_*xF%$HE#vjS8D+qeGUdWHV!Zgna%)g z=V8J=2Gfdd%;=QA1neGQLH_`j;@1waEu?l4uq&Ss)`8U4L$--O0Cp$@)PevD*iYCE zQkz1ioe0}NYJizu6P8YD_sH}aVRK2X8<}pRY(J6Ocrd+3*fUalMWzc0^C7j(VEPa+ zLm^%>~T98>n5~1lVUEKsLLbpjPz?U@Inq+JFwAmO^Ta(53DsKAfX9{Ad}6H~a8o z34R2AOUxH|bC8%-c=H`GtMTSAG58U9gqSsWbAp()7?S;pAI(3d0EZ~&$B=W)H#E-A zD3L>xAm<$l@RYE5)cP1;k4DmldjY!vSkMc=Qj8rj8uzS&f{O>N#u*qVc`T@n`xdai z*8$rx8L$z61sVX`odDR7A3*I~2&k>uPilafUIUhTlCaT$HQx`|mFtvk3hTvpfDO6{ zYWt>u+8x5Clj&i=uHGhW8mMJ|Pd6a!AHY)I5Vi{~f3HsXu?_f^L(#;gP>jpSICK|U z#jE(S_7UY_WBwTO=i$dy{IG3t6*Bxm;{HS^t>@J{F z@WKznW&9Y#HxJO!e1spSW78ms1p)*-rC$Glo$G6GIlBk~FFpZ*FB1QUfQ4XSh94=f zh<{53T`EHc2OXv!(X{Hx4BKGuJm9|yg#!n8L$8IHWd!0!K$~}<;0e5GiyzHvBjeRk zcvA;IhE&!;i}rYf9~e@?qy?D}-f0E&It3Y;{{ouJPT6L3#t+ku>5#b>W-j~iBjpac zY~y5yT*5$nNoUYpTNgAReGV-So(8Fhr0@^%J>Jz6Zzfn|ScTZKS$sdb0b}IWg(-t| zWhqbRh1GGN1HS=fxQB=8lXH2xwoFScKh40j-Q}mXVVd}ids&(yEwTK3?I3_p{;z6T zZW(%x#R}T3vtwrC(h_{E499p{^uArZ`{cBH?tS2s*5jdFhDYhIgcU_{Go4<15?-9J z#jPFhtkHGCC$1{a0!^zSY@CEb&&fREE*|oy$vO=I`-F;hZq?N!y5K^D;MP|a?lDcX z$lXBhndNa`8YOnJ?4m&u#eNa)9dpK&JBOWTD=Su8Oe`jUQA|zBDJD;+PQ^tLTB?{l zo!T>PIZawNts~QpmY*i_WvSCbuh>Df<6(2~p$sGR&aYV8qAVW8RX7hG`aLAMO(ftpmQ)^r$*9_j`60N-+q2dw>cE!N{1S;XXCAw+d=jL**sk1AhIf{a(tHWA&=yw5V$&zPtQ1kxzUCxJ*93ADM4|x%s5D z1LdauH-3_w`^wMvUu3B;fcl9~JIiu9cXIezgDdImZUkVn)P84u6*OfN+VM6&CqFOz z4$@vxT=l5LSy0F=$5l_axXg-cVrfVxzHt(7uSZMiq`@5<{5I@DO99%KoJ5lM81d)H zcJ|^=qx7d=L*D$q*Q3u^DYdrZ+in z%(m3X+Gs!psO2)7FDDw0I<}MBrOM-Iy&`&^i4VD5lB;ProG9xf>)ZAmn_FNt&oEo#eB-7nR)%R z{%N^s{vVY|Gt~-k!<9>qyyxjO$D%B8D6glT*WFP%EJ;w0&5%Z$s1h`Ha4)mBH^%L35>TI$O2h2j27nC!{s$blPB>C+x`K%;StMUDb#gE5AF4=ef zOr;N)7gC8ZJmk@VvFBo(#LoHrD=cYec)VdY6S4)`T72;O$1O^?>8YPWhPSvsEtHSa z?)fZTu;BhcJ#T2Ddp5Lp#pSL8yL>L{*@!>U*k2y-wL;WaBKny0r1QD#I#6%M(zHGQ z2!t$d&i5e8<;oPXrv@VS{G+8xvzG;As|_dH1uD%9)@n6f&uhDVipU~e$c>EvAtstD zO)^)7FP%_bpQz3Ck3@7SiBh3rbM>OREQ-18o+#$BsLhq3pH8;X+*9JheIK&95)Nv) zSL28oMJ1Q$bARD^ubE@%D}b$?VtS}~rk-cfQU}CyP!zG-pY>*S;EZ6y>31tWGF+H6@NL;3sU_RFi(vP+#O^(-KOHB)Qij)_$Fv+W^%zGRVS1Hnzr)`(OmcwviY-yMns4j`k7KgProm8 zH*Q5{t=O_=-O%*h>0~nGT>Mxqry+xKYQ>ARx`QU~*TqQzFS5p|25b_!AEq9VT@oQXd^*KUri{^*}Z?#rXLaGn&fDSh@%5RkjEEG*1|56OAMe z2wDphtckO{sy6#9pg3C5PQoikY7sRq?- zN5)W`k2*2Rkp9;U{)yhoqB(&e%>@Ypq@RW3%XAb&h#E$Xqa3$+0<|)OKQ|Ji2#PM-OU7mOs-h<0-cwROwe4;~p^;sO%hjbn2ve>zm%N_W2B?LY;Dr9tY zjl7*?NK1;b24g3j<7BJa4ICi7fa&TRM4Z1YG_c zeE%s}N6~a`m*qbGlIzXb6A3s5R+;5dQbgz9sCHrUS@H1|-q4D*B@>$CJ6`SId_dEt zAr+AO$q#yfKwet!+{Rqg;L&@x)$m`9O{`ao5vER%ZM5LUsqYeX@hPN!N1*nXrsby2 zndp!aA0WVMDsb&Kc7$JNu%Z5auz}NUVkXmlG1a2U$DX{Q2Bj@V2`1hrrHQ0;t*Erh zy_}lM+)1!<*kM|w4uGK*#qt4zl7(b;=xBwc>)&8n5}3~C2z;GOw>BN?zWy+Lu*XH@ zH89=U4E)Xi@xR$le~wUO0tNO-#jTf{I^)ZQ>g|7E*2fNmtgkQ#+Z`cm%_z=_d67s@ zrbrE%H>moMJ`M~dd;CdW`L5`^7m+n1vQ2;6gTJLe{x@1t%251P<^J?vm}qAXOt9b@ zokit>f3$-?c%&bO?E_630v%_XJTG_zuXH|ar^-T3$atl!wV;Ech*xow-?rm#;gA3A zJeGr4#c#2RuUAooj}12AEn(Ts@N&0fQ;cxs{g7&xHAa9}t%957@>+Q`{?g&inqY{< z)TbY0f?2T!YiD*{+e;ILlt@dZA)p_ogyk_QQJPwkKy4x$jKa`zT%I}nF?^#ri(zl_ z+wX{3@#Fcv#orRYd_13PZ`lxeK_xA9?gy_;PNQ{2asQ`HAQ1FT8z-bt8*1}8i zau*3N2GXssMi}jst_Zes(Kn~|Nkf^mg@UbfWf->3${E6AaA95UVnHD~3+a{y2*s^S z)*|L{3tZq`M16|W+u$2bLuajjIdbg6URlN4U2nj>Kj+5_f6dwylr?r9{I(5#t1_pz z@B%O)5DqS#| zFs+@RO)nStM5o&8-K80^7r}3g5LWaH*b5kZ<5jT-JURhSt05iyo8bn83LZ>@wWpXe zEg+_spQ^nfn{kvdan1z`RV{#L|BI?(F3lAw; z7*28MZ$5}?(oKAHzL;bl2Ek%YnEMH>#nEq{1E1ozS#Hs?K*&NLclG*Ku(`Nt(S%yu zrfPl)2F^J?Li5|yZ3qUs4Dqc7RZRjtZ;9Jnlv$1-3q>vK3tEmOeO4e){x*u_%G)isp#fcggO#Q zhnyoJ+p4fP)uRthe>;!Ag+BhbbX-pfSNs-h2=7Mg_7%@V8-*7+PlJ52h6O=`zP72` zP%J&y)wayikDzM7SU&3sR?N1xhfIr^Z0@Am9-o>DBWP79uvfX~(C@Iv)M+6O-Hq2V z9STdgLAIVuP<4)jCrY7At=ILw{jCg}-l>b`)NxA$)Gx5qQ^8uFKy z;Pa0zs&wpMLsv_M@7Z_IJR3>-8Kg;m#wNP-l4`MvE0bd%ur6 zM#4MjkFW0XVe84$uKoUL=-|+Zs;<8K!Bo7^kr zd`at%dDsHW5(W0XMGZH0`Zy29}Pot`Yr>OT|ZM(Y(dqH!$CRTWOI=5H90InVO z-$K#LQKKLPymIAq*^An64b`mz!G%4pLAW&OGAckIJ3wT`wMh1@M0PSkZ}}1$%PX${ zR1z8~`^klHbfH*6L)Du0+Fp4{CM#uRdNK{}eUT1YcIvT%uvi}2_jhayVoH+;agRmVF(@?aUH2p_VRY@mP; zqSG*aU{AmCH`g8LHC^#LO%7H_4z20Nq6>-7$-yddosh*M9>xlP#Xu%9s^&I;`;vyL|*w_zeSlDof4As#ynni4nia5KyV0~rMu z>`*2^GfRUe=Qr^KA$B<=%ZjQsa8&`uPUS&cKNH`R$#e&ZjPNmVvNowWuTb8+q0p}1b6xGF(hEEgAn;)+CZx`SjLi8H`K zEV0$<4${lz9jMAM1ZLN4JAJ$e*74tIpP~*Uc&QOA)ouXGW0C+?3X|pgIhrl$QTZWQ z9+L#HN*S3uVT#KqnCC{rGq|ZdAq;|)O8XF%>9gggQPCUkR$xuTmj;E9wBZ%#YTP71 zPSs6~=nVNeLk7EnWfP?b8?`}mU+Itg*fmehfvbAP(JsQvqN`V^=_k@{s!>!qA>N(V zqwEoge05vg%gI%^Q&5iS#!|#va)-CafPU1ZvvIg%gmJ0rWDvIJyP|JFjHV77l9~Gk zBj&-~A*9n@6tebbvd-`~24X(9E&u^mPZ0o4IZkznEGp&}856q#z%H*Lzy$=b`9lF< zQ@^DMa2gtF=SGBwtp{%-rXaxm2;e9Y;Ov%EENU>D3u#!40P7K8OFIO3JOb=8T?Dvp zA~ihRb8(B~E---S%K&F8-1&@(PdKGj2Pc59^jnU0SEAkiIso`*0ytmv?KY#yKpMSH zYA4V^cv<0<4Y#KV8LTU${>^v_C=DGYRr;4OWQC$ckN%`J9VLcyiPhDJR=`vlt)(Jb zlNIF&_qZRyyh}NG=5UpYgczh#TW=zKwGFov{h2WQ-tj2Uyoq`Wd zXWqI(x?t;iQx1NO=+378ZzG5$=uS0vhj$4zEomp}9@>2u=a1v<(4(wc4lNB%CbTJ? z@}oZZOzff~ttU@*)lG#zl4F;^AJaONw)|0_?DFLHkqhDc)l0Fna)F{l3R3Kji$`+L zQv731+#38N-&RySI5f=E>{=TXsO>N!Ca=}Uci>P+a9~I1oMCb`cjaWNDo`l($w!{< z^gZwVLIXInD)mjDb;z=zvW34Gr5hm_M6QTS>2F|jX<0C~p}@ExrwNK8Uc*$S`l zcIye_-N5swg>G=*euO?7ua60DDfGDIv}0v$s$erJ(6nS$i3(_iB%rART8OG{s(=>C z%*EUT=>Br>zG<=hODUI$iu>~RFb>;;Q7mBr18GHzyfC(GY+=p58vql7v0@1uG@HzK zL-UXGjDD_3hZ^z~DK?V({dg7^`#}~bzld4v>5pflGDIWd29l>`=&6kx5pHViT~*rF z*l>D?jk2Z{p*9dvyUX7Jz4BRXl-blrm^7$zoY*Kwun4q97pl1ntc3N!Mj7tFKB7n* zAB22E(F`T9hf40iFuon$ci+FOFhaPSMh4A6gVOklK_w1g&M5liz+H%AA{kL583EIg z@ZoUPVo7?W+;gf2@52PM;61^ic#H#wd!cmpEkHyfX(K77i;pt6;-O=yJP{HvQExdx zL}GRx89~9Ua5hwPRAs4JSz!C=`F`NfB(Y1I<`&|*B%)tk#_@Q(Mm(Bnh zElSK`5@(@An=DbUjRuLv4+Y4Jm_$2Dbmx5gXVEs4nB#u%*?lN75+#>b}0Yh>T6f3%b=SnDR z8048W9AJ>o7u~7cNE^Nj5ujS`4lH_Vy8%G?B0v#C4x{vXly2qH89)m~iB(MEB9!Qm zC8~5iKw_aN(ZnPgajn6wl(<-wSR>eYmrER)ZbJN)%J>B+delqhn6lxe@Sz#Y@jKHE zQ}zuRze*9miXr*vVHJAli-$%miBAhzeI}Bv`S&t1z@s@>F+oEC|a6@$i;F?SuA`- z>(bsM>+mgAffjDv$po8jAV^FVB?d8xR+MN~O6(#^OlK0w-)t^XiUrM@!fjYSBE&*k zdc6u`;fp?L@UR}u9Viwq7-lin-UZ?+`ytAH;7Y zw@sLPvED>6_X@dn7t_K0EPN!sBBcZLtGVGLpx1sy9C)1giJ~(Ur3Z59H1N10_D`iu zqAyC+Op_(%8$qISHdaUyHN#LM9>buu0g|YgjfMP1Hj>0dF41W4!tN_bsHKr&{xy6} z_?D71N;})4_sgGcX-s;j@1^yiB7)oK2ZE z#;*erzeI{>EXS`sb*;-~{KCYpA>?l};unh^CcfT?AC6|u2wg*!h~MqeKO=q?Jnp1_ zTEdTYt(%0d;cYP;O5Q(?<>)%5!+D+#DQ(thK+l^&!qVmMv&n~%Alq$)cu+ zy6+=kTzJ;;Hthn2RfKeZ8~6zWO}lpQEHlIGiuGcl@Z+_W=mY{pKc>Ntib-Q!;0^Sn z3jMHgKlr4v>YJT8><4*DQ~IFpi4kbnYPkMfAk1${n6@FXDPeX)Q^G8S-xt$CGgFv_ z-Jyfl27*DUjc{}zj*c_(EG#bY7xbmDQGr!m(Y^$?!9M>Urj(G~GYarkF>eaXy1IvS z#>)L$P;Qth#U8h5i0`Vz=NJqqt`t|7@39b#MF-dL5W%*aJMMO+PlbhEC}8R;5cta( zVb!*lrNA?A_9U=@rvU7d>2Y()^yUQGjc6VRopILF!eVhQ^UPci>Qvr5+g`V}X$}hN z&`2H$2UGAjVv~eRO1L@>C&h-qTE*=*!{pV;kVoWrC_0`vmpeX-tzlOL?nMEl&(|B) zV}3~f8@ECNW`c!$_ME~b*f4IzjS{NdtK4uoFlNvuJUoz5)VL1=4=E0tq>b9udUC6Q zleE9@h1CW4_x>LEx9=qF&@BG4tTZ#7(egu#EZhY?Gk+$Xng-#XEo5MtJ2CZUOma>H zjJbw6VMXMO;0ZUrw8d7IoeCl5PwO#xoCsY5;X5}c!a7a}gM$_iAYgWJ6Cg-XYvuv7 z(~~mwB|^B;?Uc$Cu59ir>{1xva!=(h#S=TQkyK;2iswt=($ls=rMRsS#}qrL4RFP< zN)|8%x>Ch9N{?lTq~;~`?Eo*7wC@oi?t6r|l43hXIdOqgo@^R(9T$|c5Z!7-w@;#H zQV>!p_A0WNmk%(f3b>a%qCHte1JRCyLPWFd6wybFNsj2IF*$81X%`5kqGw63E*Sa( z;iYguIN))F&o(7=@*QjG={1kl~s2iUK@-!Fo_WN4?59tF&?vTQOG>$f!^A=Cu2Racc#&`zf?@r1~Sls zNfOEp&La zWF;bG0S4;4DQ%71qG~%r7Di*?F0>T!oM=QP^Oj;;%Z->e2)(VMxx|aOvT{bTvND94 z7|WAFj%24a9Lo*Ev51BCAvTF4*N`@u)EQFFEtXthW4SZ5vcgvV>qK{Q4cD>8l~eD~ zNy-2Ya2+d*vk+ioDFA$u09GuDI2g@9q)CDU2~wwFJ%Fz^5XXWcZe(5PIRH3uB?p*R z){Wxm=!&7X(IS6tQFS;@HrQa9?L#OA%f>Kj?<3HNbZ*47$?{;C_92vmOXEVGRs#b8|Tg= z=ODn>2w=q$$aY3E8fmQDx04Cs+DO=NRxW|O@GGja;p=DYT$MYO5ycWn7Lzy%B^Gdr zLs!Z}V?s+gG+t1GXeGV|A8rngR{O1(jx;o8Xeo!rK1UF(SPJ-Rj+Ray8Z)$%L*o~2 zp$istLEo7dBwFZWLt_?v<Q;?^fef6~VEfRR9 zWrPu)FAWXL9OHRjgXS!A*Ve1Fd95P-wSI6&p#Hls7`MYojDLHE;%QYnwt_n#v-Ruw zMv|tTAtp`Tm3Ho6lz^Kc0ors==;&b2V=n#zeJt*SAP;njpI1$&z+cm@?%nkrb23hYf4JcSB03!5sSbD&4K0vLpU z(Gxb9e8pv!g0?bIIh`JaF>+SZ;{}JB%U%q^(>QQ!07iTMpF*Qmtd*(9`=a^u3_>Ue zm94%h*2+qlVtU)rd9HY92P$#$dQ|d@;(RubJWX7Ho(`3aP_?H+2|ch63Af|&nn_$< z3+YR!IS94)c%xx+(ZB03uQkoBNrB<3v;;(|HnevuuN(WL%~ z(haLn`T{QfF$-l(Vl_(CY?CEM`h!H}LRl?I)T~B{baW06(L83MY&h9S5)-*ZqoMml zkVnTB*xIDx*h22hRFvo?qN?sv+}YYBJGQ{q*%ikY@;6hhx<)Ns2{}8qz_wi!#}3>K3 z92`HkHd!gJttk&O+^azkizx-xaC_L=Bpqasb_&I{wbIci5kLK9_|Rla-m>v5JIEmI z6pEwAidXR66M>a?fXBC&ln%TLsoz}n5a4)#c2}}vlyBPsITytS^~2_Qz-(9#A8xz2 zr7T&+N?96Mgw};$h7ZlBax7LmK%#QX+t&ppYBs=!+irzKKT%@HSdvKoCUS{VEND6= z?Nf9U3u)Tqa`fpT`h@2bg>_(U(h<)`=?#zsKx)_kR`f zOQd+_bNtvk@ep~PSh?%{(gpO;iuffSXvFV-?s^AI8iM#)(KchFZU1}MyYi{8F&+BC zM_?^a2iWy)IRMU`Dt5giCJjaD^(fuSrL%#DVuDx2B=$pz4q2kU% z(XN!J*!8XvB;Mr`rER}R8NVR0PL;m=GqpC1r>218N85hMLf4R?s0EXTqlZ-#+kR5E zux&q+u(!qg0i(x- z;^;B8-8UGWc_@85mrmP$!862x+ia4UND^yhiAKXnkhoZsXl4>)P-2!s;u(d+If9Kh zc*?SEzca7PTiE3yesNPqA%3YCPaDUNb**8-KE-kozpM|*!`Wz00k?;ZW~yZT*scPf zqb>N8%HvkV&%teDUF+L&I&2cVhL9O1e2#(c=SnP;(jm6i@(ONpw3{p+ez`Iw9DQJS zO!b#?03KzCc7ch~nKwJa<_68O*o^3Qif6`YT!E&8F))Q{@YBZn3G=2EbCAifjWNmdrm9yjV9qVZh&ay5v$?pbk%)|qqT64dn3cY< z5f!*!YoeelDqvS(H3$>4st>(UC*3urR1kp*@X54CHiZI;oujD&%7es@Q5q@;Jw@}X zdBgU~$F^4zO)+`-zzG`No5^Uo3O9reg#gVmq$k}61~Ue- zkHKrZ;S?Z|xg1v-mQ8NsRUQ-DW}c4)4%9I0^dV==L0@UFS&yoR17Qw23WTm+RY16q z2(J*x@T|W%=xgmY2P3qKh@@{JgYa?Bz-*2PuNu2wrAG2GdeKXGZ*6*eVp_wPWG}*| z&&G7D$Bm17Uvy4Qy>&`#SWk49A!W*nx4=7ZL-7n2$m2OJA9j%XJr_lYMyN2^J|}Kvcs3n z6nu&b3b_JUOPuJu!&f?=9QVfsJWV-wTw0XRo&FpOAbqNVu)}v)I}_ixso3GuEg%UN zYK)B%s@&7tV}0d2d}>d4VBW>29XvRu>A2;`H=_RNIfvG_;He^gO7O0t;K7|I(!y1# zDz7McaOX+*M=IN6(Zy`V1g8yn!&gFrTPZc@FofH4LTcDpYT&_&z)DE)*k{j7hX+-w zroJGzT#p%477G!~@PSgx%>*i9v9~FTFoj^9IO@wMy4sHVbPFX6e zO#2=QVXG0oB$cmyg(|nc&Q$6%pQeX$naXo-Lz=6q(E&^5(-?f_jrG%~5rdNn{@L{W z%|Ymio5w)#jnm-yJbx+IJZ5HLt1X0&N8v-9!!h+bM8b_;MR@)zxv6{1+>1=Lj7d(I zkkuP+fgJbW;3M%ODCf20c5ujF6jOx|yze2fx~Y(@D8$?-B(K#1i?#}m^+oIC*Uc(b zIW-`Unad&@*&A&*LLJQKAlvONA!$qgMNZypY9BqLRfG0f216^{NY6dgdezA2`ORF7 zY(!&)a|m=&Cv?WE*e&ji0K`38VE0nH^=FwUXeK=uMm%O`#O=(OdX#EKu&PwrTQro~ z5d?VxP{iUJD;X5}7rPz}@^+u^c(Jb0HkxI?#wv>K5NPlsnxu?W(KWB#q(RqG0?4{NW~)5hRae6Am5ka z%>(<|=gOwkFjM@1Fz_xng{!;w9M&+VZjBI_nx}sS)7|c%{UwBjS^EcK;gUK5+CMxp zZ$>Ow<`U7-*@jjZ z*E(g+m6@MnX4hlT{fEn$ow7C)lQQ;qViGd@;c~<(@f3Wx?GlW?JGI9~=+vEsVATt4 zDue(}{DKQ9A5akFgAY`BLmibmotf%JR;H8A}`rQBEoW>KZdK`4#Gc>|+Oyg6FISo3*m#J$nj zEN*PN7N2?ui<$;6ZD*I$%!vY?aJoSA3|x)Re=A+d+=X5vm{=GAhZ0$}~EVpYhsvvfo9s_G2EFpx^Ez zB)?%Hrg8=hg|Bn1P1~uv?&+Trg$u$plONd2BW_ZuFO1Gi(YA)SQH6n^Ko9>>Dpv?BB%#IQ> z+q(4@w&*zE4lLn#z^Lg@7&XO3O_D(f*>;^E#-;DX1_cP)y`W}66+O2W(2hPT6sE!p zB>gRvZs*bo?K4{=Ao}dq=j~g+heAm=7M|JqoRAbt&Ji9Lf!*znga;peJ$2TJXPMUJXFoQ?C$YLbgPlgaJ- zAYRh~Os9wV|8Xu}iT(+7rh$Wx{NdmoKV0oqcL5G%DWLm!BPya-&TF1fD9Vtan`@88 z3>KSl<_qY&20LE2Zv4suDTApaqGlY0&6r(f9HD5&pKe8+W^~TrD(Qs8THp)yLRt8C zM-j?6gLLbqP(+0vno5CsBS=uBr?pQ~;B{uOKYEouW)LNk^T?%=;9U>8|2zlN) zL?sd1ncGlBB&4wig`CD7EaZx@N6LYd=p0>7Q`|-l`8%p6)$!P01V56yjZ`S&)zO%M zudt628*`0!132zh4NQS|6(LU+8gqtRfsz%Cxv<+Q;56VGf8*QUA-B@TYz0NpV#iYd z5h=o}xC2x9NGLdBa6OIYz%3`*T|dtN*5FAj$MO(6>X6)5`*_Tv;Fsz9;xW%p;ArBILY4=2##S%Fg=d zs8dLfdEz!-5s9XqHQj}%?5s~*L7jHgY4DLle#j1rf)yR&^>wF^2*-hLixi5&qzbe;%fW{3|D9{Mot89AST742%g*>9%Vc^k6)1fd3=js=V zV7L<+c43{5=VJI%(D>N5ej6!C=mGVZH;V`D@tJRp-#B@C8**y* z6#BbQVuup-bTDc7ec6bs(ISoBkgo#A}759(;;$yKZi=RRFTkRSoFMHo* zHk=V{ct|!R27nEaSpq-VpoqZSQK~ zBCF*6&k2k@h%vE(Gr}^epAKwjTwk$iAr<-hivDWQt5HYckYw(%&=0rvh4qy#-jf5P zTEbg?)@r}cxNtgEI+=g==D9B8o$@fh>It+Zt&fn0eWTI2#IECoJUsg|)RxAKgu zVu`iu7mB}ecO@|Nu#jT;uao!>QG8yj<$B#ih(yB=9&(5(mK;Z}L5F#W8m%qb@~pyw zi)evfsj(|2^<>ltv5Z{;Ko(4Zdlq@x^qWeaJjcCur^&}v?Rt6sT*xeJ-hE2Ww7HyGli3=&;iWwSyfoBI-6+o&Ck!W%sL&w6?xXE$qEx5D~GPJN`xk;~^ z{io|fmzJ8Yi4$~7eLqombVNH`|MIe(hI#gnMIAhsIVK%4PqD(Z`ra~sT@P=&DOG#O z_OZGTyeZ{e2mLbtNr&u{tZ-GU?yc%qKnuJgMfaAm63*qm%KlCMUQ2%`FQYR(ibE7p=@P4dYhQ)P!3(?i*(-({_-m`9`jaO@LTt zh*oNwSeen0j9FmitlY|sH`<_;Q8s4fpjpmV#=Yf_Ru-!92!%X>;#Rs}aJDk*4N?_b z%~kz#E3FK&ICt2_Q*=(&i*9ITjAJxK{G|ub3n(I9@fKYhQfb0t8BMJ`cgoqy!j7#` z;#+#I>YrO#wu4w##B$aSd$Frc-_}LWz4~-WSL9l( zyR7B2_^Mf&79zo=iGj$Os4EA%_I zU{_J?9hy0(WpV4+;1%rdVdI!i%>`YTKh5Zr1QG}kUh7uxowdq+qDXZeO%HYT6E4Ve zbUdw7{C#n4@|dwgH?ulAP5Jk~5Pwb2q)OPJ{Bzw3*q~%RMV0&cj%?TP9g7ant4O{3 zl@%L0r#aiU=IL3x`~}-mF8l3P{(Tc|4R?Kp?ckYKICmOrkD(pKJ& z?BaN8v)$zE^N1gb*=I?3k++5hp%0NO1)q?Y*8Yhm7+rhN&LZsi-uAf&=@7PPgOCo_ ziAe9-lM}^iu0o7BC}-`uRqn!m$L?!rwV`J=x0(lQ_Z>>>UfBREM=mTr94)pcj1%Iq z`)Rx;#n2lGp=`IR#YQ|>DBBr3sVp3{PL%3}`$Q_+*qy=vsBAslkmt8(o8ZHZ2k5B7 zwXfs@yAscDQARB+{L|$7Y83Xfv~23PXrs(pdLtPx5K*=C=k9On@O2Z6ekCHCYXB#T z)hvrwV6(nJWP1h}*Yt$WU zO@MfX+k(YKSmOUAt8iAeakr<4VSzz9M|-?mx{MF zu*H5F0m5Q`<|=Ib3x!VLN-0Xz4A0;;O{Sw%1rb2QmV{Hcb%iBiH6BS)_8&Fmj$wEf zXXSp@gp{JpVGfowrN22_nf|d4T6xpLt(1C4sbRHU<80-%JLLBWD_2Ep2+2*Xq%~lr zl{cP7V~R%quV6#3c3Z>SuvL9qNAp;^tDKK6Yi54BK4~Vz4TogB;cQyFcb(g8VLT61$w@PX zR&;NOtM8Sma(5o`aK{g$N?01KRYCu#9P->xA+N_aaIf>4Tc8Hmt59j^oa-NWSz@cr z%{#SNYaFw{Jn>}c)T_2mON^fvJ$uvy_Gi7jL^dvW?cVlnE!-<<#!?FU#~OtTb92}3 z_5~_=<)ZF#k6O)K!G*tvxIR!#mt81ji0O$7-o`MdN5Msy=PxzT!)R3(e*}4dlV zIy!g4w0YKJx|G};Q|X5;9v~fNKUF&(AinxlII~%qvX;KA+3^r;f&IA^R=8jhW}=sh zE>U`})~zCf`iqZi3GOYLr~vz5=s2=MmtES&xl^2TO{z?DWtOTVd8+gy5hR>R?^qDOf6DR!N!7GBQF zQL}{`shz%5dCsY}`+L@&o=_>6p-wdQLaT>{p&79TbAlQN?r&Wy$ASCy(>-9|o_w)g zo=z2#A8sKME091xlhv+;h9kk;D$h@T^Ak<^Il*~}tT8oD$0v*j4OAMfVV=GV|d|6aJ)RS%%Q?)%k$J%TTXfk*6ML;b}us~XEhQKG3gh9Sb^O(}U`NSBldrAQs` zA)6cGrag$}rYEoD{?RLGjXMpptSgeNIRRW&s3)CIseKKUv!hqWPG}RoPuHckuYhud zC!O{PZ~u$rD73oQe}^%5+MCbID*V=|`=Gh= zti^~0#J9i6PoC@w!MM=LlYsb^vZEs9CqD%+EvrFy{qdkeakGH>wdj! zj(_OH;}(Bc*Pab!y>eW8!-#C9_}65AC2O5-m#t-%zU6)!vd+pp-D7T+qsxA4_Z*n` zY8QB#u~nRnb=@Nk$L41wOmv0e*gW>{l$q?`b8q0kylY+NN!L*6pT6f*k98fjClQL8 z;59-`Smx=#)?fv4x!v0%tcbW~X3c-76`6dRw4&vnb;xIb`aVx&wuFp{454<9#nvDH zFNg{+CRGu1OIPAdM`IXiP`ZrE!+~*T8F-m(I1pU6Bq{VH9)RV?)qTRPkwqQiTO`97eA-DbzZ>3g9(?Dv4Y!6n^3U$4;*HBx@ z3u%(J#?EeoX%w296Eusu*vOHTH)2rpXVL9shJ|GuW;V3D#DZ$Ohcm@mF{rmUsPQzC z+tDD{OQs%_jd5A=rl3;sR%vO?FJ264*(?hNwRm9_*LE_&k<9W&C|m*Wnn=ZGCZ&pZ zSWpu@)0(7O*tY?gJis!?>}N+WEc$Zf`j6?tlwtjN>x8D)|G+|!0B;ooVtloHVLbJSQY&eV#% z-YG^G+X)XBiK)YiMFG_pWwu@MEJ4x6PEk%QRP+CLwhhz%f!UT7@{v=v1-)k)4?^8) zGG|k^m8d&3DQrJ`mZM^Or}+xSnNnf1!z~y%q7YlgHBURTRCkJTVv%i)r~Zj;m8}r_ zr{UVas2!GWlWc{?w;~ivLd6Q9!!DKR%5}I&EU(qp?_ljUK9;cvRU~AD_6~}+r#Q1T zRuMLgU{yphj8MNHG#Y{WGc3As7%`@EZPVH&(cO#SbgZTE+SWrVheE{-c;7^#@0*-h z>%=1WPH8mOVW-FxW1R+1b^}$HOey|+iBK1)jd}nfaFpUi4Q{$}{R9=qi?-I! zc6}21jfgsAm+KL5M_GlFI4WyH;u33N5Fgnz0DYpmdzJ8;UM#k)voi|D-d$ z@_~9Bc;q&4z=FnRaJpX!USXpc#@?3bhK%8KtQpWS76#z`%NdK%3_Lamk?5hboLJ;$ z5Fj*zU1Bq^M_MudhWBcC{KvK_^u$XFBjQ`g!-$XxTDlXkGWDS3ISeZcB2`$wE)Ij4 zSYE5W;jREN8{+CylArm+=CfP1m0u&T>Dc}?SNy6v126oyhh^^dbuA2Y-Ov+~SmiH| zqBd=2bv5EDcZLu8Y9j4uswU&^5Aq`5rp;<9!=tY*fQewy^AohNNsx1Cpe!&`q8R== z7YOSu1HEuO9<=If8jts~jDaxN-wcqsayJK&+!4)SgGVuQu8XAG12q}OA7wLgHy084 ztY(YknRDsOTDs>f?TG?mlsv-AhNUI!FR5pMpRXQ&)jY-K^f1GDQjQ;%d{_rNY?}KDj_;4;YL1W`5nGCgeBogs zIZ|HJ=3_((zBobk-1g*OP3rmfIdpAd@ir?5B(iOj^#$E@E!{X*_~K{0D9xjZuk-qX zO4}7*U7xI|;S0Pf5m{Athg`#xl1DeG;W3O(-~Bc($n@ZXa<}jyw%z8uB z95Z^A__2dqJNfB#NQ{%?#WLQErTx>Qe+9jQzGt>wB9}4UOfB8Gmo~0U zC}XR=8W3WAFF#tpOnBXb2-UtJOl&Itr6y^+yTCCVs-bLqFkW1dxjV;3asM=pTc1w| zWv-A{WY~z8J&rDR^&xYaWf>d3kQ~7gZ_x+NBi7+<8u9u|z6X3{B5U_0 zaBJ-|vG4|8rlAl$9av9`3baHOd|6yn*yClyd-|-sdc(4mbco*J@)9&77sc#lFQaqx z>Lbyqs2Qo_g#|<1d~G;}r*Pa^-i&rpGqO;BPR)o7Ygsc=3~O_ue9wU)nj9O46K*{5 z9)!(EF|4&pbO%Z}9W^61tmVzt|y%{B!bU#Vt@g-a& zEO~UcGP+2aEPUE~7k(F4-&2$*Ge2ZXlnBmKG!|m6N_SMoZW0)w-S)l0MIi zo~yC;?gL3L3pM@#{KW^eL8zXd8>`wmTQ(A3v3 zlI}iL^ytUDwj(^Y-Xl3YQeRxUQ2QxnN!2(su(PEkFpav=tyuS)@IL9;A*h7a%_2l@a0FFAyY#GL*!zQC(E*I#YoWHg2fS z4SM{*s0h2cGYS|#_sT&S{rk;!f-z;W7T;hWc=t;*BCmPl*2$G^rW`c+^8s(kSpn2} zaON;D?d*BMPPv>qoUxi(WbRHmqj+)V#3E$rMJVok^XXJw@0Xu_cpcl0X zZ%M6?i%pBRk=OzY*yn#XRA;S@2h`|16c6xEURH+MTqo2@S8C~^R!hqt1RQ?yDnN2e zzqtYqY0(bSa{~1M4#$6Qf`jgDZ4BaI9sdKzfyxXWapUDVY^Rz$sr!U`Vy>fyx7ijq zm1njQA4H=Z#X+uGW|_U;LMKOb@scCsf28m)=ju#5)jKToB?qI{D<=X4$RV~w`*#2B zTOmC_+Fnc#8!wXoRlj6usRG z=*!-6dy96O2%g(*VRu$BU$HWUn$Joo(E65#9ZdpV=ao-YreB;hKC85gFf=9OtAs$; zc@tGMfV zv|Z+W{#K=RX>!W4ge6C)Sl&P*kDAF?ExRN zaNbYg;S9a`kFy<45Tgy&{;Qm#nevWyF`9h->?O+feAr~#dLULerH}t%-}2M?FH{Fl zcRc@&d&}6)WeGp%^5Ex8_<4FC{o!!Ri^W3V)upwX&l*s88%+->**h zN$gwM43FEeBhHgsy&c-J1so`xyqx_zZK3pUH2%xWP(ySqW=-)aGk=*XkJuxx^XP?s zK$ehX4bh=Up7@0sw$pidZhx$P1HN?YZiuI)*yi_|v^wKlJtgOt>0HgTv|%J2;nUYe z`{RLD;Rv7KCqX2%=Tc}b)SyCX5Z3gtZ_^{m>2t}c#4oeCHttkc?|O79big~{6zry` zKWUO4>$NK|Mg`?~l1yHm<>!4jq*AjIPbl^9u12Ab0S9=qqK6op9vGuFW5qd=(hb^p z)Mjf{=alogpA^kSPK&?zzsOUiqZxO%(dv zz*Q2cY;mh8s7+5$m&~BEy1gJ2 z`T}fFxGu$x(uIa?ZPwTG2qG$W@R_@UNJ`)zjZ%Eve{PHKLD{qj-enK#tLfuoAZ3&% zc1C#9)z$jJ)!e%;(>7||L3)ADlk)JPNRmF{$u&~EV8p!$A2m@NR1SGxn~4smZ@lZ2 zMHRb>j+-~$6$<1i@0{7zfq9Vrua{>>!^+W_1MMvMV)&wsuq1`Gxw@zWOjg10+9>lb zP?Z_IO@1Com!aK-s{Q|RE-vZvJsIKe`f*WOce$MiS zkxdQHS{k%AxpKxB)WL+et%=V*g>2RCL0_{s-gkmEY)=u{F((i0h&A*v&BLYGGyLWm zW8=*;0Ao_L`yOW)OSiJ=O+o9wWf+e?%jg6cbssT0+XPO>VKnVA!B7Y8T>eRb0?27n zl0V^Z0mixBWoLhE5MVUz2?EwfZn1wTdFwqv&*L$i=Uq8lHRKl0R(iooX0-G3V{C~) z#89_QyALrenb6>bVbLDbXl=}sYXQJMMc%wWvd+Wv$&V6$FkhBC7$7BI7Z9~HTmZ>` z17%?4ga*Oa7;k)r^^tAxZK2l!q3;D%l_({iEO%n8K}P)$WGo+0pU|%g9?wx+qy!q# zj6mySd?^~CjdQZ@uK^@W_RNe5G#AkhXf(?rn?yTA0;_T(2TQ+vG9KuT*TU0zTu{M0 zWB=t#c|iz`rvo%iV!^3x^K3XfHe9T{EOipRS;Ts`h)rCB`K#&wsUm+*TE+aeMq8WY zZ_0M<0Ss%+S9JJJXx@H%)qX>62;i5^tETDs#VP@RpgL9a)qNpwOS}uQ62r>_uAKflIv>3UNx-Z@C;qo(lPyFPpEDtuooa(nGmnk+IHSSzo%b9RcTdgI)wSk$qb} zAho!EK0F7dC}Szv+VooBl7N@e1-|X-wf*ZSv~mW)SA8}+YpkSJ%D#nW;Ft$;6wf0E z>T<pzw*jB{$b-1j}+RjAfFyf^OAh@**!ed?&;520kV z8dCOTHif0is|3U1+ol@BLQiMIdSSR!zdzanQx{> zi?lM{mifK|4?QV{)3ndD2-~9dyT^V@w2!G|oAwoz+uAg?DfUoA{5mj}J@T3vy#=4u zW`~^4708)poo^+(>PnjUuwUEhS89%}hE#v|sS=wj0yfPyXtSGOQ!w8~a&MM%xme5? zomk8Y8C~&BJE!9XsC2(J!ZEz7n8Sy>?_wPFBL*qItx3C?A;MZXpS@(`rbYR!b#oEw z;cJtMZqYX12ESHvD^&k@h*FxPJ%vTKx(yzbQZ(yAoB+q`x6UT?k3FR_ zCAzmkQlX^T=Q{|mTQ%GQC}|Nc;{Ac=HlTdPQ|m{(8xWA{5yPDmJ8XYYJmyjUAB*J{ z8FD}y;Z^@$8=;ZHSAoUAE>wym-j<@eY-Y5v@mcc&+R&S|BAk`;-LE@~YWtfLiT|HO z0)|mrm76 zx$s*9=LTc$PW%XrQQrUYnRn71K+J!1c%w12j7itg_)D{oqWwWD5!RocT*teR{8w=O z?;qWLcS!paW{TO(i)RWC zKO*$l-5#{j#O~r^8%>J4xXcSeF9EaReiokOlD51on{iO0y!_~A?{w5+A3eWO3&BDc zadB884hFrAu-wdRGh1xpdN;-^9-IDQkfdztuE2eQPFF>|0p+8}U8%O^Vy* zG7p-_&ENlMbd&8~E8_C2H@(8eH;@iK(-KhkS8*5~Nq3}CT!QG1G`0k)NY?^pTyaCW z5SOw^JDa5IZ{8M+OKjjWWn2{Lsy?KqDsX2Koh-&DmD4FM|0rDxwKu4+xpm@kfpi`9 zC&Hpg*Puft($cWGQOje}^-u3?G}smx-)L}Og5{s2YxM#fMdwky0E=T%c9V3y=S>%| zxsO@XsHJJTW*$KhGyYSKSW*HhYC2urHD2Zx1cR{a7yvoduSmHdJtKA1kG zJ!B7DE>_tG-njq%$al;q%5Q1@sdxSsmpmSY{+DmxLJ9SO=z!whmXuRkWD88UcjT6a zzVz4|bB(4)bXmMO^$sc1I?&GyGad<4G|QwI|CWBsQs|ikU)?Xhlla7j54ik%3aK=W z*~6m%GuCJF58)6xT3DY*DbxOjIvl> zKq?T*h%vV8#*7&6tAi8TGkkrZ0_^Du7xVLM*&gG28T=`3nTtmPX%-`;OX(Ndo7TV_ z(W&k})tX0EOe>i^*6l&D2u0lCpy3T}y@lQ#1l>@LaT1hcXaO2ULAEwsN|b_3Yqr}v zD+T>l?JUSv+|r~JKXK21gpzK$O^?v4g!46BLwC#N1Y+? z$TN-IZtg2U9#XEo6ApyJ$SlC87TH6czcr6-wSy|ofOPe6ND{cm>Kwdg5!zh!nZwZL zBBp;UzXT$sT>BTAU2AVNo2OJ+Oz35hZ)kH-3~O186~9ef@0`dJALw%|+_P&ObJavp(eCJX!dvQk} zJUdVzT9uinUV~N*tZ%eRd<6$np~%jWJ~gO9Z@9u$@CI50*;y7kR0yr^8*SHz5SS9@ zZ|Rj}Hs^2qpEl%Txbm9Mk!2R0t#zim;Z^7SmH(s{u85Hy>0@zoOP(zhFh@-I=-E%b zC!}Igo;qF|>GTzZFoJy*qpyiH9-`$UdfXaDdoOGAa1Q(Hzu|r--W=*<%$EU=M6dO; zp-G7aI^-MeuU-!ObyysGdcWxeZVpw>5H?<}Mi^7$(FG^!)0z z-TAAlUS{?cyh)^P0UihrKJgqp%nnD2&g1MPN8D9XA2pG4^CmYs$NT+opMq$IVIQog zbU2{BhAV4fi(wawPw7L>GbbC&jZT)geTg$i_*A~^xm9#CX<_(?s7TQvkE2C5Et^D# zKxV<_7k&{lB!cC!nSEQoj~0gt-`ho-O?$k{7Jx^EFYl4}lmaA=<|j3Jl)p#f+70J6 z={dVIzlO%cYH;>EI_33iANYe?9_8b}hGTuhrmg9w+TozmIDSNNclH@wHgq?jY`}z~vkKCiCwP1%^Z1eYd+Hn&X z_|&6`{vi%TYT17Bu2RCp>YcR^Q&_~LLXx%Y*+yA3s1Lq54V1H+Gb-DlP3%17O%ae- zA0v)qLqq=LPWVfMIQ6k2GI8bP_YsiK2oRTB43Nj<_zP}SOBX$0-YOd)k6JM(5mTtTTJGvg`xOuqVu=m_-(QCx4y!+p#@qT+ut7k z-X+`+cFfmfr+$0*)=P5By2Pw8e$Z$QJFI(X_VtdcaC*@3_VDyeum-5Zj_FWdZO6ts z=U|o@dL-i+V6o2WE<+y!PMRlj^JNy$cL(MGE_P{~y;mx3e7puFHtezXW zEOtcJZ^RZu!8cXb1S< z^F6sEQf1_HzcNDW#74sMw!SA5R69-A!TsRBBUj7S>VQuUHctKc0eH>^hdD4%yy~@m z5d=T~l@hs}Tscv+0SMiW4%+NoP7eBHz1N#!!2@5g$T&O?2<2^8V82oM?Tdg@BYMAT zb7qh4?j;Oq5BgZ&>-!-Em2&ImPYyYm3K!XiAC1KG;PQFV;J>GVFYL;Je77@De_&9D zgP;6wPRpkIeP((WO+U7*iRp)Z^55%|i5goCF-O}^&?epWpK3b7`jY=GT-Uxn3I2ek zj<>Z3F`PFy-OXjyCm@Haa*=Ap zk^Bz?sKP!AN<(u`WQhQVeP*h2v>h)17;;ma_ucqDB7jGHlIGdR567=)pDy_Cp+c;W z`lQ0IBY2?o23$AIf1hB(mxToX9g1jnXaeA-&rD>|=QT|LJnmzEx!-XFu)M9mIzZL0 znMyUIx$)M~RyFQvUvIh6A)(^JfE+e=n8{<{y=eeVA@#yBe^5!^F z!j~OwHPi!$Bf2ATQZN!9Mq*2QB>tfb62Feb)_0Nk9VAu{LgI`UfOuUc5<9$+IPw`F z)+|Ee^Ba-)DiUXoBr`%#@*O1BcShn{NNiY(#Hvk5+`TOj2L&UsZ7fRmdIE^e&mi&2 zXMs2|1BrWVM&g(**Dr1{y@JGdUqs0hH{D%yHntZMM+M*btgqoD5)a*m#0#H92Nxl6 z%>*R2Z+d?5S^q#XqdPkI5Q#%Gk+|PeKqK0s{PfW-EI z>-9%l&1;X6ze3`|*HH4XmOyNeZhK>)*R;MUIR}X?M^N%lNPMLViOXgn@hT(^U4oJ) z_Cw;Yk+}Le5+8XQi2d#$an?*U<1doD7Kz9BV}RTfgYbLrR!EZ(fw>gaIuUu}JrO8J zu`kF>?5#zX$N*&VeGgf*ONpgww(sssTOzs=OFu7UQJvLKJlWSUomkEfpq$x4EXRqZ zevWVEr7c%R6U#kfaeSj+0xYS-GG&nY&BbS{cM*$!Ycwo<9wqrp#In#EwXFOO4KwT@ zmRo~Ri+vxlOe2=_^O41GDzTg*7We-ki{=EeoO_OZIfN|h;)$hh0kRa$B$j7=P|MH@ zq~$EJgbzlR*gVp*gIJ6UktHIASmqH+_>Y8G6|vkP7TYn>a*Fw~2wBw2iRB=%q+Udp z;+w>>YzS&`|J>Ak)r@s_h^1sP8Ma3A#h*u8-6Iy?EBg48eT!S`FfL1mB1`qZNz2eS zh*)(T8TLMD`J@B-(&J}xzc;bGISg6C&zhbBEw2+x?NVgP{FqpJbwrlA*U7M#iDmV0 zV)+kgDI^x-TcjnQSoSfNo1|qSu`C)vhW$ud9K=$;5?QX45=&$!)Z+gKv1}ujs*%W| zzeXUBa6?~8;*rI4j#y3*OYNUzSOKw=k3tsPEi!Bcv7BFpEPj`XQ*C5(KTjRXNvyc$YS`BSgMI7{0?)USd43sC8C~KJ|&j$2gs6GM=bLL zQH%9&(sG$t(%1S{T-uWPkXY^zOYI~5;gfweEnG2_(?ZRQ7N6B8j=?78^Ax)8u#jQ8 zWNej@JqR%a7Iuvfu~dbU`zgc{NG#@0h~+6_$sv~5U}EV@EHPoo68;{sEF+eJUA`AC zZBch7mitW0i^MXHSmsV7md(VH%e3r9Em!&yOI%Mhtad#0I5UZ5{v>2EZbO!Jmx(2H zFS7WJB9=O0$#?}>65k<~`;*MG7N1ppLM-jtJzMqTfXZyr@&d7p>NWP@u{lF0A&cQ7 z#xfbTIQEiZ6WcxepC1QU_mTUr5=%a@I9?@R3W&x2Dzf+T`lF=fD6!NYKo-+t(((zh_`X5D+#oH}rjnM=h~)=j zF&;vepw+}uODv%?NlOE<)DVmD6tO%YmUJt!TuCC9K7G*r>_TKQEFzY-W}p`LO9>?_W~}>@Sn`j#r?pYh{~~-< zs;@m&swbN-cW>ETrRogd4zjq9%hYek9O?Q=1HAOb-8~b}6?X2G>6%^r`b_;-h7nf7 zP_xFaG{YA>7G2R=*avy48=)!*uVw`ryS zt5moB!5+0r)g`3N9OnA`1T^xFMXfRn@Bix3IZKxIU%vdg`LX?jVq>3=SQgR$rNy%& zV)_RyS=c``V)lZi{evb>=x>-X>BUL?pX)cIpTG3q&rb^NKWXvuC9~)Be_>wCvN=oU zEsB0&`J&m&<}F^dw132sg)?U_Ub19POvJJ|GovDwE}OYHcG=9hfam(n{_nqmUHh{P zf7P}zj|v_7=_HlE>Ul?V)p<8nRJ)*yU%WZ2L*544t;laWta~jue8u6tZpArWvPWD< zd^hNtsri7UGV><;s!Zbw<6kXZ3N_`u5(+n;tmtuKkmp}*?0br=D~vCkn)b04fIxF_%ygV^5V#{OFioUju zs#5v)^SJSKr^x>8rt6rBXZ%*}b04506?vKqGhEWuq~eY7Wu1CkS}_%lpueVPE?1F? zJ8p-sxjt;hRBSSjn(BC3MJl!?*Bwtd#Z=tt6Zu;^y_Tuy@3+cY-JhxWf4qHrK$J!I z|FbOa0F;yq*=L_;7v8?#@B7E^k0#u6X67?z&YYP!GtZn?m6x`! zNaw3)J*@a@=VW^o!y_Ke2%N@Ok>d0D(8hssR>jww(g#fN@aL=8lYFNvqL{D3yZyA! zo{O+o5fZrcOKQdJ;u&d=W_|x?j{5PuR~|1+d%WcP$II1q1+UbdOshNnecd_r-{)WX z`{HMVvbnol&wxgYl{tS0Tl?vEM#-+qZg){sdB^nWre9?2wo!IeUcO&d+S+2r;|+))Ve= zOV@Z7^lIUoe4uN4kL|C4;TTG=fFWXPQtqmg?s27Hh-vAYT-gI9%o?FtS&kC+f??d$ zBwa2T;@5c=*uW6itG$Q$EtGHt3`fAQ7z{OFs0D+jjc;;z|Mnh{?}Fh07=8o8c`D%) zN=QQqVCXUsRlJTWo`9hS48MY*7z|&7K@s4aYzk}dF)Lk zvFkkxtZjXhGY1318Zfi~L-%gs6?3K~<^BPNe}h5M-Zy#Vi1r>oWdK9Bty>r`&H69FK zqJ(*1xCMsa!Jz4iDkcHs5nz}PhTACN^7JHK(HZx+jURXxjC;v9Iq-Fe`dd`792f$- zqZKofa(9-v$Gy50oz)FhOh*+ZsA4M^Lcy>Q47b2AaU-he1xe0E371g97pP)Z_wb5~ zGtir7-Q)Ic_biyv8-4LMnt2T+l!74}B}BiGl$&|ZJuYD<bE)_(6E*KU_*!NP&Sunbi^0mEZ3+(i{P!B7E)ufgzfm~V1^BC2?XDw=N7s@nGm zuZWIM%H30nDl$C_9z{S7D85sTsgKxHHfnf+ht^T){2lu$pPdp2Z(O}q#zUVhnqj(opJU|I|z)%K;Gho2u^2uj+fgx(7X5|Jj zwC@>SF?v>#?ohdV+&g5B>5H? zu7cq>s<47#-H&L+XV8bqVE6{jTm}q}f#DHK_!A6&fZ+xhG*ckzB8Ykw7<{*DRh@c; z`%Rvml$&4S9=GNoL_HOfJlWo(@O^-60|o;aidBNk`#sGEn6o3_f)_^JmRvD*Z#`5JhOk?@>H%#jW z^kErJv@6$#Gw#BJ|9`9jgjc2CJb@21KVu2ErjM_te;R9`A@b)_}8LxbmK!_^nX+J^?` zQSGJMkeF8?QM=Th>~@BJ&86Bi?6sHpsK7N)wMcYhX6mSW3uhD3_6>AzQ?CV70_!H; z$1ZknQ?GeMJ4DFq)8-ScqG1}#XOq$rHZ=mY;qwHJ zXmHUf`UMG^1H2M4G~FajA2wB4Ky?OMb1@Iw-3S_5sk>Bib{liE=0(uSJ_lc{}G4bmc5zTXYoo3dkL8V8=mEK>o04{h1Y76WHhv=y_S3dk8Jn8rT)3`EJ(;~qT-h7= zQglcHW~jWloPL~NNGPXqH$SyC`WDkc!14#ro+Wx9c@|gTO1tuJ2koSV;|5;pr)|=x zA0Eg0*&4J&8KcunPS?IIC1Yt`jBZm;MdG>ys%-oo^-St-;L?!>6pD&rr&&p9cp53j zvaCgzo-iy^hpeYz8M3w@&JXcHT0Nf}5)-ncAh5+r{fTOY@-_Te*!uZ}ad_oEJ0ZhF z#QaPmj(h&YkeGu5QabpxHfpc1Ne%K7w5`fOGhyKpLQE?+SHvdoj|g!tA!aK#31XA? zZlWD(n3henyoPC6L_5|nEt6>0hG`a}*&3$J1H!PIM6+E(;)IjY*o6$f4NS7<7wNsc z7NSqTHofx1+hQ_7A17#>F257)lXh>p4NHAvvTNUS?_52Lg>dzx< zci$D%ENy}2EZEt5%F0mu<*H_}DM#s7J(Xl+YQ|LQUO%l|P^INAs`Q7Bbxb1ispknD zV+~raoX-`MDKW2H3S4%5kub%j^h;+3mCLSTYU?=2QK0 zNG9xxIA6X5bA)nzr5&@|5(j3Pn%8I=gE1mz#=$Tv`!hKkc7Y*Mih0LwC0^3ZQxq=Z z5;nA{LOh3vT+u|H>d(X%L)qliNA;TefHg$Up3)=p!N#GnW2V^D2NtFfBPloIkT$hH zzqJ0rQNE<9^OPnZeA*b_T9xA?HZ@%RF%6~)_VAyhsXPbY)By~0?k4KT_ND5CZ zHD3cs-S!EJ$#I$H?X(Wm5?Tj5!%`7bWNMrAb#_zjTjpY_mxj_9lAS=3G5`r1LpHGW zlI`LR@f&Rr1s@;&9KJJ_som-|$jXAi?flIy)P z%%2bi%V{pLD$6mx18&oNQH9LU;xNdKJO{%p4`7(}WuRp?gju~TiV%e#N0K69_C4>w ztX`Ht9F>Hb=a68Q2QbXJgqbg2g87!&Bw!8{F&FcgDT;VvwTPK&wirCAYt93-z;hB z@(kjTi5!xqngbH3{MuXK;LDdZRkp%#9o=)tTQR1C*wiZJ1?ryVP}f8ay;VZp!|@yp zb2g7zL){bJ5azVyv8;Otk#ZCg9&_^_9Nm+~V-6HKB$%@UCKG1vcSrdW%$|(`N82%n zh?uK+%vQqeCt_wC409omIhZiVIb-H0vN{=MOYtYPL$FMRs6qMXV6%Hye|JmP zOr;TRl`@L=e9*czat{(_tB6flGiVPoOO*N)w2zPoYlZ|-2{J3+ZXlDje(A*>+<(L(%CbOb@w$zM@Uxn77;iQ&60W_Jk7gjAL&;{%7kJF8 z3D+J8W@B88nw$^CLt*d%k1hL0`5YjVdZ-E|S#Bc{w!eiDcu^a0wpGOgS z*fLh$eEYCw^AfPZx*lUJz@jZRDo}BXmM2)F$(zepHLD20j%|#p{pj?3{;%NC`cvYn zG2iD4sxCNG)Je;ak_aDbXU=Hb~>8*)Z)MQ-FqPl|+-L zNz42~HGBh>EGL%J%6$xnUHytg{a$eq+J#{>v+_PCjDoMlF4!`kgVe|pYNVDmQWQX$ z4|80VHcVr}DQ}p@+-)@t(+*L6cce72zoF1 zw3C)dIV#NbX6@7UsK;QTtA~{V zYi~Q88K*`t51Z~|lJciWgr&rM&h3T|gq#>Fk!%lMvKiDHM)2@I_2&qTzWpY zRmbUcgA6AvwP_mVo4Ghv=kQ-HsVhVlL77v^@N}UG8eo-`@j2_wI z`w?ZndDIPS$;fN}MB=L31HwO~U$;@~*t3+-ZKhs>Q%Sx2Rq5i4bR&pu@{COWWX1*g z>lRbXJ=Rmp&0f@UO`N3V1ygAAsH8We14<+<7y7kbXNs1QxR}b#ze44Tb++q#j%ZOt z3;fYe+Y-LjJPsmzlwFK{Xt4iH?%sw++s&<1Re-@6kI9Xu4dLFJPJ52`sm(WfV*+9S z4!U)`PiUd|?-%^@4c?b^7PDAgcIRbOT@FbaNrMlebxq}R zk8mA~o9AuJz=ozlcR8(`L*M5{ZG!YTd%2=~i@b+`6X)jcfsE6!8 z$tn&bR@QDL41KOF+}#b}t;GNj`%%;v<2ob@mt6%{PI04mNp~~JevqGm&3N>@N}s3c zK)p5|sM{$Nnj{0K=;f0P*M4BTXk1EHaKN6vzWrU!P+`=%$pC)ZG=RtM^m=P_ivK7{ zr`);TpL%vQbqalnCX8J}5xBrfXE5 zUAI=YdLfT9`7>-4m{zYFqKNdj`&~-c)R1&X z!#X6^K1Oj8ze{OjMw=j|riAY^e(R7-ohK0$!GMGmOWe&iGDphOxfoW5QQW zxoq?@p6g7tCvF#hl`|w=@t1TJw#US13`sp&*)L+5VwbFM$>dSgFpXikLNtSYv830~ zbf4-~BTV;#!5(L3Iy{;5hMs2?hLADF&4>XsX!_CtT(Q9j+4!m2qSdLxABppGWy>js zeW<0d1c|T=5lma&%J-=Azhz4!jCDHGbkI&NC)yFBW!PzxEWUj(2b}gpX9~u9Alg4ht$c>Y?ZA`}7u=%5hWV}D$45$+Z&lFc6>Fesqyc9e{i)anOZ^bd7 z1;6m6s*@s3bor(?(U2rg6OFxRNoHw|HCC>o(XtAoWrlxl7qTqV;6%=QGid%vi*aKX zR(V_W+jOvaik+*XBUCVwoAd`G9f)N$E zBcU)1zbfYw2y)3LO3Y0{Hlw{GrS;|F($`^PjqY6GXj|HqD%z)4PNI@_Az`~zz}2!Y zt#VHix>VRAd|gRw@~^2+4EReJCYZ7YzXi3Q@21EV2h}mg9m%-kB;1&kpkt-qDV7RL zN-Zs{EE`piu&`=`wE_;G4C0c~+HMeXD;G0?v$pbU1$5|^pCRJgMF1-J={?d|$6Te3 z(a}U{pN+ZUw4}_6)}sAvNeRsB-Uj9cPMB|oWFb%FH`KzJQp}!&x!e_V4#T{jqxFpw z=F&ojc^P58EWs?ylJWs}xXIM2TqFT7wBGuR;;9gVZ{tUfskGgI5wxhtBQ41P1Bsvo z{7#^+2|{8!0liW?<~Ne5r=L~abL1-7ZuD;&JVgK^tQoi$IXe0eYIO(e1NpQ9&T7<{ zNH@Aw$T^ip1CdrGn?#Ws)0$H=^f+xw%#nx`m@D+aoa2Of;5fou%P`ZD%L(&pC(QG+ zNv2MiONXu@(Z7gl!fw{XJjxYw7Q;NqjWFMqVz#RN2y+Z!u99LF#&w;pQ95y4VVy&3 znK)H+y$%R7P-8+bQ3ud$;?x)~r^akD&8jy7>H@QoDe??8DHDGQ<3-Q3=|7ABxqH14 zpx-?Nxs@3NcsTwNj4wvOMN3c8y@QhR62Z7rJ|ON95^kdphiN;<*W(eVHOsoiVJeJh zWu+&Qf()D_tU}w~q%q}pkTo5DIi{#hv>zY5b2f%J+c0tR2&VVnp>B<*9z!_CS0QSz zeDHh{o|S}`iQ(uFo?ydbik>r2|HKjz_Opsh?i0M;)krlfBbQa>3?xcYD#1uu+-csC z7^&9A7-ev`dV|1&IT!|zLKUGO{_8=oo^5HZY!E=Qf?c6OV6JC{QhDy>#GNbQZpaF~ zXKO`bHOjMAt zcfkL!O0St2jJZmetyqrUDd8QT#?)=(uHLV?mp{ARwq%+!p z)2KtxT!$STagmgSJ=d2>6TD>UD<452loAsNpg@DhD_UDzey@yN;cI1Z*};<>;s*&v!6lH6cC6Pxoat z>8Yk#DhY;1D`QL8MoV1RJM%}ANF#5NNS*BW1CGXF*5}_i#-W17;h-0>3btBl97c@E z_)$dFKhCq18c62URi5%!s!1*MKZ8b%$?`ACJ z;cXmt=)`h*=lny(XOCu>0Y94*iq`oPgy?k6ywycoI$@6p-9{{-3#h|kMPUk zNOu&yie>+#UiMttI$4N45`FSajiT}9t@H`Yh$|liEH;X?f4#b4=dN;(mhQ(b`Jj<#hN9} zOJ2<+x4$9DC40Thmm}HvW)}E-M!bHaB!}jd54uB=JSj@DX~#PRV>dO=AkBXLQ~V-l zf`{2=+uTCMpjVug%^t>JsXR&a#U#kKltr5Y_%r z$$mfUJR8`55L$MKBGIiZx6hC}lF{fd=?*;WKEaM;u+c7O+6G2rm?Y;efgO7V68=Jo zuv3SNYWln=z?2*-0q2N4lFT5Qz%`=9dSJ)W-|dTa!31bGFC-H%i%dW&DW0&=@oGxT zJk91mFr?Ws506a1(-_$Ck~scO?YUFL8c{+gNRq~X;N4g%{U+>5B!0lUE>qGxLEiCfTODOd#=_DdI%{ z-M&gRAdxyGsv=#@$=5xZ8e-w_fVJ6(l7AEM&Dg?HL} zU~Q8$c0W3TxxDi`j)WrS%GNWNx6@c3)yWY4Eh;j*L|Gkrz_{Ws8mp23wcs`Jym5H$ zz|h*5pv=YU-+4P(;kA#l{RTmV&33W66<*_ONuO;JvvfF%+*D>(mo(65r>{w<=_J%# zJS_!_tNfg{8mk(K`=+^__7l@4CVBe{;rh4PLSep}uwWO~DQS~DsZZJSWXhSME}AFM z;?`Xy+0M;BL9$PINV5Od-Y+>E9R>Ei1UCCoU@{#A&fkD~4Atxv9k`=KY?Yl361F_T zrW0Ph!1gaV4>R+qpy$M`$EnYludtLvD|ft3!FxYE-35=0u!Spl@ip)%>3z6>ct~1U z+ZZ)$tGTg>u+`*k(5N8)J2I{f3$?kRK;o*{w1zo6A5uT%u$zYxoAgV!7Jm>SmG%d0 zDokyZM^m9JXfQOXbL20L5Y|MgwIuC?44nNrzs;i#mDBY+^=x6GVV^-x9VtKYZz8+^ z7O9p0Er~J}{>^6+mjF9nX0BI;G8d18z=gEefVrj7&RB`ttBO@=X1VF{CR*EY9e9_E zMsT?ZRy?M~yCl0uk0Cp{>MU4sY%2{b`q$Hii!c5QJj$n($A(2b9hh((`CU#g!LwzQ z1=3;Z&S?PU7fgqxPP%Zf>oL-WI?@F_4%^uRYCf0Lx!P41l*f2K^>J`Uwze-Kc;7{N zeOWmdG)9um8f|s-z3xQXDC2Y*v4L) zVAkSZ+FeO73fo|`e&K$>-i0aOlu({@K96J|KkCNz&K@AD=RjwKRa`}5=GuD^suXrd z^3F@#OApuxr^R%xQZglflS)q06ghaS%$S>*DWAPd}lzH){(vy$PR!ZTT!z=V?Z z?#v-uzf1MMPC|((ei#S=!-Xw|w?4QAXLS}|ol)G7cx+|v=4qq=I^4ly3Q+t{Rw|bi zAY7^dL%++R2E-I1F?Y1L8e^<+G5%gK1zIEYV#Zpw7VkkFuwRITZg)@V1@{zO1>4qR z1l@MBrlVIfi`AN%G)Y)8*?q$VX>81C3p#l|vGbyi?eGT;Ur8-hO;#<_No=xc23jQ6YBaL=Sb>y_|HJVCga?x#;mdh4g z;qOx$=)9k9Ch*=B$VIQi`~ zbnczs#=h9LJ9nqSt!Y10)XH`+Dz-wjUAU5Ne>6&ZOXU74$k`G>$s)G1elveO^hXc?DMi=RF!l^M>`O6q-l$ zZ}0MIoP|5NP&Rp=C4gTty_NVx zM)mDZT2jJiVxvx#=xW>Ic&1Wf$`wl1$jDhHv2DUWuRN3F8TbK`lr8pQ2CMB=R&U)i zI)(ZFUn4c2#O@vlm&B5yU97f!-P5_=x~HsfZ>HviO-rZ6Muy`KdpO-It8x`66^ziLfx=^Fx9sY-?pRWpt&D4V5%J(>_fsF zD6o?)zSnOGDaj#{nf^JulGL-sf|s>==1<^bcMFxX?5ptz{+wCV%6u)%6~C5PTz@Sw zYTz4GVL4T35h@fqY18*R7!ob(`Mcaer=FkA_q-DX;FFV--ier z`@TT_njIS^vA3+fU59=34@^nIz^+~(C@`DE8W{HJy9WA`3%jzbT_v^bJo2_gCD}cg zayDfR<(Ljgr>sZqzCw2MFsf~-y*8_`t@%5Bbr??|=SD`p{<~kjr)V2b<&@KGo3Qic zx9s}wY~E9hUQ=NN^!%@q?UeFq2{qh!9!ccuE;hv!HkCBMh=Ye`r7dW)B5%1P{6lap zr@2PZKil_oJgyE#FND>&s!^?^0WU%|()lwHH{nb~aCNBvzH|-M#C?P$Wuvf~y3fSb z@UjmPIKndcbFVsM(OHoz$o#tKtPquHGq$jcbKL! ze}|-1b>II?t$K-yOLr~qSs_~((5(Pu$bD64@k<(jw!KK>l`Ci#v)OFDcBkRf4Y1)sQH$Sc@k<@{~}2F z|9JCB+wMn_aurEAD$Oxh#bxhum2$UvOv;~9TOa;|loy57lXA;^CgmSV%1i%CDObcV zq?&2R8*trK%Dv9FAa@A_$fO)n0rbun0efv@=s%+}{>Zak?$!U;8~x{nE=3vY}tn z`h`WkjtOvDFwJ+prcy=MRIHo~#|}wO*HrozQ2i#__N3I=>&KA1s7-dg+jQGw5iylf zdup5%B1^pHLDRcFqMo4J++u>hi~=GP|4TvF=1~30i&TFEPR^awdEjXO^F(-Rzsyve z?JDSjx0#^7q%xXfmm>~FXF<2FE~_W#;m1kPED&u>+y7F~qkXQSYSUpPDb4KlL(q?G zD_jLVeAqH#xYw5&=yB)$X?+_| z3qj|_WCTKG?6=oFMtHItNQz#uX1axvQ(*{+lFylpCvh zl+ynndz8YK z(DD&|JrPjEXX0m;G|fv3*oa4X7Y&bb7~HjoIbMN3M2n^6G1-DDE31$`B`omdq}~a6$8fAysaLtOXfI`wy5r7cpvoX|$VLiFAp5l#zfbbU6CrLW zmJgMR*Q;KXTuU;_d(#rbTc5rh2W~k|?(5)B{!I;LUpL1H4Hi}xr)SQ&Op>TtFIm&< zpui&hSKr|yQLM1ins@pd0iZrm_QdCMeL0iYCoX0{{mxNhV#k*$Jq4*Xqa!nk84#pq z`QaH!jm2O}#t{vZT5F?WJ>vg5+v4@ne*tG03q@sXFPI44`_iy2*4MMeX$!9tw#?&5 zlzl`Kkv9+u>~zyQA&4DA(Iu+H3_)hh87;ZxD=d$c{dbbTTXP*rVXR;i{*O1)syZYS zDjy^P_g!tm5}(#jA*X;TQ!o(cEKXVEM(0q`bT@3gB6KlX11vE@f|&|S9gu||4x;sM zD0w;KUUAW=+@SOKm`1f1`&hlSWhHp?i;$!YKpzW=a%Yu@?-A?)>gz`JIZw7reJFP( z-pF01huL^wXj%b;q)PBSNVDpYbRo@6ZB-vKV4Cbsdq)Oa91?R zB7w(HAp3Z3fGOxQvQOvWmGdtc`kfGdo)F+%r8 z?_Gi1DXowM#0p=t33g$lqya5~Gm7maMwuqtPw<6-GIaPJ+LT*vATiTj8n)=pk^i6W z8>VgX+SQU^Oml^?Wi^xIDG-(8nYeC3K-KKa6w4INb*qV;-bz|EspCpw4xr*@xD==U z;PUVIJ&=k^8HM7ymVQ+40?5ryRWt_bUjVu1W8%<2_EnakC`HZg8sYAFX6R&B6bT`f zCy4&ap(E~jZw0gZFfOyRJ0Q6coq8h1o`+wK=)@>))`WPb(zv*C+Qoa&?F20c3)d0H zS13iOu6WFw^b>7pkx9G=75M6BG<(sG`B_3I5lYI4%18K#@3y@X2U@r0KjC+C29@Si zLHTU@$skmK$-GnfXm~xGzRK=Cm<~fDS?)ahWUhbuJ%y?9InImm03lCP*uuZALj7(JcztW=OcE{Ukh5ZU)$z!ve-@}bd{WX%(PMPmL; zP||<;Qrzt0hE@OdFux0qOR;GmUM5{Ml^{{hwU22mMrY^j#K_VdlzdvfY*q>}^+FPG z(yoL5{Ehe~4IRj73nY4wx>=3RO*J_m<)N$0iC-`sYPRWJI7ZtO20D`q8U zvWbp0r8l2LJB3hz6;z<%192&HWB*CY6whZ8r@6E*I+78}KTGfyVsHy~?%ZBl?xplb z60k&qICNiks^HK170?@mi8+o6y!Bl6LA0cx1r3-c8^kMB2 ztPE?_FN+c_JaC$@)Aza0;wIZAYLU}@@uxHZ?WdD|bUIl#^y4L*F}x9cmnRZ+lD8G+ zt)O`;l0kkfjAEsB|EMUe-nCPpV}jW!X-;Naoe6YdHbFXt)u zIM;ND2>HgR7YQQ5~n4kMNrljbQmdeN%Wqbc5>;4g~`NNzUw-17uj zY%kdjv7wrdyPLM%dK=Ngn$zeCl#Z@Dn`z!tTL`()^;YT9!8Akg52t9mi&pl|*i_1t zO_{7`U}aynxEFC;DJR4&>RS2D_ghTuk3B|MpX5)5Ph9zB^;N_RXmR!5v;7|xy!ug> z$oR#34^1~Vd1doq)!{&dj4}03x`q%rL#z8uRQ%gxIiel}JZ0d1TpU)M$CQIk9+$}OebPqIC$1KUCepz#}N&+^HFuWhum5mtMYPqN0ZvHE_si#Gd#wm|%2h z1m@6>h&h*-Gq*6zuM&*8#B7bGlB+vV$zjB--9yYl&B2`iF_nC03`yf4F~^J}W_>4O zo=MDx1FU3XmVHIc)#Iq-d&HbDnV7E#F8z#{pR$sRh&d;gnEe`4$y14W$%rJ# zc^fe={(_hnK8KP6zb9tP1Y#aX%=$Npc}^FUY(7HFv0oAMePYhMO3Z5~5$27=tb2!; z=SQOl3x6VJ%h$xbv;~+e?-KKl$yD+MV%9Dt=GiY%$#;oabDWrO5p(9>#9aP5G1n0@ zmlRI5_^9ajT!S|M>XtyInfM3#F;ywlq8xck1(o@yj&#qOLRnUhVp%e#XsFodSeBzK zi^nOJr4P$;Gla6lq_JAovn(akC`)({%M#~LwS=CbEQJ#l8xZ)Zwi{*9ZDUz3uq@UY znmT*<3@%QKE7(C4KjR3CpMif;{EXAtKEpHp_FA;Vvw}}&>4s;AdhMp^Tz%W07a!!b$@iHKB4-EgmV`%)R_!;;ec=qj{ zs*oR*&(PJHV>>qD*s-L$U*7j% zkA7Prf6`%`X3qyHrZ$S4?)yAO;FqTUGn$)jpEV@39emuwd|TFch~F4BxW|Fky3f&} z&reX78r;g37~A-!UX&l&8hWUW<%goohuqornghzJ-lq5g`ZKE{f0rGN)`E30v0n8} z0qZVe9oz`tu)o$3tg#_ASKBC-5wr{2^SN+ft*Vg~v7d{5*Ya z8_S7C1&_G_T{Yh-A;5jT&88!rzgTUlo1qK+Ap3_CnRVRRuHL^Ws}{`-4%2_%c+g+6 zZIiX9)uj*JMlClUZjpMQLXe;e?dw#<^6q|BXUgiX+m;)jwopEnA1V&b3$VPbDEOOu ztDELeWz|MgLYO{VmHcnnk{QomQJ40XS1vc6^!IoypMOpd)&<1cN%8deLaW+5ey|1N zyfZRnpU0E873Rx*#;Kk?PLTyGIw>@&h!D*Q!?>n3*Hns+-r27mr1LvrY$h+?I@Ud> zw^{b=`A!}_s^KBJ6W9qNtjbvfHxzMHg7Xau`GAf`(>e~D))H|*Wr+N^XeHNt$a=ebbhMQAu%VQ8|M6u ziv523wbOLPg?hET+k0o+nXATdpUBibZ`87@<9~Hk-#=?)3 z6{-~3wwK&lB$MrCe^r!hx)+OB@-KCFzYH<>3HoEjMHvfnva@xG!RQrik2LaLM!vSW z5K*LEh&jBY5S*kuPGKG+1R+^pq*Ck=LZ)rm+0543GJztnjBSMoEWt^$qh(OWCD{n=(k!$| zX=?-GRUnQ_4&u1XKpdCK_6Ug)jj$Yt5h7&{LZsY59G7y$aj_tN$wI_&8HqS96DNV; z7#Q9S39qODLp2zx!0;;=WQgNpjz@TsGBA9C62?#z7KCX@pfD}15S9aRT;$R?E(^g> z4Tc9`cm#$6y%7>)F-oXL2{%!~X)t6UOp6|2S`H(Q%Ygx?VksCNBbH1p8194N7^1M4 z5ryTsb^tj95iiyvc1TMEIO&NPDhSh}y8{Lr7%qb0>`-7pn3lsjFib@Zm0~d51VcO` zpjZ*dC2l0D*oG>4fFT|woCCu##BoUlgBEdI4j|Brd?!li3x-8tsHPIYPyvQgFytf9 z%TEaOvN8q%P7vtDFUlV1MTa;p2?+EeL!cM)`v5r*CF}#k1jKR4L!g(%VBk6-j>|X% z)j%Yel@n0HVK5|s;U*YL!H^3E)4x!{XJAMG!*wtegJBaQ!RQbPCIO*hWUm1O7!(V@ z@H-eNR7@Ti7K4GKP%)DcT;v-tECGfGV7Lj8m0NV#z2ph=3A^FfCDt1Y<#{ zn3oYt<|Jat$X22X72=n)L_2?IkSN*IJ#G8u>^(+{y^J{}Hz*o+doAPUO_FdPQM9E6Ig zge0rLV0#%LcR6VAzRRGBJoHa}vR3&LY^%Pkq6#7z__l0tK7-1q@YS z_!Yrsy6i@84nr$8qKXcP<1zuEVu}!KW;=q-v`4U+z)w&`G)njoB@9F)nC13hGogqj zvjxFs-a@dMRulMOGpc!D_!SJ75NxIt42KbH<}8BEm=SE|a2cwgU^8RhghnCQj2XdZ z9!-D&L9m&Lb5O$1sKSO4itNE=q7X~Qf?zWT5Nu}qQj|~&hWk_n7|IZACI<`&ucI%H zLr{qj)H5&yB9_dM*^nfH&FB$qCLPgeGEV@*8i?8t!Dd2wg;&f#G@3FnYy`u?sSx!^ z$l-l3v<5>zl&}O0rc3T|jlaO)oq^sg0m!YWqUUz>CQ7)BDoVg$0mFcH>>C9J*T9@pszFwDc~{skD$pcPxe z(4%*_-~2hyNih5l2Hiq1;A^{|E`i|?7-sfHl%_d|()2Ur@HNza5zOFSFkD45OMxK^ z48z|}%H4VuI{6J+u>`Gnh*tcLR#Z?cP{kZDRD$6rFjOyvsO!*Ke*xqJFjP?$Z^H~; zLw6rXSg%BM_fs_UDH#4n70*xt);0(c8+NONHfLLn2&gegwFI%8a_{v>g|V zm$94u$S0`ur!gce`Eb^&NQB2Z1X)#j(UC$}`Y+(pQFqd7a&|dZ@^VaVn8svPOY*3n zuae5FYnaC5Xq1+jP!s?UUz~5O7!c=FC#I+xQdFlXG|x9h3+GB%m7PV_NOqp$a)M)x zpwkq!jyj^8b%f{$v_@P)4eBlp(vn!dzET=4ptps#&6eSrJ;7sH zXrZ>489?#)W@u-6Tj`mN4T*ZRRO%32!}&H5O|wN>Wp<#cxUB^ErzJ!0+`#P>M(}NP~vs|VJE(aBdbxe_%ZR|&i zBspt3tRkxsOsMQ(Tnb;&)+JEK>4VY8uMEfr!yB-fZ4Rl9=TUOi)I zMwe_`494T7Xq2K+IEFx6OnM^(5LvJy0$-nuH7SZx`Ij1$FJ(|mnBe)NEEmnFj_5;> z-=v;PMncw3=+)|^OZY)OgJ{LLa?t>dzDl>}!vN%V-T8+wFR$y!6D|7|NlFM3_Nop= zgTd{V;uW~vg4h9<`Uxk?H(Jnru0;JAaAvYRE{8YE1OEU?`2<`6#dMlLCql+oYQ2ji z?2o2O9rl7lo_oEwr#5Og3nv zbytmqBa(4ciX2RO{8Q)GbD6phnef#4snFfj zTijJ7@@)b@o`Zi3{6;!cGZu-Cou;|i{SfcBkS)wcy=9~$LN+TQ28sSTA&N?!OB9vU z;jO`!LSJJCl#6n#qbMpTym7XMC@MvcC@SiAjJS02Ebchv>50VNi^t`k$K*n{Dw-_2 zzldujmwdfs^1cIY=C8&`BD>sQ1Y;fgF7$d+>jG(rlUp`wW8o4c>NzAgYGZYfB%sKx zQYKlEgAGLfx%i=dkC6-$NQUO^R0cCOd=Qi@#fk)!MnO;{0Yx&s{~&-nNNCj#q469n z%0^1xLsVw^2S^H8nf6g+InEQP;_M=nRgA4u{; zfN`*}F4cuhMEgiY$*fG6CK6HCKj?FpMsI1e#0AjbthB<(gdz)xV*zwUQz55EpAJ-F z8Y=0g9SU7OWDj9wG{rqnS}vKO>f{5ch)=0f_BtWhsZwjB&x~N5Ll0rI7hv6b^Urr@ zHDTXmBur(mUMKg;!3CFy-ka!saWfzKYke-w3E{MkPk9xI@X}h|dqy+t`4ahQdM`V< z58WOV@@&YXJeg#CQpQN~2v$jizCpwn z!}#oXiZ{xa;tleo{vS$!Ze*3pSfyj7mCo^aDx-IBj^?n3XMNhDnyM+1=w+d+-lJ;f zP&Ec=HIC~^#owX8K$3xS92G6LHEm-l(PRzNDlqLlGxd<>t6VwI&ocxNB5sBZz_%S4 zn{Y(!u|E4xhx*H3Ot=pu+Ox=(c>#&=uBLFsASQ|IV9I#{JpnaHpSO)k3II(>7b6_B zm96e;U$CN@j9s@d%C=N`(@WkNyRJ)y+=~mTP8KRAzo9xuCk0Wtm#ExHE_IG>OEex& zJz5rFRsPUmzN`Ss$FK_dR<^3qOR?swEe@=CvSvfvs3$o+NiN<&vFQVw;bLRnK)omb z1o=k(3G&LhN#ae8!WWlO6Ir~RxQkS=%W`EBFIE=bW`;`>Cq=wK&A3L*s7IU9nP_x@ zr9LeJzdlQ$*k=wJ-g{d4qB~j@oT*?BFSi^8b%;(47w^O@UX@5WSf~X{LmK%(71OMq zRQNFrd8tNzKws}X3+zpxCLnR5X=t@3bE3%`rDHI)UD>i+9Q7HsG=fd6d{%~VQ(}&G z40XZkzdA&#@%H716KUL=Hk|F%vT)T6+jD=0T347hk3{#H0YUFz^HnTZBCZ91q!5mCaCN(d{_lm})E4GzVXT_-TRa9&~DVa)n@`D)V1c7>f8<1@bO;Ku-+*9%=YtB+`dPyU+qFF`a0cKfBT%(HKf? zm^Pc4EuzV;xaJe;H~#qf*uYH z#26LC7TG19npjFrtYo5bF(Kt{pgc=?K#CF%Xj02u?#3)Aqp=(Fl8-2)`RFY)$_F#S zb&;A0x7S_GL~LWGl8>l~#)ee#%VNSK8$h5g+s#Dx8X08nM=}@GrGi%%7BAvDtKP0I zd4a(!0>rOx^d*Z+W7a-ILSNT6#%0#17hV;uRsugl=xc4~SRcZ=;495VktX(3LgRxZ zusSE&9FKF%*86V7y}=p&p&e24h}|&*xV(8WR5NFI$k|FvT`;CIUd(}c^6dade94l$ zZQWazDY?z}_B@PW8PDgGSKtNSpDp92wz7AA&YGQ69g7Z8+K|+avMD{B4Z8OrI_W?@ z%9a9|gYdJm9xZAJZYL-5Ws1$qSu^NAutzk^?%puFm6?#R25V-t%r6}@GS^YfFUq^o zmT&NxCVL8&IB)spwqUNH+(*b_y^Dmcn8D`Q#k|H`jJ92%$&Vuub}@JqLem0;uHBR` z-g#9{X58$#6Pi#=uLuvLQSii4A|QXME;Iwj$g$`v*aQ%|1}V4-dio3ymtWqSGeP^ z{Jod0d=!H{tL1N^!8v}VmZR)1d(*Y+atX^I>i#H(#lEf;2FiJkrs?p()aXsr7;(X= zK3_|E5Z5rRn(0Wxv?`*>hBR2Fjc9=l(<+D--Y_j;0#jkOL=n4I+a%~mM>Qlw+r}T| zKBENhgNrK0wd_y(6&r1ma?#^-we)eIfcsGP37^yRM=+FZK;G~0C8l99Foo8j+Lx@7LkuHpZ6HC&0uhx2VIh< z&0wy$8O$l$h`J*8AW?TgV)utI4u;vnW9~(m4>g3@)N&dj${|GTeHeZN>my<|wH!zs zJ46l%W{V=0Wb!c*d-)Q~9pY31=7S>UJq)v%VeTqoW*iLjZVBc*XUxpyGIUn;P2|&M zBQcY@@@^a{O>@;jqmAnB)pumK1aq+7#T>-0`;f+jmCS(=E#;S2W7a#&!PK5h$Q&5S z9LQ*iBANqPM||YncH}v$I8~c@ZY`O%KnM5e>5q)6W_RFLnHRaYq>VnG!)=2`r z`6w8N-S+7i&YlxDitF+9^*0Zr;aZbFk5*J z7EJLTkD0~b(>H|q)SK)GL_?V6wB=-WzHXR^`P7@6e!=fH!pw6>FyCuAlQ175%zXJ0 z%+_R!fVo`6Y~?Z2o0ehZ&SV4Fx{Glz%(7NY)fwg(XUx2hH8*oJt@}jUvMEdT9R>I4 zY-|~&L8zzQU<^Uz7Mbm)V;(U=7F>W<;!QbJzEu_QAH)U z_c7sH4{Kk)r#A@^A4J#Oi_BPJDp}dU-;glP=Q(&M&1HVR7(uiKF~^%3qKRM#m?vUR z5HZKMW36JCc@7b?`ZB{DPMG=fC75@&e_z1t{@8&zo5!3^n8QWPjDulLlVDcvBwThm zqgR^rLaz+yBbU6r`xD^d#7ac^gnlFigb*uOmQlOI%E+*0|u#1kd&|0@YPhB`7+T7j@1vreTMS;^vd(1U!^ZRG!VT4ZO!!s5FKSXK^G zgjU#OOH)c(AHoiJ+!k>iuCDf=-U=LvB;|7?!m!pV>9e32C;l3M&w})lbJ^>GTfi2% z741~NfxjHSZ~GBRV4HXv$GNvaOQ7wFg_ZYvk z(@)-1zfk8ejOPBu#Nj7$@S}{yKG&*gr4>*_x*5glW{c|62#;wTbUMpF3)0?iu6(6H zwA-gydz5ntNV#a)83#x4)Pwo<{7UVKac&QfIlF%aAqpi#;eR0EF%J?kXZJr%99oe> zf;pJSe3CHp9G(tBimkK91OEgf;qM!%!Rz1WA6cOoC9;r zbP;o5e|n5DF-GK&VAiG43yuM!ktFivOED*P*aSHrFw4fC6fvLUF*hSQR|uFno`Ycy zm0&hBg!u-K$UulpBIXq$<{SOb5oXpZoU-qXSO#Qq?E%{470ubqN65*XqgSuEJVw9qK!{_ z3-Logm@dv}@&V_my{XI)IwT?qOU4Ot3*Lc%6>ViOKXZ-+B`vmNqKzYPXgdz0BFf$U8w*_&z?dt;}i;;_+9WA-L# zxqhHvZ%SS4O+_}dH>?TQg+9=ZEu~&!ePBrYoch2<<15Lvjb>?!gaGW8~L_ zi`8wvDL{8HJSG_wxYb8@Fjf&-{{Db+8Ea4JpOnwh9-f2WA5^pUn0HaF3!K}-BeI@) zjcE4?5k+veZ$b8pn5`)c^Iefcg1MSuHZ#nudyqt4I%tfad=4z2cg85z?$+?hWX5~vTr%v*V!pi`J+4J8H zIj8WLs|j8vfG4aal5Pm>2VeV-tWt8RqOtg^HQWT^UW`W2Qt$higENToY1jJ z7Hl|ZD3;T=@~6EkeQUAm<=^JJBPYw3(jTP<(5LRqbmO6I!8uwukRGT_Z&6ZWNlVDbs zB4G$D&06bQn=j)K%)QXwsrLjuJIu zyZ4Bqu1aGZ)cJM}iKw4MGEu)rb@Sy*M15ShfgYwJC&=!nj&*Y(FUX3=$P?!fB+tRG zN1pMm8ViOLN2~a29=uzoE}JP(I@L<<9o8z_D|f^NQ=Pmk&5Q;!=G^)NYFC0PMIZO1T=LFRxc)J$8! zC>gFnd2N38DqVv@khc}5L+^@ri2}3OWWEPOPyI1+2nG%pxjweF#On(sJM#bSxU80v znnQ~NcI~o|jGcYG^tb5NI1=W3aHCz%7SHpb0EtnKMvXZ_&E|D}5OXBx$uYLYz?Asr8cZqj%Q z#h|d)Eg27iO?qlK*q6!GVy_RMMlw$6PL+*8!t{b0%Q)B)Etqfd474SY%#UQ5Y~Ml+ zs$#V=>+Wdr(-)pO)Hi$372?o}96T;&xPqG=q0ux1U1l#|va}yDwF6rlS*4L%uT?142qV8*!llbNSofk| z$HR-(OS@8!8z5c>XW|GJm&}84jx%L;hQyuZ|1OR7@JZC7pO6Udq|ibA6L5oS`y3%O z=ccn?AimISy^2AcZii@u!CP@jw@);WJ$+~$9C?Jy<5B!2n8$?S@7mUen|l3x8&_93 zOq%-bZ(yfdVf14+BuhUAUfi{b!^6_655)nl&@&0sXwI+)o5x?mT*;jc9H2!xhV3)N z9dEm#%=MQ`;?Ae3OfZdj(YhBNroR4GmZb5mw^HLxtnt_Im(X}&>sY$J7wN;vhp3h2 z7&_Co&W~+MPx|~yfCa{i^~}J`Aw~{-4g*%X(l~_Nl)Cl9$W3Y52Mh&+ynw;9dgNQ} zry>+By~2ujKnpjnx&%9GTAjbH{fzCRVlFRYQ|2N9Lbpw%zRKmhnP?_UY2LjS4#E=o z7(E9ih|ntGJI%^)+&?KskmZozni+Ua2=`CS6vMFQW4Zf40(YSEEIFs z-pdE!wpis#%TWFjS?w{b086(=JmhQ(%>!{$B%X7>h6`(4j|6vjG^SrMLE);xa0^Ux zI+?D8y?i;66=52|Uk=lju%OMAE8RxY4>+7~XYXoOQy#;4cXl0-6%c=saeC|hF4F6Z z+%r6_=tdVk8D60$(l@fJkXNWD@}xZ>co+MG)4W#s8WKk=Uk7a%(ZZ)SNDCpFY;wc2 zRq50Re}YK;GsC}tQ|-V7+J)~w74Q7@aV-G@Q~E?!y6;AhODLfdLq}>}BBO`H9w*v< z{GVv{ZHlooy{eSAv*a0jRcUI>mFSy^jvMjeL7(6(F|6iyltwGHdK<6#^Y-G`NESaR z6MxZ18%1sC-uc{qQ*4P`dOnBexO6Oxb6#s5b(G!HlDCm8f4~Ts$3zQ4A|flxAB0 zQLI0vqZlRCC5+uX?O+(L>eip4^>Pk-_}X(np!Ku$i<#m2_J^7P*w&=SZLo2z)%`6R zu4M(=P>h@f$iasJ?18r5E_uQp-zY>L;S{^Z^Gz~sPe_O!wE1OmT$0|SPZ|CiBq?t> zUmp4N)xu^@a0o5;Aq!sk9iy=WUA76V4Eh4=m#`}88w37huJ|j}l`JmtlJ0n_tEAhN z@Qzf~)nR`i)(w6gq}hpE(*pfCy+RIS61p?kUG7lh znCA3KUBC=dggFI0_7Tr&}a)@?ztR?@bN&6lZdi>Yl^d)q857)DHxDniHc z;au%89B(f}-5eiAD(8n}iB!KzB84(vBG^~#Qf+P2p2ak4!0Z?;FTb{l z2-PeitX8oAAJgsdrsfMAmNkp1f98=|9TF@W3pQ3?_o3h2t$0TH`g|vKiEVM8)TN5l z#mL@f#nzdBW%&nB8VU9o&ny_-+`g|v;Cz6z6SsOu^!C)(JLrmn?JFd5A(SWf%d@PI zzKe<cO`~GZV$k3`E^856?cf zbsm`&EgqAoqaH)l94>0JSI>D-m)8^ZdS28~EU?dQCs7|SVxm4uqV8a~q!9HI3#^HY zq8Bq(K6t#q%178}P|?lfAnGyBqF%q1iFyHvdW@5(W9o}~uSC>2PNLqi_B|5yVUl02 z5bRNyp@Lp*^${;vX5_Opcpdh{EhoEpx`G<5{TfMRCwrs$mh2FfX7vyDA**XdagL*% zTe6vb31?bJEs1q%$$z%x|Bt*c4{Pe^{tpBQAebmxaV2O~T#1PLLINt(H7<3l)v&0j zsA%14RRTdl>w=0FTbH0%(OQc;)-5b5Dq6H?QPBoVTeL1{t)-UA?{ntfOKyT~pT6He zfA90WBh5W?=4^B3%$ZF|M;~o`dHyouwHVEza)`RWwRgPA zK$QdGMY3iqp2Lcl0^N4gJ06Kp~@4zL%K~)45Ug{=6Ea>ej0?tmxQlu5+wL zsApY$L25t+%{~{6kyrBagQ)XzE<)6Mt-{Ae9H=va z{$(ZV^&(M^5JcT<6rPc@31D8Hr(C$KF0|#PMrioI1~A=vkf<}uflMttZV6HMU5)#0 zQ>{halYyoas8#^wM7_@<>Oeu%m01xG^$lxL&s#vE)|CK-T}!J#aa3#DKykS^P~2w~ zDDL@ziP{}#Gk3cg7Q0`pz-$q>Q$w}*oEBJ8=EjFmnqc8|>dLj&Pc?1(r zw=(f#;hzIY>WKo+Yl^+enhTR^kTq$01WKe3lfG?xoBbFT5fj6%Ur_Y=(~Mzior z2WTGtq(-+qbC}WGh-emG)ReG^(3`qt>V42`6K*;0V5vR23MNJ+yzcnl!>!;yOia!f zK#5^I=oGv2;e8jAL7jSrk9vgZ@nj`62OJ@N(%q{MbH_wdfzajHW#3j^j=ku~cezIF ziC^n3_shsVL`*Z1O|)&7dsX@lFEpB`r)0IS#KVf3p21aiCiO+NYlJdclX4|6^BTet z>$yl)U)p{dkWReN@g%EPdozZytu1tzJRtWRby~gCR7wpyKBg3ayWaTL9#E2W^_nFQ zb*-;AA>2<0m9_|vtYZk5ur5jn`Bn03edn_-%2ndJ=+Ee)#Y2C#U@qZ+%mkzoFegdq z4U6L&|M24HoOf1MS`wt@3qt{%KsO3_JrsQO2zQo8YuX7ZE`E~fMmMb&*Y>RN5{AQG zYalw_p~?PYJ9t!-Vhx&TV+@)AAL2KUs4~5U9sYRqvM_KOppJZi^pab$+lGeDQ!{29 zO`3I#4Kx#U&LHT(yC>ma&jA{DT|0My%#e`L{iwwd zTQWm@MiH6gsD%+CGL_zJpT-2P5_{##p$egPWkO?7@?@YhtIg;e70$;Vjp&Ww?3hD! zxam7Gq{IA0X@jlWbTlTXqgeqN!ULsv@hQFl<`xj45`h{yP}Na3nq(@7U18`YEs7i=FA#c%W;0;tS`2>Z{W0~bUqQEem5ZuLUk2N3&3b|5KA?AX8 zq`MMQ$O}Os+&t%R6~bObv{6XH1X2il_1e3)jY7=c^RKbK+<+;h;PzBog_L=-od;J* zAqwH)%D-1gvr6L1S|OfUOd(fEAs_Od^nXxDUgT&}$cLnm>$VE<+t7e%DiW)DnW_|W ze=mQ=%@>}toR>JWcl=g#(Q@zjc~+=Gq(StKpBC@<4aYlv?k}#ZN8sNpZ(Uc9G>lu( zRVV#K^h!yg^P~b|f04kx(9sK>#}GwJh@yL<{;b`_mY&PFN*1>hw1zDzvfF}6I>LLp z{&VlmsB?fU;5V{>+zWhoDr8ck;%V6-s1FMHhX|Dwb~Gry_MpB%nQ*Lba!;4UoI&@L zNFA8DPZQawy!<qdSO+SAw4w29~vz=eFU4>;+o`yKRsZ#^6Po@;_9(fIB4-(Ik3w^y!Fve-9j~v-@M358xI43&u3z07zsaA7W+g?}+R{%i zsK%oUmdGYFxa~*|JLWov-F7NmyMnDp-^79~?ZMbk$>}w1a1m9S(^2;CeHs0Cx7BN! z#Ii|Jv}`s_k_1IBj`w@XXcCwbeV{aBK&7bZ)L=Rq9FR`pDi_n=>@>D|ROuyEB7Z21wRgR@E^FO?~$h|t4%JJ zg3d3CE|ARuXFZ~|^lv9+w90jkAkjJleSf7^4J&O#U1#7=M5>8M)it+>I@o6ut(j$z z1Pv=eD$=x%@Q7(iK(S~IzZS2y_<*8cm=Y0hD{Kr?RyhKM=*+af>UZa785UI)k2&xb=`Wr~uqZy{OMjq}6Dnbvp10v7 zbxzu$8&E8)TJmFA1n;mw`i@8t1clVvTvH*N&7?cFr&bfp>(0nlyZ&Aw&NUTs!v8C< zARUiF-q%(k9k*Q>LLYjglS3h&52&G#j#rpMZUQPtcs*4Z%W5j5{5A`4tQ4|%??hCV z9VUh3{vQ-Fw1V{>rjS-P3W@*rP9-Ts;el?o+^z{+;K2YHTwrui#vmQKZ@yoirilYS zs?X^@$!hFLy|!#}xl>3J_@%|3r()~Lkc;s>75kPf$9<+`C|9Q_i`5ZkNIh?@8AsBZ zX(L8i&p${=(chs6`b^|&$_h#Lh6!9Hws)O7@jsHSX3r(-D1t$f<&vL zMJ?JEAXsvy$M+eW%ptvKX;Y&IT{-vX2N;R+{fg|GLa1~V!vod@8j4*(`P+wpFo?7- zrv*N81qAxAMsLa8$d=?kB6$=E?{5ko<=KmFv*|ER@CGO&*LEBR&5w`rlJVpwO9dno>v?Vk9Y_$s$$`1esg=hKG* zO79gH$euf3`SjfDCWsggyDiYlFlJ&V@NMrJGlAf~?7*ng$<=sbYBAgsI0shisHh9}Z6olO4f_gkx;4Gq=rtz@_=)L3)guUNGc4dw5zD zS)Gmqf)_8U=ke>-ui8c~#fy78*I{(#E}Pr3>LM86~^6K_Co9TwwZjG=o?zFG# zclQUYw2QLc9eO40Ri%6~dQszX1$F#YXA_>JAt}%-`J`nt)P}fi>>>A2Se>B}wt5m|vI$=-z!|5D-?bOoh@w@9+uwo?c*Z2<8a zZ^M8*c@@dYj`Wl;8f{N;d9FKeT(m2dZFF=_=xe+nkvp|L_I{bvk^fgJ7bZxfr!&lS z)YeO9gL&PiPYHAAQEGdvh^x^9sZzpSu98J;WA~=O)V!vU*z_W?h3{S#d|W^>OVtKD z9Uqpp5rsfwIsR@C!Z3k~k`=}@+JchTVh>`Dl*vWXKFCL{>P?R=A=i`Y_ClYBDWSL9 z3sZkXZ{&J<_KCizBn}74Q+f-&FLCduL=(H0DT9`DC8Fa9VXGMTbi~XnN~Hh9y?1Ys zj!OoMEH>qK)6NGOhKf=LsZ#3L3unyknp(H^l+<%mSRBcP!8gdIYg@5LTqQfU>S%Yd zc?2d=x4f6kjjK+tYDS*<_6{HopYonh2*~kaW2+P7gdX%&29yT2lxnA+Zw3gEz>pr_gs`L(C#H1VWP8Q{JxN{`4T&LobtZ@ma zG45zlW}9t}HjPnHu@O`ValqDWYPRGQ ziiC+aK18}Fch8W;M31EJ@GMF7E!rzxovg3ENFCV&78Q_jk-a`^YTcG59LD{45`Fjr z=N;*~>XHA^s6R|DnIue8>vo=!adbJ4{UfKob zoA_gQV0S2pPSb>n1ix$*2kXtKcpc9~%Cet7>|tBRqMxFH*a`gjqIUUQjqadmnTzfq zn75?ec9Q)P>T*5|56pW-eb)LJ80EJRMJy(bZtx@}$9XVbn@5 zD)Y;e_LoD?xBzUJp||!0T9N3fW-fmMX8$shLJE=N{XSo@d+q)6u+Y7mI;TL5&lfw0 zn)5`B)}Xx;L*8dmBrFs;slv9b(kreKd!SvvAGD9oo*+sB9(ASK?7nx| zBHlpt4ip~gc3*N7wz~;I$jp}HWerPF$e&vR#ClV)J22z=&!TuKa}%Aam;VlN1ms&k z?%HlnM4A- zf}hXQjK_2>%L?;)4l_H?SN)8xfVq^z%r4}vAk4*zy!tdLnLP?`TIBmxXqsV@@wvwC z^JNlbcM?b9f^$T{ewr~fyG^3hzCg^uF*LdcBoQ$ZziMXV1{Z=^>-cjRXYi9@B?|do(ZlKG?^5sLp6z*bKHg4k z_s_G!vm?F-ijrIu3GdpB20339f}WV}WEZL3{ylP5deoexB;LGD^sr;PTW#MZ-su>m zB-K`eP}SuEC!-p<18$On(ZpjZx^RWuYC5>S^T6Lvy(IiYWNuN z@-{rEFtLzLyO@+nA4){Clcdpih}6zRQ5v>W3;NZJe@6PvB&DgEiF7mVbP|vKUuP#d zM@mVQi69p_Bhz#%v3c^~^hUd^FKV50_Mx+Zt8+TeVZU46l=h2xlf$T5GU?3Y9=#6-&;T;P5uK- zW^}fh@D;t)@EVEK?8jv-4l*+pC+>&AKe`pbjyR`Rg6L%M8KccOZupT?j)W_pNtN~wW8i%A}LE+h; z#*wLbBFJ@@a*)VdEnT@`E4BKeO{=n{d!OKU6)o=s-4k{67n_Jw!wwXvWJx?0jCn}H zq+PxN@#yIPHpKin;x15>e1jt4wCxacW)z9Fg2Wmc@xQshNnes_u*+D>yV;mVS%bDE zWE#qDa=oP8+dsUm@vk9kA_Wp}HJ2cE1+2Z=LwiEKs-WCR`Q3|h(G z`pL>c3xJw?Lu0hyCxw{2Y6H_kAwe`lgqkQ(l99X6`VD`EL6yj|y5GYMb7vTqSNV(A zRT3`}!|WckR)=}f#Jh$pKD#uAVbR*#Sk`WV`w0-THwCGVKY%B=|dhH zK$94#Ri5$fRwn^u_yUD|udVg2ytpBqK7@*bpd`=7Bv4u{2uQms8p*>>kU%q${;E>J zSyYDuD^G9WvH$x>o;!~$b`hnsQwQ^oK$vW)4vt++A42EAh}oaieh`pO046T-uE z&yK0w2QuZ@Hpny1Q@Vz!TN;cP)8F%(~~b zID*NB)ph?9+Ajo6vPmesreM1RTM$cLU(bNs>;spJFVt^vnd~g~27%w^dpmGy+hI~N z-Y3vCil2#1IT7tvJuJt}+OMUn8mjiU~_P)r<{hy%R- zOJ|_}+}nv}nvt@H0Z0WpOGnYxwA(${rn}H%QBiaP*H3gf)_ky>BzlP?>b!$jcu$F4 z{454~)v`Zt*M)5AW88g#OKkdB*}+U0wHLcxGesohT^G(%B^`&vrWg&57Y>;dEbZ0EHk`X4|!`%9Ng|^g-Ph9=gsyof0-F zYsh?Er_SG_uI3&J*$W$)hv(mK!>E1%s;9VQ3%Q^0{BqI-_vFMv5vQFt5~u8G3?Q@Q z6wXH-!0!nkqVOsZnXBhvT446dCMl>P1xo`@-r*^tquk>)^H zoJ1l2#b$U&*zzOm6*O?=6r)#^zV}ZW68v~b=ieX`FS6H|Xu(v4{QUL()BzJdK;e~5 z(wwDA?IcBRFK&Sm`#Hz07(I45QY=hd@O-%+=Tnz%BenFjbzR>39<^hcj4N!le&sbu zmX5(yg^KOCOE^{1P$8=jY4_&-rT8gfF$yoUNV{VXza%Ce5)-D+VB?B^vhizEjIf1( zA~I#8Z5VZ=Asb`qny`S3O9=U-lvOBR>xpf^*iC`K&dsR~e8DUkIa`&QlA@X0&Rfi- z#Z@!ul|74)wams`5)acgsb1_^lI~=NnOVz=+}y~U#KN1v0AuUJ(Q|hRz^{MRwT55+ zsr@n%3l(1F7Qe2)UBkex-X}uJnSsUF5Mu1i7F|k7Vp>suFkJnKDtVGAdB<36THf>U z_xLG`ii84@O3EJXs3atL|LR&or|u=8R+8AYIBRCLv{*DQ>v=u41h1O#>Vz4PlZg_*35IxcWKR#kMdL zCeK~r(rXwtY-iYc2gXviP`GBm`P_GiKKc%}Z01hbQSua+=oX!-9A^ZEbYdV{mpm0g z%xXDZRlsNYo=vR)_W|W30(GDz7GLIwu-)pW0pp6ZfH7P`A#aJeQ@mkFmVO2OiMwJi z$OVTGgv_yV^d0;zJxi5dA{=+?7JqGfEYgUn-8N#^NBf+nnSmgwY)GnQV_lu;bfh`p z1(6gTV56@1PhUfqaw-B+3M^q~o#}rPAGwvNqzkl!ox$lhFv+hfCutbOl%55pK}&q@>Vt+18+SFpYXvlYl|Pxh-)X{MW~&<9l}5UEe-?- zF=iqM)SiI0VsowNvVg}C2t?TSu=@*|TU?oZ#7PPXQkj+2<84D2M+}9ts29-f%2nP7 z8YK69nG5=x0|f#VTgO+C9J>+~83Gjo<9m*g)`BjgkXJFSB@Is}t!a8`VPowL?M{+xk@4{ z+Ut~!-?_IKI*R0-)`%UM>x_>9MVN!AoteuV`V)752z+Jh=ay)5Y?ny@^oj)EF(%t+ zbM%$(@KN?DK@?(T%VJ9eJ`dxy3_&5Ot*KEx=De1u>;2QxflA zICXmwe&${kNhYf;HVxS4gxw;JkJUK3a@g&Vq81Y`j>ur=jj?DSS5ZoTs9B_Mw|2d*io&Vj$s?6UBcccH_|x0O+-t#GWE7jtS#@o{hg4d>#QA2|h;r9@?VS(8dL?R+ zxpJ7;HYJ0ADO&Ss2~q(y&%TtiyQG%v!66oGSa^C4BV2hX22p{jMKhP9Vc9WaI~)7# z#QQGf6ThI-j@}eb$u^3Hvqg{h)Ab=S&burzqTxF>Uel4DD(}^zF>&I@)KTmRNrETt z7n|bp1W{1f=T|_A^%2Wm-;G8dHAY1!G^_cs7&l<0%sEVlk26L0jO1{jY61-vK!s?n zyvs4VCZZ~9g@}wvK`h*){AEHX(KTmFW>PH7cFK5#O<@NSwuGX+`}4%@{lK*sLhZ#0 z?I~Hm8``=Sa?{-G>_I=#9S^~o*T@wbN#)WjmW)Q%U#GwYJxJ1s){MMobs(GG&ukit z9;``o&+a0EUD!D7=0WjIg#^@!5O?b|VrjK%0g-Hv-sw!jN@#hj2ho!ipXEESX;ZT zJ&h{6o}om%II14?GfAYtnWAd#wpKtXSibqV z7y2c4J)`q(n;c5TkfXFbACtEQy>@e!TV%;5P<@@7pnK06io4QnZf$w>H~|F7zD42H z54)oIg_gv|qo9{jR(h%AKq;N*H9!Cr5(zQk9hhzxpnnOZmLFFUspC;0#&fsDkK}yM z_9m&BSjmsnRwuFchFZ1vnzhGW*BQdMC#g&82>0h%>|iUi10jxxZ!#0D$tm9&kRm7^ z=Owz)xU{u)L-Bn+%^REtSPo?)<$DB$G-Pu{D}^}IG-oJNh)PQe>29SERS!Snayrn& zj1?4u1B0_CLfY!49Ywn9&Vhyzs7?Uo&IlD=7#C)FpiJm;IsQ(xQUr- zVTlNI9S7=7ptA8isJ<>mbs-{S-^+|0qV9PDqE53Gwcl|jYMPSEj|-yak1mUCpNV<| z6ScyHL>*!!>Wm)UNYoVb#}ozOf;lzZ%iX=7F1r95G@+g7R@5}D5HqN>v|;O!{h&$FX_t{U-ZVzN$HoJme?lQ? z0*zT-bEjE+k>^Qv&bgGUWbsAej|xfhk@&sJZmmV~>kfYK6^-g%1waC?NYQs|5W#u& z;~t_JRPx=$0F;w)2jmGpM~IUr%OgN8Qw+_6KZNa9wT zhRs=fo>}GyA-(z%tHe!Av@NUG4}62)6POJw0hK8&)ds$MuRFqYol15iTPU)d>rc+m zG!e)wB53iLQk^k*_`ND?n@9vleuZeN=v<`@k^^UXih!g@Uv%)WhvEgOZk&yvbwjH1MD(Bp?6lRYMgX)ioLeIbF2*y|qn&3ylGHwY|@9xN6* z*jTP5hEOlGw4(8{{6{AAX6|+J%_UjO>5XRLsf?3M#sx$}qEJP!%A%oXuX7(P@-jTG zBx^V0_bTu07J12!)uKMHp(0_CNa#hiY3mnZXY%qa94dj*`3H(aCC4$KSv^pE>=)*n zQKd@uq0Rhy*W;IiSOa%N!TRmmXUWK7l0;hF^_WE_8H7bcRQ`H&A#FyqdXf7$Yl)+{ zx~0u#mqa^>MA8|>dk*?1$J|bsPk*!7yXmRlZ~2`vI4pi|MUwNfsh4$ivz|sZ9G(5q zjt)VCLv|gXoUzip@R(be_xgLA?fm1uzvX8PF7Nf8Qw0O|BH)S^727)GB!;9PpRB0= zbm=j-^!vc98AF)$AOGI>J*NfF?oGX{^u70IRKvmNfmwQa(bL0|lNzr4{g_*CkIJi? zy=O8q)&O|L*Uv^xyPVN&d)t`}qb~s1@RWd+UWEDK55OE7Pr&I(gxT{sFzbQ{^E@9S zqv419j!cehLBJoB?!CU*dtL8$Z~JW>K{Stc1%rw~L~~Rd00(t{=g;Gl)q9D-_Vq!A z=JU6!?)Vjt0`Q8A4XAe+;+26BS#g0V(MON_yRa7-V#jKyG zV(A2`c$BrWfPjA*`uuDM={*AW?DL@NnA>pzj{Tj{KZ$@}5U?bMfXjS|q$&cIWGU%y zSEuV}-Kn7)!58TisO#-!phkClIsi<2j=&7sn=Uf~mN=0ysQt_D!7z5l=Eh}hwhLxpeHsy% z;#(70;HOU4Ye7m~-HA@~G-Z}9W3u7~qirvvZF(1KH0cZ0=sX6NIfKzQkzsqqVY|hu zTx3-ybfqfF!;GD6?rC-0j=4oNrbg9w2rTC=1AEE9j9)Xb?-=INS%f)uiL{NAp+nH0 z4D5b4!lpkPTN?A;3Po+AGdY^TN>(redNm=;&OfM0UyWoW&!X;BMRkFyIQ3;!^mD07 z=q6U>Xp@kt1(FgC|= zReCZuzhhNSa#iM2E8a&~l|8JA_A#q6i&a_Hi>m1Eu`0i@D!B`(O6nO_X zR8QBPZ?D?fzHO>%;I8hMcBx&-ZyH@6>J_7u_+N;fo#Yv&wjF?{d2n9RaMyl=P*w7) z&Q224)A!vECeQuAcmDh?)1!Sg(b4@v=Y{$Xo*f<<<*S)H(^nfBKH~#l&4?ksK|@Bq zGt#$9$8H@}{NMdXYJEq}ojU4=xicq)&z?JX za#ZNN$&;ppelTy+oX(v(hQIlD(DOxFi{Hy?=bhFT>>epmN&1yLNXoICQeSiT$7UUy z9A0I5GS|1kigEpQ3s3x9J7<6M^pnNmABT>vQ~LgsTm28l6`id7HlT3JyAB_I@=?a{ z{hqI8RaMMBXI}W%&oPHr8K2Bu*Kh5({^bi#bnAt>lGDYPw}m-+FRqfs**(l}8+Z2d z>ADT4)XysWar>%zhga!e%w0DD@an}UF0{C?s^mqWN|B{Ir(fK{Gf9^b7IM0-+hA47 zyAHcP`KZ^({I>QtE}uTtW3=1;g>iPSPVH9Q9F(PYG>q$STzq0o*9)%qe;cjRWl83P zm$DxxRy1jsSTrNcgYQ8 z6Q4XAelRTaw5MByYHTO-JvS$RPq()E6H9+owUYobBj6Afy;w&w)3KJWC{@&+6iTlzn_ z7}_#prS)Fdt88jqP^x<&xzWyJmn?lw56?d8$CtCp?ArEs?I+jzk5n$!XMbiW zl-4V2lGe;P?{oDFiDNIvoid+8Jwp1ZFJ93+v~yijTP@d?eIQw^x8G%dOFF7){k&$z zm+9)4k}d-s56OHQH!}88U%4*1YuC!zb;w}FiceFNK3zL$JZFW)8O^y4p}LPV1?7y09e>bqb&tM?m35069AGLcsNY7GH3%y9I#jUck_=m9z6o0C;Rs`n2)WsK-ad8BgUoXx6WHu@7qjfM8%)izd7P z5bCGV=0(KmjTap>!#4s$D>N|_09%2f*A}I6F#sxnq4J`GUBgYFp)D}HizdDRz!+dS zh$f~_1`U@SG}kt{WJ@}F#FtEfpuQfgNS+6P$G~8o9H%e1?4UXLiA&rDUk``0Noe9M zFsw%ttvYM8qo%~^PvwKiEiQ3>oju}Xr$CZd1}hXhwkX}g0FXB&&X|0~AyoC5OLlZu z4~LesAjx8qB$_Y+AaH7&G4ZN{rr|c1xWJws@u~A#Ip;ipE^YzCVE{w|pb!8Dw*f;h zaIp|gJOK@N0dTUj#&g6}yn*0$>R+ya0w0G!X`X z5&*cq0u3*~#na9jb=lN7ed#w2cF%UW#61u2h_{PxFdT2?oVW-8&xs2(aT5SXzH`vTe&vFVpa3`n49n2OYXDRML%*mv zeM%8%I0y`3XyP0IRs%z$&!CI08tuF&sJqC)&gC#@m;wy>t(>Kwfz2MDDSbWwKp6mv zZ^7&jyV&2K3X3X)pwiLANC4~wz}T5_dedzOyR4%w*~S?F*a3h8Xkroolpr#1W}Is4 z9S6-j#{n=4{^2f~IEf|}ex`JL3JmvW#u-g_972dpti7Btbdo5pj|tTUWqdV6Dtc1WS0j3_{2e6h6;XslB7BUE6j;+vW-Hln$*F_z=Km@=R z0nANDUf=s|!b-6q;*0<3>G(02bbfZ2TmY^$fD(W?aZfEs;CU`qhDyf45G?Eu&x1g4J! zwo(27Yu505odx6 zR!m^e32X_#6a@fteni;PAQ}2&_d?i!`6RSfaToAOv(b7%H>l$g!B-HGuL0I_0X!}K zh!2ec{t-EV&nN-4=4kLfw>$9nYyyEds|~brnTJEfY63eCGBiIB?{h##F@eniSW-`b z4QvLm+;sq}dkJ6-e+0IaxoCY<4}k3lSn?Qv<+TUc?#%#;yA0k-2u%M0uw5mvGQzfy z8to0R<=dsz%l4_!8!@jjL?OAx9T?-+EQP%gbzHk5zZQ^7n@)B2QHb8fuH)K;zM0NRMS$d8yxpA6Mp>+vGmg3V;Ab3OYhS0#fVSDW zKQHAHcKtBw2^sbS1&I&|Vg3@U4H0Syv^3RYzb97^2p zBbw-PU$SY-w_AjK&l|tRv3e)o_$`oD7v#tP;TwD9ag#nn zk;6nxrmDe?*Zt?PRS~y76*(O2bydAQP;u}F*ko@_?%K}UPTl)G>MpIns$bgL&b;54 zQ>zbA$()^uSsU*+1am!ZB6H1QU7MDq0z|u*j%ToMvx(Un-;B&9EPCU&3|e0ZvZ^;G z*B(Nc^Q^R~WP++zcbqQkOpXo~Xr>ip|y3{DRJE>u3(vnoR5@(bgUbSu}N? z;^+*!hCn{Q1jzIKR0GgE3;VBMDAD(8M+YnGG#GZz&Q$+|v9nYE_v?KqljOL^bxdMc z_8FVtpXdvoWk55Co>)SkpU`AxoZBd$;%6=L)XT$U7uG1z_vfa$`0*mu)Siom16U_z z=Mn{1EQqvJM@$%K=azh$jYvzi^iBHF8^5XPTaeYaca+#)VDGCsjrF)oX8S34R8A>9 zE!Fo`D^1uVoA+_2f?m~2N8M$IAu})YuULE0p%C8wlC*VNjinY}llm#%<8r`ml~Tvg zahP1@oYx(4`!LICvBiHq)HCXr5QU^cK?UlIdYE5n|3+m^B@ue{6t`8U)SyJ-#umw+ zXS=-#%GhNKi z2x4cah@BTaJHvZ{ouyXn{Awn4a+VQ0WUSTfTq1T}h}bzJV&@fOhgisJGc9Qom4A! zD%EWCT1o7r)?g=ofJHk6B6b3ENIM)mk~L!ONLHRCb_Nqs>_PErb^rkdSpnVhdF;j|-SCz)p_Tw4o0a9TzzdSfE@{6O$KWfT__>_lPMhe8;2 zlKgbwefN5@%!W!lO0ma5ic^p?^19;3L1Qd5W`0arN76$R=BWZa(A&4l&qP34#IJ$3*J*;o|9 z!xzfQCLn!oPtE$NaayYXL>(wzsy8{Eh8HSzY>eI_IQ>iq78G=SMOKJ{doTR1ourKv z*95O`FwM9S4~N=gpZs%s;)%PmIj>e{^d=L#A=uvcCWN5NHM9F*f?5qAD>O0s&j4Qn zzxkkJ3JIfv3FDQ-yLK*AW8JlkF7&3Y*J4s$UQOk_p=-_8)&_Tz#LBxcB!ygX`7RXF zuTWSkZ>dOmz9QwF5h<@Cj2IOZwxD?z;zC6Vdr8|Mc!inmCl-J;|2!}c1-($>aF!_ZKV3(0(E*Nc$~N#pa@@R*;Vj3JNh0Rj(wW^?s_+yHvH<`*-(9 zL1Sq@%)j=oJvxZrrgx3cBLyk;pfH?7VXdJ5(7U3EUhCdfoZS@|Wj#q*6JSh&vIOhQ z=|FK!jEXT|j6b>FH8vEgvFTmMGe~)M!wBDE6xPanL+_H*dR?P;jIgR#SOz=v^mtb!+sl zi4~+g6?sJ|ZHBQ{-hc01ubcNKF4#To%R~w@+b4;8*OJhdHF{Tpf`V_&yC`HEQCKVJ z4ZTZQyJL;smE=TMfXnw&?@B}a|JU9n?Q)eA6nz7z?8tzs6%^tk>RlyGBA_7au}kYt zcRzcvx6T(Kp#1mV^^4Y{M(>)DPGP9_3ls)6jpnEJ|9R|6B6_WRS42)9pp{La-c>46 zme9L`x|-Caj)X=iys(|7uUaqLMq^Q!cnF-rp<#~`(~df!lj@W0a1(o8EfqXho+Orn zz}N>gRk82$0@JsrZ05v~f8F-4zefR0c#|oqI@g^Mp_)reQ!=J2~T$sk~bL zG*+=K>-e|7n2P9?E_$3Owcd^s= zylBWXbYpR(&v&Th{RoAi^N@xrSnU}JHRGnhO~uz5q<|_f<%^(kHDbcEp*v7D=LDH! z1pfNJ#)O{hD#@I#Q-AkFA()fCR$ibeCRDf_g@OXZ#R|F<6aaV`ds&)J{#h%?+1;X` zR8B#0?;OEvF?AY=6%^a7k`!d3;|6pCn2mxWEedjW7b(b}DTv#RTVSQ2mldqPPbAVt zqo`Jpu>%yObmtYcDTx&1FIG@aA2khGnWUgl+5~2;pz9U|4R6FL=v2S=Dbllwa-Vrq zKp1p}6tn<;St}@66y;tQMY#h-QLd6jxtYd}xIxUvy$6}Oj?M(8T@wXl#TQr{{TF#8 zI%q3+Bq2JzurxWFjwj2fqM(U!ut%yLES{f4YL;-1V6sK)E5iuA!wcYH9v( znvK@=!NeWY^lmFQVVYhRuDGZ^XX@*;0Taw)VbK@W%k6!g8or(59=_g-yQ^6>NR%vz z&udpoO4WhFYNZO(OmBM%ytwy^KgVC9<_$pb;Q87FJ<22K_ zz9|tkkBBmgLI{1W>BLU;G&2nBSWh!E>NKO8>~6-Q8tlAjn%QU#*s-2w_P9^%1QiiG zXKJwX-=~>n>se6GF8LEjMEY7wh#hX4DVzoH8b>i#Nfe3%n%fB8#~4AAt%0Igei|3c zE1jMp+DNvF<>Otp5b@Ix2?nG9iO{ztEorhfTolU>6vgsBES5L1{q=DymN&;eH<6Po z6^%kUgA2&X>Fxk+D(&qRoSZO`$&YWggfz#TN+_Mr4{=x7VY}Tsg$tRb9bE6!J7o+R^o8r!8HckwQf{h)p-uf$M$bV9 zT));H%kD@$Cdo2PA8bXJDhCu$jzxn1*Kim!4v{O!DJHqlhH0_Gn6Z<-1zCMt*m&wr zc)JpFd&x@F ztU=z)YzujGFk4LC%b8s+j!Qs#{9w8OwaWTP&HF z-E0&xsM2C$;bdZwQnw0zKJPeFx5UEzoVqlX3c5!Uq-aRsUY$qDq=EsB%K6-w>uoif zHknnjTay<4q3z1E@48YdLZTLBUA7m}#nk1;Q5f+5 z>Z!#9RckCfJsOYjbEv}d)4{+H_2&7OeA7b`YcRC=)W0;W>EaOK7A!i-%mlP{%c}DbdRInbx1MMphd6EaetZBI*d5V`xTU4)@$e} zb92!eVQ_jHVToqyfQ-dg2Lz`VIxusi`ziT&yiVKpL`NElU56F~L8cxeK^h(s7gpd) zNK5+#@ErH&sjYP&$b8iS*9u%#$wttB47Ib|G2q>{Ewx}K9-;*~@~wNf?Yf>Q%@OgN zIFOCwOms~w;z>x)AjUFCJl5blh{pZY27yQCEP2FKl-W7988Y~lv2^cT=16@r+=x&r z+o5MBlS<1f8>_!eSXLGc=BIk4?j#gJ<=`n4l}C*d>2&KQM%YqnNoAwctpkTt5Q8R> z2=_Fn>2}Z~B2RSx=A5?vgrTw~_+eu0mzv;qC-Fgw%K?Ps-_}b*kB|naHC$jTZ7YS) z_Yd<}OlkNl^qkS-oOsKtNXAP{M2DzZe;dM$tA;R2luxN~^q4=^Q;#VoWGY@u>)XbV z(ixmt&|tB8wJTjP%Ond)WwJM2Tdrz9#Hq`t_8rqcPpTztDSbUy^k1$G2fP0EiG9ap ze9LivXCJq0qLPgv7ckWAUNdeJuQ7Y`hl z$s{Sd0^#@}rnd^JRBY)fbJ|6a08iBbZ+?tK_p~NByUSSG&7!yBOXFV%)uA^@JF(uf zC=j&LoB7f{LVBN?oo7S1dDS>ZiIPSz(W-B3yDv#sj46qTsm!pqzG8SFw+y>FxtGYt z{_-uX1WSsh<`|m)RH0J{-TwrWK@O9_JsTf;V(wcRglaYBsp8ex(p5~(teM_uMo7ya z*y_moz&*$^O6_pSj|PHQ{%CEfUU7t`*L?>=b3Ay$f&DZZs?QK#7(m1hEa8B$KST94 zK8lC*ub-33r0iQC+i!7iv3m!XaGd8`ac-```AJUue~{$Kv5DKia3F0tYojIK#?)jQ z0DdPi3VpMjQyS}R@xt(g$B(}x#}nJj1Dv+cX)>YOU{5$7Fk`1h^IIYje3ijOa1yQL zP#>eQQ0R;$?dA^WK8Re+nGIxv21aza$YoWy-X=bNX6m9vO1?*zv^zmoVx&&5;<{3F zJK{Di&!60Q`DD=syf~I#Q(d2f0A>n+kcBfnT%VU0WO1d}M9nH? ze4j2*>7Zo4>?Dg7Wq!=aeoAEL3%nw3O2b9(om$8tP`pl62Ea7SSZ#_E|VM{U}cZNoZ3Qw+BZN>)@AC7imXx@sag2?2mbG{4mQ$ zw^O?}o$E@YgWH+iNBO_ZyYKRUZGOx34vbpcNqf6{6k1WAQ%-An5MB?9?9C$Q&|d;q zmG_A&>0iWED$kW5L)qCEB*ToeD1v-M-KsL1Rbtooq>7BH{4%pdy38sdKHJi*vV6ZL zwkwzkxQdMGY$-KRUb6vawNht%1XUKRE$6J(8y?oC0j9+ynsF(t8YrX&wAm;a2TS!y zMKG0;7V9k<3qETBGWZCl!g2}~iQyI-E#Z{+h`3O>@-~WWuE7_7r}X>WVk%W5n0#CT zC{Ghir3;ygU8}X0hGluIVe?s{t_|OCl3N^RM|lyQ`$$a6Q5`V{QF{2R`ba_?DT5r* zT!wb0(PC}f;Mb-XSgfVf#IAl1q~Fpu0Xj{bSL#04audwp0b_tZp*|Gsm5;xKO(0FBFxbb1>ym4$4{d`t7pa~M-Uzv=Y;?0;SIv=hm;cGpQwp&e~xe` zBD}zgaNPjPT_-do!s*;?HQ}9!@N`DFW;pTA(?(|qdfs)iknRpj*j>TJ#wixx`C{F21NdzH5yuz-cm{6}HVpFqABQ$1yMz;&cwq6QT(g#ZH0kZuR5DPx# zLeY_5(6sJ6iX6e;^eH6*wi5wrKU(7`@aVxzt`1$nFzgkXO9H#lqR)r0^Xi%V;n?qh z#(NEl1Y}UzJtlqCM#DiELgm|j7R{&|FCxvDNi&gxkw!Mca)xWb98qVv?lcj&WSz<8 ziusHyR(&!Jhk#MtQiM^%jAjAjM@9XSkQ<>PUf!mK6iwS0 zl9!z)2~X3O2``8VZ?Bc`?&Op3)E7|XbmqqfO*si~FQ>ijq`l8Y+Do}4Hi5h$r^y5s zkoH1&?KScDh4zfDBJFVmaN64^CLs83F%h6A0)lY;Ivbq&yd(nlS+u9EFVfz-BJI6v zrM*+vNPD5{P$b~~MqYa=$4!v+1hK3O8ZRa7v3J8OtYtk$EbEs8CIOeMH_2L!^++CL zh~s8p)QK^gIgA654vKMJ$@f&w9>$6>zTz7_c=64#cj7EJIqX_cOqsxPMm_epFmZ=Wr)l32 z`z_5RZ~Nn-2G{pu$+mt?_KVy|X;VN0K?wxxfihg>Z*jN%~xu_y<+b1N41tf=ZydNoyye$?^ATHQe zb?XMEQ3I(p8{p(Lsb&M4sDbR74a}kj6h8|bjC1-b2YoMWLhb7o2mJq;41}F(#lx8v zw+dR!Yet(TvnOn|h(>;w!IY4BB-olq(88ZcxSk|jySH&+<}0di|GudHI*Kh?1-s!&qYA5mm}PCz`?Z?M1$H|F5W^Xds%w{JEO)m1@TS zI82eg%W%is|A)Dl!$qhh==tvzJGFM>mJOm^ip^NWhPP8mo+LHis>1bx5}E`Wrq=QW z5m-)GX6o_&r?O*;Cs`f9oJ39YLca>T3-e|)E6UE49miaVLA81RGubgMpwOhWrNJvY zN3I>T$>dbz$jIsQzKPJpe_2#-W5uNDWMDy&_03Ef*o~kczzz;?f zG=w8@Fo(lAi=1%tZy`ENz{~Oc%eg$2XaZj8af~Q zyLloc_xe3jqv0%S*u*Cwf}PipAlakxhH1R?k%nIEfxmq{)>{lUv4AZBw}T%J*h+Im ziO5i!7hVDu18Z*&#BLm#FDJY2OcV;HzM8}E2U)FAz>wo^S4FwC_07L!xwSpGPFYAQ zxn9w&5^{*@<=L}6@3Z890hexy(z08V*b-zXA}m9+*fA%NJ^RKUr#CgS7~BPy^~AE7 zY)3i+wv*7UMH-}hH3FF|A>rWDj|ngUF!C=2E%Gvxxn7}QMMCRwL4*MLL1%VVb7zi+ zCVCA;6N5V;fQShS?2ZUjk2{>BgH(Z(M4M2yHEAfT{75oPtT$VZKxY4bd8R!~=v^cM_{3_-01PSzvAm1Y$OcFS2BY}k4 zcS(c_l0eREQTOYa%qorJDp@ijWm>i->qk0b@2iDHOO(z|f29UJvAcr}vCYNv2B1h?pebc>Z)H)Ug|Jxe?4s;gJi@FQ7dBJI{HZ)~xXgxV##r#d9$80fX41ek#L(IBK46|X@6)p$Vz^+>Y%ehoEXU@1D$%g<*Gdm0q%oSM;k^GUFzQ~DU;O|FEP4>&@{@f zj}SZ?6K0(^dTx6Ma7B8FK}UCmU7I#aj*ZK9ZuEeOPtySw9J^M(A{>hdho&0G(*%sC zYB5|62UkYx(4hhx_WXVC0`xal0==-8;%fSAz{oNwCMXhS(n7S;c>{^L%H%VxkW6VVjf~~p z?e7A47Hi>^h)i=CQ_Lx@l4V|?Z*i97@SIpkha8kHloZ>#<|l+{no3HF&FMPD%+@^} z=my`&kxmCJcK?CH*Jw>T35C2u7{uyReloUe7;13`Bnk$6&<9&Y9ZKmI;UjaT-&uNI zueyxfuYe%oFQMmcpzW^e&$>kS;kUa+?xIFL6*L1hx;M&1I0@fLq|HPlD#1$x@1{YS zU3_iC24F6wlo5bwYTBBHsDy>M@L)L6+sU!NR1dE*uPsl|y7o4TphS2Hwy%vPZPV9y zq82+o<$Cnm1nNZImv+G{XBL|*o#=;kS~9cl)X8J}@n*&yH7T6pj#|ulEWe$OMkP-1 zH0Dk>5I>15E0#8-F&e2JfUmO+0qn*5)z~`9hVTYSNeBgJgj1!ZdR;8;9nI=GS;YD9 zfoyd?6P8Vu8 z(seyHj`YQL>1K7Ejz;x%-iY2E?-+@n=61x-jBlwC!5QwacM^0MXdWt$5{4zm4j91u z-$_9wL{v-q`iE;XhmcYP&NDZ#g}>vVBOo7{EXH{n?O9%aCy{EgJ-M?64Ag@1<%PR4r$lmBY#u zIjrNH!z!sq7js8j_4f4E?4h4mkVQz0 zf8}r%L`f4-$iEhPKF@7J-_*4vJ9#u7-os*7yRC3zu~u&E;4pf+g?|{it(AHrTU5I- zd)|%d9p8m|3ar#q`OY#lA@e}tHDIz>J@z8?7)9!d<664U)6|J_^Gk zK|NVMP|tcnJxA#lZJY7rom*6`fT{)Uvr^BS#*+pSP>A>TTR>hD5%R|9Hd(3X zs6{=gV)fh>Ipb+o&bZytvqZ>h6!KpLl{oH)Gd_2lcg7X$jms#jfxW{ys%BV2)ec$t ztSr&MUc?XVa|&>ucAS;Zl73!^UKV4bZKtWDt$bFI$YQqP-)l*J)?Y78m+N1=%r?XSR4GQmnc|9wbFdAAl35=C-O5r*ytTQ`b^ zlqC6+8bgY46RXA^**$`_bkT_ah9TvZ_x2h?%F#Hsl1g(?*&-|T{P!W{+33!s682(n zxe!B5kPH(KDIrBQhLk#E{Ha+xnQPwmUOM)vow9hLrS}nVKs)7i4Uln>LH4pvsOOqO+!k{!0EO_N?E&R(7x9| zIIbAQ7Av3iABL3pdN7$;K{AS1X`N)}%x0Fk!n$j&w94&$1BEP^*S4^X5q=~r@*8|e z_ycpEwXMdx!d4FTz{GS#eL>T;3S9Qj~I@gSDM>=ChrrzzAYj&H`uu$n68oAs-@bIHosq3Y1l`J#NbvOKozu23^UkWbho~y>*hjX_gL8)g( zA?14s2<8E|Hrd zXwaDs>bV}Vj5@KRQOA)Rb)1}O3NY1b)H!;CEz8p^R6d{gPbSB%yCHdD)KSqh=+-f2 z@#e-wgYOg3S;Nqk4hqBnjal%_)&r-x{{>VCqG_>wGQYCUok)Mfz!{%^ z9O6A@6(&DBL{<=b7lrJIl~2=&!sMu3G*)sm;tWxke9$^fp7$W*Z@DlJQ}IjO!uauiQuUQjF#VsmI`687b483H-dkr4_mKr>mS&p^pmy^ zo|Dx|$C=lllNlqD9Q_s7b*-f1Dz50>65{szAMXa836y8@dc<2s_3n=fS6W^zxrah_ zQgk!^{aVRXX$e=!k~2y44Y*EhXU#(I9p}__tl>FQ7v)4qq%{6pbkaI>WXjn3x<1VRa=&mF9b{l4XUrl%r}Xe)oDZC0i0=r-5~TwChV`MO0%N zAVu5+XVvIjbkZ1h4~S!(G%JhHBkCqXCymj`1L~41aASRJU>f?b87IxL znFmlLv*7c(w6~Sz)3oDl_*wiLj&Kc(utGPZ0sW-ek4d}(yizes&Yv29?mA79zE&Ei z{!Wwrsq-A)sAePAH>wK#0hTli-zdLoBG!Qwy)HD> z@twXuv=x&*!R$M+KNbu0dgJY}i~#m2J_!H%4BwhwA@loa}Bw44LtMw>}iHLB1^pywmkcIRZiF_chkfyM`U?m`C z!$(MS27J7ya$by;9(xD7o&=wa6wV7A{0noiGvxKw!bKdJZvU{`jyEfrf1XP)t8*)=9!q(M9AHPj90*iT6P^JcU{T zE<3WlZ7zdGw05Up8K%i(wZf&1magh z_$;WFg-|78CM){EMg0(FPSZBwa7rQitxxoH-DqCGj4pG*6+rV^dL%F5(zb z?DNUA`|;TnK41~|qipyb(ih@>{8Iycp=jIV;n&zmC*=;X9v>#+-|TWB-+mP+SKo$@ zSi;7+vF?}rFWTYGL3}b89TJ%r5^)^1A&VG@==|@o;~I4QssCh^C2epm&#XIE^c9KoAbiqU-lXJn4f57kCFzp`Q&pfxHzkV3JYmOrA%vubo)CZ5h{x{0~Km` zsAm+p-v977Y$Ff@vW$Zw;7tPu13uzY;73ctY#?Ed-AceG1Em5f}e4%OcSyI+Y^T)KPyiiHj4AKbK>=hbI>F-y=%;lb>j6qs2c1+@x6vL-KKRscUU6s#^RsTNal6? zMJmo?;Z68>OW_r+YO;<$EYiW;)y~9q+;YsHPZjebP!SFkWYE zG%_^6O8&$=WThs_V36jhzwupd?6sOTcP1U~i8~+fIm{MV*+%*ch{W$ZVYt>f*~i!m zF<*TQ=t7+8-c#9!A3NJkP{SV2W>vo4bmo*Bdjbc9 zM+T%5>>==+0O}mk2ZZQ{9*$d?y7yIcCruJsQ@P$pP3x*1w z9CR>O5Jy)KffXp(3f6eqOvKmUZI*NgqTp~dMGZaE?yhOVZ)@j68U^61LUiMhy&xqo>DXOpm3j z8UgBE?(@kL$}nzY6$Dbs3y%{1W^ta)QhvS?IZLV;#WIHFti11Ds6M+M+nxw*=XWas zc2%rd^CcdU$`0@cmwSc7T5mr%t_6;Rr435w8JEO@UhT7;Ctng@tIC04z%e!0#8r|U zagK4j&C@RZ7pz_QE!Mu2)3fr=GmxYN_&vjbJ*%R(>kn^Xx|R&|B)(QiD4Vt!TF9YvS)zv z6GOBSRGaFFAqxJTAblcv+sO8lUtjNtdywoPW=fa~MuSr!BLK=?7vh7P?2J|T;jV^< zeF7vihqYz|MBxT(I#paTXiY?F8U5^_S8{J&+2)J+L{bE{kFO`3U zh+1IRYrY4pT;w?R0&Y;Yxw-)sS##F~Riu!ZhCe?CFVTiNrFqS*tRIKuDggg=yy@9m zvM;^j?*v$6%^m4p2#qqwv9po{W$c=pcf$i3Vf9?eZW1qr&avC#CERxOg?0_QgzpWtj;#3IMBz8eGX5PRrm8a!3$7pgJqjisly zL;t;>0DguBj{|Yc>7+>^qxpbE?4iw3DbOf=96QouC-#Rvj!h}UMp$+Hn*gVKBr;Td zg0+F{79Ie)JU0W9)LRl~1Y5Q22C|p!dF^{+5dpEn8`*aWi7#fPrwXx%t(xMuf!L~L z%fME>lY{JKXR$F2S1O@~efd^Gtb;q8A@Jl3K^hr?9O4=%wHN~9Zn7t|`IWK16tcbG zg5gCcLEj-jYVTo?k@+Fq4!>HA9j*+7qZtn8=W{r}iRQeUIu6KwU_o|7zk`6BUA2u} zEeY8VIAnL`kUgJ6ww91RO+E*#HCn(~Ugl354|R$+uqGsHH^(n1!@b~ZwKoLKK{S}) zBn-ngi(x3BQC)hoGl5v2t;__K(*h79e+w~+`C>1MQSrOXjHVC6{fk0C)aX27v$BwJ zv>R#LW}K9CV1+DdE=Qgl7N8R|Jm!f>dOkzx@nEEOZXACqJqEp{_D%2ys`!_2(yrUpGx+q3; zmd9YkrzcnYL|@zgJD^Aec$kEDQfSxRjVL*=gSLwA*cXvtmT>JCpn5iPRge0|Tc~;@ zYJ~;EQ#cGy<1oC8Fr1D)&{;5?w>TXcp3k#qhFu{m=L&t1F&!xH%%wcL?krMXD)`ZY za@S}xShjLytii$$@B@iehtYyW! zaPcDSg;wRr^uk=w+)ZEzp^t&<8cu_ zxlJpcf3N$i_sl@)St^NSWO!P@Jeb-VX6=gT+wz9umn~U=J$^15Zb5l5XWP&apswiiM(~&fY-EV+xBcDF64$KJVk%NckaY6wC9N1wgr$p}b;HIZ*Bz z&0TEcmmfsR&s2=IpuCDhc^FN3yjKEHo?}7zsI!>I&pSc4--7agq3;bypryVqpD`DE zQD$I!!7c>lU2=Cuh={VM#Gw#3ZK>?f(0Jw2NfugjmaWNV*tdaRxir}Ypf#^pXw9Tw zaYrniUjB)N!4PCN7$$HH26tjG&<>O&3xnZg4>bGv{p;9ezf*ky$Kc5m4+qMNEtV<6 zy;SURgWi(zR`h*icIg}Xe(`4~0Xus>b=ZRPe{brX&qm542ep_eSMCAIQ%4{JKU7NE zCIjV`c23qz+=Pf9%O_j#JdMM1#!d@ZTLzR{8adVTP64)X@hD5mL(M$D!R5Ip$4E=H z;CbVZNV$55hJ91KQ!!GmU??wbn+}v$S@68%KDvLzzZoqlZ^h18y0#oBx3qKa^gjdG z*~{}RDGxJK?i$T8FFSK6R}jiORe1q{^ScVsp2dSyn@t{InX)_3KZAdT`hC2O;8!Qt zCrt%yK40WkT)>~&hM zpG7uqML;@qHqSK*jB?%m>2UNxuZLizX1xOCG|R$5@^K?aKAN@%nCq_;iJP6kF*U9* zrbh8=aCQVGpyAK%z1oT}k}X5Nww7J6Nzm-Sx9wD!vJasL;(n@etjf(>&RymrGx6$& z#bQyIsUkSRPp4W;npUQJ&Nw`our%+c29P8fh24|j5ZW9-=ezMHY~$zvx?)${h0!kT z%~8okAfT2jU8zoc9wlRM9V;^Qw(Q@}_`z;j8015Be90JWTD#K+ft{Tht9oVA8#CT0 zmplNJ$SGOhGVVWmL)O{6A#8Mf? zTug0W`U!ugoq4|$E}hQ0e6QjNCr=`dy7VlCw;l=%viYtM3b>zw>HgIWF$KA zI}$Q%&T+^XAexHc`2cQqqudHmU;u)upJ6WR9A1@m8)k)e75Y$`UES-<+x4<|3d07} z)AL!;ScsnC3*IUfyH{`at1){?9y+Prkd7xdXIaCCxJX|4JEbx%k_+C2fXiHW$w(5M zgpHybx`mr>cyL;-iI-r@kuz#)8KGny4qOZXTi^KD5 zpsovw19R&|CmzAvdf6n-8s6AuLjJ}H=*W|Eh;~+cAki-~(cy@;eYwP04NKeDp1Z(# z5-Pdk=LQQ=#kTD*6vL(d;ulaB^VUwYW2L%Mdl72LzF~wT4dPW{HU6`JX52sVLLLFP z*$|%UN(1@{g*Wm(Gp_@FV*VC;r`ISjLu&(|y$2s{GY`FZm?#y;GH3m3tD%n+^F5LJ z#s}wN=o{9^o%yI6Q%ep(KYlN%#KQ^VL``;orE$)3;KK_g>!vz_=ZB@SIe@|wI$6kM zh#BQBs^a0I*iHQ!Ig}eJDH61JBW}N$aKqYqulcl}iX>i1!T)5bXbGrBxoilD0!J@V-yUj=1@QL^;P@55*$3AxdTQk$Fxy-` zIi97ggi<0fbMoOJk;MFk2uPOKsM)4X_7!_{CDBi6`1=Jzz4VhBnHz3#Tzy6D`QF%{ z$wfz4dC=POfqp$hzpTR?viw-kKQ6Et>F0&UvGkMF!M+={oaG1Ba9FmFD%E81OXVoM z!gaEIKo=i>nqay3Z8KTvz8m#W74!N@ND!>s%0IuMD8!vMD%!YFt7!8X<~B>KLc-Wd zdBUv#j|NIT!3U)8Aw zng76o`3nN$hzJi|Y03OeX69F+0R_xAfr5 z<1pVqnBR}8q+ni!ZQ)o`xeCz(oC0CurB-v+ue18pRi_M?>uWq?vO19oOH9#G@f4hf zpAfBdapa_@3f!bCK=OX_fe=gLdt?{G4T&yGPV*+3uP$B+6MO(W&+y{xp`0XI58d=+ zbh@O3nr~)qNQ}Wl0{#lkZ3v06br+a6oZvwpTosQq%wlJ-Em4XH>J=ronHg4#i@`{D zvz_42*a>wiXB06D4?5qAKLpUd4MkruBdbZqtC0WRg;JVG-u_arEQNSQ`YKadyarff zaver?K?}{p!jm;bupBv4f2qL2LTkl~QrM-L%xql>=1)N8k9c(5 za(??`ADQ{h@qYei$9Z#N3?XD~vx)gFg!!z6xxuy$j?K*Vy?Cl<_a#hud7 zb#friZwux}1xaxkjUO2UjyaBPzsYRdS8;56*JzGy-I4wtZ=cDV~TQTAs(=uxk$bl>2y14WdAZ6LRsqvR!hZmNkXh z{MN#v0M7060q!J1xzz7>X4ea+Pv!ivAcq&ckAb<#Ztb$)7MwykY_2}aj5@3cMwhLy zB0P;wgx&-sD9H8n`CE0L0zG1R1so+>sNDJ_1-c+A*O%Qfr{9>rRdy7?hb@@N(Zz2I zFtKNNOU_}AE*|LKh|HwA9|c`ppjxj2cgLy`Xa=+F4s+i&GynM=MzwYQM}s@Ehx)g6 zTW5knO0FfYLyM`oC7HIJ?kzsuFLe6Axxhi=9V8W2Khs{PP z;NC{u+N5tSaPesuXlgo42IpxPaWW*Vtf9=4A(6qW8}Y5hWT=i7nFFa(II&-|+%X%* zYkl`K7L!3Xd@jXKhU#bx!lEaG#5+XEPKJb)cc5iM=0cGIL)8XD0W1G8R}J;pr?AVc z46|H6OV|!_EgPN= zgt2n1+s^wE+7T~oJCBiT2vIvzFbmcj%b>!tSxP)7R`02X;|Q|Gvf`QM-ZXP($t|<+ z-`K7qb$(Fsu9>3f_XOtvKfKoA3y$!oxj#qd$$LBk;h)y935DM>v@YGf>HeM?woM}Z zZ$vS&^1|<@epR`3xrOjc_6`8H(!&3!hy=Y6;m;G3=tUy@fy2{)B?{g!K^SzK1d6c+ zRqd>ROx?$gZ2N4V7rAec>${i0h7%*o93FucT_32t3d+vlIS@jX*j#Re>GD6zT z5+v0p_5n6oJL4xaZam2et=^$pyJ6iK zMy{kJX2SRt24d53isvRk&CDwY%>qnoLBDcPw>y->ndYko!kLMGLO7$v7Wq|>e)W(~ zPNCXY9eqyJzRf%d5Zg`~1Zv-A9_BD$)V@@3kgN9h(YjRGD}kAdp!ORR^*cc9bpz8m z;o*V1R49$&!_7}d^>e_pZ%wR_~@VmJ}95c^{H7Ms0|n6{Q#B4oRG z=dsR15y@t;7tR*+ujus`$IQ-4mN(+fcXNldQ^y7-a|oNTOC=H<-N#auM=}ck zr-UN8UyD)#mUh@~A@KhtR-OpFRjm9jnX}-S4V+S2ENn-T^VGT$Eo4qt=tc|jAq!MD z37Z%q_m=2peC+6Svs9*hrR+RvfUrltmKH&5OC zg;|dm4N%W7B_PS}aXuX)z?ZAt9cfOBP&9zQ&>za_1&`GPfE6H0wrWdJY(Ua4(;8J4Bc+=!+0pV`^9} za`hjthAa&BZ#RD}1VRu+k>iH9#m-H^v7!@344eZ~NU4*+yDNicj>NGE;7lcTu! z4r|Qf={Hop6YBK7){V8DA(FX3v=n1Bn=hjBV@J3uI3Gt?HvJl$4im51aA^q*XR#7o zq~TQ4^(-9gbbcyoiGOziXF|DM*N`~Nh3;r1Ge%S5Q2xLvo%V3%)Wu*ewyc`I6mY}T zeb9UI;v#qTsSeJm)J(t0G)Vok{*V7y*IDr7_+my~#E{E>D^DP&nVd(puy9anlIQuH zj4TEs7vRT>16+R825T#+8TYI@DgIq#3~gE4hK)6?vgf_|!gDOR<@ui;2m@zuMWsrt-a2{!d>ZT}^l=BlB&#|xI2rz+qc za5GpTK3{vT{;pXK`0Q0(hnmEH5yU=`eabVJLH{i>Z7~&FEg;qJ%h&O&>XPkkv}&#y znN>>Y1k+RdF;QEyI7?z6LqJRB5~cw<&;Ea06<>;^`)Ag(!aV1yoG9)8DZy5=dk(VA z(7)VDMF>|3f_eQFL`9VCSjkZl5i{OU5eW^bBETm6A*kd!=xa-x&~RPT1Z@bnIGnYz ze42v;a4RTFTFTko7_J+-H?DqSURcGiL^ZfBxwy~ZO!DU7unt>3Ic?Sh>TvZ5sLXqG z_ve7y`(+Srb>`K;)MPb9Q8>R0A;w;O!fbUk#K+tGpVqh6?j=_)*RgYC1i2G%{|KmC zI!e>viMRB$au*06&YY)5CBWxH&h&L>XLFX-Tvw-CNdBmu^Q{)`#1Z_xbvw>Lr`C8H zuZ9yZs8KL+7!{@p;TJGBAK}GD;t@SYwc)&$62DLN9dt$9Co!Fo5s;;s0v`{U>MI{| z7l9rgvV*;C_-ZO74 z;%-o#)H_wI43+#J7sdV_&l6&T%d9$iX}#dATY=~oAivX@WF;@|A znmX9VfkNlziHnRPk4bwget5wMQ@L^^9-2kH4 zWJ(BeE9u@}FppUw**%bl6S>>U)OB#SR+n|~ohIf~C|ARACJe&*>vnB#a zez$z}aB1BBXK3bGCg1RIGNL>-y5D8w5hm{B%R|B2RFQT?Yo0EZQT#J7esJUa52lCg zF0b^4q4UxMHJo-movH&B?%#5#O$`YXe%$kfd4585%ZxkET7cwa@4XFE*l@Ek6c_1h z7eyo+Sm8(4(4NpA!2e|J2}MXXDhr>~{R#kkdE7IKqba5ho6{}V0Tl^{=51o~WO!Tj0~f1Jzl>GxRXlf%7C4)aSH=0Dta z0GBii=HED=!#fS)MSd9!^O;m0X69$6ahQLSFn@#%GXItZ^B;u7AR!Iu7;{Lh$~KRX z`TGd-Sxp}~PQATuH>+=zB!)-f83-()9V6*QUzoSN`q@;wm6KRK>Jo&x9n#H&RnUad z=G`emSO_8P4k4_c_BtGVIi4!yq~FUO>O}6lG<(KcseHfj>aC&&7jMDTfgL?Kr$XTl zHslxfsEODKx(D8s8V_m{+P4_|6&}?%_yL0@?4wE`F_sh>gmjGK-F)>NR?&&sfL3(Le;@w6IJy6I!^WpDU;#s$tNRW?pWq&^NUsA0h+ug zUb4k9ytL6swEw?Ppt0Y>fLtgYJd0fb?7DjZ{ie)QEnSO%{z40`&OanWSD?7G(nNnT z+5)RN^s^b-q=%x&+~;O8jC@CZ7wJ#>(T=5`zJ&Yl(@q`rXh!;_LojZU*xGjek$zL^ zjBfjpew_vVyu&*5>4?9WgvahgDhT~{oCJV!hW`@}MFWkNIj0gQtMR6e_hO;YlKy|6 z!|;?x9@O+&KV*rC{xGEfMkpr3WOw4K!?G!YhObT3ZX?|0P)pJknkM_Z>Jy@>A5FB` zljJIIrpF=MWhUdA2g$FPMv|*^YEDsN<{mvKhuo#l1ZnQu#O|;`vG5VK%+YVx{Zb8f zPagV*Vp#u>SmAW5Vx^R`HdEiq!xg|V^8(19rv5y@ThCblwFBgBp7*TeT;QX=)%3l2 zPy|?pnx13d+_+W@P_+5|2FA~kL%=x)Nwx^jK(Iq;&9)NrRj|&y4Rehhf37sKv33q^ zV9n(iSj1gPPOS`{A!yB#Q>%n|cjRV6;Ndhi?x(%){P^6;5D5ELI%3s9?4%(x*y#=L zjI3`JN40D|Dnr#R{&nkeE3OvLm7-Kcwv@+%TLhHab+yIxDrWC;ya*>VO?=DGXK z>@Nk~e22?188o|GKK8(al-E@FA&%WzGFRT|S@0T6Je@$FaupucO|QA`CICI=I+x5N z3+Lpqqr4e{=lpDT)^w$J06Cl;K$@9NMbr6bMUSKL;GcHe+@jsew}6$jePY~5(su-q z{DlKk(1)Yqfe+`xy)ursDEf>b!@WM&%UbrT=4yR^N<{J%vI5#aDF++RUMG*mCa3S? zJZ`Yu=_PbOqjB$0wEr-qi?!V}-x{KuvR`m)x8+XSN2^jeqO18$E*$4IG}p#%gbDW|Nn~|&DiE1VoP!+|K#muNzRq5 zj5|n`R#0 zA81g@nU^1uv!x&$OaG^rAKY#m0t%lp){H^1DHe6pzklh@p=QfXWb2i7HWSyIkx%X0q1p(s#KRR^4{omRjOgP%K0|dOds_$a&f9t zU37XAPUq0W=rU-^6u<)_%U0mCWAaXe;5Cv_He^aYBM*z%V_u}vG2ZwViWE=wb^YeW zm`#cKCuGY6L#x)aDtt%79B|LCKCOWlN~ABn@I|`%koV^n3UX&d8CT@4Wuz7g_Aia9?D(bY1X^ zdyeZMRv@Mcj$${Tk#7Ep@5DsRc!cl7sH4D_s;2ip=cz$MQ{&6P(j2D}@qB^ko8~D!@Z^&c8GD4lkA5dDf z)OrDwe9-IbyzZ~roP-y5LSxi{TP{IuWP8~lSSZqmVl0l$5=W9) zqE{!*YgLtL>H(6XW!8--zEg&)gLZK{4nH{=Q@9-m+`j_>m$PJ^a(Z@Y(+^yx)`!+z zH(3AVazjb~w8kl?Ayv)8Z89dvfU_9cG$3`~hup**r<`s{avQDXq{W znZbuTERt*}zmq%FlyqU`J=x(94zUSan0tO@097!s<~Y9OXr`z;rAYLY3^m|3|x1NnWE>I6eGSL zf3p*e-x)XlSyOmT?iWsV%3LGcUF8~Fv#Z+#=!a0WiM&kplNadfis^!Go}jCj=>*Ho zewM|aX zE8DynfF?ozYQO~#QFBntZ4j`=^?^VYYnd6olRA&?*_CBDeF5eigSjr{-b}Wu2TgWyvh+(J+tq_hw(3h&7wyC` zmSh*2$@UH5a9htITbjt_cHF>5{*(kW*;A41OhUH2ijJ)+TExG5tlMkGf}veP{!V78 z*iTDEM558nIX4e zzzsILaBt-AG>9|WC3ltW7q4ZVfvgOa+?9`2Pu_AKc8%q{nfy8@JpL|O@B1qySxp~# z2b`9`J_oWI?3UdIwvC*S8@EU3c3ArjbEKxXm!5Y8;drs)(5 zk5;=wrF4n~Rj0X}Y2kW~*SlbnKPz9;Bqx#Vl?>SdAFIAY9?s;FeW_XSsdik7iR>$Q zQvaMo_BopDhN0?mB>NnfZ1HbSGjw}ynaCzL!5TSaf2cB({hW}^Br32VyYQTJ?+}6`%^MAlZ5@*|~o>&1v|! z(UNTYjb?5aa>&kFgWRUc=6P_r9eAGC@6p$NOk_(>A-9VO+3e2zxo6fK{rF`jIxi!8 zw{hsa$n@x1mmoqtZ?&r|CjnHXuMWawg=v3(L;o0T3HJzaY ztYvGQr#Yk=wK|!Z+*)P}B77}4QyXY0sP1i)8bCWya{oXmzoA3IJbyaqu+9D9C;7 z)O@gb71tH9`bMkpRj@xEC z=WD9{HS9{v_OLRG-}YILbJ)X%HFrf3VQ5@E7*KEqBHqRggow8X1J=RcY@qY=3eGm9 zSfO$5|IsFvun(2g$20_iN1(D0 zJB%B$@RaxNT&zcVFmHa2D74OjpKs!9#Ew18$}Wtz!|Mh&Wi_>esY@?7fk{l>8JRi+|hAZX&R`U zxkOkNkG0U32x~5SaC%s+ppNp!-L;nOY>_aac;Z2%wNrIEIqkIRArXAaqp0_6l}}EJ z3@&HB+OF!HdFpmhX{0gqJ;74@d&yUoV%>MC?#(_+clYJLWjpW0Ea_T9+DTEMD*zXE zN8slp0C-I)0_Sx^;5)tm9623u%Mdsw7lD66 z;D+G{+!Bp7yE*`HsV@T0=nBA<8xgo}GXl@@{ps#@-gpEyzJ)bUL||nv1eSM0nm;AL zA0hDhwotQdCZTx@0&m^ioPJdkgutm$k5BjI-$UTiJqUcJ9RjaJV9j_WS z?pV0|J;7fHteJ_xExiF)orAzBfeyc)6fNrrz^dyAoVTkCfMeSLuw-uApVQoL^~IV` zBXIdCB;zjxj(>!}1=Em>9S9uo0oJ^BtQ|0!x=8@YMbQ z9NC1x)n^g-ECLs{AaL4LL;BmD`==s-l#uS1kIaRlX20-_XlA}EgtO4ua{b~c=#j0hs~vqgmr*+HWu zNsisyF6&277Q16B%~uIZ3PFhrMigo{X{DZ^JWs|}8iw*6UYr#DK~VJ95kncEo&%YR4+^Ah4vz6v9Kxk3n=Nl>yr zKor>?{$=3U6M|Cxqx8$OeJe*1lyQR*#dwntwv(VVEhMcRB(1#fg{{Q>ObDAvP$GsP zO5PoUa*LqUE=CleQv{`fp!okvP*xF?W`Yv)K$Qf2aT8--^h*(i_Z8ijF4#)*Z-}BU zAt*DB4YkB3MpP3`K;nt^}oPPtupS5Jf$P zpsbuoTG>uoDQl12*Y8J^hJFO)7(vmzOy`2d6%G^ z>4mLG-bEDtm!uWLJBT9vjI{D0L1{URDDlYzWngbaX`Vt_sUs-2CL@aRBx&U|L23LH zQFM!F!U&3fy6nN}zUn^-%FTD}?+GaSfAJEEigKl>cD6|_ZEPv38~hxiad9kezpk+5 zwYZmyOX1K%8i^yG3Kh1smo01W;!|)~lsTu%9hFyw%Bz|us-~RlC{eY9e5K?ociuHT zPZ-+BUnXb%36rbC@~?_)=9=)lwMvme=>*>g9f4zjzKKMw1BVx9I$(!9=Vri_#?Ile?(dBnG5$nE#m%YIbZ24z*}ZPqU?R4!3o zYh!nYU(+k|%+_J&{XIh5UO4Lub)`#`-JP<}@)ynyYx2^}tc?Ga>gy?5A#A*(s2d{v zZcXJq-phfV0o2d{pdH0)&hpEa1E~B$-tu35Tyyq zRI*}~elU;PFedBEt-{-X@iSa0`840!yF;tH_?KOysE2I}pESx|MCfMPQ*Jx#7Y!61 z@8Re_iPDyjC>c_gcXoo*oljlt7IAlDR?|l|mnkZspX=jm&PmtX#|Y>q`ueOms0^Y= z6NiPjBUj(zktX&GtDe!T%$aV&D0>lGxQHT6{2@Mm%JG#A-Nfd+v+o!?QKX5TtD8Q} zJWn_Aq)$?A2PxA;f1eef8v4^sOcLH!+ijtn@OrDdOP@Ru-NfjK7jjh+-9&cVAzL<0UMY{Np1>^r% zocqV}>wl~gw^WR8xtQB><$B9C@!vPc|9$JTm;0z+sqeekEfxCz<*ywgT|0_*k2kun zpwT&IK#+8a(qk*{OMdNPk={#lr&#$rHLHi+5n;Y0H6&2xHYXvo^mdhPeEC|3iZ$)p zW%cdR-CjL)tc&1ezC^ST0Defw45+?f8-IAcLxrGIyR2XOb+`AN0RU&AhJ64Km6%z& z`J!#S0RR*p?Xr9ZKn-)ox~%yIYB&x63lcK}i~x|o(V;@>*)FSLAOOq-fNufd0RYqh zfEEC906^KLT~_=s09XM4e*nN!0C)rdwU=z;ePxCHK$ND_l&bt?g&8G12yt3$;LKTuQSpci|_y5tSrDM?)( zB$K{M%2eiE0UADXsEFzf-JIOre)rL_E+=OIz&WTvJ1etv^;O$=mmLlj@_y~IHqPj7 z|K3>uI0ZEP1pqH+K@$M*7XV260S!q|L-kmf&@E7dPq3_RTymzev<7I{37iaWXIq>M z0AE231wiC*09cirS-SQsTi&4EFczWhveMGJ+uPRzKsnT~Fjyvi1^_>OWgGwVZU-CR z!2qxjYWN9y@eR~a3N<9XpIQ3VHQV_0dmJi~hd?)%KsSE{fTsZPJpfb#!2YYYy!m?_ zDkS0UvOZk}HT(^u`3i{)k=2bz$qdlwfyhFKiXS3C9@ccX&vAO&<)r5>AQAvprDT?> zZrH|q7CG2tMghP^AhI(6gzb`~E(noHjR5fd4O`yRB4BlNJKL;nFc!Vub_tyV04D%o z!EEToOx^j*{5S?I6F z*t&*ky{4@QykWycOU!Qkq8J=PH9nmt_HShf109!O4OK{;(rO3;9T#HRnbynj<$-WR z>tzJ5kz1zdh>p6q7A}Q%6hffi+iX1yW(UMJn;PuQNvyJjbjC8UCA#MZyeweAu}~9k zz^QH@T|msS+bW4rC%q6QwCMu(G3=;1FG>_ETBEV$cTf+t@9N4*Y)qh0r9?=LFw@)C zOR0Bdpjq!%sm%=J(L=(GR!9)`uEzm!`4~R*YlobJ<=%Bz7PGt6vRW+Dw_Zj>thDvA zCdAWPlsSD!!I|xt4KgAqn*}``1jQ~L4;$?8SJ5K#uz#w>VUL`LT?*n1yPju11Ep@n zM6-Z4I4;6YH{);xVCEcFK>Y;K?!{f*@+0CTJn&8Gt785KBrl~4zAE;1R}M9w5h1K3 z)Zm+C96eGj8=cAPxnX2)TWIxIyGYIq=uH@BLznG5mrf_ zR^<51sxpaSJ>Zs!2HGAXcYdah05+WviBL}G1j5UH({4I~W0sP_QHCN?>xf4T^)_t= z;{`R_qZGQ?6l$ss{wx|OI!=D*BZI*yxqyQcbL_Is<{nq27bLF3j*DVby9D;Q%D8ba z^=mdtW#l>x)q0(zjOaRAR=9PNGRZ&S=`G6oM8ee;I9h7I zOh|bt1L`Uu9rI#);Cr4b6OOR8>4vRm`TlMa^ZB@VrV-aRpP}%{4up@lRp_44?mR39 z-W8CBC&LYM@x+_2f-q%$<1!LvDC9pifw0u5tGfvCEg35R|euqf^ z&RKymfn}BPoi0i*=$(Z#;K$0IotMYMnsrcNTn&Y_6%AMz(?*zr7=t>e%As(HB5w^8 z*6ZRups=IY5%@8+QYDBIN^Cy_g-#R(Gt;w&lp>KXd*M@A03U0`Szb8@yZQ_}RRbUH zEGdt?j~KuGL)r6xDEqzDGBs)MMXP0WdypM>&l}6!_}LO|N(A28D{_e7;{QPmxk`e6 z0P)dEllIC$Wl6X2M+^wWYZ_v|sRbcv{SP^oMXp2o@Rb+Nbfsypk0d*mlZaZH9i!VTSRwZQFN5?c;_AwA&LWIzZ$>XKrc<#3!w4_TqO z1gZ@u5K2=E^zv0G{shaEjqo+1%&_8eaAzjS<0m>e4l77@1XH5GrO6z$c|%-^M7JP@ z^5rFbLEXJ3OUe@dANxtYiuTA#C;@d4%R}{DwpuF z31)~C0$~p49J}uYv?=Ti)O$~6yG_T0()0zay@RI16~v1pndHzsnCLSM^gaXOU_=PK zk;*_2|l-TWUdnIr*L`G*3rXOjgG(X3n43fep4$-7tg-8&G1m2NJZ}FE$%fa)(!X5_HkQhtfS z6m+BNZmHO4`A<-%&n>p%$@|S@sk>c6h*C2IM_S}-?*fkZ9GGfM{TyD$?F)rM;V$GP zktZ@;XaN;(*C4M|2N2>e%WHnID8fZFuBt=kIH#NPi<*F6%;}d2n&NBcHSYutlZW_FPE>4?Y~dMEI8HKzMo$q$;DFmovd%+PyP+cW zPWXtw0D_1b*Y-GQ+F9vs)8S|FK;TvQBlr+g5T4f2+8H4FWaP2{hzW^SsmHldJ#2@V zH(m8v1Z@za8M$ceVmrUxm$)(#x!3*-^%5<9IMciw&u{k>A+oR|Gz4dDtIvWm*bKsO zF!gh6jDZcdRx4t`(fbsUXY+j5)B4Ay&Kw<2hZ4!oG#>lvY|`BE{z@Z zF2zQ`n2Zs)n0F*5qbp$KFAg}e?k8T6!$h5h~|*uy>b~;9o2cmv7z+yyhQ9 z9=_)Cka0{Dc4{VKhSr%87XXf9qOj8+Sf*^fjBL4Da6I3{TYbpX9+#F0djVSAdYO!r zm9&Z%k7bp6TP>T9W&GChR9F_-dYLs}fX?U^J{YqvknfOy4@SFpgbjO-NpW$M`F@kX zgX!K=pUQn@z%r>b1v~ObLQD?Ca5m86HF8gb+*3Y-4{I~CLsP`thvX4<_`_fHJf$tf zB3CSspkY>>8ts!T}(A3aA-xd`X;GRA3V+B^1n#>fE>CR;HR46c4YvhR^|)vJ;qrxLkh5E0(x8$MMxluLH+7?`paj2KOla&^^&m(e0fe=Ha+cz2ZB_Zd}^Z5N?H zzIw!jh*6@b*;w$-D3@7TRT?O$qRrHYLz^Tsu?uLvT6ZB#JVb#*s6tbW-f~d59RVcG zbQ2Pg$MWR2QvPD>G-hc<{_DFbFe#B^+bPe?LCbnSVyi4eK(yIeNtRb>DX=BA@^{vq z27!*Lx{UF`6o`laP1O0GE&;CgFghyVF>n_}DA8ucL0QjzP{w+Ek6hXW6^dKXz`#7W zCc7j@#RE@?QgyiVa`fEiY{lCX6togs46Q)MoHbGk=5<9Z;2|Am>?CQj!~h z6`-#@Lr=sQc5k>JX%Ji*hBriF)|bEq!0oD@@M20tU;07cuOjx?DT$3k+6<6tkDjqUy)i` z06NWWYau){{P;l#Jh+=e*`9?RArbbnzP^JR?U@`ATJi;<< z>tzqHti1KIg+A|NtGHdHx5zXj+bu&zHq7FdO%eD{1fqxaoGPXSz1H-$DSV&N75tIu zGOQ+>RKxO|S#qSC!>~)qC?^#BMXNh{a>VU0gaUZ%F{qNB9EU$sCjbG20`zHHiJMY!?hqv=r3JJ*}UTm3)Be&TQUjRJzo`CH1v4gDyx%h9axrT8($<;?&BP`mQLBqj!(UvJEOY^3E@}RavMpGq zZoQ0EnoK54G@hORee?0h?~+2C)>8WJ&NDqyHR7; zg~SBbR8aknU|M_Ld~m;+pn;OOX>L+%h=7x7i4F%umpn zN2JOAV`Ro$q*4hLu?r35L}6G-50@s)29QKN2xJ%DxOpf}k6SoBToA!*J_V%1?ed&< z0i2BFMjE;fq1`ypur`7H<~uGFGP=|D8xQTbkp)Sm>=n^*UF!H$60zSNWU0(1q1)Es zNWf#Pl4LwF6A-r&2*_*K=7s56t$OTBR3@75plu(V%&f6BiiZZ(AeEevR+zOz;~^nL zwS$}1%$UMb zWwKvcg;iE@sx(=2yBqoFloCIJLX=N0rL+(>?XEpK!qZfYTtx5QfZGoJDfp;y#a^HdfGZmwYT0F73J26$ifz6VF_TfV@OT>-$rHZo1;>)H5RKJ2Md3Oo>L{P z#f_t_N2PMyL^K!!?qbsj{12m&#ajnGT3~}o*jYWe?D!!-s{9Grgfoks8B#~wrBz=R zKn{H!wKIUZOq#b<^nytqQ+=5a&-J}U;a;I2fK%nFXILd4C#u+5F|Dd-djN-v^o!`` zO!rb4V~ZiVwD$}SiSbLU2B@GPXttU};E*4%bTbORmh*Z*WNZSmLy7EYfQ6pi)ohO& ztemY*Ksmj^lHvx-wTC;39Hw!|*(!v0oVJn;R#-g9F>8H{+dmm9%Ekvgyz`lZ$H7#C zQ;PL4@ZXt(?P{^zLdMEbl6Ldj*|Y5~q$)bs6M>dlEsjM^6BiVlt8Zf8c)U zaomimo00q9;K3ERjM%|0ebBBPxnIMqOt3O`DZ66D{pcf2$bFY{SPlDTC7Glg6$acN zuKdWF`|8Qdkqa*O0o8}S+5CU!{(^4PprY*Gk^5&%-1li;Va@%>cPp^n7;Lw}qFoE_ zNA%2t+3(YX%l#X@A0qdUpg%`qx(r9A{(|G|)Tc!Dt{_a0x~kcN|ST~1HKSd$oY7La4H*vqsE~wx}_mMx7Fr(fWPXz1QB={FB z$1Po_d@Z%hoYXeRDOQrut>@PeUpIQo0H@g0IX=t2?xTL^BhD(dx!)33Y*g)OwX|*S z_X~Eg2|H-u+z*WYvJ@%JqmhVmblGs#N*1zdAPoXlvNcO>P&HIJ*s`jDoh^0yrvseu zZyc`&unQnt0%xgz%`EG+EM*7T623qcm&e}JCmZv1VYk_Jgc4+-A7K6#90sKn?9Z@e zP^NG|KR`}9fq7|)obdq1U(x{0H#jp!D2V9(>9{Z*<4ouuy3GKXY*&~a-bRK*T2)7k zlduaYJ6;p|zd+V)FanB!m5rD;WJA!JY|z({EoI|eJ#t)I0iQ~5t6?haU*dxW>dr6} zCqy0HYC(01%tnf_(}y^oayUfIa9eE^e9_Wv zCe$PBgzVf7`Kats(;C|~vaT%FSXFK~2O#2ppm)MUn4rCwQ4~ZduHKva8Md6~z-Ka+ zhhIjsR|>&OtM?9tvV2?e7^TB+<}JX4pf7F^X7#e_-Grc5IAZnKI}PdGA?w~zknav2 z5^mUcgfYX#+rDt}!VXMjtRJd4dnFH(CB$yOW!miLZgU{Js5RK%cbS!i^-d^1w4DY@ zKjy+5)DP&LD7gt{Hh3pqke$AY+04{cmO$Aj`=E^FOleIua%LEErV3(DEcA7s4?G9c z1z9{WIscRgS#M+d1|J84_-GG`kHb!dq@hzp#s^^v{S9x$f=}UU-di9cf!!gdQwbY@ zV{IY4k!`(PMC_w@Y^27z^?o0qO%&;jbsqqgWI`HCkiuys^NB@6&_WcgW@LUq8xSql z^1hlSBm|z|Ru^Z}sR^6BoOl()>Qz$G&ab;XmyjQl5z<}1Q`v!CA zqDv}f|7__| zt06l&-=!iU8Cde}eAt1rDty5jvhNSY`LL4R4K%DN)wtsWd>6aI8>acQs=oxyNqi!5 z#tRVn{!}!8Q!oIdp%cSrmL&bo8%WX>Y*`5{n~|m=QXGwB-WQAa%*LrE+X$cRnc$RR ziCcJJ7)JGGG+R_M0v8b%QzcW@T>p73c}MJtp;dsS=gR+!F_CWH<^>{`Uuu)WZC#&u?7ffTpH zxX!Th_b^pYc5OI1Ja%-zYKU>$ZEe`{)6x-?JZM|!#p)3oB@DxZBs2=;N}!2O{!;Z8 z?wNR)$?d@-*lcSD*}q}16s0Z3`kJw6Sr?{0aM(_4+<{x)K46Kk5_;-3P6k~re6p7@ z!~Vw3f>q7hx9gGLtIW!YUJ~H?snsT%JQDE6CfoPTO?K_u#A|X8t8BC$!bQQpA7TNn zVYE{wF5cJ?xV;ji(59eLO)^kYfUCom5o_wdZWatNd2h{PlBZ*u7 zn`GpSTdzeXDcZ8;*ZK!zV>?>F0@n+K;M_9MhL zunaBE5aog1Am^y;^??Oq zzx6oixfk4X=2EZII3X~iJ);g*Vmd!MnGpZ|jCTZahrQq)GS_*X#ri6+J_^p@ETX>` zXQM%$y$H!FfDMQUd20~E^IWv6hn0ipe{4zS7lDA zPHxA&;qMefSBVaZ3FxzUm z&*QOy_`UG4Y~Ubi00YB;stP=f9Ncp+&W}Fk^K$X;fru?b?CevFJWD?3f$eJ%A98GX zA`aZ6-vCa-8ee=8Msn!@c^M2Hlx~oUcA&r9Q4x&;-UD5l|>^F(^ zjI}(4M&)i~({2>P2(T*GHR2R1O%j1F_pmZCvtoY5-UcFd`4`Q4+BISYj(jnkJiL~7 zf`#C%18Q(nd=Mjqm&p#6I7159CvvYEIhde*c!7|+A_e|W=Zp$&_#JZN_c+t#PEgtE zCF9;(bKbZ-<*LSGhB(cALFJHxefi6l{#Z6kEB>|Mq{zp&@ZR>qahdmT2PsDzhd?eP zhgI)irF!drO?6N2*1QK2iHtgQYF5b(!;+Jtmu*|FZEu)~zN6SEAv5%^>~0FGaRz#GOR@NcB%a0G7bfi=HIV5$&-d$tGQ!qo^|8-u`| z9f1r<6av@y1F+iD4uB!E69BL1s=K$nVH*OMPDGlgBCui%0_%GMaOGeGt~-dpzTG~D z4(>-_Z7c$RjKBetCqlBOJH3#MX$WjMjKC!wkc`g>8IuvXp42=8Yp&~!z#k%T`DX~+ zyCZh+A_6zXA#h+Dtoi*dkf}!67l98Uu<~;RKGm@Wa!$bi;w6xG1d^h(vpr1mqxiya zm>*>yKL%j257viB1b6F?+U zTyQ10AXO^?6?aKM1=pfgi+h6mhG<==S_lLMw-FT;_fWOs)?(doXK_KriWU_sYOvTr z>w=alTB^V2+&3?I39a*;`QtaAnLCpAx#ygF?z#K9=XN_R=^88PY!KH^7dJ$Dj-}Zw z%@N;_ze<-`{;RI%D!plen9G#KlB;&c#G|JjRQ~M45A~9*c=`q9qp- z<=(WV`fADZ%QhhV$Ugfg1K(tZhIp53egEtMMNGMOa=E@*;r#O3{MTXQLc9&zKK#Xf zZMMo9Pvu3jXn|q9qFOG+Q_215^q-zx{9Jo0a?1*yXKeptYYzqz(#;}dkE<3noF)r4sQfhcV4+rdG&$;*_^%N&A%AeHcLYRD&d%8zSJuwSma@F|!ZFH9!EK171n#_5jC|}G)N%_*W z(3I{|jYUZr>2R-lwM1_gC8cq{;%%O$95D;TGQ$5&kaz;e;@`DSeBtP631PA+xBKUv zEFnw^jnB8*UFJfVgf*X|sKbXad16|aLLp2j)=M*odjX5Fu|u(5M)xUh(kj*xCFP0s z&0y_Tj>RO!i4BfVq+FDgsNC)qfmotrpYP!Vu?%cJ=d(KH)woi4B`@5|2V&`3{ocrG z78YBF7dL5LR-FsP672tGgu5jW%Z6GfZacbjrRcgY_w+D65KBhv^CNs9mLHwxT&oj; zKrA&1p1&OP;_dwx(PPV&J}6r~_U*<8Z(1DN z=S26!eOpq%6`eN%uJ|uUz?H<&6mTVIoZ-1>R|Cl$x#t~MQ&-KMKyk6S?*K=QB6#*- zSl6qycDa(g2!iVH=Cx>OYl24A2lTJL;%DN^qZ}tI)Y^#22*Z+hPFi>naazI=r$vr9 zEh7gay2w_99|@-zDWNeXPZ8lH8(~-sh|^MtI4!G2_g8K^0l*?}ZG`{07|{wuS!sqK zDxWuSk(%2hHVERhY|BehiS~JG^FzkPlpMYw6-NLdL!1_OUl03$u>Q&>_mWiIPI+rZ zixBDM87TY-fTW3wRMXAF{%!;SuR!5fQ1}rPOc$l%;8hNpM-j*+4uM<@2;_1Ufn2(k zfC2)!q+Y!!ElghJV5f6KlobSWQ6P|u2!ULt{|O<>AY>ULz5EFZ5(IM5^aP7|u&A|B zCGtR&m4V~IA{#6a>E&osu;>LA%fX`FMpZYpk5)8(JXmCd#cHr<1{S@+;$L9V7!gjo z`e7Y;m3a2kg3!?#0wM3K^#EMZMR*a}C zD9rcKMwEhB_$OgaG3Lpvz zAwx$5lL-f)2mx)(0GQjsV5TE1Pd)$#L1BD5t?Oj~k`S+^69U>85YWaQ0d0&w18@X@ zZ~%$`$N?Y~fbfn0%!J83KscJS0GI&iIVncH0s(DQ2xy~2#2fdypzs6~5bY6lC}S3LgOQY_D}4H94jv8zFI05E5rz03zPRL6ZoHI8J%6hdn68=Pt1Wc7wuaps*dKn9u=@cWO*(@=d9J z=;~7DpU16Q+X2O|aMp8HR#FDzTUmlX???ECAA{Aw<$` zR8|`FFdKkJ#9~H_I`u9>Bpm~bnP~p^LE$tgECP#i0N#N@bOB86xI^Z!+0f)8$Z!Qh zCV@h`j@taGVKJ$f0LTI${Y$X;w}*XJK7{-MfQbOy2#Zl4z9-dxc@nXt=0nxbQOtW_ zahgg2z-jjbq$}1C`r#r|{ zqH0Em%(XEYQgKLzE++LLU=bq#6L|sV>k8OsPBIs%P6k+SV+Ps|U?l}aZ8lNsLe%g) zkiA9$YFF`uRp#%2iS4sMZK)pAE)cZ|M6DfQS@nr29z4oiyM&k$>eC0a<-dXQ{*wnl2kdqtVDWsuGOJY-uD3fcO4LpHntgPxpZ zYXxcp>Hu~KF!5x-QjY@`F$OTvL83OCWNRaE4_s_M6K^o_-!s`@RIzOh^RRln)!8@o$g^_z~qvAgS-&j6&CB5pmF390$`1^cJ&SKi@t;knk(o;OF(oAbStn1YU@v!1P*+Tg6O-Qnq zA>sYi_>F@k|KYTh{+5ceADcF`FJB;YL_Dk{VLYr^j;uhjBv{DcYk?pa`;YK@1L z;Vg)U71)aHOdE*1aXAuO?mO*hZ&ndW+|w-FSv;(2-GuS5_87=4xPTOG|Hdp@M0@E#rU8jZAj=am~D6?9@fivf3uvOr_Yn)Fj(O!-AS(`;8?hyv|MG`aY8(j zcz>N^rsllCQT&O$q>iMv+TTyLLJK)W25SVSxHc))8mB{1Tb*b7kDwYaBryvtI_Iq+ z+m3xZ`?udRnWY@~+ix-K+Yf*HjkB;r!f%bPQ@|wCxzDY)7`&^qrp=n6flj)33*$G} z4uz8uWKAdGJCPAUMOih(tqt&3$f(sH8fYW&r*L8{rj}=-ojm{@&&A-*U{;L`z_3qr zMORx827Oj_R{bp6UHad#JeJB>vcMGGEG+*rq>|^w%UWL`+LOg+LEd&J>D;gxNdTR_ z@j6$2N!91&j@y*uMdXvTAy{y|VvhDxVB^@$bvnngb>t7I_XKAKQOavT71CKIF3@2A zKF?4W`|U{~0!s5ZN-sggEnP?{-w-0viU=MK_&agoL7eh8_4rP3FJ(+H9bZIuB>HM3 zykEKLp$2<(q5hZY4vhiD@J<%olPSw&mhy;dbp;ZBi=2+swB)(qb5MXT=g|KqbbZwCv`j>UbNT!sBll(pR~=!-W=Ll$F1d(3``f~=xC)MUI}2D3{o@bOD>XkmS@ zAfQn`sV<1AjvVOtvo;*dIg_h`X{i7`jzfnKT6|T2#sfwEy^RI zz0UWIcV;eP$j6ex+K2Gh*Z8Zzv`0eG`42f|*JS-zR-Z=k-oY;5epznM zsj;fB6D|)4-&k#%UpP74Rcd~}a9PW!ZlZ-*Ki>S@qe&lHkUFK185SOG|cw2?Z9y{$V>x=nrcz97YSdB z!LvSQwtbs=0V}VI^A9)j{^th{3=W>dZB0|X^b}PE3kOmWJcX{`*_vh>%38@ZJ8@YD zQZ4EPwfG-QvoD*YigrLo<32&5V4B0=AXnKmTO~8oTtO=SUQiVkeH(9@^Kf)t*)&_T ztXZrUQw3Q+Zkm&qo+f>;C?E-fLgB#FJOn4Al}3iF7O%-PQ<-VrLRtRFG)p3?m?oE% zg>SJ26Tv;ckDI1<1~W}|3-zd=P%uqh32Cp=$l$}!Mut8nKv!swjST!)njOogGHSA9 zlfaTSeyVt_7wq0kIP&I5$AY4w9ihzvG&l0TtW`1cMhHjV9UkvUV`kEr_O?J{T}07N z=}?kEIPzw3=n|%1A)0Ucc9xM>EeW@^&a|axs3OD2I?aNL{14VSu#B3V=vySl?~(9L z4%XRV1;!P37hDeJXLfNMT1DtAAsXJgi&Y{r=1%A=|1y|lzfgC0Zk@F!IOxLGHBYH0 z5YZ7bKe!EPWKX6XgpBn?@B#_-5Zn`9wXKZJ$ND3Kd=?UZJ_cViUp34x#$&x;_O_j& zGYB0gM8o^jkxl$IaTC9c8aY)AwB>uMsWQ@ibO8~txG8+xK=tBHz)CieZTu=QIT&bo zg0roGhBCB~q5myF|Gk06FaC3^Y5Vv#o)ys5Y6<;|OiSX)%xf z4+h%CpN3l9PspIXhlDRS4AeQVih*+Ia6&WZ1s-i{psA9%wg%d1c`g~~kF5Ko(ttQS z2LJfTJ|dnbYNFjpj3JfKCHOAf49Nfiq1woqSW44fm>BwdR&LZJ0 zj2|b=)uvLx*iF__iwJ-BEuJ+|y@;D+k8^*-t?-_; z0bT>Cnw2+!Dttgtt0z&VZ)|>tZ}|o_3tW($dB-}9&Rw2Ijje{ce?XZYD;>v{%XjWrzEvF!H@6vBt zAW}gni&Q{v^`IBNe5aM(4zIyfAT`m`3hDjWxWo?k|BpuqZu6HnCGE)B)!N?BIzN}= zh0F2^r6QG4BhWKq&_J4dT6J=oAqvNO31mJ1l(J+9xt zL*UNUa|dI|DrDf_uncHFl~}rx{Dl^lSh_rqMtq88r_$b8tF|{siCt<97Em&j6w>Cw zEB`0_=D}CVrPeil;u01?azB|aIqC8pH$UnIPGQ?XW||Qj*`^xv)y+TG9Zhh-K>?*C zj?(u;X}^$CsyLZ#EEF&PiES+Wse}{J`7xuuwywc<7j6Q5$zC$`Hux9cPjJ)6r;GFW zmCbAa$5uNFT6vr7jHbg;;pjg3P94+7$wBaQGB4j{-5m(%ForB4iD{Ci9lT}nqeqO_ zmNT@mP$vHg`g->e`r_AxAsi!`vPT&NjJWB|H(ooDk*y1_*XwJ2JTPz?+pK0WhK#iF z!ME)>BL76FVDZ>C0u_A6qHSies7CDsDyZoEA`7AHQb}e|DV*3|*89TB=px5RL=$`o z4G26VZs`)`{s-R~oDCLOOlUJ-VCAEzGoY;u-rTWtX_X2UXvPV2ov<>Wbj^Ygr3q9} zv2+pD*!=(o@-ghk0? zw@+CNbbjRL_g&1ZH-l`z32GY?@GshT!F~8*xB@2E>u0rsz=$FmN=)A&G2TJKTS3M2 zV@xO5+g}D<39=p(8c-aURZUs5gjqQQT4*(((?SEv4qyeIO9j3mC@>5t;Q<#sX4fFjFHp1FJ9~b9ERH`nJRp+vca{vi?X}Lj+kr-u&bXo{~OFs89iS1^U41 zBA|(F^LxzV@EK2$mcsz%dm!897h|l4&TFi&^LoOC@M9G;Q;?PGyvnVe*Lz{-rBmD| zef&y=iWd|LotNP?c>}K$bY45UM^NXLdK=`m&ym=6UUu!N^WshVb?`M{^rH#*0}{T) z!EF0f88`}ztQO}}Ez%-_?*}?2kF*f)Oc<^Qm)BFQoua!-6Q7JP`TD2MP zA^Atsq^y-qlgp~6tXaaWA2-e7ELJFX16CL=38tyewKdHY#RIB}a;l14VO8+kGQ4TF ze`{-+sa)3Qlr>+F^*@+qBzp*=d%tA1(iX=Cof=VMpF^BF?Xr&O%Ymbc#{2XeB zUnyB~K3TF}Xvy!yTBl$P+S$wZSqJSbzjQ*EF*GJZ6?V_9i%%tBIODeT$9M|HQdO;O zz-C>dV(-Ggc#UD%F+P<>-Z8?F*PWq_mkIr?0A11a7jPqQO#S^;I?ni5+E-LlBg>+- z8*kVjx6YuR-GGf_v9Si@Uwo5;b>^mbz=(JzL@-YGWoY>Z=+Dg0VEDKur%eF%jY4BGE7{>4uU zaiWx}osNlLq;TT5lSBIuI!%DC*pw}^O#H%>4Q&mymCrVi&tkf#*1*@)#|>0h^A$B% z7M?0zXmaQ~B|qC5=wuE(oeVTVi2i#6?KOmE+#xg!if_My1)TnX~%As=ztrVgw87N{fccc5Lt~GWDI>^9WvOhDkKd`K@za76-+|+9?+ETUX`0Vp3BNGDJ4u^LHj|5g z@fOC5Fs?tH-9@R0v=|`aPK5AVGWBZMrQjynlWRQYC)tOWys}QR2YEbwi@IZB!sz6z zVz$ChvM2mN)oi?rZ;B`Q7dOe)mE)|hu)!7UBs*^7eriu8)C{w*(yeGum}JMXP3UQz zqO9xMi4Tb^7tZYr{>595x**u#Krun~W?&mB$EW8GCH+AUSUDw5&kfL=uD>GlE7?wd zABlHv*py1#mB)|-z?!+p)*0M8X6arNYtf$MpoYTzrA;{j1ym?%`xV^z6KRiTAmW!s z-*N#Gx^P8v-_nKO!k;BHgha6yznCuy7jHD<9JI*)%YXWgLc+L znqq|DF?QeL;0j`gq(2dwZSS4`y`JlR3Mn^M_r6(;Ax>SRz4?%G@-5k<$6%_(05UGV zSLAor()+Mu?uDeDJbWittcq_A>>ImCTIJi)9z|4o+BFwyQ{3_$dyvJ-tYj&@|G~vQ z41cJgQ^%^Dmi9;_H3s8(k)X!n?QybkoA%aBEHr7l zwcvs)x?9QuekN0?LeRg~?7vq;pBySIC!Y1{m$+qfEwfOjSs{ajo~(L#28W#L+@!(o z>)Oe5=UlZPL7wPotN13?r>(A6euQXM=hZY`=w~32Q;)-s7yMRDlFM8C?A8~bqSBGD zo>$bPes@)MK0y9AHUaYI`fLY|Yx`7hw#{TvOZJ-67ODyp{%5I*rVDUI8ez8b18d^0 zT=2opKTSe}r#9_Z##mx+Ax@)c;7^_*bYm_SL1l(GJ#4=&rUQkDaw=-S*z&Jm+aIBS z@z(X!A(HBV&QSbtrd3UZE}`PvrLXB*6#Hh?>&0#PWX)#MxkO;7Px~WUbBAE>#F$3|@nf*OZnr@QJJ~qo6@8qk zyYA8<_~3!)UcK?rH)N`2uE<~A%u-%n>cnN_=Ad9=c-oXGu`m0^f~j~~OBA@Lg!OYL zAg2+5wJS(nX3E)3C8`83Cb*#ryf1_AsRB0>+*AdAx!+-0k)#4IY`lY7c_q_NMr_^S ziLAsX)+e%V*jVT1m&iKZ-yo4A-~irD`=mQ2^^Sw!lyTX)a4p`_aB^nv%LMoGBM`fp zjHgR1caklSa|{vFL7N=O(2wrYIQArEM5BiO;_e(eT8Dxkn1FBMkx~cBr6XV61v$?wnz)VM+k_{LjUso zgX+`-3%6nT78Q@BO&`^YJuA}G&@7Cq^w2rAD` zL3#SDHctkT!_KlHT5Ea2IUZ)>k!0n;m1opqKO%L9%JXf7DOkQ$YZ(S>ic$d~j5!`& zXNxQQiArPmY_KAzm*vs4K2It?nkL`RBHgRd20sK)&m`KuvkBH2HCCa`Plzi4o*#z} zKHLtF@d-88Tb7}_Wp+GHyV_tTn#p|LRQG!n%fHmK11U*e_dL1yYnN6006 zQ>+h><#9aNY2jom4{lsNV#i25qw@T0GZi`V%7xAISf-LoPsEQs^P&5vvL3^`8)C$Q zNC0W;XjjAS1_X*SQ{9=@-lQk*eAaSL-VLW}X3a+OMNc%RDAsI}Ezt}snr-?zS0Xcq z$gqG`WiGcW%uF$=Cbjs&*GS^R1ZEcAq8EOW-$mkfLSSZlRu42l7HT$ntC?kUO>;WX zQ6(fQ$AdGa*}a&>J|HRhpo|q}KFXW;>siM&ll2Bu1Z3B-79Y;Y3VNJoU$7|tX`uKa z12K>I9;ar*L9)qQkF!=##?gW@3V1Np1#qe>z6Vl#Ajk^U<<@Z_q1l0D8P|GlTU9sO zokY)gfFy3FKy`Dfk?P_ik+_`^sP5ouBi}-2S<9HusqP&ZMTuBo!{GCORBWhPM4t~@ zaysm@bcjs!abH}vgN0c>7(N@L$mZMPrQa&I#lY`ZkkPZbv5}_#qV$&ESG>bhdYQGC zBQ#va(?Kys0IgR>?N86z-!E0#pSW!cYJUYZYz6ay4l!DCP02i%>_6ZV62%0XD~tA~ zTbqty`7&x4&GFSQk#oi79``d`VIP_aKd>fP5@b?anxKGAY`>*MhryGW*eP}k`3YxW zS|XKLeFlk<536YyuWC*1u{-+&SfdQMb44kmqJ;BB!K1w=?eNFqulr+)c*tp89VqSf zAWT#e8nP%rTQxseS6f9s-y^exmiUS(Y;Ay513z6pUPglGNRWUAf^|pHs~z|$i+U+! zvd%RUswdG;#Z((R1)l2Kwt7yhn%8<9Tg&@#XTMx>e zM!8LVDR;6rN4i9hS5z#IbI!BI`iAWOmW~=EqQJyRC`d}m+5voATJFJ-K`l2T%(84Y z1+D0{RV`4+lQpaZ{F4eY)1nY;k>qKQLyhx7FIj_D?C;0woIDKt(s|osK`RzB6>~u= zBmop&rt2jLs!o+C(GZ@-uC_)@i>SxkAb+I+%ftr6-3*SgtlrA#_0>4}>d@ZbH#OX0=>l4cRI`eTu3y{4A1yCe)e) zYf-J2FDbf|6dg|8Dy}DKs!`+-vqN*+_@__L_Xa}#fwaBEG6Jh3C@M+%+WrVIZ0td& zns%SL-l;faQ7l@`=4esK7}vw5OOTA6O~tdP7(&Sk)?;$ddoAir8#U39NaUTEH$ZCe zWLyLAAGj=6f}>Au^HwjWo()dR(A1`W&K|n;tl#sSAHI86eX*NUPn$IMBTgjTfCk4{!9^>Ou~y}2@x#JG=XJeits0HmNQUu zz51k^a;jN-1o0D%Odd^pQKEG%N-KOfc8ncQrOtf-s#+#Lz18497J>0x*Qu#2-rNfU z_S+3Zad)uee-m0o_12q;84sW{)Sn@lzD{&#AQ< z|00Y2mT%(j@7KWc_rz+k6<`U(sC_F+5ttee+~o*!HIwK6 z?i;j#YN+g~q$2HU1F`=yXr5wG8{G=8eeyj~;AQ59Xg*5?X>Bpv@PAz@La>`wdL{LU zIuV{K)8+OMe}~U|1}{c1mvEAL$P`Guq=tP{dt8KQI&?k6j?}2$_k(cr-m`>#YWH__-Fza1g>HBV`TK4$8cF#Llk!ez2nh#=z?B&HAJS-Y=D=5CSZbw zoCPeJ%B|m?1Z2F+c;38%=6DPs1^G~^A_b+&-Pn#Yg^|FO!(HB>I&u94xeDtEx`eIX zv?j_}Lewh7%)HP&k|$zz-h3VQ_r7|y!iJWJHz0r$xAziM)Os7s@TH_j6+4fC? z5RZ_l0S(N$u#UUN-jP&ifm>E5n=Z4k-Q(34*ayMz*kf&zNmy?Btq@%AENnN0`qttS zfYr`I>e&}v@tfio!8lr$!yHb#5|Mlg7&%?jNlVS;%@27^=$?a1Yc%pRo=2>W+;8o- zpdzV3q>A`PZW+ffUMpr@IteQ2ED+RhN0X_bKUI%FB zknc%!zYvoE#^jL=6M6nAI?PKYCILbwx}R7k1%6jN5){&Kl@+oZ36Lnzl-Rd)P*ozo zGa?O$$P5q(mTDmCbGv)CF`EuPegF&?PPL<@D>4*SqfxS8!pVwJ(uqdNw0`phvkc$2 zHHodUi%k^|@}<`}{Zd}yqe)P6fp^$5nkpu%7qnV0egLMOZ)(X{wQz42eNsCYVa8{9 z4Sk02S#tCPX&b)9ke+Wyj}bwn=M(mHr&Gx3=u8|J4MGd#+sX|O+N_YfQClhCI}^UY zJ?u{1l>5I3F<&qg-H?4oKXsu@PpMUgrC*TIb3h}w=YVolH7Z*D$s4`!tkBp`z;mJl zd%GvaHTYRq2eoTTe#vjY$?5;g%=_s7T@z+DPuHE}7Hq%FcsFh(aamx|uJyxHYON+} ztxx z6j8B&OsBx=M4?N)itY8bdV{rAy*qM_s8o3de#bgjOvY3AL!rfZED`TBTVx@Csg9yy zHy!0_m2K6@OH_bpR)9!LsWAl9K8~^M-1$uFWG;O(d*j3(=O$6b{28dHScS@+6&-GU zF{IO61X+yl=W4c2CZAs2Mn&2|MKZuyjV)vnX}-QVZF(9X0Sz}qiPk01QnGNG%6hUl z6_bfyW|mV8s2-8D&27f8vdwkxu#S|f##xi_8k=PH^{QKQOXjfLL6ke0&ux-Y%gL;U zLULhW8yj?Qt7^H6iJHj%SEOY=L}W0Fx%m%T7FE^qPxWt--0P6Y*ITvRezUEXHCqSK za1lycp3KKw{2MJtbgrW1{R8)qmJgAZ)Bjg3&ke>-U6hGw*~3Q55nG!K=M>1atdwkn z^qE2%F*Ifmp3ElcQz}WnEL>ejnErK>Oq$y)htzUxWD#pIa*={i$r`so2;WAw5b zk9-}S@bOlDC$(#sdGQ-Am)_|d<>JS?=evje%1n~iRKu!YxL(S)^VK zI-V#qf_I`rjxDpbfpiY!PoQF+@?;S@WPuIvi;TGUD}Dnj7AZ4ptYsE}U0>N>RqW%> zcHaS+6@)}#;_ag`MaulAhFG^sLo9P+FLefyY%2J8u;UEa$8`h_^{+HGDt&H)k^3$r zar8VPd*`PX?Q1Bjj*aoTC&(aLK{|7kLZ>I+ zD&b;C?LML=eaP@a%PW-46S>pwUgWNn?r`OIHFn?}l2_Kq6xA}02{A_L$ftpoCwfhE zjm&hV@yifnBKNCsl&$^E9Z*f)i9~+eQc8Yq(QNV0WTD}VZwK%lzQjjmqzK`+h%dkT z2uhwuHZh7+N`76HqSg+MA5Ek;k|9lpA*sY{QdsQ-KeX|^Z0kH$xwHba_Oe%51lF`G zm@y`BPei%WUPt(br`YR|NJyLW^ojN9*s~(L#vq7zy zmx8hWVG0D2cNx1;CSbCqf>npFl#brBm<%UvWQeP=xL*jqDozToAm!#$6QD(MqXd`q=`;8nLJ&N_~2N( zRN~(wQGAvg@9u>xDSFtcWU2u9LZ2BkRdu? z0_|kxk>KVA)}ZHPR*!R%qCklPSaalim1iUS~m{ho{-QrepI z>L)D4y}tbja@wYj<<3`s$L}IiP(UTRmQp01$MUtGPS)6vP|L^G{#FP4LH5V4N}GB6 zLP@Ba{3Io_nj?CgUBx|*6E{(fsXm=>g;LyZQxMdY=M30-Y4)??`*iZZ>4t-kWSAsS3g_N;G zfi9A}n}|pmXkHg($F=pC2TL`2YxTa@-Rz53v74rY=d@0enMf!4- zs4NA|ApEi+2k}b)zg}q}f+bj3&mWL6Yc%ElouB!g7Ska~Z1q@va==es&7L$m%TIAu zU}*>l)FAPN4?<=OC#>Un=)>&sfW|TCQ;YG6TCsOENBLqiLipNg+IUFhdWyw8FU%C| zBIFIaOl4R=WhlOA*+pn<5IHtSw-~>Cv2|G12Yy1mJ>B2<58WrJA#?Lfj|UNf)V17t1WVfZ7hK0!VE_Gv3{klpvW-#c0D9 zgL^lpYB7RZY+CvZ$c$c=&O^m^h0aaDewpPlAhw?x-){*{9Rt}z0BLGLqdAbsnjGWi zW8ud4X@RYu^=I1jL0#n3T9IMdv<_^2ka()7Fvb;v`a5v=D1MUAV4+S7H$JcEnjncH(g>E!9EdlY_4oT@50eC3-)KAOkb1Fk~-eXyG!_usV^sc2@_D6{f z;qD&Fn!S|q=6<-jFL`nM71_3f!=R{uo9c&j-#`RsauFMn(b}+YOQ;{?;kTto#rAU^26oIWOY44x2 z)u5`jS~Q2uD_{W<#S|M`m3O{WBZ5A3L)FX?#YhL*b`OtOZsS4%O|8_fYFgVBv^;G~ z6)n%{%lgb!_POy#uf8Veh#S;hy50M0&gFTvgHEvS$_3nWBgNkBV+Tl=uhyi})Y6RrG+R ziWT%>Clo!(^Jy)Vc#b*&Xr*_1@`su!#xN;pT5t0E#^0x09C*qf0pqy7K5U{4^Pq`Bx;y6O{~FFuL$_@*kJY zBdIzfaZ86k7V{|cr#ZR5U{0Q)vLj=pIe7$o2`Ik0(K^vSIP5Uybbjer$$t=e*{pr` z4}HIdKB(JbRuOLWN~}XC@XR!Fd~%~3Nm<~K{*&N?T=}^0@KENVOHYCMW%C8*XBRV$ z_%Tf+UJ=ZKh8-m_vWc6@OE3!>d5;vLqcyql6pW~`LA?u4saNW6<3`>j5EtU|6t6YM ze_HhHoe!|Q8xpr07OmlkCM~~87ZJbjE_D%fF*PccIbXoPmD1*I6RBGhStSB>Da_8L)`fM|ytI{NK)d7yWS(*#lCL zDBQ7iLW>1{-YXxwN4bqY97mtv)bUi-Xxa~b*}4}tT-rz)=TL8etL^nunF$Nevhk4P63iswTruM4QHVYY3{zc^(b6+=WD8j>q~F>L3Re9Irad`T!wGb$Vp zQL=%{o;$@C%n;bF+e2E4nQPJv!Ckl%3!JuxxT%PnF~`EKVns5dYa(gWn1i}FB%Omu zwZ|omvi%#SM>p#9B~4D*Nlm_H)g-%=1=Is-u4}qyCP_b+sWEH)AD@NNiV2as}k-ucog=d<+sFx3kZ?Q?m2Py8zNe7rJqJ2aT`C;x=U$3@D^gaT_#!WH(PfFjmOyI zj_FiDJojSV2E8A%gRVM&GmfB!WPFN?MeIb-HB7axpeZ{h)+$Qqz&C8@g1&Gc93ImR zf8cHUv>GMm=C5#EEV(UGymMFJq*$(aLjNz5Dtb3n@a4PE`d_qX8>NBk5Nnav4^iMO zpF`|(1!ZvcDyK5!bD6jU;``JI3B55?9O!2Pky8?*{Er93Up*pYG}CyK^|N)Tkwws4 zsgkG0zt^255Ox2G=@ugt{g(&CAztsP0PF&kftL*j#NOZY2daGazJB-sjFRsoiA&?B zP__renBcD+LlYI@_UXliZ>dP(lt}JX?iH_KH^*|;siLz^MXrr>+;vu zPI8cYBmpQZ`mK|$_fmYchC@`@h@`f|g7ir@IefWCfF z6)nH%kO|URXOSot@mglPSpRekU)3Kb-^=Aa#M2;&5^c3u0JQx10b4D<_aU#lp`X%NLvfftq<685HUF)9R~;kq z7t_RBo^RogW1KuQx!^61@;{C)n>W%1 zgbp)^4_W0Fm7?F1ZK~4m&763FK=+U+O8I{8zZ_kX4z-6^Zgj6MDxH@N{obrYw*6k0 ze&jcrOhZImc_oAX8~3C9jSWevNF;uzu37dUV!1_@)sOY#?neRF=wX+rGR*8h6h_%j zquM3@Kp(V`uz^C^SW`QJ`%#Ic2e}{N?dYe|X}}Vmu$T{Zqym%AR+N3RO*NPG-^Dax z+Af&vTr-mxESi^ltYEU!V>weuDJaD$H<3fLJ`-B2)Zeb^v5-LAUGbW9qYJS@*MXr|n3uR)F8T!5un76*61WF&S3HKx z{EDeJz!bwLAANG=lYt#KOQ|YTFrWIMU1DQVlaFle%RY>@*72%gcS%KB>fhw`QOEpj zbHqv}-(8Y#{A7+_Zj~|Mi=QYrcQv{Gf2#cS2CV>Aq#^NJkyCLrVvu$B#cH>bm-x8` zZUj9}(EMBrbO?9CV{Ki`OMF>4d5Noo>B^bC&`W&Bt@oshTvlZGP_P9X)aGknrR9(O zlNEz{q`1a>F>L3R{OObV=a6UsKjh-Dky)jZIe? z(EKZo?A+~wjd?1@vI1~Ho&LZoD~KFT9jm%=$kUdRT^Ya-A&L0GGvy<09O>z#uFTO% zcPsp86tlBh(-7=-!&Z#h{g@u9e&gCRb!l*cBxJ)kSg4vpmG9GEqSdf_PJF2@f4UA} zIrRr_c{b!$&0b4Azm}>+-WcTCDvxb}>rV?OrWPlaG(+Sx*vkatc{pY-oI{+PvAUn- zH2|yoRPoDNRXssWcZdH$MbCwEMI}!V)7@hHte{f)9lmbeyNnL|vIBnm5YTV{?+G%y zc^EQpi;cEkZ4EqekmlD)LK+)l%oJX2T^&{imVQ)uAC$cX+n*;^qA`3RHyvaGd46x? zac?^FkkCk@2y1UWW!|}&9p%ueh+mpO#?@h-#DE&{2XiF`dx!yxMZp*#)@NW58g`{C z&dFgE{n;;va+mOGDf-}q*A>1QsIuOmT{U+knVz1+l~;_#?3&C!U2$$9#qMnEVKN>`lI8@3@3Z7BNX;S7!Au&^{OqS=x04$+BkXXcd%RjB==;CE%aokU@BLM})?CXDEwR$I=2ekb zsKL>!`-6OtO~9!&YGYWUt_B9fEFU)P0argdHXb?_w z=`Efwu-y}BG$l) zb-~maqJ@niE@vajskz6?$@gzQ7pat4^ZHr)!nmBp)Fqg=+(6gc&+vyNrrW`S724|! z@~yG_E?Vdn+R|%xjJ9Y44)?XvyO{Hmrh=M3u3Ect?=Q*0%`e!K+8=w{?11}Ue5CbT z!SAiX4yQgRgOd;3V5vsl&Qdy$#_w+3M#DI9!oN)y1~2@Tk6u*Vg3J2#M^bIsJYKbW zNz-jC=If}ex7J(Ish!6X9nWEWgH21`7V1pC_cwJcw0hIQ*kk1aFyi6CZR5dba*d}} zK9Ya4z0m9hJRg2HZi|FwDjBl{txWRoOsF<0dxT(rGX417%L~xzOlA!XeMG=J{;h!n z$>rAgWyPat*I1!1!GU+B!Lqo3eHd4Q8bs&)bnR7ib>AHnWPQqI9WR2H3d;(=>m^m= zEq3il`Ii#&|EN*O(v#8)okXHT6mqMBCl|IT?Ji(*;{KZvTxswnBWMS$6EL%;T6adabu+ zUBzV;*U09x>Lr;+SWTB&qWg{*iHGE3djxU$2S^18 zvVU90$#cW5LDh?d;e1!zW0f)8z!w^-X74Li8_UIyH%!yvP1@4)H|JOMhT3_*L6d%o z+AfwciVKfgYK?vVP8j=K-E|¥0EBS{ej&@^h_|m=#vi$)RziPO)>oRVUmFekGPr z@ev2^qhC5JdGb&!LxEntN^N;nJC^WQb;rWKtaqZCK4}J-eI=U+aQA|~S##?O1)Z2IH zTvT6=;#0N>7{}guv^cF_pZA%6jB~-rQ8bJI4P-pJ7PEBf%tw2h66>OAxkxxyzjnmxZyy-}`YA>YsB z8#-08(J${-vC-oTsS@?h&;L(0D!-ROHkvAbYqe3&nJ~AK^Md*qG@k;L`;|rau&s|p zR38W`aN_M<^!AnBIN&IU%m3s@8}@4H^?HLJV8j+7hDLGqT`wwnUdfAxtmidGS_kMx zCooYc4;4IWkbN?ORMsqT_-xy_~%mvynv%U{e zE_@#%V?MbQ_^t2{vXm9uXg4n7-zH(BE#2CUtnFLXVBXsJMmw1rt$pa9GE5H6PjWMZ#q~ajk3aa-YRcst@!cApI263>(LF?3t$X{v)d`^Z z5>=hZu>~LhGTsuYwD>fX^ua7I6RbT9O=weBVKViw_Q&$#3<8QA=T?lU|MZYi&zakR zj2qHvkENOO%fFs4Xyqp#8X*|50!qi=x2WL7C0NK(_!RbzY@02otqaiW%W1kGu3f@+ zHHEUezjdYExODDapWtA3`Xdwv!sx8({f^Emrp#N|U#NwRM;< zc(PY*4<_JwCm(v%_I!xvLTlyj{(>sk*qU4}SmpAyzB`cCfmyASu32X?vljTgc5KrD zz8i>ML!)Z7;hluECQ+7vPfGYs@{shhrEV`i_TH5~*jj}bA9vqPe{1|yPaD!GUg;S_ z)0plcq)5r-4Jr6Iji=}PRlL9Vu!Z3LJ;lvKq*M30V|bnN(~_IU@rAosFO_?IGjv?( z0oai43rWWl#ozKe_ElXTKy}W)U|4pHGF5tCQ^#crapyCA{LSUG`>Z5K)Sp}08%~?9 zJ;n^P(vyW3f4fIbBD{KgzDd|VvfS+A0+hefE3c{ZS+i`D$Jg9PpMX=`$znRH7sl(z zS))988^xO}d=xjS`y$fGJTZLM*e03nn{S0hndqo0J?LtDT|!-;Y4Mgy9aW0MCwz?U zyx29?Ie>m4Mc(x*%^Ya$s3r!^!;+-ZGnvOWQ1P<7O8DYs$$GlmW3I1v8F*hTT0iO< zP5f88^cM6i&mWN*b=AA`Y7EcHzS@M`%i2)L>>MB0y+WVC2vf4EQZas+o{&K(mM#>N;hry0}I8A++h{%*6w}=il-MoH5&NtEfr6x z2;&WoZ@27yVME9H^T>Ov((~TQkrYPO&y+QiS7Se&^qfevQ7jUTlp3BNby}cI{Rum< zF%Rzetng1CI8rvmK628PR7Y{w8TEDUWm`YZY9oDmctZMO^ZcVuzY*BI34wD10A8|) z!08GC`!@wx(ucr7O9}jGO@Or?1kUS8l(!N%*M-362plk+z`@H297bS$TLS0zBFd); zY_3P(-pv3uP9bo}N&W=&aes7gz247m-p@XU zppvd5m(*PSI_!$B8G$336L=MYRci@6sTNTlK$K7QAfk(6eShAnMDeDNF zM&RTx2yEy_GS4P(LR$hC5IEozfm1gS_$`4m#u7NTKT%#wV3QAl$9Mp2%pq{vMgn(n z0l07yf#U}d0Jgtm{C8hrEd490WYLy z4^0rcJ$`Z2X>QT!YwPu^PQAI~lelJg-;uJBuZB#yq8fPr&*}AZFD=~usj=%b#JIC&;>;_-V@c-M{q~;z)EHk4Vt5`TncLO{IQqiQpYQq@hEt{ZR417y5@mB6 zP?l^Xu=x;ychoKaaeZMP6JrE{hZEQ|jlhdOCDk1#aPTn#za((-eFB$mj$JrXwvNCh zvk5%CEx-Xk5?FJRz|-mhEPg>Umv0>ca9;KNDOYkA41NaHc|moOw*>BVmcS+TsDSU8 z%sM49>ZL~dy^SlYiwqSJSICG_wweqwe2JEn*42rGd8(%@+S)A3E>~6~7g?6hEK9yO zWf84nSr)M@CF2;E<}6Fg`jjQ&5@pHi&$7(%VQpkB%W{ZiNtr-d+}pA&b6J++E1FCw ze=5r|rX6L`ZKfJJ=dmoOSQgg@jLTcbbun68vXb(gX%xy!N?&!a4b$5@spEK9@-mSvIr#{C)f zOS_U_B@ak2nTvwVJ~e`A?7WehaQh}y{Ir);e90;$=9szstV zb|O*J!0d!Uj^~2#ljylpEDGr2HT25~v%mD3JGaBsa4&6mcu)Nty;uL4HfY1AU?$x1f$F^$Azk7Zj?DhG~xwFSj@ajEf`kV=~r-V)FJvVIJ zoGCNIzVy=1o-uaZ%-ORiOxMquFm{%AhqmLY{3P19bDT_aLNZaUTOG2drbwcZekRg5 zOGHUSrE@zP#akL3T9*ENqB?%9ept=?drq=lJ~^#oPHfK5j9)UmSHk>uuBrW#f7jU6 z_aC1fRJ`F}c2~{W6$9>z-%V)n$~o72-0Jy;f7Rc7N-`&>eNkiGdF6sHU32y7efF=y zWRh*4yqna(Uy&NBI^D(TsXD|v@qS}jgeyniJojJ2d+8Rmb1l9J_`sPmNp7oQ$~_z6 zqMOK4+U>LNk6-fZPplLD-qgnLr&Mnm9fY7^}*dWMuqW-cw(Ild`svNcxaXJ zH}Si#RihNSuOx*F4BxrQZ%f+0@08ZW^hTcfhv=D~@;iC4a&~xk&01wFps@sPRb*aZ z2y+K?j*}M9DfWQ2mOZ$eWl$K0_ezCmCAmKP>?3E&j7_?{ThS;|kvmQLa-m^jv-k&+ zISsYtO-%RfAHESCTBiF03fwVaSk0sdPO{l^+Bfc;@&CR5D$oxyxxS#U5eDd&^ za6iqpTCsnM-)(4Apve72S{P~YXlV~?vz4Q#$#3E6?kO)hva8-gEb>da7N|8){4PHV&1hzzU`|Zf#%7sjs*CylCz) zrxvb7dQ)7+{-!A>>pQ)h221g9&C!L2_S%=U*g<9(=H1Z6Npv8zhKH+Y)A=_2)uQ&x z?Z7bPc*Zr>J)gk8%b&T3- z>iHtkihi*tmdDk18nJV(oyc{A{oIy{cCKmhXYEDmwl$xGHJPZ6SbeL$NH?l!UgPdL zI#ILt{-X4>o+&3gCuOL52Y)Lv%vu^e{|~h>dgWnfk?5CN$1~B{XX;;TWv}qre~; z$LI|h{flQ*0!EE_MvtTvQC(Iu%EBlpM_1D9y&Wr2*F#AeqW~Cw0F9p4$jimkoY?NtPamI*N}8_ zdZSFfOJkAq;5I;HW zJWvt*-Q4g62A_j5wVXuN##L+KD!P2Zv9DS*WL2F+oyhZj15;PckvpP$Vh{U<1NtkM zY)Vp1_x0AghK0tY7M_#p!A zfN?A+oCUxH3O&cgs1q(o^}#D0GIJZa#AdYjun(953i(MYr$Yct2cQUmTmW#t#-&XHFb&A z_wukGxe5TcjVh<{KHB`t<6}}cUXtp&u64*<;^7ke=>Q112>@RJX8CAcivYL^zzzU( zEnQ-t4FrpAkfA#OVE_!A5Tj1IEY*9ibI43+8a1Mm$1VF0WEKm`gW0Fu8zG1CAD2jCX~vM0o(uDc@DciI3U+d>bcJ?!tE z0AL;f&jB!l!Z`pE04PBzu7X0qRRA_`R88;HPU~6>Kpp_O8==W|P}CSy)@cAzK_L`? z5&((-&}>F2e8FNY0A~O=20%CfRTN$)m>1Vb5P930Q>~N0RTo#ic#kR zkODwzSC`o6`JnJuf926q0N%CJ=D!A@^ct#ryF+Gr50}`&CQJUYj2>IYyn9E7cnSPzJb2cP;^o8UvKFMw?WsZlJITfbv|a zxc&}@%;4TA<_Zt{_yz-%N4srOO+yyM=0q_hI3Z}%UQvrwuz~eLMVH+%}8(1ua41WSp z1`2=u1R3^##b6lBP7nL+z9_|d$l%pMtC=_zMw=(qpWNeM_rvF)up71c*#Kq2K>)^g z(B}U*HO8?2rc^&}pF`&BVJ_0~-$BUHU~v*G76MQP3V+=MivwUW0xS-L#Z<7k1q$C2 zi)mnS3oH(R#YnI?1{O2H;w1n@#9|s)+y;y9!D0+poB@Te!J-_1cL0=t!XLMxhl40) zs7vgDa~}3V%fO<}X4UjheYKj<=`n_ae5p7CfTQEU;v)3Wc7Rgp4Zvhyt?P~HF{yj* zNcACy(7Gq0F0Mj`&5)rJ0AKoQ^Pd2434p9a4tAN7z~UN;nF0!f0oVmV@QfHk>d#XB zv?C6gvS}{T*juR0?;yh%08T=Nb2DO6lkZCPLB||2zYRm{z6Tjj0uZrT<@6F1iU7C@ zfC&KIOxWZD7|dDJ<{|*zK**k9G3vwunEi1W?Q8%Z!6vT&unmA79ku!A!eUZa-h|VuWNcEdDbTNh^of>oq)&nr54`7=c05+qB(INA~62PWq>0(kd^lGi9 zm|$}O^X&$hy>$6oAQ=Wk|*D=Rqwc6x4=!1GXQqqr-@48yWOp7qDT=K`o15B?MbQ zFf%co4On~^z@$xxX+LnsKkU}50{)kaI<)<9z`qCHNmXA|aniIy`7bOtj03R+tzfQY z7C%9?<&4wYk-U@ris(9ufqc~jA+)_6&(b&Z-+wzp-wOWz+iCii|B-JwfB&r$1n?^* zZ_x@_3Jk-?W5X}~(m3pQUxAw-HdI>%-m{*4eG*T2-C868%aAB6+l%~ZaDpA(Vpnv) zHmKiD$VCqdj`~%Oz1X5Y^R0X6gB)3b3?x|Y10;Mv=s0@Mq}-ulL1WPtB^-@jw!CHK ze({rXQkrfJ63KETw%oVGMrdrmDUY<{OW%@>>*si~R*ST(hQxV8`B&2oH1 zDrHrXZc|OO93RrRB$B-9w<7xX^zXm1e5Jx~;SJ;vZU3AIJb$A>xpN#Fn60OgJMHLt zy`nP}CXE$_+k#HF97zSX+JcSP4{Fkek!b_RQ*AO3bKtGkB+sO+@Og5Pq)tOOsY!)v zlZZ}G%QaJT-Yk#yv`288Pw+G1->7Sr8Nu7)PZ%qv_*e$3&gE^kP>q|2OTbDbwmMIb z=}a|FPJn)SOiZie<^SXCOTd~uy0#M%5=3?iZ!-sajT%%f?~xTUs2gqYN?{4#flo&QtN`2Dq58PKJ(;Bo<#b7|M$Ju^*+VS zJ?ES`bLPyP*XLRuooeS`160o1{(rnm2Gj5t8bSFM4Lm3ZeXR%0Xr?x*=3fI*%ZH&hsGm=*mZRrm4uzBp(aYT4=Sj zv*wgaa9QRdCE*H~JyPMU?a`MLu##*?%LFtpY5LZij$Z?F>rP|#SXy`5?_{e=)!1TsZ6?(Ber&!=f$EIjCD9MJS#(Jaz&{$}AAHLE36wpa$gmzGJ3!;uzg4GwA1a(?Qw)ZD0# zGi4VHC1v-kLy7A-MX@Wu4ZIS|or_q3JKnob1#Uh71h8=CqPeXwlTI9E1L9cyruX8;xuvYLn;EEZ18CBjZ z1&9)6|C3Azcq{(>yg@zLp$EgSNYrg11T&lAG9~VK9?pqUMOz_Mgy8y9?s=~b1+;Bg zv656?ObB#ENp8vr=NL_7t0|#&YiO(MLLdF$+u~jJVoGdhM>n(Z&-$eV_on&LhA1;}XfCpgQAee4C%qPvn>viod(EL&5eRdgU+`8ULp^Ebn%m-~ z`~!W@ds{xx7b;&2hwnj0tfH3Cx*gS}sNeJ6*i-A)7rQp_@}|pa3BN<+TIy3^!?WDP=(=AHe$^uWsnN0)5Uu3~) zlwU;KQCO2rv!OSKdBh77qSDAg`)vT-fuQzJFt6Ch_bDPVMc)#U?fCG$BQ6R0Q$*Jx zo)3VJI^;O80o-cGk?=A}?HYlKNjx{0oL(G*+I!i{F2uG<4joYCYWgR&sD z?J{z9=No~LyQ3DaV3UCz!|(3vQxuJibV?0}ME-IdtwhG?#Av@V9d2~@D(IVl%c*@0 zx`6HmIS%*Fd-#)igN~iOo{Z@oIR+oHT`R}1POl~V6nDo*J`x`f(7v-;i=hWs6eybtysPUDw?nPjf}$<&MkbL=s*r8j{CC9<97uB0PFOJ)(8PMPp%Y@fr} zp=L;XdTUE$e0o--_NiF6$%h1rK8cTf950Y^<0UjkW$0zQ15;k~L+HrT?Q zxP2~mfJ}97*ajxO==&m=>QFE2W!m|pE}8@y>JwuxQA%j^_IRPQ{(Obltu)^jF5^Qb zx<^B-rakVvohQb9x7#~_ke?ep2h}MWTkz3)2Thir<8MTcl0HT!mArQ2ViBUPU{?G+ zwTte`VV<;iDu3oC*t3%=Anp^Sl?)WLnM(U)Drz}=Z__8%smZfaSkCEj zoQm1G5z&_{&t=NvCCtO`pvltH!5(#AeB$SkUSJsEw%h4$=2?P0V(YRJ$bj;C;KSWC zV%!H3Fh*|=LGKG2w=>7Ih?oWlnci8gX-^HD3CpKaI zh|L0gOx0xEzvuQP-C^VL85WLptQ0&)4qi9;Cd8`wAtBlJDlk_=)#s) z=z+6Dd)UFRJ+YOacL_x?okBF>^b;Wf)#ja=)8PJWqS$A3V?VcZp~GE8Shb>u$mN!N=b7SrWD-8az6UYhfAV6;gLC( zdS~@SuX=uH{1=)$Ril;MSsmSpJsve%S!_e6^6#v+`G9^%ZabDLV}BwlgTF4?t>>4_ zDTw|SDJ3F|lF9@0!SI+-qqnsbH4iu(hr3h@>gSEuvP3=O?IKn56qz* zV(k56>yh#N2D#=m^8nMgNd)C%PsnolglXxNR#;m9p*gp$DVwr}SUi;&A>X z`Hw!KepxcXzYbm(r}bZmw9k-Mt}nH9NAV9i&h@c{4^*8g8M3--=z+H1c&>Hh2B(#_ zY&KF&g2uwk-y57x@_KDfGtcKM&y0g^6`kIg@(I!gu-=t6z!_-@(#{;(2`<4!_|QNu zOWdHV4HQyH3PB$n-5VBl=uC?FTHGp*(+9*Up$-WLP3purZ6g=N2KHRZs%+;GCmqj; zkCjZyrJ0JR?zA+T1nYO;6MPaM!Ninj!->H54M(@ezTp8gyQRxn21&td?<=8_l zZX?u1p|uq9=}Z@*UC6+_&TOR%?dmH#kf!5x;r@Xbx}8J1xlWyhglNn%Jkx78isa{3gR}Pf0Rn@wHTdlsfF`-1Ld;g?BE^ zY`IATKPAroWQTkj#`Y`37~9)E8M24Q_Mg#X&4)*(!yopmAA-S8~p9Qt35x}l)zfsSbatUc_YUKQ^ z0ylgF(91h3&TIZQ9d{|<&}^t3W81*<@B_6<{8U@r|h$!Ml5zXVL%kJ8$+6gJ!Zy%OdsYSb*26O%x7f z?7EQ3X(mKXt+?Stpx!j!ONO&m6IVdnb#p;fbw<{DOb1F7VShLVx&h(a^Sg!mRwONS z_*Hc#*ME%nM3~yeu^Ex|M>%T3A5db#+Ku&)sOo;LGbLUhdx8?b8}0Bb#f%vb-PGOr zbWCED<2=nx`ioaa^*}n#tLQ`0B|#(BJxBZ;1J%CjdwdGY@DVtW_&MdwGU`q{tlmPD z!;wcAAv<+RMvo{NnF$4HmiY&;J}-%rx%<7-Y*udF3{vto69by-STKl|{Y5{SN+a5l z+ftttg9&r)Y=cXg#LJDQ)%vfph&d1LEqD{uxy3Y$T zPURKA^Bz+k%gi&!$|6F9(a4ZP2xgA0`xsRJlEB8O zGy|v=U%*E=rLe`=T%E;clo8S0G57g`)Q zB~`AYYsEaO@rg<%4lEEgVht7Bh*0!2P=eZ;wNi1KvJQmlM1|0dfXljGnDrDPXs^Bz zA(*cgg*&~eJ3-O~#N_?(@pwoGHWB9L-ijC5q`_#WsGfu%4NB4+gPa|QP3fe+I~Oy6 z|2WA;K#H!OA|zgtdJ6Kd`-|1U9hSd0<)=DVx?VTe(O>DhKuUOIV$IEvFEFv<15jL# zGFTZKN>jLo4~g_))l~fqnWJfP&+KDF#7pgA-W?TNxudi}tOe3FgMrzm7PzO_MW#4}!2UGr`g)nOS|l*T3?b{HS*S&M9>5%}4o%2ZEW ztYrNW<(5R8*`Qbnq^L7ia*HeWNXpNw6SHoScGL`WtmM`%#V}%BVa@v1F4CC%6UxeN zALFvl6lA^E6^X_xc$rQqkYkJ;y{eRqGG`SgaE8g8M<5{tuHjx^W?BKHs8ljt<^e<< zbOtQCP97n(pD^gHpl$s;G|W-PBr7;=t9>8FL*4_fx5_B0(OC z564dN`5&&vbXnw$Eb!jonG0No;D+rNe zMz9WqTQ?|`cgU_gA)b~m6#MWA)Dwc6cW}Y%zkMB8LXs)LxYDrTlHE65xs?Wor**G1 z7~)xpSg3Iu%g z(}WO2+U2XZ1^r7xIt`FSf8xVkH_e8ZoCc#kzGn%#45CR1;t?`WJ6ZdAO@{h@h(Y|P za!JPhmOfjYDGWC~hC8-4$X%;+t2(!;hANz0=&Rd9`Dy&3AN*9zFZ!HYRc%59GX%RY zAw7B}M%vyBWc$tYgPJ>3G|5^blZp>3yx#ji+zMLare-4sys}(DD+pvk?_?~a)rM8! z!};6+uS|V>Z8h~5snq7;X;{g}z;Gb8dkJ11+ZlJkU>;K5C6ymj#X&6L5M^Zv zA?~qCw{3QxHySYjXXO^Qa7??rJa>AK2#LGwgM{A0%Z~_4vWf69$`3misk~2`o3K|a zGlHJmBM!%8uQ6YatFOkGou4mvV7LPIWcH6BCzvlM*wK8s`+^+IMEx|MaQvA|O)r`a zl8VDncOc#M^4_RE@iAG4YA-$oR2{-m{r@&?dXO!|AZ@@SPmghB+GvDm5L=8T7de_l zYv;o+laY;drMTjjEDAS}XF*MF$>o;x#N<-qlaeSFm&*jCag=quqo`;Jm5@AsU^y#c zm?%w)tKJe%I@yf)qp#9diYcE))vO74PxN_=ML9cuQ7-P4wm+@LX~nZAVlgo?4~ufD zUUsWIx!IJF3=4v#MmWj$*%x= zlA4%r6vX4p`uD}J^5y7vJ?`Q!Zk%l#7jkKAfW@U@fTSFquPEw9dkF^oTtB1XD$BhD zciP>fR?KypAZHwXHeAIAq~f=-idC{AV9;hN!U9oHmns^~4y;#g3cj7=(0W31SEU(e z#uz(redQdR9Z1vtOq9r18ynhPb3>am;ye5veBOjWt?_>J+}3Kzza+4Sz$0l4qdQGm z1@7~CyE|0KZ6tolGkln z01P2v2-v8Mw^7&U5})LC^)QD2S_M!#+9gQt;(T@8BdYsr72&AMUx-zEkQ!k`FQ%9|z$yaj89vkEWnG*DA?@XL;W@+to_H z9r&FaNYR6dydYKfAF&Y~ZPDZHY|sw&G)gFS=n`hD0&}|5{&!ON24a&%79ljJ$AdgV z<``g3ai7Zz_UP+2CwY1rTR$Q41&lm3lT3%#CUcUfGXdE9AzVH1=A?O|9P9c*;$ye| zU(6}Z&&7w#sf~5hOZ$2U@gopb2?Kaepp{KxO*#%gA^# z@d+%pHlDPdWIPg<$q#=CjVB>tqI-*CtgVFomY3!Ipzg89TyJL3Y;vHXnH)2Dp|Eqk z>6$BU`oIPJ_&pqBd)tlKT_bV~y>GRLgO9K8sfhiBWasaJop!(u0pq!cGwD7#n-9OJo!8ymhZH9!61_g8a*bK#tXnsx(KAb2TAITf@#}j0 zyuQS0UO&S&5T%tb#K+?>O~^;szU9Zn>&E9@#P8y1guoS$!aZc`#&5oapYL#K=H=k@ zjqcP0M}}^O4qU*GvOO(o5Kddo@al${TqHfdc2H;}4j*i|_F>Rq( z6gLRJ%TMCNcF%}JBgO@qLS<#HwIl(%Z(KyzobxfXGS?$1s8tXY%*w+*nTT#HDwz`0 zEMO$ev9U3U`Vd7IgwBj{y#<9$z8b5IGfw)i)jjDi_@tT&l11cG8F?0%i6A@RLN^7U zyfh)Wh?T{zj5lJpnj))R8GPt;?wWcOu=2Q|G1(7CGk9V?70M2YxI2yuuDvt`*A#O* zkar_)W^7Bi&^Oeo}A)@Fl@s9FEH#FBm#~VxL&2)}UR-po+*~Dw2xb!$tnzpyG!_sXfgeFopabo<&7d?7ifVE9 z*$a1E8O|_LtR`xxp)rFrMiF})4~VShn;7`l6;})YYz(QN_P5olnU+`+J7aEQ;h&8% zAv_sE*u)b55xbTARCg0wx7@e>C6c|GZ(@fkrPxP2yw?SKc%DKr1D3^5S1y4T0#<(< znUBYSX+FBR+8#qf$mrNML!J=k1y_$75$aEQP=H720~Y=bZZ>@;itUI<(`uIK$l2{ zw)1;U=zx;YR^JeT+^$QC`V;!TYUG-vep$TJ*mQj@dv9(szt_r~P`{jtI+?2-wgE}A z`DW2g=+(0^vv)2Ux#@cSn>;W%!qDpq%p>IUapqfaHSRakDVJK{xjAy~H|%s{nKgZE zP=svbcSPGnS(dYt8xB;Ru6C=J2MKESc8(br{?_gXnU1QauC2aPi!WNThX2BHEi?@Ae z>cx{gTmhNXMfk`kP{FVkf!)Jt8?jGt{2z|D%$uO5d_ROhNAU5O&2&F%eV|fe+dDk; zaJk<5g*?S^4mv9=Uc}^hh zZQ$k{#xU)nc`ov{#wd+nRNYaU9;}euO!^^Fq3Tw7|79NJ_ZZodJSul*IX9(YBeC+N zr5h+A_r22h2&dBPsCE@Oq&QJViMjOUL$;z6iswYLQaEb>L3UYouCVlTxzgtVT}!t% zTa><>E4`I?#?l|1=ibG*OKNVfhqVHCv>dz6+@P7 zBfgdImA=wQjeS}7j7Z#_wO<1fr*XA02p|wv-2G`ZrRr$NAP5f1 z%W}@WK@J6Ny)#ahS!haL#^lwLW`cX7AZ^4LAr1JT?=u#W!YFmqwpXTIsfq)>%x|p# zI)1*{Z=Fq$9S02~dy_99sB-jEMUjdYpFZf3cK)s9;?tn(+emM0nMl`* zYDn+>iY%HL?uK7jt^YwjIh_x|^HY+DT|Xda!9P^IRrrgWXmU#&gRblU#80AE_;6&i zysOO(CE^=0`+^(zC>{wT9}&SGv+*OC38uRn>Tf3=vnZhiAB$K?gue$%VC{jCG8*;0 z5sjr)DA4yU9QVfjOOu|?EY-ZpIwZX+~Ena+RNU z#!w=}Mva8_QbBMt>lfy@-;nNTXBeBZ@c|C`jcQVR7MGCrwb|_Ry3-0qpxbkyT4o;d z7|=&x?eZH2%B~_;ZlR5tyF6l{D~lDWgPpGj4K>Es?$;dz^(V>gwun%usYMP)M+- z=gP}T9CkcL(oazCbL9zkw>g3=0>E{k^9z_E-l7Ddmn<;t@;aw85f6ZzVq2|E7&){v@N$9v{Vf zLB`Y`D@Yp~sOBm;ZJal2BmNe%6%`=01;R!ae=Du1f+Hx<#xlts{H9|GKM7ht(@ceQ?_T-5Iiay&%FNKlB(6bVZD$s7q%xtk+F>K<&9mXqA& zhU!diWTykz$mI~<5dY9dwmHCWBs}c2&QJ;Yl`d9eP9)st5?F7+JoBJGD-)ckuzrF) zZ~#6w1Ea-Q*V2lcH|U2)A<|ur;y4UDNKyI+jjFpVhqR%C6hYg!VA|lM@>#FP=(21L zr5O(qXt$4`L254ATU0tu_ZVMqq$1t{MA-AI_7q89^yNIoBSz=!)?HSG< zd5G;9X3rWwD@5Ro_twQ1*s51Jsnx)%wY5x!1AijfqFDVe*oyaY8McDUM3^2W{4fn` zxMf;58!>u`yBQD<>$`WRV7j_=@)+|#cxL<2(1zR}w&Sq~Yd&1$OCrG$8v&OwB!n;!^NVG(IWWXs#1uzK$M??SB?kt^%X4B9X~`?8r+l=-H+NBo^uhM z4f#!l@)E8uTi~ez9UV+zAl(q3a&G=m-D#NK{FbRYQ=Lvc9(x z|0y5duNM`oR*VPUHT|aGr-^sFv+cZ&4P>LqB)#Of4P zN+HL}%3oMyPvCAnKUY)5YUtd1GF)LYc#sTA$e_1Xp;+M?U&Fy^RT#G&NUSk8vq$nc z0Of(y%&JVyOvk41>)xpEATe(pA=V?{GJO_3H9I*oKeraAQlvQJrSVBX%kdqv1L`*l zCV$726G_Smo@Axb=)ika%rYt_E_|X2Z5v2+rgbfi5=F+`GN1vC8B|M;++ci@9O0(x z=Z2s{^w;9I`6_4K%SSrrzjS;o;@!B7qe<`}{_%AF^vooO@zc(xgm%m%IYl6!e)S4; zJyWGsvqwF~cY90w@mB*h26%+(0F7ISeWN0!_E2_WVfA+C5!Z-``k(&4T8E7fplW0X==8xL3T$dQwh~> z@Mo~Fg8E@uOi{_DY4CJEJIkY-L5np$Y!|NGDN7T{B(A}y(#77|Jk^;oU1sDuXmBaT z%`cng+PLvPA-Uu~B=t^WN_(V@qeISm+ok;ob_HJeDE11hH#wALq7C85WOgKBLA22% zP*1{V351Wge=zt1o=CA@vf7>26^68c&LyrzYc@nzuZM)!JR(x&Oo4<~2f5=4x=`Pw z5cf*4J1=Rv^N(y*wUVy{Qvz3n<0MUvK$_H`MNaO$@hM7ATiZnlfnwirKq?x; z_eDwpG?IhT#`LIU9$F!y4G)_gbk2@^vr(~TGjft0ZkNlmp{EmubOU$34aGYir|NgU zfKS0Jqs^fA8C(yermkn=H0HZ+{O?nxu|1NCY`#Zh?>ehTjstnU*apLZ>D~@`B9b{8 zGRIiToaMQMipSpGD&uA59U& z-A^+2#7AMUka@U3<{7-q=OOb>OPMQEmyyiu^&&TH4P#ZpWKMiv=HUXF2UyDNc8BTt zA|xqTYLLgw&TCUb9+xxzx`FoDc7d71a(nb>?wnSEN*od_Q6jgzq!GCOR2 zPtRcjnd2;FZu&RVGn09_QD)wKr4Fo)p$gC~fzP0QoUh^FtXjZu+I^+?o3#M!ET5y!jfMNjhI9?b8-R3e&*KXNZExxaJBP z#5oRHLWP#BGD21CRq&EgjnTeY*XFP#F~~=Rv2Ub~o{^oN=t%$MdyC$!t|RxI9r(n* zH3l=Z?1j#h3?Dl*4?mTX_7la@0Iloa)W{N~N^~A2qg(_Yq~g*~q`wZ(Kwu58Kd4&1 zm||HL&gL-(ZA(yXkx>fE5}nU<;^IghD&H>uhc?uR|-%T%59 zzN)(mR9$AF>huv5PgnFL{pIlbUQ3o8l56yn`2N|OA3N&Hz!+>~TA#TF$g`kHM&2qS^Z z`I5BIra1J0(Ue#iO)&@UOHcz3W$n{eU^FSb(R@-}hevNq%N8E1$Y{zejK<-8@AzLl zdgmvkKm9d)3f7s8=Ah3&%&RPohJ%I@RFZ6=>QaHK`SzJ*>kn1WuvGP=M@-e3q-tLa zRj0qN>TUv~DYZ~_f(xDaP|PL$ZDSi=*#6y=dY?npWfrPV;-Iq#n!-bws^bKz&f--) zNrU!j?bO>JAXVQYRge8f*gpSvPQBMgTK3ofN~+#!)StS+bB~)n1cNRudr&E{rec!1spG?u*JJdJF_q8yZ|D996a)b1jLHeuV^#`Y}SoOYB z=b*a@s>NEPx&N%wsV}$N{k~KGfo;ebh8S&>+eV?${O?9DA(xn{NdpBLW>vrO`RF~T z&OuA4RwR2YR9&Z2mrr=#sef~jshXB1<40Sl`hVxtN8KV-A1D3g@cQGOx_4afbV{f| zydu(b?niK>xurBsE_c>9qM*Vd$El$*+LRm`ojgq?8|dyhN?GZy$0-QhxHnifMY$1E zi-E1Dq-@OVBx$-$JPvty;yw?0|4773t-1Oq3>0edp6}hihWTmresggwfYK1hEv(dkl#@;^*k|?lO{YOBaG24CzaQDyw;Q4 z!H-5GJajsP#xv+VBNQ)k(M0_Fe42X{iK0VMK#LFRNznTs42lFTouN-kT-yz_mTO9e6yw~#q{%wCeYoMbNHW#;FuDWji| zLA@Y@dW^ZN%8qU}dcOtoL)IgEZh}nMDH7}!KV)^Hr*18qoQ{U9+&F4F8?suCqq1FT zcc0=du`T}DYSusdOdu^+1SbECR`s)1mf=h@Zf>^T)~-WxbfoOT28W2<4&+mW6TWj3 z{-6+I$t`>&CyhGi&F%RZ+RPSMO=?heL14qSG8SaVQV_tn-9=shvbGyyJ2I8#%d04y z=21nUY0j0RoT-;guUMI8;CmTnr7zDKtq?b)y2tznm#V|KJ40&H>}F54*O^o z%^4AIrVeh^4?+cer=T3P~B=jg7`~1eqU?ag(*3_2(=tlL9(Y(9G`Y!BBsCG0e>G) zL<#tM3;JR)F!8SVcnq0p^m;tIlAY9i!$evKFe5AX*5jz$6FOhk#Uapm_tp6RZMr&!nixSgP zChwI8lw35p8y80c_T47q8Hjmtl!kxKoR@sq&m_6LAxS=Vs@dWK_R$trkJ(%%wwaC9 z=e@&A$iBQN&KVtn`s7P_<+5ipo=9>;q@;T#hVOR|JK;8=Xovfa%Cr-8-Q3d4-e~Jr z`;XN{C+>49%ccK@H7XM|LXuI~vHO}2CfeeuMtz$SKBy#t7LY*N&1QkZkF26fO6M{` zAUxwY_zT2HKbVa!gwL(vbTE^0C-6F$PHn^C>iL0~OFKGaMv^-IlAvR`GoBi0U^$te zkH5)D$3%~Z-OX5^CxAZZpmZ5?t%`@1h$%k3$5qyvi@xQHa<%lddC-wl4e$zr&X$be z>I~w}EAe{M+n}H>#z(%*swIUV&G({Ue*8w*P%n{s?mi-w4N`nt(;Yoas;DGYcw!?1 zv)2@<7B(}gV>2!eK%x&If#k}71Gx1ey|H_eAn0~%^eJSPB;%v#L(31ejKqR&spG?Z zxNrnH1UqsFqy8kKJ}MPVG<4rofx62+sG`cEQyx(wEWR1n$vVRC6ukly?6N{@`C~UB=9)d zo?cyiuskx={WooWT-acc9n0sBjTJY{W}{W#+}T;Opk^4YE^3x3zJk%}*1fVXG)FL6 zU0v@B!x4;Do9BqVv5v=&R{wGxJQr>*(17(*i!l9P8&9K{x^yb*5`Xi0UvZOnz$;dA znap8&-$`_JXLvk2*#HDG$WQql)*jeA9b#{x*JR zhZ5xLQK1Q(b8yfZ?Tud&N*#Y2N)CE!omGe;w9R(I@EnXZi}RL8^7l z2gQQD_$bnNyLzf#rN&q_OnCp4JRyz^hM4ew7)yn))MC1%=(uaDd9^ootQ}FKwb{Vr z3s!aOIq)75xzpL-qi(l(6ww;%$*Y1px)L}7tBAlho&fHGTSbq5KmX(k-Ch>lJX4M7 zK+Wj6SS%_zobmO!E#h6d(GF|#wk74CN{Jbq9z9IfaIg$7?s|HkziD2)TXV7@D{O|b zuzan_*PQ&?m|(Uzec4(n3Oj(GcEN0M>dDKBAU^Vi)^WL^m(Qv!Z#E@Rm88@Z+nWV&3(_758Iri@G`*DtU1Rf5WSByKJ}x zto8$mOfW@|6q!~9IJag!RZu1Imi!6chotkNp~_!rYhb8vY5$hlUnu1g*Bc+*k8WlB zucw@EjNVh39b^#Y{Z^FY6E_p(6rx-}luen&P$u1vTqd*H(%sm8oP(sJ9D&+WC!gH# zCr_lu1~(XgtCx7l$waUtSH3+&Fw07cbBTX^%{XO2f4Kgtjf_sCt?7wJp|E?PMG2Wh z^4KtR1z0Yeqmmak$A7<2$vZVKh7XFAEimNg;is8lD!F$v`f1apXn#}reK)c4GYdFs z#d%QDlgo_rG=VcJEbF{^?GtJTx$V8ML5IIwS)C`cywzmtkT?`4{$SpA>i?>xwlr#& zHNiWqbggnD)5uBD^sn8Y0qId{<&r4j@K0RsHntLFJ=!a+y_ws-gPW+4BOSX;;8x7z z*B+pH(sn;V1rY5>)6gD~2I24r*F9H!9b-NlSe{&uRQxi(H+6~nLQ{sH=c zz`}aZSVbFeR#>~)C8v_BNp8<`xf`SKY;tGDpU>No^5%SWoaN>ALM6;{4cZAk(ZTGU zkfE-d8{)q@LXs=H{6UisQAWCk)-$$YFh;D8H(s^$jjN;OMGd-h6VXbeBDYpjPpj z-RwCB8tWr^!SB=0j}coQF{^QNB#Gt*vyB*)_Vs9SR`m?sI9)N)$$S7)p8OMQq+K4c zD#|!4D5$0}+l+E*1NX2vr)TZ;-VZ){EvO24@-M8CyFBCKIGmC+4~JFXWlAz$m+@jk zoVGbCo({DWlXi7nSM591pQ`KempNp{D(?@>a-QSl%U)ZkG$4uEZO=Zn;V*Temi#u4|oX;n5fv3!4V}_$oTDo;ikg ziG$M3!nH~ss%P_;p4U#I4&5E~f&)#}{%rT!iq)|+AW^!ynQ!^Ickw*6u_E_|7|ob2 z915J@VVh^F1qD`;*=M;aBzJ7@-o>+&H+%CYjK55`PS>_F-8yZHy)$*55gX1_tDZKQ zT&QS7G;7M-jnw_uCXvFoM+@eR!KIVEAL7?|7fd~92~V`Wrvx*zm~;9j=IK;;DXSAZ zsuOV>KlGYySM5mWdYaA0dxW?sw@VFHe@`LzzESPQ% zm!x;2Ydt+^=o;lrUc;!dUDp;sSy6N`Zf!KeUm^#J6~8}=<-Ke9Z=HcuXz&Tt^WK#o zb{#wVGk%wp5#lBMv-A4_28|%VAeqZ9O+T_l5F!ZwmEPKj3dOvsR-DA!v@!NlcI5DR zX6%zt0ri$R;_CA&y=ZE|U41TTUMZf4d0Y!^u2XjR_d*A!R-XgWg6kw*I2M;Ebb#J4 zEBHqYaQB>9D;VybW>D^M*Ayd^AIzNFe`NGUqERDQuc+D5l75iAy-aiQU}*)}@%;z$bCmW>fi<6)2+ z6W5a9S{6l~x*8qbIGX^(7hBdlMj_TBY)0hmNOJQX)f>C~E_JO@NI*9>V9)?TG|LPdXNRe?)-+$2GN!zapX7j@@h5vBFXfoH`a z{5mYbe;e-QqyW9q`#Odj^+IdxYff&aa&PzR^28Uj{ODp9wbN0bcRtx-h*gUrPwv@|c_TTY8-K2IVA_FK8#tz#pW?di+WEB2rAbJ+k z{kJ{fp|jZ_fI3W%8PhRj;_eLPj&X0eK@Gx_8e;q*3^>_pz`xqP*L!xKH;*2!2&4tb zT4(G_u(12A@|E~mK>>}xgv)5K<=XD;L!!-mkxe_LDqr0f?e;Ef28qA{7rm3W`1s2;&sXQsP%An z8jKXQp2<%slboiP$YgFk2|X!J>#+#GM^$p8cWzDZ;gT=N>tHX1kI0MSq>2Bw!011X z^eZ90ig{M^_H)Ir8?%ILR3tZ+33ruo-pgJh(y66H_zgckzeTgJ7g^t*f?~Vy$bo)orF@3SVT_mEPQGEK&i`X0RbfFF=7+h%J#U+2 z!oX9m9wxian`7@_AMr`n})j zPQoY^v5Vej_LS>(OrTYuFsi2b@u;TN&E5_Cod(pVwZsrlh{UZW8pnU4-i-nGSb|Sf zmbJIY?Yy06-6F(!fsu%MFQjtNS>7nGAIxr2@^}oIM-(mE)usw0Q)F%3Pf{@=$q}l! z5oNR#|ty2O&l5C0^Z@$&>0oa;ow$*Espbg}NM{@uE5|UFT0@g};S$SmNMbt33!`mWsZ=wfH|35skPwz#fu1ClkHI}b--Xj-x zrUgDZxjv5-q~qDnEwTh2xy0HdOT3ef9(n)To7NsVbSv|G^`R7t{JyApVbCH6L3QIQQmP_*p^2;=p7oaCvU8F9aUBsF{^V zmW>K9dgKN3Pgr|o-BY$loYYtAxx?&{&p)xYx<^mH116q;9#z&J`9Bg;Y8Wf=LV-O$ z>bwKL%Y$|ZTJ(SJksF|MOSSUhLab9E<&P>s(z+|W(azlmtXB5X>8N1{BPNbM$}E$iqpvdR&)M0 zogSH4u5y?W>aeMU-4DF~y|eg(Ye}VKfEv8aCet{tR#(nLfdBoC9Wjm;8$0~;nEF_5 z>?o;6r)oX@GOUJiK_BlXIY}gsYl2yfUEjtNhRiT`cy@hh(&*9X8-aR*_Wy$4;z`9G zkI^QR+a~CoRLS2YW5j2*Is6i1AJ&s+j|U@$O_`18PKVAEsWEz4x08Ah^Ju!k%9V## zP3}}CaG>E;?1?sgs6arszSS)6Kd2jot{L@Y3+hUa`Zk`rR!S4IYkBUPq3OieGW6KEr=DBFkw6~nEbtvfsSJkspA>^Pi^R76N9v>sSVu=d-2L7+j z==DFW88xcpjRMW6$*K36QN^<#z)Buigxxc23~W5?cAkpEc4ZA8IUM>yK&IlwVro_E zNnL#RF*k}GJ<-}s;yn{PJ5~C=XWGI%Q-2$040~tnu@|)Dm+LY~gwZ1GsIr*;zr0vF zSbX^ZqYZiN*&H*S7eG#~u}mlco60zBWdd|%0?f1!Ajl`=Pe>qcJOmrpgp<^D&W@iw zQA~$TC%09Z7LuGD%P=gBs@CNQ`zar`?~7;%ISSOQnObamvzXx~X`CrKF6o$y&Rf-0N-@@do4!T5lG4xad2XKm1mxxJ zLw5NDjkVH;`RHljpW5gRT4=WDZ!C|9_U=Mlk=<5Z?3XU?XecocSl7qoO&|#m8h8m2 zpV*hA@j;l%^>=f8BGrgHT*>437*)C^lm?{|Z|yZR+0+>IL?U~>#6i;BlSW zP{9b;+pVA5_1!PhurW9CH8({F0m$0#YPh2i}((ZANG&+$v!eZ0X3vuU`Lzv z&U!m?+jTJ{ld&Dp;eX?hyGGA8zmHjK4jIWEWeZ>mfi*$1jMm4u3$ZTm6v_W%D9LYo zAf82+P1`K>c{E|e3nJM9!w8m1BA3VA5EvDL6NPtGM*`^BE*e za`)G>Loqu}ESrHvgI=OLzlO|#FZ)1-on*Z4mPt;KOFk<;X4PdS=PAoIsq4(F*10>b z*w-Xdz$!T}*KvvX7$7buZz4>!^H@`CF6EcFnmRrv&!~+n=evdMuyU-40?0i;IZpPI zEBELS3u5e&>6j>!)z+e<=V{8PsEH5XjWuQ(mBXEVDz+GQl`21(>pj$m>xflIJV)R)GuyY5Z z?E3(e^Nui?Hvuer@dA`9KO@SIJCYb{mi~S?BE^@$;SaZ8*`&UZGygZgobe>{_Ie zIZ5E71XjNyZNyF@@C%|`vWmcW2`qU>V8dwww|0}_?|?ide%IBL5oU@HsX9fcCaF9e ziOz;FXVCPlucIuHEK5oOWhrRMvbag>d`Dq(PYY!*O+BF$+Fm;qb!nMEK8X$ zaZ#;{ZWNQZ>5d13wQ(n5#{R@8f5c!j{g^P{Fk!M7<)jEkxiibMlx4B|MfKwJ2fEK$ zmdXBlC@1tgmgR3wm}x9aKbGYk%i?^A6*-Y*nb?)MXm&++g)m`57;IWo5+>ye6K033 zp}lN^Y-xR}+-)<-IPXMAGHi7pNNz9+ce@h>?XhSwl9Kvu+#HWO{@9Et6x~!M=4pQR zImMLoSAEi_VGhX?9mN=Z(41ficbGg*@~>-Wxt|RnYLRDImcCAurDiT=xw8Q;TY@sn zqJO|->&&tg^<)BEU|E)NS>`L>-rf|pn{nyVg1BV=!4&neoGQAo7iG!17JWZzg6uym zi(w&gNj=Q69A#O`o-o0duq?&BDND&M#-*BNDPK%k)Tdb%@0P@6$#a%vEz7c?4`p$F z$g=n;s4SUFDNEijEXz`srQ$Ej5}d)xGQKa%@+ZqOiDk)N?p)&}qW^^{MWX6Jk;p~r z*`S_Ogw<-1sB4Pz;8ZtL&@KH+F#9e(H(i|M914&^)V>|V0k?1+*eLo^k zt3gHj;SPl%$SQj3EEWa#>N0wM#Ju@k7B1{IBeIJ+GO~Zzg0L<_=7fjM?4q7Gt4nBD z_!slLs7DO#5)fNWkMZyRBSX84oU?FVctn?hpU+$nG4J!)(*`b_9lqf6 zIkV??37a=-Quv&C^CD)3Er^&j*Wb5u_Zh&U-i6-6!nXS@K-2CU;urUqp-+a1z$bp!$6E#-?OE-^} zE+@)+@> zV(*yKH%^wjPj$_!c+#@E(V;|b)gr|z;8n{`^cZ-~;ciusk8_@A;TXqstRUOVIwq9X z%Tq}urQ_7;pV`aWxusmlY~^i_4LV=1Cytpba4#zNggfTdu;iG1)0jv zy4Xrw9Yd#`5iRfNmZkC8YZo-Y~r;$vT5VV%W=f3aM_~fY8M!7EgeXDJO5% z+dtVhYZ~V>q>vv$Gd}6E8?R_8L`mzQS@OuqYpFY;S(nzOrEEX$HG-(}( z$q%tuQP$V}wY!M1cu?^4m!gX}ZU7duJr=DS7=m5c#G)o^dAGY=M2y9s@i+H2h%&MW zeEiwk>UtcDcVVw)7Ea<=$Qz!R*7}_dV{t-X*04V3&7b}K-LtnXtK)W5ul0DnamVZJ9&dKyY-%}Q$xQOJrl+G+b)rN9qf?XTXkTl_?GzmBw2-1=n8+; zV(s~inA4I*pSjkwNV)a9rb=`s*kzYI{dNcEzN+IRqASFMlbi-BLYFM?S*E@GgXF4Y zR7i{TmMKMlsQwl`7$)B%_xjWu&@-a|_0DusDMF_&6fM)*?2oxF8MVT-xMj+ly_%Pz z0h4VH$-QngP3f(=R3^G9ZfEB(bcplnEy+r+?!Icb*^^^Z429A#&6oE1hQ=_Vc3I5(;V1y4Vm;x36 zJYNqM?Z9FPSZoH1uA7z0SpbxSLg7zf@eNqC2aAuvVh30RfhyaW8V6hP_yj`UI z$F#FMp9#Qt0FDxi2(SR)`9`ql3>Fi?;y73=0E;I87yu~wS*kwpt$obAE-q5(Bxv%~ z5NGH0pwQl59U3|{#wV><8Wy_QJ|@WDB{p>`G+71;2LPA?!1<|=;TNg8=T`fCZFiSg z^(+AXgsOi9APa!W(_&JJE=a?Yw}V1&P*~K?PI3d4b!)TI@r1uxwP0F|_WlK_I1hk% zy`d-obk9KHHiWzfKrsMm7o}lici88b^n;MA+Sw)4K$CAk;g!GI?F9gp7p3CoJM3*5 z2DwNF#6k~tL!D&}wv1AuFwkaI~YUJt;CK`ya(zJj7!ffG1_fcq+uaH*ym>rbCDKqZ)a!MXQ;D&DJWERRfj$Tg>9wMFrN&_Fv3L|o7T>* zCT3U+(#^L@sEMJ8B` z2a6*p=4@2fqZu(NWml!@;{a$sfywPhU3>;jo&sQHH+5(Y05t%J_d%26q3Xl!> za20?Iun7GErMM;)`|h)k838~X0Fo~t!!^io0D$QLlwXsE6@fz51jujz^k1dou>JNnFFtdT+MYtgSpgQW0r(3Po&rz-z#seV^D8I0#CjHj!Wz_t%T}eb zLwB{?n3*v?hs&hm=mYjPt-@jUXJPhhQJc-SDjfp=m^Tyc0s!$LdmF#0u*qVSVlyao z1BIF0)v8;dPKTg2EmYa||eC zfx?toG1}7Kq+!`d;DWwDW&H}1+mB*Kg2LVI>d>>ZVtk5jNW&72p|a+{%pRJ54CF8Y$&Q6ZtytV;5^9i5JDEC6k9=|M-LQp zc8rgqTq?eE92DlmCVz)j-$LX44uIWgI4fqOHbFsj0%pGmGCYP1zk$USw5&8x7(6FN zn|w{_mj4x1dl13a?SjHvnigK5ErK zpJb(D8#l<`#1TXsWw1|~&ORTb3#oc@o(AdyEOaJdUOfPlH3v-RBtr)w*V)^Ay&AC3 z3p5Zo4Ad$JwuGql0j$Ua)FK*z8ixK-b%!&cmOR-9;`xH(-kN3dRiZTJqbkgP$qN=$ztrhuvD5$r$2^cFE)LQMM*)9;AsMPfRQ zm;$DJPE21D)0Mf!@zX%52%IgE2ymdfVou> zYz0y43s}ewP&@N6U@rhu+g}AW{R}V-?FLu|V2#EAW|s+A+cAIz?L|rR2zDQ^&?SH= z4S+e%2kiWDz-&K-YPet>a6e#o0MqJc0yeli zn6_&MrW=Ubc~H9_0hrApz&2k8tejwx1nUi$eg{!211w@Dn9e&2nED1}%bbNG+#*;c zU>lADR&Gp$M-DrkxFH1ACwdKM>PWV)}@fE+nQWi0MsYO0Y=4yiO3)+r+e* zn64nEr-6EM3La#a0SR14<- zbAJGsejciRa8JO}2{szAqK=?uy9rGFeuiwv31$E+bP-_6(||RK1nhhOVE4BH_Voo& z3;P|i<<1A}E>Wu@*;bHj{YbVQU}|$2F#A7<=>jsOUVwFI0csmbwjl)j9@Iu$0n_UQ zs|GA|6=2E;f*mGmjN=YR>^HSVBy5;J89>fm+XJpq8~5FayC}66`Ht=T{N6LXzz+nvBnLP}{Zy zdJFACMQDkVHc z(JlwILW12RYV%089>Ft6$2Lckc#jZRTf~{*p+}?AlM^v_(uS#z#1I~Ea6kY9&`pQ=3CM& z!4kd)Y~V=JMhEi_g4D=w5ZIE;#5#qd9j*3YVaxc;2HSJpz@a;K9tP&n>X+s&KHSp^ z#CRhvQ=N)it~IR80k6kfh#qRMT*W-lnQZv8txw4gr+5fz%}=qPNM^CZaJxNB+dw+7<9SNbhU80v zVzsVQo=<3Mg<2!eCxtGe%Y_|UN>|W>o)TAGL$`d@nG|`nhaE0P_rlLOD%Pn%1^;@e z%0sz=-Bpi#{HB;6OGsD2Ge}o-a?jeN?>O`!0V}CoK5Q?8c_H);r^kxA)0nQx>Q3uP zG|THwV|p|Q(;^E!a&gMP<5;t)oa@L8Rk@XvvzC-&G?nHJsDzybPx?@+3O7X_a&&G0SN6^i$1|$LL4NrAZyQ`Sz-yt1rnkOchx|dv_bb@RB=JhJ+8ne`>4x@_=oxi6#mjx;+oh$XNbSi zZ_KUNmq|&rpUbSx=r?$CJ(f%6s$*|i_aSp-$Dp~J5RPRrQ=UVGeJ?GAxrBd7dr5Lz z(EOy(21;o6*ZmfFp}SrH?&SBB^$HUm7Uwq-a6I9>$t2C6w7=A}RSw?DOTNnfdydTO6Sa+I=(h4lnR%(^i@Jt8%Hlqj$+!X|adg`eU z%KZ)>9XT_;sh0R?poWg&f3y%`Q``UJ>_`KeI+}@*L=gzyoDz?ES3x`g4+3bV)+!#g zXsrfBw2Bz-dXf+js#s%-7F*Pywqiw%iWRRm0aR4fcvZAigQXP}6)jq7QNEddZ+Y(p zvG)7(An)xlJ3BKwJ2N{Q?XYV7oJuFrbCJ1G62x6i%~w9EbzDn43nB73PK`{JfnpO% zkZ_zb7jLZiH&i5nbtlezx&)3QIpf*8EQcL{R3_8-neOnK5>dIHjCdK2n53Iwr_Ca3 zbjBb4@9`yoL}@=eWCE7MOfrE5@Sr!!h~APwD)o|H8*aim0L-7Rb{+aiWRN?1EPyzT ziSIZ7NHmJW8u2z%l>GxF;&l%maZrM!2mV3~S^U)-M?PZn7UiTM$l|tes4DTS8bD4a zneB24w{mN1kUSP)v0})t9v^gV1>~FI|E9itMeMp@4#kk!B-74*!mu z#!*a5Mr?^mqr&oKs)Gw?4QIk(_L;MKQ$3DLG=r4hI=n@3oM3EW!9Ah6tTm1ePqPWf z7S4?=iyPaCGdMOElCU|8KQ?o-1xqXVr3w6r zXKUflW#Ly#CIkFJ#!%fR@RK`GXViiJzcNPit%Nbi-0&d+{L6e`G0Nw|KZ+?`LGaHn zP2e9=SPOrx1z7BK&9S!2e%) zqg58+4TMO~hluhWuBb@yl|NPSR@`l~II=oTQpdZ2?i10tJ1OI>Jjn+pA zZ=lF^hSi9V|0W%Qw}rgHlqLdN!7p7eZzQJH;SJ?B0{<9~<7Z!`sGYxDG_|6qj$so1u(^TyfPE{-bNB^0Wp}kd^Z7BKGQ& zaVIZAI#Nl)dFs#Vs8W6M|AfJ)uR{F{)D48I`Ri0E<~j?3DwQ>gK$Hdek^Ar<0{HLY z6>y1X`S9;%N@MOcc`sgR2L2ol{)sI7i}1#_T%ju1_dLRHB=Dm?D}dkrt6FU&@$9F# z&Jk5Ghtoz9&+@gA>v zHriCbB80z!z>n&i0RI1~O&xno;LkybB6tu1{Le+LUP><)61BI>Z_aab~)u4viqoE<^!u!4U_I(WJ}9&l06Qn(9F-BbocnsM z=Jso{0_$bzp@X6w?7wO%0gz~X zcD3jt)HF|qN79e*@PZPUPuUPn`LrItA;{z&sghplFp_p+Oak8HKpD4R zt2jk;Zv~(sklfD-iyO%|(wEG2KRI@6+b ztNy(}{pvvddu+0ClX7)%&%|yWJ|BH5B(V5TD>9z4NxMLJmAoHbRl+MY`awe!Ima3i z$vS2+jdxUIzQ@k}4-iFN%i}mERxwotCloll3pA@=y2CUr*Qnk0p;TD&1-CUXX&-=6 zAq{62QvudWg;^S-TTdWQ#;VCKQIDC@saRUcFHKduK$4?WM=q;DGbx$`4!QY*jmPe0 zXMlm0Q*GS_Uv(D{WK_&3$m(59+k+4*KlddEV$+TUL_Kz@;&+TS1fZE}nsr&%miSz<2iUYjRh4%4g); zC$Ic=dSC)Vr6EwEy;JWV*be#P;ea?aHDANqWOL^6G6Cruljb6@x$UGYMr7Br+(xU8 zOeF3{_!i%&C#W0fZXlp_zTL)ed9VJ~;5+yx`GsFE&+*{J_INa`^h!g#Y*KAM3V)0h z!w+_*M|FIFbdik1Gmzo2n?O2)Q@@Z;!9ip~0Y1J`8y~~2X1?;mE$M4L}2h;K4ppF3~Q*LAf zxJcI2UDC&nBZ;K13s4DBBT3{zmvu-Yv+*DUjvbRS@m-r49itI11+V`07k=CR>Tgf+ zo9Wfx{&GH43kV;`N*$TENAMA80WwaDfbxrhF*y8w^*6h7?(8v z4>WDSo*(uPS<>FJcY62a*h6`P${(2IaDBzCP~Iu3I+A;VaauzbA8YIiaP4UGA_GvN&a-x8E(CT~lX+B!wCzL`;bsY7eP1^Q zX+e3y65gUuSVo6dL+IVyWsTF3oE9M-KwqqbhosGhhBvVUe&h4mk|#KJUD+$Y%_S@0 ztLu%%Z<2cIH6xevFo1CR%*x(n;cA_1C4vXObi>ChL2&j%x(#+Mw=?>G#i^r!yddT zQ?T8Xbn0%#rX)BusBF1*s>4`u4ED&aQ^Y$B4#_-6@n)Wk%j*(eE6GmCwiLqr_rK1~ z|Ikcgm$MR}0X+Wv56!%iPntf-4ZdmD?ue^&gjfYQrgN3CpOEW4#HOJ5avb1xT0GD3 z$;D0>Mp1pfH;P%4G#r17@L3{KSCw?~1pq{lkKV3ZI~cItb1`ykh?QZ`UQrWhSxx>X z4J3S3pU4CiQ!Lt!qi(PhMS(hL6?*uJy;JN_u!zE-mttkAJ#Jm|98c`jpfm72BOL4f zX-rBRk@btN&0wms#qpmtgk!;d4GkJVmm5PfvGtLkLz+Id7Abki-mOR-`ZjozxG@+P zfcN`uMd

    y5hM$I z{9Ta>e|Jlyz1LB}W>`&3O26Z*X4`UL+2~y`&$_>HR%Zd8C~Fv+kV=o3N*r})dmO;& zHsJzeK_szKMs}n;v8qlZXD21RqM%)ELD)1adVuiydjL!@CA7P}5F|-8wiZhe4_B!; zqDnnykOSb9?J?;{A#MSke}qS#JIh+I!VNwQ|Cjv<6G_LCb(UD*p5Rza6amD5VE5dc z*Ks-NSVy3n!*)!2lN~L<-i+8=hzF3`0f#n2F@WVC4`@Nsa@ZDiKBRUfbEHhX)G58| zELPZntz}m3>8rIL?Vfb>_;?mzPHESxQ#$kC34J?{Y&aP&X_>cHeZDWrVmvSRVs76^ zHeF@U!-(}vM5bP5BgHIDh=J}t4zCo6zqZmLtYl;=@uuo{5e~&dhSG+|;gt+6{DX+c zRLT^8metb>>o#J+Mhri}BSg>$L1NxZ+K9ymU8zrgOXcR{3Ns&f5#Ta9AB^$&h2OUv zAv|N6dX7ykW#>cL3cblDubq`5g$ysVv0nIaiAbZxOq+Hu=R<8K)24Op7)}Uh^!x!a zVZlLEQ1o?(2d}#Qi*>`~B7GxF9%9+DrxJE)QrxAzm;XD(=UI7|7(1qQW$ad z*YHu4TuA2h8KNkiL^FZhU#?L-hP&FD29JSG?T{gw2J<^QO|G&ql8(Bv9qA=_+4r!r zZUaRoRZ~dSy*1&;C5b48B-0pH(1kl#@8>!h2N(=7K5?o3IEKG&d*IT0SRkD(w&G$#TGCZ^zi}K`j#QUCIr|*0j?A` zaJRtnzAj`qM_xnE8xV=2lkm{><_p$HCm$X^1(1tJBrZ@={*#S-OFC~D>VzNm z1LHFUDd5?1ha-sgo``mhzzAz6;MoDBj}QlJs)X`v^uO|KZv~VU@T|Bmu{xKLDRW|{ zEGprZJS&ma;n|bleGDMT+TfH~C}q~ev(`F1n{@pcBGsA)kGud5sf*P;LFCsA2c)(j z2Iv~TyqYfA3*{wpc*q~nv3n^hf{@eOa`fDmPtTd}lihCwBK8Nq zB(q^iW+p;rTNC(X_QOUbvyv2e$QH9?CXx*yoO%yM8%clV*LFu`ZMe{Hv&zsEuEYp3 zZ5v<#v?hm2FvVGy4k*J^$%Ios1}W2vn2@{h0WMf`94TQ20$fZ1UakqVZwCx$Vck1IDuBemk&w5T5%RkNZNUW*jZo%S`-mznr3(_+)5N^ zQAD6c@da9e`*IX$f1p6CpnfDqpc#0a?#AczXVH_PGg$zVjWQAv=wRYoBG4j2IDyue z6KD}3JPDk1s}ccLokD;^IkMSr=>@wY0WZc~Mq1Hzfrq>UtCfRlSP*{}ss-fp1fFID zaUXn#_)eu;0IDk)erp$pABtdy!pOY>{=(NZ;0212D~NU#I82#5Wn{Uj6f8GPAB(W5 zblS(pwm^gp;tKWWnbXht63N#nvoH0g%^(;@a+kp=%W*$&xR@ zC11dRSs`SLLXe6fEv#pwI`|$yQr}XWGvYMT^Hv;tAsst7{+)IKJtuJVoWQ4NasQ5F zX~xZA7kfO6CdHn6X@=At{>6 zoOv2Y#GqbCAVxHv7}3?tPwt*3-;|0U^ss?q6uGv1COY+Z0ixY_79Nt1ShOqiP^+=< zxm(NhQAy^M_7Sxbm&j^VYv&>c>|QQI3^YOvSPA0<5ypARU%AT1AO>{)@Q}};7=rbi*VDF-;q(;|;#f!h7EGLc_B|1j6J?Mhc5Eqo{_PC&^aX~Q$;({V|BDykP z_!Nvhk4VMP&%k01sbS4l00UNEsMYAI`C|_X3_$!E2pU_n_=N>!mWZ>}L1Y}rf}6qHUCl+6A`Lws?7|>^301TO9Ksx~gDq0Y~#XzVe|CY|Cm%@^dVz39NhxFht>&f~eoP{c~1i;pU z*y)HK61b^BWtT&6mK{rC{neNSc$WvqqQbnS3dF1dF&i;}#VqxmR%9GSDkix=UDom|m189mRv0mWbH>WO zz9&Jk4I%n9v{ck=;eLRAf|!{rv73%2?FOk^n&z!FQ9dv3>!Y%j;g}mPl>?nhD^f%iPV^c}B;7Vz3 z2P@@}#;8yfksWF(U!iC^booCpz%1BG)Tq2zrm`9kGjoDDmhHr6*}`?i*c^kHu~N)@ zf|zNSNHF7om>JEI_)iH1Xt^0beqOtR4Ipl-Hm#UlK?OK#U7ouS#6dl%K&c+wvq+Fa9tq zn-XWoA{=HiD9(fc{5s2 zNq{_}){JdnZzFj`(}RNHzz-9((QSC6P7ZK)9+=X-&x)i^EnaBWJgsrs{N%*`F!?8M z7vO6PsU{F);@OH%j+6MXmAEf_GSZj0M}Fc(-R6aN?58W`M!(uJGDF#mOpcIW_y@%ETWt6(5+<=z-$Q&a$d(7Ovhvx6|`e&$1iKv8ApPvX<#+7&RK71 zq^cd?iIFUBioqzv4K}v(@^;c_mjr5|m$VH1CRzKQ6b~sgeh#}Q9V10w2BGIo(y|~u zSvwp)66ZyicG58Bb>7-!ZF6)KDNlHJiqwQeQ2K2<;t=A&@;c0)7Xdx zkt}Sa1vV101Rip1n30-&hjOzI{wR!?cvTv zh#B+(dR0-(ys=LsY0wJSPqOOOC8NC4hlS^jx*pGNSEWl%Bc1rBrgRE7Xp6I8uEjdksCSlXZ9AsY)Oz5nLZ zh#36`0$hav8!5o((@2K&{{o-JsdqO%frw9Nu;nm;zR~8YQ^n>ho!sm_DXrQ&(so|Bp{Y_VM3D z8W9YjD-Q!3^>6Ic$m!+`Ab44E%B*zC-rT3L(UXaH5^i_b3cB~Qd@Dg5tdiu z*b~6R#?rIGX)#=yQ=dLpN4G4PIM`o&{!RU&NiG)^l7NZ zyvC>T{Xv`s35G7qdxCwDY|r1=r?Kte1aw0Bp{*n+nw3uf;?wx_?bb*(VZiQjhK>K( zr?KBI4?5F*j{x_h0E15>ZzPb7z^75z$^i?Zdq2?1(TYZ{0$LIHG=e_zMtoyrLX94x z3j{t5%LbQo_(2UjuvhprhR!l0+R33)-FX)6ukdL^FnwfUQ%2gy|K-y-(g!ghL2Hpb zkYeDCeHz;i&A=%$k|~R!3(6b&G&I*7vELj-YASfx=z`KL29R2xPowQ5Kg2KEs%q5W zVWSJmupGGes6Gu@w)l5MtPxE=AvdUWdh@8Cy`D&BxkzTJZv+cUy-`1xRwqGcvN`bZ zDrPyIagx7@PeZo!Zv807Y%w=?QCau^$_ zKo_jAk)N>+ zEp(Rj4LrO~Qp~)u$zW*O0|Z!40REf9Oq;cUnfgoyDXv~nN8S$}frbmU3yiaL5Cj_6 zXEGQSy&MSu!xw4{gD3&KaTuhf9ET~}2M@0s>@feuWFYS&!9b3Pa(E>DCD8ERBnL2udw4bN@+bsLjG(l?(WWrd;O&8xPNawX9RaNOt#s!@02L_pFvkH`E1 z@8o{~n}f754=e^EGlvWX!>jVN(rJoG8l~QvJFxJ34aBSsX@o%|lD8!ODcT{@fnAG3 zjxfnhh+8ZyUZ3X@8s+?5?!fF_SUk~zDc;CGMLbwKrE78CQBvH(759!(L-9hUIB^F_ z-m-_{A<}73{1_?DNd2=KX|w@YHqphC=Wv+65F>MRH*g4lvyy>Yzh#>?B0`L4DGhna z6L0g!VI>I}_uoA6W^wI;?V$G}u=D~aKZB-iL*(Tk^7Mfm@?@LHh3MXhabX7?2~;<+ zH9-gCJL9XR@UZE?fE|?44n!L-V5m##x+$EqW7ITKhMeg(aD)(F5e-!A_U?CF(D*_r z_8xKzyZVR433GZt`_AW_LH*PwEux1Q$|W^aN;JkmwW82o14W??(AyVNxe>g53KG8Z z&L#|Bu}61rOlcYy&0c<;3~K?j7O1hXoOl|Ynz?H_T$YGBG(e|jai%Z0do}3p&0XVh zxi(H%9@q^TgqTy4nzA>rDVk!OuxVWsdSNk6*v?}C4AI38qhw8dyfmG$1968o)f!bW z%hA+`p5XR2yq158kfrf%{%bnpd#qHU5$d11#&8_rfWtJsycCd_d@%^- z!r~JiZP^t(sCbt_F7ed4rinMmk9IA~Q_3RKf{xn%t==C$$YH+XbJx^edU*}=VPnu< z_^=m0IAl+g?)gfdxdSTOKisG-|6OwbZk{qJQW|afK6p?%v^sRV%Lo?{+_(ofw0+(( zud#&nIbMpa^*N4j&>Lb9AZ8-X>5S4}2Qd`i>3S*8s|=Bby9S38Uzbdm;TaD3RtG5Z zL1&4NpH6F^(Bh2J0g5yTO6=vVgCfdsTEy3Z`4pUCHZZkXj3c$iuj~`5!|Q^jT~{s$ zl{9jMzvGy{`sM83#q3}DeJS%hbt3!sWBg0QCGyXu174Zq?B{K7@Sf8R_oHo*my&#W zcje`;A(eiG_g?3DH?%q*!qj|TXv2^5+s5CS0FI)Hh%>A;b6 zfT1xq9Zbd!F3}Dk0-@m~xQp)$G6xMMaXG{lQbMQ!iMyLlk`DHoJDfW}QSjg^Xong4 zB|7v$N|$A`P8yW$PjjKk+lp5p_$nw}6SRg^pB0hh5PpFV*ReO#F&Tb1?RYMU6Lm*n z@GgnASThLN&!Hi*UlCzH6^XVGu-}5_h!-uvOFznfZIS(Q2>T7jgC&XXg#88}`{nT2 z?_z8z)C^2Rcojog_G6qdeqR6ry!|i?RI}|oc<^eCEC6ENnm|=nKj3xJ*hlOzO6=$S~Ddcsd>6p+{0quBn2nHy=ZpN7S z7{QD!dk^sv1jDFF8boKT)2nBU2u#6@?VC+fkrH@C!(KmQ%&`K&j8*R@$o~gA_j>0O zRtKgt7IzdO%OH^PFPg7*+zjt!C|02oFHq{x3Y-jlA7@}@Y`}8c8LK+95DVFlW{0ZT zjNvMs+n?gNMpAkM=YZrP$Vg@9piGjm_7?o#8|jeBpx`|O{CryI6%*xrnDj>|#P3^{ zr}zIq6ZNGI(IF0}jm!%oruEB|?UVIErARQ#VOFLjN zc!n>W)cz#eGhsYCW59?vtk95fDkd?It`;_A;WwmV8d^1hQh9%*awEzmeM6$Dl%Z0g z#E|;NJxDVzY)XXr8x5RiL{Y7W|cYf{zl=ZJO(}xV()B+drl3?YmttGBl+D! z8Ak$wHGF~$x$_JOQoq8MLpF88z`(Z8d6%??+^NR0niaqpIRjn#fv|oU$`kcPhnFM# zz11xIs+_h4e{U$2->BU{QQFinUGGMKTc3bXxu{1;pLCzj&obK&6cwmMpz|hGxz*|u zPU>2HqOe&OhHHlTq}jQ3hU;kYuY&#SGK1PiMlGy-ioR*GFQ?9lsY54k4&dEk48J$w zZ-@`d62u1?znEzo9~Au|ia(uu7HyOU`8*!V2KgL;&6|cFj_eSXEL}z{&(sa^|#3P$_^I^9r8L(KFKD@2NC!|oGYYr_0?&etA zfS{xs>v3&zmOWxgfNn05Zd`@;H6r*BA@bN$?g0Sp=Eodmef%zbmroaglZaSpApcL4 z3_?pzJQ}_Y^PI#uOQE;N{ZC*rhNRou%)fuAGGx_;nt0mJl(n9$74IjJBd4tQ%ytu0 zCNgW0YI0-1=u@UIbnL9E1(?h62j)(LoO zcwZ_+B~gtz|5pNbtcrqN`@s{(D_^7<3F@*s#IO*LbC+ErC*0Ncvz(!!BV*yTxyO-% zo{-r{WCV4&*xBgm0z|kYR=tDNvO+D~XXa=?D|BU6A$5ZRLZa2h}8;R9#0D))RJ;oc@1&2Pbs1r5T2 zn^wONhw@OlbXr0K!S8;Tp^~n%<_e(pthY>!$9kX7&*G3*?l~O$S|S1`y}&Y8{-4@r z=Xc*C%)1(x+yLjd6s=-I0wc26tiM~gD1NTpLaqHVd7aNA+U?g2q!BHe&Z^MW9A$wA(Od1=ulT-M&RT@61*unq3glRF3UvV#1 zl#R1OK_=X_tW(NUHmi1J^L%cfJ!i@2ZdFty3}&#d72hX$ta3#r6)rVfw+#3hmYP<0 zdh7Yl2u>>xAGjGs^(66kHmh&=KqGz_+jcuFwIwUhR+{h#NvG*gMb?HJ^56*Hmd~%k zY%y`9-mvUGTyom!qTI)Nb?NlDwJPn3R9@S&d3%51!sB>AcpX+6F6e99zTF{ezI+r z4KY}c`#L8XfjU2ToFIp9X$TEfP?tQ)0yN7$cHYI}Yo&XE^%)_TZzDnD-Jo?^*cSKy z!&)qt7b`dF0vuOFOqR@b>Fm19?3m(TaM{}WWgkV7_6w~?v1X&r-*H7-@_CfS?3m`C z2A`kc=VpnX#mcXBeWCUws2v-wyVYI?INDIs;+_K9U+Hc&{e|6%G(eeylx2r+fnqMw z0IG6=oO0z3IH>S}kVQ6OOY@%))^IsgDpOYTDm6O#xVDnn=?uTpK3}dD26Vn9Y6GWU;MEg+72Gy4 zpz2pq8%i0&ywwkYG=e&eoyiJRO5n{LCcu6y&ZY5HzR2@dVeyQ&#?It6T%FCzLr7j< zgze@@%!ZPQ@7dJVB(4`0(OpGi4i7#E0&M)s0VWA%(yzP=6hLxYZ;i-uBWy=*LDau2Rr z@dT)pf;HCrQ|#0H)+8H*%3C_DK!k=iz6c2I5jGHXYBE$tr#|4YSl?tihWVyCbnRTM z)yTTImgbI-9-(^k#dz`F6A3X?Ud1Rom{DQva20d~P*|HS0o~_apIzK)+{2{+t<Fidd6aK^L({I#MTQ3abathLOUCqX4z&^vi#6%iTJimef;~&~DhM`Gmu5 zL{a1(lzH(JarH81nn9ZR?eI}^JZLp*egIHp`x@qAcXFWv+8 z^zLZTOCR$a*S~nBc@|1yw65S^*co48g)cPSybj-ah>qew8Djlrs zoeG}fy)0S2u%xY;?}mt?Dr{eeWhe*qtESwgNRYj<^;5Qg2pyf?7Io@-*)TFnSosmoH<5%U62+Jk?fMmm9&3~z)xM$ia$18OAv#wiPXdc9n2BXK^y5GI1ieYaRNF>=jh-( zO9#H;ub|gF(Q$xWcCpbumR^}lW9p+BtKa;G{ zV_BdVj5z12HMry>%XpC&4^h0sfgk`d=!^P z8pu?9S|vd73IAGdyPeK(o3~wWMDaXn%XBUmdBCPNV{JErLH^Dp*~r z35wfHq`4`0u=uTDH|Z| z0FxAG9Qq9>W%qk0ffQILkOFKuQxYx5L9I-I6ky9$MbL7L6u8Gpfd#A- zX#M;Zg4QP-166_op9rMDe-X4(m@^%5l@Pxn(9Wt{(rzvYzYufmr0LA}7(0*Y~K@@iwJ%dAW0NkH$D`?qrog-rE8ksL(6Z%Ha%j1F1+6T+uAtrE zFdv))ar$-P0x9qxbOUeKN(hy#QMNzGNr5uS+jRu34^vLl{s(~+c$J_nX;oLycGP)6 zFJALIpa+J#H{m}B+7Z%7B)y8zy|#k(OYPskHktu~wso@-+^__VI0YLPb%^3iF&cgk zI4m>Dh@(N9oN17qYy%OK-Mf~F_k*r&Kf!*9;(-}1C2YUM@W9+%=T#{l4|S;GG04?8 zd2PxsHe52uH65HKHHwbEL5@hOJyk`i@MwZ$W6Cew=BDJ!ppEcSKDAdYB%Ofs!+x%| z>p*o>7}nEm)YEP{puz7I>ppKGR_mHWR01Y& z<_=#aNSkUP{ePWB`(LinCrq{Fs5u+7U*kw(b7NV~tB<2H+MWf@R|~?Q__w7zx3a~d5~Zcz z`Y*omhP`WB3lgfp6VSf5gwzW=LAy~zU=YRg##6{%3y}4q;XZE5k`ir1?|R7hhGJGm zl)7O?(2FBgCT0ujE%J83=##QeYo9{?2s?;AS+!yY&j2*Sw*omh;)8H71C#T=)S`CT z0Z|y|y*>`W?csM?1lLL|4*)YQd#!||b79^L5LS@6{dZZeSN1e-d5x=u=5t7zA@z63 zIdhiIe~hwt2;M1S_ii6BnZTVAtzY75)bRw*ca#}dV1D-f5!1|*v) z#psH_%wdIWrNKSC;Ymvl*PPz0!pSOD4DVKv&Ju&?f# zkP8WmWm`4df;)rNYJtK&6#hDFQ`m2BlaNc{oF$#=Qe=OD&MCXqnDb50PHg^YE+4L0 z%$O?eFYv~6h#8Z$+W;67$Iy+fqXs1o)22?**WS33obd!Ot7 z)NE-c_Mg(^y3H^je-q>`{c$dg5mwU9M{p&LItY!>6<9M(L#X#~>aQ#_5?#t}e8fK1 zei5H#C_?*%dWkPEb-@gTiP|qt`i7xzsqM!WmJgZDb$pfyhR3&G1dW?i4k4(GQ2s_; z&FA`0pGJ}~=N&Q9{wd+zalI0u>M@W(dGF12P`*UE8;5-CyZ1G)Ujsq;CK_bSkZ@19 z4k6DmXql98=%>VYMu)!RVpl886BgY#Gy?n-nJ$(O8`(v)kal&x)-qV@ny9rO@^NCA z@g4AoTucnBcqd|mxy7)cr`*!Aw#H$CPetoXtQJle^4|g_lW}U^Sy?G4y97)Iah+W+ zfn2BL_tMvjxG+bB&gMKNRTnhZ;HB(K^HJ(DR&?vmkRw=*TeetQn}??9R`XscD(|#y z5vw0Lld~>Sc2b7=sr$ix{}Z5kZ>16zCB?3e9P4fytWzVfqu+UIv&443sA?to;x52o zjlbjoiHI8QYG27FA}Z{amiu=8O^|h<4{lea2KWCpIJATcEZHjQAs6HD`;@F=ltn@0 zVk7pR43%sd(v;vPl8cD09rAV}fLfSg4Lfdc^ zIx%qw@8XMYZhA13)F^z7wnBfE^oM5f7D8vdDy9L22=7wq&eYTQ+DGfDL&CO_Rmi`O z7E|b?2X}_vhKy)w02joNRnzy0t7K~X-A0=t#b?e6iv%4;D(LIGlqh)J z4e^t4D>uuLBraEfZN{H=GlSqK<&>UosfZwzZ?+9gr&deJg(Mb-2LRTAhC5+TQ1mmL z(*>7=$*8d(*t`i`<9^ycQ|-GCycI5)-sErR%!*$7D9k?F#77VyAKg-xEUC0EoUXy> zM#(uVZ-_qI%mjWv9m3Dz=2VK5oEO9?txfj-^mR{Xvs(N2V#?>uUi@W0d9^{ZWFtbE$42dJ?0=h5i1RVSfggfTx1Y! zrqO8MDc4@oa@BSFT^6q_z*_jOF#PMI*yY_Wi(;*0o4CJppzjJBlvPa^q5W4^lyZwl z72C{55yMfwD>#%Tt(9Vy7dZ4<1tuXQ?1p8mseoWOXzO-t%UhtO^qA5ZDjtE`X5 z{>j`K#K%)~5oN_*?@Z3e<9u0k6MB_p`xC$RJtq*m*U$1`%L zeJ@AQt^c&w-QavDeH_WH#F}sZ64*!ldhQjj>2oGcgo$NtYP@ss3b&@`e4-&JFD|?V zvn(Q9q}cfhATv{d%y~I!jR2X`ZIOAAhs+0jWLAB`9P=zoLC*+(N078d+TFEs%?pNDr9Iq$ zpG()nU@3Q2vsLfvX;p>}f;i9>Ea-)bcTh(K zcKcX5&?u;(5|6qh`+?(Vn94ZKrq*EYol`t;N3G_n-n=W85%T;2VlU4kU-apQuyY=`nmGsXxBqQF*|>4`8SUP?QGFze`!Ar>`;;9V4ZKoIv#D9q*vgxP87 zY$z9)q;8lOJOR(o86lUiI!fb5cWI_-tC!srt6K4NGRftidKUL>&4&70# z&$=Kl3&2TS!e51Zx|1W&s*r3tB!(a|Ibn8%6=q9~NDwyK!gUiWsxprw=wagsBG^`# zB`)EFStehYMH~pa2`$J2vR6}rSgtY1kD%mwz+ZKXWAtQ5^2c;YjO2QS!cd*@AxNjK z#|5kIG~IFN$IDdKS|GVrJ+oD89&n1yMZv0jLAnB32u!}{M`x941XVGn%|Y_RpscIg z&eqI=gwN}vzU|_tKyn`uiOXrwFbB2yDCB3))wR#?CraO>1{xd z%m#U&klRmc^1TX+#CQDOx-VCq_g;032v0q9&+C>G3}JS(+w@ssTePnGrm#~?)!9?m zrQac-Sxx(6Emp~ex8LI1Y}>bZgKS_9c?(jbQ3M`=5a>IGSxU4$=D8oFJu#=JU!m_f z?61RjYY#dOhuU$;?LV#MJH`z6YQ8f!fY^K?-_3SMi)fAa&`L_Az;~-|z$^&$b2U>= zJ@hFp#}IQmN6hD0V%{+esNl9hFPjppy9&K{J!#}6q>w*tDdY}EA#?Z?a_U#<9%v!3 zylEh%5D;a(57{mlvYSk~>QS}j>RmAQ|5AtPihdEHv`-3aaQgpby2ppiD97d727_;! zZY2!n7$BrW^EGGx}pibYGBFHw1F*oqm}pFWasULuVl; z$KG5^Pmk50B+To&k6d@iKihIw+Q%*JQXo>eS~4*qR)i@3v?a4c_HKesR?F6$_qv*b zyM^wtG&xYwJe%@~a&^1g!T7;%kF(PO?^f9ux|*%H*JFEw?%54)+u!kS@<|XxblQo4 zi7B&X#bN?qxWvR<2b6nKv?~PWHyh69w|i1F9|Rs_-aa^SR|@yjTCRm~ik$gK5K2$^ zt`=X?X#ktxU9btlA&0M^pgQEN)DQmuFbFhD_J~QOxD^~RQROr4x!)E@P<(n#{H!A- zTB;IH>PPY?L~NMe3!<~Rx0qA3Cd2k^6}~ms^A_gMo8449ABZKJYQRpi{~>N~yw6<& z4b-UL>xxD+RP>bvn6p8XwusS+sq;zX^Y9t#e6n}`2pXf1&FI$%TWI^$d`c~JklPkY zFa*MD`p&mVzoeIDf&oIWK_bH_d zP%!;`jHzUJFM=YK_GD&zI^JRfQhKrT6~X|CvpxW`z#X?k?qC#(_=vg2y!-Ze=m0E3 z8L>g!;jpAf(ipeOFW#pkqI6yri@Bz1bbf0PEbgrc!I${kyv2B`nh@qKL~^&unFTlz zs%=Nj1SfwCuEj}1Df%T}v3xvN!~zxDY?qhm@Z^q{tcwwuy|r|2~X4K((n}3(qj|Yyd~Nf`lxW zpo-#Uy^HRAZg;fmjaQJQf22t1fd!W*(s==_cFmd%;0TR8KL+6@RQ_!6SCrC-WKLXC zE182?$voZeFI<$L3Y6i$9Wl@%&a~rb?V?N^HCJpGj7NlaevAo4>j&I`! zBp}}kG%9VL`N^2$bO7~kzLzR)0fvNzqoNW+<8Bo~cD-TCuGt*B4q3`)*FX>Dlp*Nt z6;N-WNA^tXn7Jf0t>Io^;2-pPSSB(;&8=$Ulj5jxzf+i@P>z8qbe&rwhq}m|Cv2Q% z!(Z?=Ry6J~pEDvnvV+D$L2hYDm?M%+`}P}L##ml!dNRnvus)WjOhH3)G~;rxp%x?^ zUh;U*J%|CbL4Nu#wMO=}K2D{I-ScZ_Fd2_XiF_W_TG+iYag#W*7%c44{dn)2UGm#J zEoPd>*qJBlU}>6%MLYg!G{Ef8uOi+>#_4Abp4ZjS%&4Ei`H9?&6lB5tTq|e$ow7#K zeUFub2Zc_WnL_)yG06Km84s_zz;Xz8^up4xq6ux$=)sTMH=oj;kuF`o8emk5Ymn3ut~TXPn-3Sa9)YQ^Abf1>6F-nz!YGoL ze%`uE?a*IFLb(Ph8?_wh1k<$t1~1e^>F=$(+_kn49Z#6k#J*L#Ch8tDM{6Ba2&B~Fpw#8&b+>$fwpJNXQi17w$iyAO!$1f z7AQg~Z4^b1n#X4yD}tluEu3+<-+#t=0lj}$IgXt<#FpTO`x~wsvgi5$*gd>UM84=e z{*DS7?HRFq&bZYu;Xq!gUvvf<91=JSj!07S+Ua2w#~en#3g1ICw5x1TJj@nPk>BZ& z6FL(La$;@!T;-U(Z*Ap~*Sa(2)us)}Jo18udN%k-fejI{R^Nf~wI4Xebp2s4nvTiB zRQL%K2a@ zQ=2i}E!R|x%=UmrUS#v4P0Q!%Ww-6VquknXG!ts-LQfHvbxP)tx$P@Swp&kkicEu> z6K>$PZZW~>c{ZGlEX)^2w&9&<^ph=DPMT^9zW995rs`IoBev9%kM7Pzl|6A#5X5YD zN|>X;|M3_uNf-!c_ggQCAObQXNjC{(pG`)NxlYQU3PYE4R(ooz{w)nZ*`#g%)rAtPI@5P2hqnQDFGbubb;^o^u}S7 zNRM{CgC-OEgcvfz76?tc*-{Z#*ha<={gPLxbh#&_~Dm62h25 ze2O?WPhzwKjBHnWAhC5e?mb{;d^{uJL&3I8+jaXs=sWvYVveG zD?Re4n5CLL|KEk>r%u&^U9abANrym(6NNKx5WdIH~$IvV|aQ zrfqk6yJn<2NZe7f8F06COJ5#u#W_W04|^e-r-COOT^l*Ud*rxeLPLzH;^N3xuLAAAmE2h36GlnLz@BD!HD4M~M;7wsd$Qxs zQ}){qvikvtOsk;oJ=JrW9VeV_AHYr zbc1(BA}TNy5-f2hU|S=iF;Z2o?2=nA-45o`0x&|tKjU=&9&~EL}!CCo9V7; zna=$_krtw_7zIZUcXq12llWx@`GwzBq|j3xr$)%`xmUa7AnJ``A1OblN3P4Uxv*NK zwK%|KQZQc@2k6J=P=M2ymdpo>_mE-y#2*7a4SAn`Hj`UuisoV_H9DOC8SB9pU8RkidFUjXC4~PmpSC`h8`a+ruZ>E`B!xoL&36BS1NZO%mI@ zHDzk2Z~Jh2wqpyt02aPt|7}$=1o`e6>~w|iv&=+nM5Zm;(3Co zG@$C1=)yxPtu!NMzHU=U47~z*n)I=($}sI8TjGhhCT=WS@WWozbF>2)Jx2-Ivw|ij z4N&Oj8VZB_lIRM1%qh&T%r_26B0>_so3H0I{9(o&P$>j@PRL%}a_56_l+^r=eSg1> zp7SiAC~1J?I@Lx7DGhh(=sDK=DzA6mj~vUX7P73{7ed5v77WPS*R1=x5zu(cPydqAS6DdFsroAYecx7QqHb z$&$lgO`Zo7$woJ)!xc4q-8SLWWLej7Gpgu>DgW|#O*Xz-yWvHrm*y)Y&^eC^4CVTe zY~44F`z%L1G#MOVt52Am?rHd0dOndUGG=r^Uj^Sf6?7x$e2Uvod;aDzc?!gK z&tqxdKjjm+exah-t_}Nn;x_1pHZ*GjHpHAotxti-%htI}Ikm;$9DcSOq6H zw;hyxY3Q?&!bwHy^askA@L5qjYJ`HR$xx6dQh#<*$NU`1C#nhk!y8ZV<&!lulq8w( zCB9;Aey{IH=&^;SyrA6|F|LC+Gqu;yhFjmxQc-7Tx%Rr#xZ6LgZHZ^f4?1tBD933q z5^MZMCvUg~{5_KsT!V4JsZ1%otK6wGI?$pcgXfB4Y>PpBX~@fj=Y5ixThB}Q;Z_;}Vi?s?cVr(w?Dblg<_ z4vm#XJ)1d*Po9T8lh^Ei%(u^!F0}T|`QreOJlFjqc9$JzUY!-mA1I@#m4OZtx^vv~ zs_tSuD!;zKV~|%uz<^3N-`>B#&;^MrlVG?_V*}}MmAT9e!h*ykm3rPdlC!>9A6N_X zch?eU@^?CB#r0MR?a-B9p5hj?$G>QYr?_9Y<%;Ts%6>mCL_Da?BkOR5)$Dnj#}$np zsXCrN7el#%Zp?D~8{O4s`J~(Ek*4DrD9B0V_QxcB`}f<#=Ya~R84Z3dT;8PY^qwjuKOFtbZzh(m_k~FMy0Q!7?(`O5&V8|8O3)6M(Pp7Jr#Y+&N z9)9<2O(&88$MTl*4g=lwEX_Z97~>#VTZ!_wI;vsjB7O76&wikEPU+~LXZ8C>w6l2H zK;|N2^M_E7vz27r4BBM=sE9{YNlRpdn$DiWC+mBj#dDlz&&F4;H7v`YIX&^yAIhPk z%254Uk=mh-VOe}jJJRyeueQWvT4X(CK6({LGEsC*9PKTNZVVY5ql~|5Jqrw;ofkRZ zjL2sqn5O(F8RhiQaW;}fk*18VLnJ)R0*cDro(8*KYFc^jlpBBR36)6J(p}jSSlULf z0^JK>aAgP+B%dgFr0o9k zTx|=_McS{%_J=xGKAFdLV}U}!4{HliZmR6B{9SRYl+PEH{c~E!{qqY?<$=0U%7-YL z=}<#{(&-x?-hvDUSxeu#iaN-=8@z03&-yzzStt1wU`3*5bdcNW-q*-!1kAdl2cxc+ zB4Nx-8N>njuhDX*g`_xHRM6aQwbJP%N~iSZE;+T9%SLFqJbxNSXELSYCe&}oNp2cK z@^uHi4_~I!04A8Zs}O0*9p|`0Q=m|o{}o!uKqP>rF*FGo=U@dXYY2(sz;YRIaUn3B z;WGA4wdu4^^{u)e!k`pllq8V~b^%%#0_#-LVE*C;n+9Byhz2*nO@(FW9BwAU9&6s7 z_z=S^SW?Q^G-3c0y2PKZa3~bzw2&5J61L6HWfJ$n9ws0Nax_7}(a5fC0NT5~rMz@_ zJ=)~hojZqtWMqMU3+2_i5h`nK!UhE6QR7CKZ7@QQ3H~jd~=jeT`iNi z%I9{x14b)vQ6m!kv7i>1L;?(zH@(|bq?})mk@lo_PwYK|-@6O;9!7d!gT31?;PL zSO`PgC&3_$*YiZ`lDa&xR`zMo!r$JECx|uwcDfrd8ModQhIymSc$Gj?ft3rJr(VhRwHcO;W0KjM#|$;Mxw zQ<2RCW0f^Ts)T?FIGQa9#+jb~1nA7UF|w>uz_RBg7WGjQQik?QVA&1dF;;nnNyEbk1|+*Ldc!}to~0(fWEf3vfk+9^A@Z%KD_s_}QvbMA@d8{5>N z9%t97jYcG@899t|aEu+8<5;w`gq23HjHg{TT>%Pjmy~v?R&cES zKpq?NOhw_OlsDz7?z;Uzm;aIWC2&n0TjPm=1T>PMqQM1%`-%Zva7`jAXkFrtN;PbX z8v=E~4FW+yYYWz;XiLZ8GVU{dnC57qwuI$}-fyM9dWkl${Ludn?_N)H zLSchd;0*O>>wCQ`W2XeC53BBTZ$D<>sPl_T^Lj7?top5SfTHgPy0vx7*^}s9sQAPdBcycHo~aECU)aKi4Xgg{ z?wdMchbzQ)6xY$vF+W3fO))#vF(!#+^RGpEk9T_7=28J(2ei#F18)iJ2)o-xSuckR zDvQYZklV;DlcqtS(1dTe}7Z*C>Yfy(P(LlFSmIgFyWDaL%z+N>fidujg z=(wI}K!_TcoC+FHbj8lF<9arGp`xq)?+rA2FqTd4pZErBqfS0l#i8~CshwD6+F#6j zlRvCKZ6*j9W;B0+0hdou&taxyhfhAsf85_2hpvwQj@EFPLJD(p;W`jnqQV_l`6|@K zINa$+-M&eOJ}(?n1RpMh(*2;#CNz7$+u#GzmSlUD$Asr~D`1TQ8dRvo@Rq^8}FiaWR{{@b{WwH{!pi*`vGtN5dp8ADgkmb^y$I(U(0he)>>* z(WW>)5WCdP14YoK8k6@|nYz>@+h=&R1a&Rqra_{REYtNyCxvRYXhD0jCSg3nJp=|G zW#a#G;4UVvefJ;pKe=3NlHE{t4D%PTWEP3Wu4b*CI1Sv@TP z)|N!9#ro(9-a8obK30aZdfMVOD#wbvJXV(-`YLK&jya(N9f};UV|QrEmILkHcOw_D zd-3-UX^CGB;H;ABvR|{Csd0_evHXub+DZG7{bQfpS+aj{$dCQ_p-%RQ|9WBaIGpd+ zyD+)%m2zG2-tKg}DP6CCzWUP^Xw3;$*aI1;9;hisP@mW>TQNK%zP8t&075bgBeDC* zB;5}`7G1BFbU%tHXoi_`mh0u^3qG8POr=c$G2P#7HEV&bf4ee%((9;*(!D)t4&ei| z-}oIJp$4aZ;M|nnoY;*!X>GdW=e(=5-Ueag-WPKbySLWZxW{7S)|1A)kKOl(wu@@C z7TOtfW7R8dp(#9xVVc4Oc2iJ)(96fl;_5W;KfVk(@0vgw7R~L$NR)Mfe*vdb|8^5{ z&RTKDE|MZ#fHb9G$`)1p4FZ;om;xP~B~EinMzED!An5o*G}oD~f*M%tYj9xEp;;4> zabVd=ra<;#@S1MPi-${XCBhZB4-SI^j$jm8zU>Qi2ogK%*xeiNnYP8oOx=%ke{hp@ zSIx&@cO}8@s)*HHk=uXiRyD*iDPPDp(rzF#wa621xT^(z63sWP=2pH|^GGQHSXQGP z;+V2hr;U2RhvsGf8%7((&2vPMxDClYPA&0pv~ZOA72PvnZ3H{25Sb9{yQE9voY^H-dUTA6U!AXbo)Gu*vTKjCzC z*qbvv{YXFI4TPdLGk;*Wxy!?YwzLx(Xya(gT^fcL`2f>ic$0>a&V>Dx5SM=T0-KPg z&?J&H1#)L-1sUl%oykaF!5--qA339QWI?#(McQ}gDS0+$r#d62eo{$oS z!%Mp#&=X|X52dsEp?2(kXq;T!QZAf6*whb!S-sp+(X9DSKQmGA(<+`zXs+e}?JjgL zHbJgFK3({l-LfXgQ!DkYc&~BVIdg-nK6WJv?=ToxfuveLySIcJ3TO>UJ7Kh57C#jtRO(XU&&zNmAXn6u#ZO^UHntx#cc%KOZ_#bzU#1{> za+z@?o9+9en=y9UB${n#8%07tIe5wE`E>#HXLd)H{z)-@s!I{A3Ycr^uB+dH!~EM6 zL{u!gTWY7>LsvnyjV`2Zq+`c7iOebnkXc0+cH1bAFmydF(clgXl8d0)MmHt~4`q+h zp05PKe&0rU*J~S_S}|?Ii)kC1TCv-PUao2BBfLth9ooifw~&v}HvVlE;!&%0Kap$1 z#RpTb${otfK!$d@Oy{91UEu7a9}<&$|Bw+oqj;5>}#_44cWdY`$w zWBm1ZY0J8I$1c^tgaMZI!hq+Fw>P@;gK<3xa|!?RiHQ1(aYDXJ+}|KI8J~tLRKH7K zp;_63ef&Go%NPgVS=yHLU?22F@w;}FuyinMG`=q{dZX#U6XWE6X0$5k8_GP z32gr8ul4m|d!O zkF%9BbK*M}8LpR+HoXn!lqI8os7q-D-v*ac8!+H38T~yoy%$(F)!mF&E~LBCf4iQZ zj9~RHJD;EuZ;upu)1s+OWBgN#_0uc!G^bf(JiMCxyumA#%M}W0hfzpdeuAn({sYov z(vcHXsc&_id3V`~#g?r;zv^QCD#%4NPzb(i;}c@2iQY7;qM z-o7(DaVtLP(fdf(DqM0|J){T+h@f8ZlNOR^xn31@MR%IEKFz;MmHt-WnKuZTz4lT4 z7FUg59=2w3oL)+&spDKj>g-gqp~A*Xg&Vp%9&Bph!6MlvN7fE|4@=8QgRU4G)H78D zmsfSs{=rg;$gb7sQC-bn1-p15I%@^ka{Vl!+BKZ56qT~%t~OCPhpqOhs3l!bkbFhapoUqhqPLnZ+M6t0#J6rWM;3>$jNpJS5zcc> zU<)3kR0?>zsId&BD$qWH2E1wel`5OMNxctv`7Yr++RLen7QOAidPP1~@>iv8-oFx8 z*XBEDB4M;n+bk*TP`Bcoqf_)8XkUa&(Il!l8ZN^x&w9Oi-ho5y;@n;db;-!WuDAV! zSCFu_6XDFxd*>*Y+$aUgy2WzUD_ZtBY0c+xG}p)UisgV+&ze%`maL z)FBC3&8Wv}sVd=`_<2`-#XMSq}WM1em6l14HWZDX^SweNAzm z#pexPhgP7{L^hvmRqE}(2rt*?Gmhai$HeDKhbkTLnNyF?m}Fzm`SyvpR7g}x__TGa z<1>!ob5kP2XIwo#h3mb?TvL#hR1O>wOSj&us)TG!AKq;6B+dvD_Rs5m!L;*1>GnOS z0#c-_R9_%KQ@Z)-;J{&1>LqGJR$4}&;Sn9V#gKgC^|h-_-=#;-8+rj!Xk`CG(1u$> zIC`>J7(K5wkf+yr2eevj>8!0bA8geg%E#K%!pWG|*wAi{+}(pK1j}#h@!Ezn&%Sk} z6*R2j3dvjb7f^fjJXaRQfvtiMIBP$cQw;HldaV6bIGvqODOTz2&I;o;;n(oIsy@Tq$%wFEvpvTYbIZR9af(14F_FXY>BsQdaUSZE;?s}Kr)*TF z&r~<>IzDN4oziOF@SyAJTx0DwqQfO7M!wA<9yscBb;u*!N7)J$Bc_$zY=RX+3K& zX>A#P<+>uzTy+a4CFW`dXzfsh68;pCdJ;w@(TkJL!)IoTRj@5QdhsUs+jI}RL6ZY{ z<|=O1VZljsyr52e7OQ8w7hGG)A5J?SjE_eh4Ew<1y78BpZZrAYtn)GRE1uHbCfyC3 z>u&T*_=&rjwYU`P-1O~}pEBd?zd9$;%ojgpEhYg)XMTx&PB<$EUbZjfoidy+cYqu? z*#K#I-y2w^4h&h+o-w#+POF>4#lK4nXw}4#6!EDKj5)#qQ9_Py&^6Cn2@9*_fWrH| zS)v=e8=I}-2hyU`Lj?Ex=)U|*_P3EUAI^p*WE4LyTBLSGd z8-c~G5%_9H0Orj`V9mD(9FD+IeGz!`Xrz1#fsJhuIG_^%djunJ*>?#1CjvVSLtwop zQm#SZbVmgKjKJEB2&~$Iz^)yiKit6`hrkJAkaB-(0IukYz~!A0cn<<|wj*##3!t1l z1A#SPA@H&-)xp>F&InvF>cww;v^Nk~{}Td7v_zhlA#myh1TNY#V(B&g2n1GlM*;0E z0a&&lfmgIbp5qZXX)^LWttqgf{|bTE_CPj_fG^)_d(!v1eUHKl$`qmweT-|TMVf~tsBJ?oqImQr zD0f|mV|8DB?Y&me-_~Z3_^^X*@w$ z*A`K%?vPrJ5|pt1h+;TKP{NxdW7`%>4?No8JCC3YXoo2I_ed@G_&1*~v7bB;QS|2s zWA~ePzWcznI+)b5mY}?7=aqIQQ1=*7vOkepM!F-4>MB8*YJ;_$50jpFv_rXrpj5TT zTJ+Bdid=vi8$B3NbTNIdFt&%Fd?qNe7o?VH1SMlNqD0*#C}$i{mZ0|pq}67JV|-Qev|n-EvKLtVKKudpvEArf3(RNr)o-7Ew0%5R|HY zM3(jhrHr72dy-CaC_#BgP%7d{En5jnY6_91D?#bs18XskK@^@hK`~6mTJ$?eEoTWz z@c~51>`PGg5frcSh~lw`pe&q{uyE-$#U4^i6+t|G2+E6|D2sju zk>wIW89g0QLVh8P{YX&q(}?)<3Cgfu#FVoMN-;rsJv||K={4Cof^v(X7>^NIRuYtd z2#RJdVa%!-+QrM8Xe=u_XZ7q2ZCj%(#&kp}`HrB3^~PEZ{z|_KeYD*P%GjBRV!Vnd zd>?{R{tJ;MiJ(aPAc|xG){;H8S&P4y*w34VDC%28{JpKQ?WdeZl>wWhFsTEFmZh zNi6}h(UTJ%5Lq6PS`yA++fTkgP$~#Y(NZGIw**D)Lp1i3Fy`0>Wr;b9wK!e3-1zoa zVVE;Amii5%@KUK-zD5+)-=vl~ZIH3ezY$rANi8p(u~W)ei748Ol=yQHh4UtQ+nU)M zc9U9a&l8k#g0iqL))KddF!qq3ydx;a_eA_Z2ucAJD!eBs7YR!DNP<#BP#(?2TBKhH zV_zs)j6@bo0m|a)PGrd>jJ35vQx=6FiawSE9&!?doO*&N+}5$!D&J5jd8C#x1Vt5w zDC(^QC5fPv{fQ`1eF;k1Agm?)Izd@VQ1suBTJ|Ce{|iCMC?kxGCMfd3h$6m4Q1%j( z5z7(9aD>zn(gE#K{x_m10}0BYA&63T4^epc2#SFOP8Girl(Ph-^dCe?UP@4o5|p@y z1mz_`315k|$Sx9;Hw5L>E5cX=L2(+2wMa_{W6eY@9xt&E4o4JSHlT1!|MQh{IMcdt zI4v#Cikn$*IJWSAn_+b*(lf+oT$O<|?jx3`zHJPvA)sxNxkLBtc1@ zQRJD~Ub;>yT1@{3G%95r&N+w^J9_3POlmsP3tn;l;cz(~gZobVCNS)qzRQ>QUl`I? z84@zmcbRYBaZCMu7xz_$E$OTB^Oc)S-L#T zKd|rU1&fyjhAjx5KYDqv|FQ*3gTLwP8@6Pw|I)Cqz{S4H0_TPfboMuY13Vl_d0Ja$ z<9?|{k3)7`8E0gL1!ua9qnSBMwep#uTV7hn^xximyVZBPZPCLI+po%wSiN{zJ91v) zG>cU$BYICM8=9clAhvgHF?fF4*EWXvw-Q!r>^vTyP#dB%(>mt=_9pu$zubzI&XV)$ zbU)58iO^35sLNMI{QXTq&uGICxwzdtyQs3=dpFwkvbwo76LzxP-Z{-8d1XYo8vxuK za_K`40C>K8u62hC9^#(`L9WrVVTv=hG0(Y!#eC^Cp>ebIyPR!e+e79JZk*Us=t#Ox>szHjeuWCyV11qOiMm$@dCRsdD zpzR=>EThq_odyL}Kl*TbR^hq3#x$Hmm_nwec|kIR(J%^!oMWR5JJT^T(en=YIL{_)C;p935rF?<7f3tD>W< z`Q0QsbwV`UlzZCMGF*^)x`%#<;^BDiBkqf(O)G3vPHu{on$^3x=Xg^$+Qm5N^3$YM zoKYhL2L#>2cXc18xOYqWoO|_v%~+A@^%TWwP0u4uZt}LR>QLaIyZ^WP9mj5lWr`s6 zb5H3o#Xom4%eiCE*vu2D`mB(y*6cgME9A90Vprv$D>|xs&so3J@`4~WvRBzK#m4)b zhujx`^2=;w%J3k+XxZ%?i;@!?t+PT}wu}*U>uSZDIZn(!nJ5(oyC^010U$lsqGaZ` z)>&^_w~Xo52LOD=i8o{}74)k?4%6y>&4+CIWn>0Sc>6+oc~0CWK0G!ZE5 z0Van4g%v>I1yoTB6gb^l*oRx&PsO% z3SR?--jt~dn%Apr0O0C+1vihqE@1E6rmP3ay20Hpw60Dy`i z;MHq^LN!pR1PV`qf&r>X`Q5@-wbwdJEN>a3i~uPJ2=21Hh?%N=XO+l-{%`DFFb^1Tc3J_`@Ke@C{V)4^Vgn0Qy_tFbAQE ziO{n4cD2fu0}ly6p>uy__}GQf6^XaO0AK_F@pRBb8tB1$yx8U!00;ztf`!qtv^y3hzDKOH z3IQPRC{UOU6wW~v%lj)ORN!IqB2e{Rpm5YW>((p) z_!Y!l0%AS@3g-b}^&(L9T?=37aqFxpbD)azpr|$D#T(uMg_i*E2mo^auqa6e0L@$w z^Agx15~>jYBpvEBKpF0}I9jGFvM3pI0?h3P?cy>}_zqOv761keP)foVM^{thultQGY=nb$z_}`&|GqXdp-t94)H_0P%0o zvQ~gcl|#F@2gW)H01E-&J^<(+TKFacfX7N`n=iqd4?)cHAjK-Eq6z>skDzT{01B(2 zmxGU6>(+x->Eq!5bKYDyh+l+v{K-uV8FW&0i{K-iqAoAT8ySp`;gQXJShht_gJorn zmwmvp(#FfGvCQ}%%5FDa<_rwTb@j@MjWWC;M1RE}vfkIE7MSVo=J~MhW0F{hs;7XZ z`4M@KgonuV9UT3MTUq9C6n-UKn;@^n3k4v3TRmCVA#IwP7Xdk%8i5gz7dDilbO0x^a334$_aDinM|b>|@G4a>e@Sykg@1h1A| z7LtIs?4~UX7jW8i2e}KHwly+~@wHKxpbpU*WF>(JLoFfe<4l*WJ5rZW?f@v>Mg)Zo z^1U-(#Aj-Q1JT>%&B+VNV5vlO{c`B81H06>we<43i#;@N43Ta-y@|5Ul;D~PvSDU>N1FN?u4RlTy1Y-v+9_-M?yY1lQSi=k_C z*5@C`DoCG79I!zdX~^2f%cSI5!Fn`3Q<~h6wCeGulu`b9%D6=NhDMa1*Gq@W(4jJT z-@yZ0S%`;*C?jq2R#b+%6)59`>!?Sl3{^8rrEse7gvwyyqNEaHKta2c zA)2_wK{R)1BQ*;85cTV^Mvw1JHJaMIppOQ*F*aUC%w54QQ^=(j$G~bC!k?MWcTzLb z^z>*B9orn8+grh>hS#RDb~yY>w67&2S~7SV-BlVyRvx{!&ju1V!aBOMinkjMG5HzxP~`h+pfcH0 z+L0#k)uyt`shF+RYJnC?LU3}*Z9Q9-CLX%CnIEU1?vACdgp>$*E!qa^-8dXDF ztQY)MPZyhZ5nUvrE*3Jn=uhdwKy=Zc(Z%h0x=73(gKg?Ka*@X30?aUpFSX)-^0n!W zWxDrJZF-&jk-w~DL3BfiZ43k%47YKuqLq<}+2fFYDaJY!ebO}silfzvj=?$$IM$nc zH?yMK8Ugr7ASYUFaC{a*29#AO=VEN@pgE2Sf`c@ZD4s82t?BS!*-!Np>{r3IUz82u zZu2vMGt}`9fkfvHU_Z;>+4g%*YWBcNMW^c9uQe`z4`bUemEz)03EDf?9u`weI8S6$D3`s}gDE%Bn;EH0p3hnIsc zVw$tswXLWZa+`*_P~(I4Wul8wMQmNXPDd+fgOU1$`nphyn?f&8q-OO3ZwR!<8iWpq z2i*%OvZH{BxbX*=&P7QvQI58#xW-~)kPyDj^jqBV0#DOyoDyz5JMkRac$fsfSj#QS zhfbb%3q0Ren{Ag{H}usMW2j9p7t?PNrG4R?wS4kRq(+_t@UGWC%&h> zMk=X_9ey4yAMzOS_QHc$o`Mh%nl%*9Yq8cy7SEc6={8c(U25}vjP6c|`l10d&~}^` zNE3rGaOUjh++i9r#!LX!^wv(oxbbSMRT$pI4-?N*VH<)CQ!x6*@qFz_m}hi|nT)R! z82XhWSEOHt^c8IS^f>%Qj2iGj<%;myO7=MXg+pnFT{c7TQ3t;AU?^}x(~xor9Fh0{ zOJca^t(1p%TdsTx!*7AB)Dy%n9>0ZQ&$fjNvS%Rv+ZcOJP7HfLo3ZEQLaHUKHz-qL z84vX@`cGwx&@I5|Q%T?dXl(?Z#gAUQ)cF##&1y?3-bWC@%QAM!Ci!18fsFVLR+&%*6BGM;^QayU_+nKTEAN@Qv-V9p`zP2t~DaDwKJ7 zVwsCOM{J?}9Av#4IupmqaD!N6!ds{Y_Og*(jcyw!#lZ*G%X9=&ww}GAY$JoCW8=^p z(|%OomEp=!@DR*`ha>Fx>a~6waN@Uo8_=V7_$3yhVU#1`5li4qhRK+0Wetq_JYz8$ zM^&mqM$_4qmTXXT(ZlvVtDT48#L*p2PSLQ#F<`?vrkb7ASWPCf6FVCowEbxvBy7Bc zI+%r|GYDzcDcT!vW0`lOW!7(ynpeHDV2$OEV%Xp1w{8#aw%;(u8g?hY9mLuMJg-TA zKohh8-*pUuM^nxGscE_NO$ZIU&HLMX{wOtL!s|@KnnenRa zI=zmchGny`jPTR2EDL$n)T5b^Y&VO|Yn85!*A4CFWfBkIXbMr|2kT1sJsmdLe*34| zsp~SZXt2(5HV5}g?oc*Q0(W+w*4SEg-o2GV zf`90^Jszk4rB`C^JbCEQ^?PamTkkn z&Dc0z9G0mX$4iBxFY3n2paB7g^~%z@m9|_QPQ2aV=rEC$<6szP23_9FoP(1->TB%% zgHXhrMnn|ME<>_}RqYb^3q{=SF;NeBg?ZP@>Qv!5n@XmkNLr!_)4WAEP&}W@r}ceO zIH`{X4#QWpo#MHFE>Ja#Y+ID2xWZ}2{-tdi-{cOIt%0%-kDILnh-nIOv*~xhNLI-jhDT|F(|3=vcIt` zqwz9ma1a?cUS{p)%W)Z<-mnpGK+jv>jE-1^cR|Wz2*BEs<$>9(d2*5`J$Lnib0hb3w zE0JSPq64RRcj% z@9k9q@D0^Q)w3fkH*WkTxaTIHMF)nlNu$pCAV>K`+DG?G}2l~ET53aGaqusE{ORH*bpCvNA^{C z(C%Rf!L;t^|tv1z!}(j z>xW^zB@7+RWaSW1g_igaSq{M&6HKIF3>m!u{{Hla#YHN`#R25vJc|ocNy5QFdd zsdmROg+|wDgS~OE$>q4we@We@4W-^G+@{H?zoMo^s^P3>o?Yy;>(Ov?gm7y6sdsGd zvaHH@iJd5qr9;J-Xtueys<%<63ev2 zQyY}IU|DwKWt8_HZj?`A^6VpxmT{3LFO6OHNt19M&Rhx|QOKA#b_vO4bB-Vf7+%x9 zn-GS++pRQ-@!ev|(yMAfT@@^^fq}61FW|c=s%0$SZKp#E@r19 zUchCYKKXeS$Jpeo0Y0RPy$I(iOy$Ez=usXaA#kWkrcV+KXi*+(;YUbjIlvx0c7 z8P6p1nwUq_e5?k@q!BeUbA-fLk}EulWjQ9J`-HU_3^~Zcm2l$oEe@R0kY!~9MwaQn zZ3&amtT+)`T(SZt)B6XwGvAb}L}Y>-1asraaO9Rw64+|@3l(BvjmI!DEmdR6x#S;s z#NuHlpF6*WsXh%sCVXBD1ew%!=V1~;6<-V{mG!nL7Dh4>E~f26Z_a!MVvK+DJi=#D+J;a|rURsn0Wyqm-4!zxBc-h3|BD z2r`fiOylST##;?ZV34v0Kpo3ERpT_Ve+{T;a$CrOu5X(O?#1D+3&kT>+E${kksRp4 z+k94|f0ccBw6k_`Au27;9XeO37r z(*F$}6n&FVVh%L_Yl~~JkdHagjW6nQpn|nz-GGGO9uE-@TLJ|^&5+mB*NSV=+uhR`cm(263=HS4#0!}OL;j=1I^H@*O{5Of9e@#^&n)RvZL zYbDZRg@CVFn!Est!m|0BH$c{TMHO_MDP$dLNVN7Ae6{QK6wgB%@&tZpnr(m{tUR?j z7>-VBlxGv~!R(9UJK&p@f}uPzUGxWfWCZ|37S(x=eC9An(wHD9pMAkVP(Dk%v;65$ zU|z5q9wz$uCFl39R!W)F_L5bKE3`KKV9DnIrhz;i?t>W-9cm>C<9DhF5eUj*KZX#e z^g+rOk+LaURwjt)pBN8cPsZ> zSk@iOxEJ8TO0eyhtFcVVE|Y%Cg|l!@-GpI3By&+2+?g`=@pG=CH4aHZUEHCg)N=+* zfc#ESm*MauLtO-CT5i8@WF+$#Now>)swHg6&?&Gt64`!0<3?fHCiWIQh;gf1-sLe6 zTM)vDfgt^rsdpWjk6d%0ahd2-y{lGi!D}(8dCxMiVYnF;F$3}Kax{So{)%LMt~|Q)0C*#4yexp=t*DPj=^@_s z9!i;vQVMRvgVMuRMi23}J4rKOAXs|vADM%CutGg>3C}D&%xvzNZ_>j8Mi0_0MW}}n zs0S}b4+MhfK|9h9X%am+HKqrzkwjJ^&t#~Wtp~3ZETeSv2>KB$b3WW0I;4o_5K`p_ zU`Ta|3aN@NV@P$038`u)vqLJK)e_)Q^cu(WQ}7onq@u!!sE((wb4>+tz8CWYK#-{fH4f>lRw4bafM}vmjWT3qINi4WJ=4nYF(w}BF#^XP?G<>4jv*fK z4=ck2g2X2&6whyw=L{Cl5K32bg8}+acF3DbL3aT?$aWXybnHR}m2CNfH6X|m`&AVWBul-UjXPG$4lZaq1z zYmbx}M&czWNWT;Sgai+eB(xxMb8N8HR0}*NBz!Oo4fE`sd$C%7K#CkdV|QQ+4~D$D;aC<_4{wK4b`XrK=?Ak}X8H z!&rbhN+KX;7?cUtHdvd+khAfZt_%2|JCyo}(nyofCW zsju;}968oU%BoF$ZucP=L97k)V`9L0RMjMm2>}FiC-tYCGz5*2djg#LkW@TrpOn-i7XY59jRth z<9UXm2gpdJY-&HWgDJ--uQ4YC94`wzwJ~ODn>8@$wS>vXD6c{An$DsQ`2{;=-c{%_ zL`L|F4&DnVI#Z!#MoNG}-E_|f zC7LuDB;xSN5%yi~Jy=y zoso#yxEjm4Hd=OtEPRn6q5<9*GJy3%yi%Y*_7b}WoRr$Y{A?IW(sj`~`n0;M&Fo$4 zs~`*RkSr8#6Gnt6y(RpUvQ6ARFsI;k(RT0=PT!E(JIPPcjI0Ui_-&#O_{y3ckr=XA z*|)AwKsI>+jz2oA(0n!%*kTX7)fS*QIOSo_W&)dFnMdPguZaokm3hXEftij=e?Ccu z@?liw@O!j0mO-+^@U5_kxprn(_2pqSm~Ks;A>4s6PqFbou$&9|_{MV=E=z5`XtrQ%A=QMY2{s3&zJ zOKUm*Km|JZf1Rz}MV*^)!NDf>U}dRS{DQ{i-ABVCOLQ9qExok`EvfC`tVB9HSA2!| zdl+h|0!f2T>n-N)&iEVNiqF7c!)wH#&7Hz>hvlpp~rBb&AA%Brxe zh?KFEk>a-(pm}8|(G^B_%AT(gytn~)bj!79^Ukc)=b>^mwGmD2;mSm;31inG(MTG+ zclNX9V9r$?PBA)5>4>#crnq7fPGyj3^ z2S+%s;D+F#?&zx|4t?y(_zvvRWA&} zPBwYg7T9QYQF6a--%yhn`2PN68VQ5M!Xjrr@E5 zTQUmvF*Ui=kwwp&(2FAU7MbYL8B1^Fy}G(sSEa_;iIMEc_h1Pxm?)QQSU3$gOT`_5 zD{<62d~GH;!RY_waCZWUwP51&}h9NMGMWk)nu5OBs<2c0{CwGL0DR4Vw z$5Temdk#nIJ_y3bj;E^OhcVh0b_5$1@`@RM53NL%BoqJa2g2Z{Hb^1vm;-UA*H_t$ zVA3|kh1L=t>dr#LBwy2;=R%m4aWz*C1Y_@_an`USukoz==Nhv2Mkp=+BYxUI6TUaeM+~I2!pCCJm)-V~bMW zh0@44>Oem#&#Z3K1`9A3R#!mMddV%jq=LdQt;eDhMA0GO2}BE@JHM}6*H~eTo9dF+ z!b4DZDTkvtbr~qro2|?cip)(^W+JUjufb@cyKD)g$_Z5ET?7(Qp<~%0d~$LYb*xp%TVIA(VwQQ_(^pEDN1xTPSb|xx8R5OZNKG zi*!%t&O!B9Q3dis#yzXs*dYe=){q-t&q`2Lw`q$7kY-~Fhyl`4PZk}HJ)uLu zyW@G7oX;cc+*6b=i6}D?C7x_j59f|_4o;Kv`&cpx>J%^)8Pz=o$V@o?mrhAlS(4sA z8hZbE_Bm1^455CyoypuH9YV>n=N?D|T@CXR1U%^bit3;~;HVXdMF}`&~oiC2pqHdIUUG^RzJgI4NhoRCiH|ja;*z1$nN8|AE@7%yrK&pxk*?ZNBP92?-7zo}^b`drq;Q+2klkE)75Bf{&LI2WvfFEvbyFOb ziy`sNgcSVtWIOe5S^QS~?NStf3;s1tb0)bx^+o=*_^BLw{xivKAT(fk5>8fim>@CD z5j?LKq0r)Ng2b8_^?!q6@QBvVvG$(a9=G~bLn7(8g`$G0nN4I0s-7o=hX{!jxF$u} zmqGrc&@T_R`zmrnn$FYv2ZB^pQT7?r?1fW@E?4u%!oR}(YJT+;K0O&4^o>Jr)KxXD ztGWU$F)pd$B8$z^g745oZWIzTvD@M{0QF!%5saV*NE}$X!CXZz$%EObNsGx8&}{^& zAUP-45k~EplkKR4o6E_vwdC7yoJ3@%?}YY#IbXwcb^GUsU~9=huU57s{;nAucOIa(%xSZ0u!Ic*2LQeuIJGidvhMpsdQ*zFnY zSPI*KK-o05;tE;a_r8p?BFrqRdq06ow*?l@<^z+GPP|7UA|g zU@BE}LS}?zFtrA%mWVO0sV@TMX}ILr+s*yYGxOU8yq}RtOh}4e0w6m@;(5PVAi{!6 zV74k=^wa^+H*F4OVK1bx?Gfme37gXe=dWCU`&Xv@S;K&H{6pCb9~g$a4K1UqOb{idf`s1=CV<#*+=s3(%2@%#($o zZyW=#zyS4N>Jr4@yPAs;Hiamm9g6Y(zlm{vO9L@p{6)kVh+ZWp$PP|_aE z#aQ)C$HiEXViLngk|+d+>$3v(_Cp@(8y+7zjNaMyiAsP-%{+wwC>&?8Q%k3d386Yhu-;h1IysHqu zAxr0N1+NO*jAf=VTeW*Ij@jcN%M}^E(G;*ai@fH;eklk4(M%(nevoKtIBH7mX9_+e z6snyNB2=FUV$##v@m;ndYEL{RUxMFOO{xQ!$hrKVrYm!-r5g5U>MjOLve z1FvH7Gj~TnKPE;~caS+z)FIHD$yZft`-51s%Hd-i$PNS@J?l6b{tZdHLjE-#+6n&# z>s#LDk`IV{ZSG20m8kPPN1*VjwD7cRK*30=fMJujq5@@8W9`XZSz%_;B<$mTw;{i~ z*wkaksTvZQPz^*>4T+q^u+DcoP2@(Pw0TMq#!97a zw-7knp=%C5AWibD$k^&Wq)8^Z4JgwN00l?~q~gC4@c_yC0kpj{cDqi(ile%jSteum zaCC$@$gPoOnWrnNQRFxZi4i&e!9C8~rGsc3nF8_IN?dnOG1E4hAFOsFjHQl!dLQu)jv2t0ruE z^l$}HNwm%Nw}pX7E_J^^VhDTIz_B@=?3%uSosy0m_yWoDZDe=+=Ji}^_{uWfThZk5 z@JBEY6yvgWzaFmSI5|m<>k2lu9ymJY}#I6!v8V z3Zk*Qlbns@8Wo0M*E0$f|4F0X`8y7S0ia!(DGoX@{2+GF+und0Ic)$GcgCy2aOxmy zjpl+6cVX&4-Txx_2o$NQgXY8rCK!6UZYrd#BHf<>ktk;~?+f2M+!hOzHsE0q3PbHY z=zU$jXRvt@1lt>dl4)#RDdyeOy+Kq6LkKqzL&4zNW-!XL1++`Yw|TYcxQb`E0T13B z(*Q)rK@u<*#Bwl;qHbYPmjgvT2QNu%a=9(z&hZ~t-2wcP-S7}xG`TZ91$`PZb`%!G zxL|;|5F<~9eKqCTR>vE z(2Bh?(VuW}BU17m)4^p&&O;;Rqnf$Uv2a>YVN!iZrw;kkwLn%cy;V`E`= z{uvadIf!7SDLLzsVX;4WoRGw5)MEd;9x`YMPc9#WXpb!Rhx-2xQDQnbv#F8;&2!*g z)##ionLzms$D~mt>g$G{j9f4Y?eH_46Tdl?=SepD<=CAvN5US*QW-Sx-^l?V9EIO zO9-~fB3aI^cD0aaGRV6@3LL*Y9_~o zgwQopIk(f(S^6%pB_FNe>{T9aVvsDRG@boz1bhkA-3c~oFFyJaLPK(#*{^@`fon;k z0XJ&@`m+FjkZ<3L?zaUM(2dY&i<_4zQ&-Veqz@nxINU)QbZtJNocg^QM`&Wy25b}F z%0frdrA#8neMNcJq7sWnMG_~Y&Ni~L>Y;?MNy*tp)pg(XcsgVvX?2^=EYci2Gi-}z z1+gy-b6}>vA^JjFVhmcMkZp-Pswx?AEPInubj_PGrK@ zVZ1oK#YH52$d*N#`l>7~hNx}gcrO+w zw!l4LX@6DY9r(cr3R=3o5)Ry zMy89f{puq&3G&&cny?Dd1KomiejqX&LDLmcB9nGx`_%_TN_hv2!I|uQeW9|~b8x@l zc!v4d-hZOvRmgnyceLV*#c723YKr+%t^+cE5t*llo0BQ19xYLiyGcFtBq^`=KS(qk ziSoW@4L2uqNPArb??vvc4PynAfsdgCMwSFFUwuLeGEf2oZsD@SwtZshAJwK5c+r{p zwRIaB_V1|0$T%E#u9eU$+on^q{%I$t^Y$dGSw4GUgeh;Id$G?`My|6}gvG_k;&3y$ zJe{M;;k_Q^^4JV=WjD|79R+5LTKYYxw6}*tIWAinXP1EGRIaL(3S;IOL8uYAxB! zwPp5NT!$$Utq8iTlMc#dk_S(J91Ti~B%A)C#xWBURsI3!m`Yng$3)MtL)zX0d7RF` zBi0oLQTE|Zyd;K9@;GLKCki=-^ADv7NyZ6o<%j1OZJz=R(Mg)D1p!F&9?0rg0Q>FO z)Ax9)*34f4Lg2O&XSz+kZUVb3M%+Gp4zGP4ma0X0Pba_RC?F&Fx*7Ql&-V$i@Ao)I z%~;(($qOYdL#uPgGm;+u5%^hm>oUVn{zk&jW8~+i89$=1S*V2)!jA*+Lkp2VCJYhsY!zBr8K zhJRII7sd;Rhv+v`H_Nf5y#F!f{g6Q;(=ort=PDtC))A*;@0Qrh>t>LugZM4GBOXT- z8NStQI(ECqJfIy*DG>@|!2;NuJkUkL zP*-=`j?@c~dK^t1)&z$fL<^m&taGsV6_ZhN^68>rkeP$+-yMYN;=BR~UzUT#ukb^p z%cBlx{ul^u*o7Nj^)l&cy_jl_A_uRj9!VmF5+u9xPO1LGpOU3FB9{ zc#N-zLWZ%l`eNf+cpv*7wHm=n{G=YNL|%-@OEt^O_wTv<9$ry3cYvfBOyV&y(0I}; zZ`e-cM2vOwhL{GK|D3?lJEMB*WmEn45?4ti>YZw)-lBuILrFn5kap&Veigh&;Av!m z1X5s^(!nX~XUnt{wRA*sqNyQh1_5Pd^y4G;5&yjekb+6({=0WN`MO!Ko0W>Gp?tIk zwK+17=`+f@y+dujL~SOpeRS_#)TSPJQ97{974f89EloscY%KFj4=J@CB1)WQrd`RQq7zgBYB#zcEU`=|5mmT(!DiKiqR)yh zT6h)y!YC^10x8`Mld5^QztYmVz^#Wnd_p0-S(45-y1v?po zkB#sUrPCqb|90tR&Wrh2e@l2c;$GT%qu!4%I@n^t1keR8y&M2V*IRl~Q`mM0w9th8 z?@KRzU|OY@u$Nv6rWS#&MSb8QH(oUbnE%_Qmy902pmpXz+9=Wpy8`Rw@q!Ppor(oP zU^ZNO*|`gHcbi#DFM0}l9s-ro*#Elpa_=@US%2we$~f79cN(~24D2jOL`NMV&%5_ zY+8Dm)cmHt8k%b6RBb=SXIL=Jye@5?B8MhBvRm_0^29*tdX*}fX@&cbz7rH+t*gvx z-GB5i)N1!PP^g^4YM#ITKv*>)u}15j!APecJRD0|?LX*926~tQeIx^t+nQ)<^FjRu zQkVdeiA?46W#|!jT-lcdI(PfO?%Oxb&cMCX1%fitheBX+GxOVAp`XUtneYOmi=QX@)g_|dE_y;vASR1owdOZmqt zkk25Z)?-L#00G!waM#s9}%ynFL ziaZ4I|-JxdTdP@1MHI)6wYmm=WqT>LTjxV-&2(^`9m4dS@9oO_+hOdUg z!?BbVAC>j`oJkY;FEP;E4-@wQx~Max$Vo1NMDtvK)|$R#B#C)&O`m7cU`>B?Gvpz5 z81kTk#o~GcOW`J`AyfgZp>~n3kNP3XMxhjrP`0r2#U01>b_aM7N`m2tFqx**Y(y`Z zXSHjog_RUr9uLlb;qdx3n+IP4Wt>=41v|!gFbyd#!c#RDE2=%k_%-5pa4`Vn{f&;D zVIZov+z~Zv|3_%D2{3*CV!(Z2xZGjfy}7jo^oB#(C#R;|C10Se!4WG1_wwPVeV;U% z$uO_3^e<$NMvYzg-AkaR!u8keYjmo@)I}uEazX;6z6w`nkt23tiGiqH>ISDK>@I2r zcwMH)kb{t0_wxs zL%V1@vX?;ED==fPWELKZCXYcfYBqa|{z3OqQ%I~#$+2lq;63j!JVe#31&NHia(s0N zg*D=miAnyFS@?~LJOwL|wS`QHCC(N9?PE9IuM@DXs4&Bt+|SfbrB5)xyxB_#`=Cv` zG|Bnm&)?p`U7D_VoP5fRDU5EEvk#+g(y`72S~qk+YS=-RY!4!%sRh7jvBK@RC4SXu z`s{5l^Vu8hz?hN_9+c9?{x*{(DZ2XtkVWZ8p`39Hz1s-_I)h;(boLQsBp(^kn=?{8 zeGI%7;aPq1Q^<%(W1+K;BGP#ZiSd}cC1)}65Mu_5w}@quGwX4sOq+(((pV-b-qHLD zP2@kTz0;YHpu2!B*lX&|G-S`6*Kt{D-L6uSol5xwq|+#ISLvwL{ZBL5sSB3B0lvpk zkIE&<(wVvoxrFZ{h|?d6XbV-{C5pjgv*dBt8O0RN?hd`F zycA`U4qy}m^l@#3gNo4>u@rN`&hvCbBK`1HaYG_Ik%*>_$VuT5P1B(uO5z_%#-fF@ zdm#^c3fKLEi{!T->g=n>qI=Xez2cq=6WAFftk!F6a`2Vr?-EmD_{r|xLQAC3S z3ofE{)d@K3VnoF{anw?^7#yfLz&$I0K*7-ptyI%_Zjs+VAtn?|HqRTgiQ&&pw~C&#hK>1NE%#Qt8YBW8HP{Dx4J9jPPgM zp4U~ZL)8!`hB6+V16uI~9!}$pvq>_WL)4G?7l(?G=i-}6kw=R@m0H^ROSw^0Zo_9I z@UxOG{zuePy>af9&exkmQ8vhoe!dDEV|7z)+8l^s%!9#nnn6_g-lr5KnMa9EfO(Lt zxKw3-^%c&E_hzujG8QsL$)*oB5*?a=cT3}@X2Gaw-Q*g@;`J*E>b8~=Jvee70+cqncgcV!4ewtq3Au-95zD<17*3ZDQGWssS| z=U3h%g$?9dHAQV^y*bl-=>T=i2ZIoB7n5ub+QH-Zm*9*7+JH$rf3MaC_?{+Jci>T} z>Rr_a)LQIIiY?$Q|Ku16qCSO(a*>%J5v!h&Aa-OJ`3=tUPp(lR-ASR4$?_*Y+e$xC z>*%(DPxZbu9pe^H;%I4FKT=o`k63kVjFGdSOxOeb;9p|b@aXG&)UV)#?Z(bhBs+Lm zVWShy(u7%P^(vNpzJzuTZK|jKDNKeWk|9boi5S9p-*?z4yrAY)-w{_znyi}gF-ErEJHRI!930%Se&bLw(S0IpzxoTDZ*gpn zk2BQzxh16alY7n!l_7Erhj7dpW9nlE4q+BqatIZcN-7I8Dr`-y#4BD^tKYZ zePue5qdvoCwS;>i1C7lh?yF0)!N1?G;|@W;oU@vUt-`~}eWqDo?A^E2rVH%yxJkB` z!zphI$CvK<)0FG0X8+Kfck3fR{7Rn!_*fGTks=T6;kFi^xsEguJd5UbJmwok5@!kx zN1wxsPIU4xxb{xd5JGn*m(3?pp;n7c-CO1Ru~ZF;i|~lxC3{tOOKEi?_o|aiYi4qO6 z{St)~^l%zw=6z)Y9obm$emcDWRkJ}6XJ|W_bGJyCXNyIX$#jUFouNax{`&AJ-7b)W z+XXaP#)G+8>>z77v(OSV)2Uo&6OPjpa+hH{=ku(5;DZf9A~`djVsnMPU1)!T(;JdUMnQhUW63aETB-O;I5?>(w#Q1v*)%#h zxyD`7rO|fuV%JkIR`i!o8L6uHj#Qm0`fH85cEnt?)!mMmEWbDVHVNWRf_N-7v;3@N zT5}h!Aavkr+urQERH%bc$YlAAO+KXlb#V#tZopZ7;)XT!(nZ{` zllU_kA~ur@L83{-8C%D??U}8D5Ee0QwHQoVM93$obQ5AHdn{+iV7XK{OVDNuQCcWc zsynqaPE)*UD2GqP!Q|??=Wq>#_UL*FBpOU+{EmZ*7)-AApq+ZxYeCekic(_Gzy|B1xD^V}p=%YWC z?yXH4MSSysV$Yl8%!~ws#{bm|Q?`6WIZJ;ZkBEl<)7Zq~GM(Cs_8n=mjUwh5*+xq| z)vt}V5u14nf3~=vOM+_1^qu97Ii6%eW5=u3!-Q%buLk~a(JgQ*V}%BqI$jSG8I&J< z3%v7~zUI7J`6pEwNz1uCBIY#-94i#!yfC%bP5dsuf`_sbw@o2(>n!?d03L3MoEKX8 z7w1#6DPeG*F<>se%QM*eH8Eg@$m3iZ=il=^ z7|;TGr90or-gbk=neJ45GieKm;O>F^m3DKQ&}m@xMLe)ZCe2sph4LCIFaH|ldr-y9 zmXx2%%4f3j&y3~W&$9dQ-hYDo@Pyrcl@)ZEor+FyJ{$c7-Er5)5?%IeH(r#WA?#o> zQ~QNTY8xZvNmuK+x26rEXssJruCGU z8?x|I1oMacEK}E^pnDUT!HKTm3zl&!In%C8BnEv*PALOs3ya6q7?gz*gH$}6Bn(n2 zi9sPTh~$ht?aF1;!C+>H5Ptv0fbLYu*|dtnl{5Cs5xZ$xk4Y)4`sDC8O6ml|GUv4l zGxNA1<;zo~Nh_F2=-f};y@NCLKxS&eY7LC0R{c3ki>Rz@gWAG2%dQK4Lzh|FQTOQ8 z{;t$LVsRhL$GzuaW`^Trh7s6X#pc=cN#gD!a{r%ivy3=+iMm8|t5s!Y zU1Wa#GK9E*&al2)21!=#$z|q)@2C(hJ)sc3;vzOF2ba=0dfhENl-+umW+xK1t*4*9 z#KY|^rT*bjUpq8sml-E)W7CkU=v7)C*D3_`$rgm->Gz_phmLlWN$EGeKtD11QX;L0 zZWOdIQ()it>O{7UURwpkN8H9uv*(sA%Ez_uWwvaQ9Kfzk*g=J4YNO-C)-G}4C>k17 zdUu1}q6@ypG@RtyZHhz8@Oh-8nl{)n!xuVF*l&$_Z{b6EDYntHjAuKo;o$lhLbmDZ>p=XUt zNy=B~K^3koqRp_=_|rIPP3zHXCUIV#u_u#aImS(uGvOetw`wna*HmPc#IfpWWQF<9 zye$m+ArDPvP&vhwvX_}uUWwt9LEJGnfs0BwyCz#xh8FDFi?eIi%@aiD5FT!27fr$3 zU=Cf{>PD**l}Qv3qDK>>i2<9r)*TQ#2-*MkvDeQ#k%!}`v#uB~>3J7y?_Q1%lM8oE z2zypXI=*Tl)5s=KzL9?EbZ)l)gnPDEbg*PdYC=cS{mP(OPsGam9MPznSAADCg z#kO`=?C#AjqR;)}49}z#REn~%n}U@X_$Axw6NMC_@JjR)dW(}%8PtJ;mI}~g1br() zMIWB}f!UZj#+kEmX;UT9|4}GpvT@v;GO}?Vsa4+5WaEe<$H>Os^vFEKbt6x08E51i zX5p@<1A=9j{-lr0(&3Po_n(_SBx^XfllHh&w%TB9V}#YOfBm{Hn*x>C++w~P+1x`G#2&aK+rMSW%Y)07!@+X+1XkMt{B{N@w5a3sl`PT;7%1fG5#;LN84 zR_!KmIf36aWNg_y$A=wA!IoB};64KHZwxU!wzRo%%Qbf#fmabY zWAxa^^Bf0t1Xw=&lb?>ya5zNZnf3s;IznRPe@fuI=eK8G^qxoxj_pL0=Mv=;hY5W5 z9>lOnZ&P&3HUBdLza`3sDMWc_X9BM$%FZbS4roGRTqeo|pA*=vF2Je)qCCC}z`8?3 zIjQH`zkjkVCU8h0fiorn{MB{>CoLlIxvoU{27#BKCd!LG0NC#-f!}^X;NQMm9(d7t z6@lk=Bk*eid!HfjKLplS5;#FaaZ+4gN58LfAjfscRspZ>Xia5jxs*fqsP!I1)7O@Y z?3hMH{93RgFCEAuhV$+=XJA8C#MhOANAq1sk2RC5cL_yisG{#|@#xBmEO8_*hKue^ z&venvW<|z!XYsb3xLDt2MUrMR!3MA*s-{##b(I8@uV+OxJ*bFwFDufr9tjpTn~GSB zWJQ9S4oy2gqu@6xqT9`iOzufV><%$qf`lS-SP@@V8Fod?Vh>mmpWakNbDD7}WJNXwGF`ruUwHYG?fYh= zOYLGRl37PVapK#D1XE?QBF*c^-@N6zVF4AO)tVqRDrc0Xq0_ydX7Q`j;sY=sc zM*s6u$z;KuWU@w^FA{nYwScPZ!NtxTxEbB|QUzx_JZz4f0#;*KI`LG{3ps zJQmLD=Iu9a&Z2G}V@GyVj~w^OxNbeW_U`Iz{P&=7-rdFpE?GEjMz~n{6*dT7S5aEKYQVn=`*Iyp69oC#uWeVu3e|q^ecop6hG}nX?>scr7H)l zsO2mhRBkED$7XbUkD|l7hSy73m+@pm;Nf+j57sO@)O%dEP3x!EH@@?pX%)BZ@tJeLCT2F3K8~9r}J|c4F)D)7R!4pK8@= z~7W?Ux<9^4*`oIvdRsnan3&<_`t5W67jR2^KB!pX+j%TBcE3r25`2{rb$@ z)|MGBvk{sB(@xwZY!`DGWEst2Qy@h#qlPG_K$vF zOJH%_C;iLk9|$bcvmQSF?u@`24 zP)}e{GWEsVPXYxN5e*OhJ-VAwi%mZ1!7CjF76-B(zWeQ>kwuFM%6MO+7HyJOs$RGX zEIO^dCO<%0=&V;Iw_lawwd&Z+RmUS%o!r0b^!Zikf37;CSX117%^zNC?#*2DXT+KZ z`~PyU<>)y*XK0759TWPw-xxor$YR)Gn}_wiAAjn-O#AK8&{Oj9Ywhz}#7+#*K9TjD zCSR=R;M%FQpL^WrgYHMZ(r* zvHjei70QY%IzF~8t?wP!+kKg~&e?8xS(f^PYwLv0Xw)dIxLv#26DE$ZOFR~%vYqeZ z;ZQO)RC6`k(l23sozQ(v8-@AxY*)L<900DzsC9MG=z zmQ^F{4*m^5xr;}_T~N4i-ZF06#yXyZod6ivuJ-0H0r&@iy#SO0a1VfALE#tx>)aqi z@Cdt(l>k%%;66Rndu@(oT zfQJOw0D!|*)%flJ1b{*j0KWk6DeN%Jt6lBu-+)C+P#6HfYEXCwK&|iUgxa?QU_`sx z_FDny4hpjX$e$kS90N5UepkoyUVE?@4HgNogEuJbarJN*G9%PG`WH)m$Ib9X$3~Wq z#)HB^0LFvDL06BY2>>Jm5U?2(2=FNYiD2K zGbpS9Amk!^@qHc7wq0SU$*|-}P*@DWLjbN53jhKDxYrddz5sZc+cY~T!05}7{8UWq{@Sa%Yg2h&_aD@!h0k{Cbw*a*3?vdc_AL@M|*D`J@0QUfR zGy{NK0Ac`e?e3A}55QGWI0(Ru?g01$a2Z1G1fV}CtOg+D5?E{pi(X(c7l0d}@FM{J zpl}(0H~@wLu&)=?oCh^;fx-y@76NdeSO9PkfEm5PVm>I`28A>LRs!%6fM=I1rRb{&X zb@TeHP|eA!sJweoyS*BPwb}>@El1iV4%nvhS<}NK$$fUH=IdV(ll$s~h7UvS-V6$z zM%sP#**2AJ>mD8n{3x;YUzdIy=<4926dc!aV>U z0nnrm01H5&3jhTGB>rZpAG^O!=(SOxunTtR55P)L_#1!<$nXq+H~Z_HwHw**DUp+hw6CRe+F+Jftp_ca0)Uk1&b#D+ymfO0FHeIUmR^$ z`_m}^oB<#f0M9u{gV!zNnjWs>`RT+)mKReY8b_#1$70PaGD3%?^31Muk-2>COF{1P&}1&h}JyaeDG01uBs z$SDx=3@Aj7w6kuoT{XUKFOMW|gj{rirM~Z8MDQ5`cFAya!-yp{1YdB$D|;Xi)+!egWWn z0J`;tv*w3tt`#Cz1CYH4mi!YTR{%AW0q_SP4}il(u)}G{uoO}K1T2aGI1RuG0A2y` zx(I17z0O(Zm9Rqv?C=0~$OqsM0FwhloilD*>er>iP^;kXm&j3%Vd`4|oB&{9V5s+l z+m>-R)9ZL%TZOQH3kt75;W+?*0+0_1Np~#u3(ufY3r6ez9x_w{@D6}C0K5qd)r4rA zJ(31Fqp5A{faKH27Exzo4SB3|kUUgx=@+TjATkLy7qIcY0qfYDVD+sbl|om?Gh3%e zvIR^N;s@ASf=vbNpbKE1Is*2KEvPN|N)NAP0(Ob0%_63AiD_@Z)Xl+kPJO^;M1W}q z!5$E`H-Om&1J-#Q!9F6{8WXj3pf)xeu;i(L`MQ8wGQlR2Y+V5B^ntF<*`)Q5?IFQl z1D3D`u<-_hEdcCVAIP?@IaHYO4as&Muzk}2%O}_)zz!}2Y;!+Qd(;9WVALQbxjS{^p8)!g@mpb zVC1;zP-OKi)MEO_cvn#9xWPO#fI&r23*@$6YAc#1T1x{;_2=C_HQr_3gL7<{kEe6#fy{^dcxk&wv)W zDCjd21v~cy>scgBDhghkNM7gyj@N+KF9cqb4t$b<7%d=1CkZwg^w)I-{ZGFK*;7RJ z9+7=XurG=18NjYj0kyh4K+S#|s5QI<*w_Am4ebutKBD#;QJW2F9eaY>F~H&i2$oL6 zAaTNPP3#s-gQ?kGtkBZXWHb3RB97e#Keylx&Q6zvm%Mk=MM<>J|8*qEa)WUrO z9XCS*ah^BnEL|9>WMAFP;;bajZ7Vtz-U9{AE+j^Awvt z>?);$GCI}q@sU_OgVY(IgO`hO?*${^en{nwdp3d^HpK@SEM!Y@k9s|e&RYBg<9*+m z;xmXD(xD5SnjRW;10Qt4tBK9}6=67^#&aJfOcYEnwdCl+k(7Rm8yW!3-kn5)~ zK9mVdvKC`WZm(-zdmg=%Y2Yw#zmGi~Y(>pV-AOZDBxy$RYpz*HeI`W#abllI6v0_u`#_MJg{SUFO<3{mYRl#j+@O}8$kLo^^X+te=IsM_MEC`{URT1ptLw7N&FMbz9rL^id%{#AgIFy>}ZkZ{Ae?_$(Ynj7n`+;%> zrxwJs?rSdI3H5Bkbs+I_dGVT76EAE=3v==A7L$02Mp&?LqRmiM;??_i@t)_AcyeZS zGL2|;h_{}O;7hERE2ofCb|LW$|1F+nhnD8zm3j0e>)DfdFaJmJ{#I>A88xlWD%ln` ziX9<^dD9kgiFk%gCY~#aN8d85a&8UQTW4=B-pe==PvJn~x#RI))|30eSkrsYwwrijt=-i}BK zm0~Zshl?!Xotn9>=68ZytG&x0o9Z@@O~rTVp|M4jswRAE+B13HEsYw^s6BYd58xqw z?y|z>Y!kGFgMB_hUKAx&J=+F|dL2>SN0OR6HMu$dJ+DE&SRV&BjRI{M1_AX=> z>A*f`QSIF`mOyHLca``_%URmk<66_J6LL-UAYy1LIntSoo++&dq35YiOdibLx%nX@ zn#mX@aP`1_N;qzw%dlUBBw(!l9t{s8OS!SniwNgIjm}9A)x1I`X!Y4ulN4T`Fe%De zIME`#SR3=k_@R0&%q}!lub4rsda*p6$W<@*qpIdANRjvHVv`gL1Sv8oL^Ajs6gJ)g z%6;L&Dc6B1CwS;EryN>~$f1y8seO@2ig-Z^7YN{Xf|EklmQ3vT8E@iYCgn0|4~3|l zAv&@W`-eaK)nthC0yc@?sH2CYaj|iPjpMP3;f$_~uxcKwNhWMEkM-iPIv%Ui z6IRb-BRFg(j}=>k6PC;aex!RY)7?)N)q)I~J%u-DH~ltJBZfjgRcg>Vu??}qeHI^E z&H{QXq1jPNV~%wnMX?pxgo~{PjS?xgHaq}%4eg{5TbA@t=j1GmekO9U6)_@-B0Pgd z_+k7@G=J1MimknTY~>1?^(D;=OfzBUDq_pKE2M~;z{Qq_AVmemRunHq35%`SyeWDz z*#Xt^u(h0V($Ju~i^QF_5HS2PDzJveWV(Q*4cy$i>$AktXHjM>*w$*b145 z*pl_Ncy-00aRRSsi4eZCiNz~UQCZ9Nggwv}p>AlTrSO!n8^xNZau%L!PmnP@4Odxs zGIpMf-9esRMHY(~4Y7;-2V#mEwcJST4iP&|V`7&qVKHKy_3-QIkfv&io`5F5?eJn zN|BgHkr+f9drXn&Zaom_96n-P1avB)wLH4brzG-RUgYUah|Fyy!~;$UWTP1}+)a@! z5qSnf>$Vg6A%_-3&gDh+6NE@2A=r1DOd@Nnr$XdBUgQM=I*rg7)kL`Hic#Z7)Bc3H&EnRXb9`Y-QK>`G1S!X@m2T&S_tF?KIFc4C8P z@d2Z|fm#`FMi=|9e)6Uqlg`(Ltnj%gt=vN~Tfo%?nny>CCy|SJk@E#1 zR+A8=oDdMXK>rEQcX^Qu1avT=%Q&=*iQGyevLHkr2_Zit5&3M3c*^7wk$suSGEz{@ zqXm)w;6*MGgjhpDyyAsuxt21{eN)EqlL+LSOEyemHq7A!GO#vvfiLCLAuPfD$+N4l znnzBJBX*G({#CiD<=4cn)Wj}C!fr}Fu~RX27kGBhD4#}i>>lv!!oFhc_7b}oj-5CW z1qf&Tb5g#NH{Jjnitqd&qO6X}m##rPw9pSAU<#&Y{6%^muW0imTD?O+#gmzaoR zCm^$}t&h;lRSp`I@Y$m&AL4Q`O7#-6Q6R7=)cd^qU@Vg?!5>(5JntTU>_hs)rziX4 zMQi%Q7K?#^Z5H0NVsColjo1WKSg$6_pJA5A$X2v`l_>(#XtX6eLZ&FdgPX7O3OqsY z>}r0OO7FaDewRe=G&R3tUvv+u`CS5)i>>)xJiW`S`5hZI$*6&^uEP#0XUD%oy{vs4 z&Q7)Gx+}%a{$zQNdMeIri@UKy-h$~>+ z3c^*82iRF5v2ky}QWW16_FB$_b!Nie0AVgg{fJAg!X=}PyMh#_iTX@V3in~u4(ITr z4VRWoxdc*9%PW^2no)s7>A{aS6f2k%%+%_eoD|-yN4S`;X`2M)9+7ehTzJ96{m(#( z0)DjNCrELEq+r(+i%p9qYu_cKjXyc%=5opjjpblp)_V1!#7hv{aU;BB zNTK+WNnxNcR^R5N$YX7%WJr-ODEF2EsFYI<0kpgv0VEkx_z6}Whv#SZfl)^x-Fi6&gqY$c%c z2_3|vnM0(5j1QlaK=MnZe**r+CC!=TRdw8Kw4B# z5Lq(Fh!%uMBOwe@k)0K7A+ltU5htK?2wl#jg|L?lGCB!%V?rcxLhyqOA<86!jH|8b zIGtMsv0z6jMXT_G3?Zl`z5Z?uccVlmV@C%9MV+-?ra^|lPBO@7@F9I;%Po)CX{h^I z#f}?fFm}>GMt9fU0IOLH(fC?q2Zt>8Mt&O{=)uic^=3*gfK`&R@u@^ZUgWES5RoK=3I;K`ou$s0@2Pbb+`fXhp_}J!0^cGV zmf&Ba+lADby~30_ckt{Qw0l8L-9+p(5_T+g#+uk!t>oEFn@a3-Oe31TGO=Spz0$-k zo@Y0v-QUD+2eFIg*@?>}Gg|K_x`m_$b1oKiu=ry063&wJMg0UyB}9px9+SkEv@bey z;clShbV<9Cs*@-zoOMV`x2;I}jI#vv9YPaz5iK}NGD96L2vJ5bc7jP1B0bcu?i+}l z!}smt1auyu%X#I6_?pbycMcOmumc@!6or7usTm~l7krhN%h2i~68Ra27DUdIbjJiC za!Cjk{$CYe>7hY&=^HYV?r5=qE+Disj}}ChbVmaPyD=dufE7iSbVr9)>y9>PPv^GX z!k(arhG)TdM?);p{!6=~i@x0rbS7g*n=DN1xb7%pC+UukY5#`U8Av1QVpQqRcSjjJ zX?JwlkGla@vlyb`vd9h&NeiNOZVI1Pmos!G&7&!)$0ni$hrHz-65akE5-5T6r%X~M z5Sr$?AEBL#oWP~kI01cv&=eO{Xu%<~_!wFxi2R6z$f#O6ajxHqt{vcs>II@PM3gRZ zqb`>*HSrC=RRI=7FuLB!xX^q*59(V*o?>I^h04^ft$I zd`Cs%sYt9?gtg`29G3*1%Yu&d%}2q<8Df$1|Jlim?pR4h5{Zk!SOl^5M1z~@!{_j^ zcJTRbVAM~^*y7y~LNrJwun#eqoWaBsrRkyN^%G$1EZ*39fiwFkft~UAwGa)GF6C7L z_Lc-x8DS9cMJx#@>8BnMI6ol)#SfPW0!n(4M;T1_m|%39IW5Dchia9H5HOb)(4rGF zO$l)pKU^jVDCs~p5MYG_)0hPO$3S=c&*RhQj{;{VAg;t=oP}_e^czz+JqnC4VL(&3 zrK(lVmJ~Twi`FC6uSutyVi9gmx`yuJ@rG0+lL=EO7GaHXiHXZ#Ne}a6YbqkR_O@8$ zzk7+NU727k8ffHksSTqm+|v1>b@?xB2gOr#h}^>1b}jgYS!Zw{pKa0^McqH7 z>QocOFw5%7qOZl0s#Pw^*a`fR1H`-FcDvHo<#+y2dgC!ls#|v3Fv#?kw zs`G2uPTFp%_q}9Vw~jcygOo@jB{FG83@PDUXt$#-U3n1D6We2QUE2%=31I>{&+mqv zzLUZc-~3_w7;P8({5+_j!Bj80<1=r}gT^79BEr#ol(%T|#?G)X#sc>z08^^gUi7f0 zD~j^`;*=I$BOFwi*mn17J0a|7^QH_le)`~7zl5}6KhL0_o8V`0ve-~}1KFT0`T%Yf zq7B5}>#OIatnNJ_zQh!DRiX9k>8F3la24G4laOv~4?;OPAx~l2!=v~)iEJS|i-+2Y z+tc*wM^^KFw+7?(O%f z813q7q4S>|?6S(Vo37P&6a(rycqo4mvr1F8E~jeu7&`$nXeWTh)ZE)uR~(+tNuYSr zP&~=m^|_{r#mG-U+o1=IO~M>FcaCvo`xSSPIb%h2#BkU&Vk1>**Qz>qF)8(IRb8>& zG=5f8pJBPyhrN%7bfR>|w)Bo&>v6V2_hzYQi>!~(8rG)qQ=>WzyJUSh3Mk4}Z8tl# ziCmcC(Wz{nf%}ZI*Dq`nWKS7)JD22?I zX@iH7#K7|I)^dEVD|+f?E+PLSRKRlt6mY}YtxHP^j1E7wIR7rHGL<%;=oUP3ty zmD5!#*HtKYcH3Kgx#t;QE^Y%yI5Ol%evU(ut2V>vnMQ-nok;g`>nM%bT(F3tX_7t zI&qbnqVYb7Rvoa#-D;AoWz`n<9)B_CH6?y0IevK?SbAVWmSDTR7#$wnh3I&O0#S9j zM&=TW4g)iOS47A8j?R10cmH|@xBszcCNWbx5VKRFXM@xRSL!sr^%)Q0FWOm;q(2Iv zNzjiCyZ1qFQhUb&8+&El*{OlUc^gL2cK?uEw!{CTp+Cli&!*8igv-DDqRlXgn%uoR zrO$j4RaW0TeQjVZ?@Y3%mfPH(+`R`C$`cBi^gDk!pDMiUJRb5sT=e&wMw^dx&GAqg zV8G^w3pd~l$MgxWupz&HmWU>G3 z?=%2b!-pwZ;eJ$D_T1(YQ>)PV74x{P9BJ(5yIoaeLBTc+da-VI#vKb;=*8328?un$ zo~F!tb#6oZe$HgxfgRl>DBAHq)}$Qk%hvE z3`l~-jBagBEDMKUU@W!xk+J-F6S17(?5cuIYIu%z*vR%h(mnCg zG&AeXjO|S^0f=x*IUurh2B@eYj8_Qo+>s4C#0Kb?2f`{%C z$W&D*g-soH5egBH&}Lk%WTE6#H}zcF2Q)M00T%;h*O#<)D#d#&-x_iyVrE+ZcK;E`m}z*Y|J3}*`K zQ4X1PAJ!0?iyhzWq8xIJ!Z%5r?Z~V**RC%GLhR?ySXDYk-%zpL35ve zyRQuo_@>sr&zlu)ZH5=m4bFL6k9q9GiwoMRk{3SS8;|L?ozw?qHjl6G2>vXhb6rP_ zC7R=X=BQfHx58;$Ew@)U8#=UyF&f>&?X4R&6z`qOx|~3QJ|aO2L_tL(Cx1vALNdFN zk$Z6`!?H%PLUh+T3b7E=KZFSWKfN=VAV&bv*$cNqk@80!3UKXoZsHla%*J{HK8E(0 zo$c@Drcc;8hD@JNrq2^i|J*wX>1ykkU{o9HLC&^ZBJu0DpK?MJU7l#bK2g>WLqIg> zM1~M0R~Z+GmN{V{N$bvRRBOp6+-E%9<-An2#8vUxnhckljTKJ}y9b%=UJEZw ztzY!OB>Jhmx)^M4a9(vWAL4DdK&J^Dd|6&z(Ac-0%novxJZ#F%` z?*<~A=>z@Z>(X|KR)Hf-pNl&GCXIrTF_%v^2BS7ag)aHv^iMcOWsH#^%mOn;ihpv5 zNE9)We-1{O^-?th$%#p~O5mW2-s$wk=cGx+_``ebg~CDJl`pKjShEojXVMeOM5;pX z$xOMTNz+3Ob!Q@8D<<7D`TE&FTDW$Lp@j(d52_M?XSaBoB3-x++1h9X#ap(kKk8Q? z)n6DDL`8XZ))|*vTIKZQ;<23Rs2c{Ta*4T)Z+DO}S+K|_a=}vm2YEpKiBO2z%JR@R z)FeKeHU?ec&T5A&Ql9mn5XafI9Llr1d%J9?%l_;4&c-3~Tz7B3W3?P&=)d=D91_lT zH&#nOw-iz{gVanC)f5A;U?a0uYh+HhvTReF`MD)ip){e8DcmF8F-s(oB{F?E4PG*} z)x$}HRV>^!A9R7GSo>1N?F!@OEO0Xm_m`PeVdTsPM4_sX;86CJA`%fj6RWqNENM0h zg^J`tl*>i0&#vBVtb1+VY~=0sK_Mq!s2luhy|Kmq_1~L~WWh4=WEojgW7OojYW4@! z#UI=3(Qe4wHo5irFH3a#kHY-4HuAPxZhe0482;KM{58GRXZ5Z3JAY+CpKE#Ie6BoyL#0PCukCBpE=8D zR|Mj48#qN0h$rlhQu>)iftX8sr>QGdLm;Y};MQbG*DJpsv_5U4+tLt&#HMM#QCBx(qM!nn=6Eihx2*2AS z*3`fb=)w8|WJ~2;qr9>>51JZ$xZfUfc#+jzZ8U!>nu|6-b zE@$GcFstUz1jFYL5qIb$yQ(HeQB65U7DJeGvIs*PevGkmwrLrb{T2k+nOJ!_tR+i$ z|Bi=FWQEdIpBN<4NyvbrJ$ z?esQev&=8>P(GlJ%Rsh)_>c={*BK0>W0;3VyCPF$csQV1CRvA=Sj9v$R_y$9qR47G zQM5|rDb8dR)xN~F%t%pYt)mIaly;z}X+V>PE_1jz@M_B9Ac|RNm67}jPp&IGs=mTe6^94`w((Q2~SZkP<%xc?PwKd$@Y4`Yo9@nUqERAP&G>w3YluXqGcS#dd5vW)WNJFROMheoIF#>RR|8G z3l@FAyjsTBDkiiqQ>AwD`lLbx*_)|rH;-vL4#e#vE+P2Vyh=nL3x2@)X&OA^Ts@yrEG z2-^B$9OL%igDyu#Q%h5ZUNGz-d6m9zU6~;oR5(7I#Mh=+f$=F~oGLPQu%!iy+-;zz z9YQ;svn9FbU@CLLe6j>>Z!rqt-5){}+~l%%@-Phi0xI(w`)fK@^FFX83MCG*cO49b^2l$XE!?IMx_ws4-~3hh{{VVRn z$S6?EU^0png+vm5kP^u(OG+dOd?L{ge?oE3K2d1bt}2m)I+py3^_l=aKXyAw7LeV+ zLl+~7rBt3`u|P46w*EVtD4umJ14Ro-t0z!&CW>-eJfrzocNU!)JVlF9OvW6d=q*wd zqSJ-7dO~!j@Kq%8Hx`}raYwfuSlwb>5KNj|FEFokE~B231qz6|R-|4-rHc|M(&sDO z;!PCutQUb|37<>G2oy_*Vyu+nNnXYnf#NHom?%;da!I)%bm#r#kZudti2>{t>Gyq2^8tGh;CUTMM2*%rf-M^a_8U#Uf(B;Nnc$s z9?C^VebEy%=&47c}tfiP;5&S z3nUb?B@~}Cit@EYahX^>|Bse#zy+#I?sxG}?=n^XHL_u_z#%?MzG%ZdzK*D`6sfax za#d3K1&TpLF_WVhtO7-=L_VGT%_wFvimN3QE%^SgVjz<-mdUu=D5H>0vJ*||B$uzM zaijmD;7XuPx#eOgVC?$QCRBdEIb1q9KkyP+z(CaH36jb$=|t-Vim5~qHy4XN9nX(; zfTFaqb7K_k{s2-Zr6}n{7Yh_Kh@!Jd@&9P-w2jjd1xjZMg-uvlmW*grJ&%KwdOaS> zz34=vvFk|ENxPRruQHD_=?+Nrm2@v#jb$U(T#_zGlnzT~9pgc%DBF-@c> zq>}_w`V2qFr<2YzSY_G>(#m90S9R7V`BB>hN?oxSu#|O7*RyQrMizt=3!$fp!c4p z8ID28VLqL7UqTkpZ6)d_MCvS^_(>}NNJi0{D5{T`D5h&caqtm7o%CcB)!T^TDG9}B zo??VRQOjf$DGKSt>ZmE5l=JB%WE|Zt;uedgzskH$#>%hyII>Y~NOa~pHy|i!{4?yT z^e5xK5{-*(2O~l-*&Z_UJ507>>}drOY{CgsQ}csH^cEX#?=i*J5sUFs($@BGdzQ3k ziWM6bt7>aUKOl=|F#DW9TPyZ_`)?-uW^TtrS&gv>M>F4+SIJ)D#7@f2=azoF z1dM?&x)VnKi_=+G$Gs-EWD#LaCrp0StG09$A(WTV%3r2fo?kk0u%o_cezQiVF_^^! z=4~dgcUPmg#WBBja~+TpZa*~qBG~Vcie^<#(+nc^PTj3DW!K@e3CV1a4mYXj7fxnV z@1WxMjc!C2A}PEpYUsNOuT86Vk@n1xE69*LE>?9K%bWDaCq1=0?k=mE&~p()W#8D- zilSmQGswj@1LYQejjbK$tVV|550{1O5qy!t76irT#S}IMGK>0@nOQw1{7q(M`bY8p z`uqkJiV_MT)-vmPjHbA*T14_;f3W*9*Y6v$;q>?gsfxH6HnknhIQR)1*ad(|9EXU{ zPg1sJ*Air&0*Bv+`-Q`_x%0S<88cZum+u5nSteEoZ1kBxYk9eo*>)gY0YisKy7#6# zr=rHu_K-sF34Lkd%W|5PHDVASt=&NT97Mk+USl?2PBzaFZ7x=(IXzk9mOw1axyqDi z&(1CH5el)TqamNen>~;3G))aA36$A2epw>r6|{7;=YGO(rX2^j>m0^M1-GplW%}Cn z?SoUmQZW_}r_ve5>G81kbX8>jj>U*raV;H7_=(rhvsrZOL6wiaCch?kX=&|6mu~bx z)K|2kGWVOl1FDwrLv|GgoQq95`6u_O`XlsJ)Zn2szt?JtWci|3d#EO^mm_@L7a%4J z3zwRzkKbpl{(%uw5kvT#nz8z@Rg-nw_d+>wcS}zDQ&^&y|K#HVRiDkyITVdfxzl7k zg3G_>mngC?eX`%Q#R5%={cza14{zr(`wQ@c@<(!p7Q6LKDh|lqG8iLIO>>H768%4;XUtv*kQ;o*@GZliYtZ<=8U5knBtJL*oyPObnw!J5> zw6ezdIhVE+rPxkSvSvF3rWVguSzmT2JKuCi9ok8=vT0<9t950v^_;WT^0vB`kruAj zt$wtgM}KWuXFb)hLBA$ZzcmRvaI9>mYQEO5PSkHq(g*36HF&k{p@@zNW*hXwEitteEkgzQRVFY?XyS65BXp8?j5mw$O~N>@aF_2+uZRei8G;Qxwj; zB4HcEvkh5z2zBO9&d3{Y|3Yj%ZsVaymasKQ*ov9%=fOV_lT&yod(qB2VKdJ7K-BVl zzLr0m)R&@$UH#8&9%oMKGG6@PxD}H1;Da^JIW{t89|Z>%M;K5~_q7P@O96u!)i_HVNA?Bz51}>Lx1TT)tzm zJoz+L!W^oEvDmR#OZKq7OxT2D-m%#4Jqhi$L}8b&NMZR+Jd{646h0wQ_|uGPX}{@j zq;M5hEE{j-iKjL0XKg|cz z4OIspapLWcHT@L3i8?;Ukznz(SRyRkjx><#YHWN*7ILO0A@dcw8lO$-`vbgih41&f zy<}b>dn!weUcd%_?KJB5$A7{4{aWjp)bF27{eH1$uXQY?%1hPIZIgI7S&mM5-NG*Zdx>xc5w7yJZSTed*axR?3O1h=MK+IK3Y%-O zcXh!BP!n@<#nXuD08kaxnlXgb(%r^G`B045qpCJ;*aeeVw8hkW_4AJ>wiS%6=xWgj zF&*=$|3Bl*_lt_Mo}F9ub7qZ;H5GhR-R6K z-Pax4J60SGG|a@(iKC8A#2vA^)S>ZC;?7ONc;_sCbN}ouOiwDLJW~}D znV$ZVml{hMLpa82C! zT{vR*5P*VXb{mt~xBnhm&-{;)1m)x|chSeW4P(X8!TIes$lw`N`{eI9w*@XeLq9#h zLs`L9jx@*jr0Ng&-7ODNqM7JuG1a`82>OY9lgUcI5Y2j1M+!-X27W&enQPWXoOXF4 zgb8*hi7kS~#%mR+IM_U2ck=+}a7n&ia-TR0Uk!gFa%K%w5}&Uv-}X&58yzV7v+LN{ zN4z86ivh?subYn!+#4-Ld`L#VaWj+2&{^c_axp$?82OeS?Z4e@ zI~+|7RJD=s$5)}Sw3iq>=+G+H2?~Hqb#~$pAq)CWR_bG5QLX5slD_ zm|A4%0HL5!XX{$1IhVU|z0>%m#8*v&6Xi!nz8OK8qux5wj1QZA$#spgXhuU+<51M= zKrw~A3WloG(e6fe#M)R_#sr)s15)a(jP>LTJ)lAvLLq$W9ZLXBy2JdEA&FnW2`XJd zrIS33)w9hWN;Q%p$xxSOFn<8wTn_D7MCM{}+o7LMqo9ta zu9VIa^GoCHgWsyf+h>o+{C+g9h;Wpc-#p%8oAfh}H;XwxlfpYtxYAo~RJewCi*a!> zkGGhfln$KoP%=0}RG4*$s>NI2Gbk*Lw_{CyMnO5bL)llNuyjfk^?%c zFHuZIki<^suuGWDEaf{=izeNi964X@Bpi24SpACxtv`Za69TROuz zXuchnvx|RVCVG!@N`JA4Socn_mX)cibA-BLlT*Bf@gb+65L-EXRDrufBs;Vtgwh!R zRc1OGYi?9zwH?}iOEcz~ZHL}lm{0kfqOH>7YcZb>s&@WH_C97i%~gl^e`A`fK7aBs zHBa7DSl&#`7{l#e*qnVFc8iXRc$;?5DNZpk6H+aL(LT*=Hy_FC^Z@R#$b+9qX*rQq z&NsKzsdNeq4ZT!5aF%*-?k4@j%sU^J5+g6Z8B2mxkKH1#nj$ZHehEb$MP#LFh$-^C zPU(pTlT%I%8rN`ah6$L-cmgdjc{M?lLB{ej>rh%` zebFu)drj?JtF3)pozE@@5#;qU#=59v>aDp%>kS@m8%W7Q?|!A!KeakN5(^zEw)V{} z=?_{6!HJlD4^WeGnl(1{HlNLZOf|`Nu~8b#AD`HI3NC&65{EEFHW`!HO&#hMqOXm$ z*dp+!DqCteTPo7hDL`cToZT$~kCT+PGdUk++*(QEXYr9=e(p1Mi<-^<>^jAVPGfF` ztVvVsmx62_yA=KTIZpB%LGmn7a>+td^J>QS2xQlo*sU;gt|EQygeWfrOJ|RsM%_IE zQ%LtdD>!}f1bt-9xcICXatlJMzoXK|TCYgIK(QQE!kNb-knNl7OynkS*Kg;J9zU_R zCeG>>IsEcS!6X_#Df4K%3*Tb<1=1+M&2AMZS3xs|z1R|R;dUq2a`S|`Ads#$bQ`o< z41=>9s%4Q@{`bwZi24p~WeQ&YUd*GLYRIEQXO>mZqi=hif%LkD!;ArK+gtUL#jWv} zz!GN#H%h%4_>#n%Oq(;QCnXxv>&#V(v!uvhP4)X~;0wYI-2?qGXLZSdge1+HLLu$f z*fznYjn-CgH-h}{n713Am)C%m;^LjoQI_^1dC--0HEAZku--dVq6l)MSSJyd!gKUUwCittIW`7@^SWgQ8no)7V&g zgmt&$NwecS%1yuBfy8lh_8EJg>@1ODYFj zWLY%L3F)PRB;(Bux{+fDnV)KlU z=8^j5-iwje+&XztTH8vQEX8)2hJ}Z>-{FuJswI$vMTL8Ip7l~~J_h9M)V$n&f|kg>CQZVVaOyOFd7J%``?QM}Zd zoUT19TEut)LUPVHP?5>x%M-5>6hk-gvp?`c?zDphFAP%8X09>zj#fn}kSz4`apDpk zpS0~aVK7O@C+iEQM!ZH;Lo`@c(buHkny68xX5Fjsf}qVy&P!P>hS)rm{9TUVSpH6?>AqMIFKU`!^bHBTCy6u-QY-Z>Xrxot3%g@-UCTmRa{bHL z408vcFSV@$+-90&q;7(t9iy52Y-|yg|V<`qS=Wz~c;f zui2dk;=$@5b?h>_YbMuK>*>VJf<=_xAUQJXwl|X_qO_Rgkl}@ie54@9@gT^7%rSkn zdC$vbWlwTJMXg~*qeX42)}i+M=d^jx>$lUz;N|zh1gw>_iHVZxH;1Tlid)bwM`

    bjkPPZ3HQ z_mvpfO72TJ_&xLb$7y0H)zGKTGE|ti{SyNtKv=OL>cD^WG}|v*RIR7^Y-NAJydy>V z3(FH)a#Jwcv%GT^W$##!)$eKMTt(RndSR&BlXGsyE+u|b-t!*EoV&x~5tvB2Tv@&h z@kleySdrPBru3?yp}OBO#V0xM9h>fi3gh%^tNs5mhB!6(O0_XW#N!aU$I88aKT-b9 z79G%gK9QlAny1+0LX)TX*2xZkO?_R(Il@*ITdEb3Qy1~^MLu;>l^^`fg7;1h7H0#sy+y_EiyM?ZMW`Zz%qTJ(SSKM z+!!M^kgpDq3^HY3qs>rH9n!1S(>3hounPG^v7UZay`EZ}F|Vh84gUkQ{hY3g_4Ge( z_}Ou%8L-M1d1A0&eb9A{xj{T+bc4WO<_7U`qmB4Z?zN7H5%uo|QT%h2 z3=*ppXRcrn_ci7Qxw>W^)vO(1lmFcyaW?7Z26?rL3=-Go;eQxp$FXH-TO=dKR>48U zO`G*aRXw&#bmHo7 zAMzQ(w6pG`4WYEjSJFGqopOXAsu0@q!(Y*vyL;!?mcCp<{A+*Rc8pLJ`+c+nCP>P0 zch?xpNhsB3S+#DR;$1fR!n@%LQ*aiB`~!)eTVozjtaSNPnv+By#fcKxwX8m=`0|7E z0Lh1yiIF3mbjaV%P4g`XUckI+IYqRyaTaSqFdb%ai^?KFGwYpc98Bx9#`||PHu1Dh z%Z!AeExK?AjraV~rnz0O_ZLWwjD~B>{NeTf9XU$VZ~^Cnk~@Mn#pD8`<-Fd9$cN`} zj*AXnLXxJ2P2ueQT2a5V1xnS_K^8u`rIm;mom6q>1o$XBx{z8y>W_n%2n|Wh9uxpJDV9H=ryR0IWmDL}JzllR zd~bhS2X&@lPPFVx~FepL2gXk#6TsAJ74X;~I2 zj?>)gR+J$nJ(3+pJ$z`#8Ve9db@jQvrmgiFvw0x3m98H(A#3hpqa3@1EyrOjR#|JF zfJqM3w;=gGwwPqBHMj>U!JDQ&*ldRwm7N?)Sbl4gWL7KB+gLB72r99h%LQU52Lr)n zfU`;4`a3BLNv1OOM$Y~XM9#uKGs!epUMqWBXdzke^L5UlrYWTJENsX#Z6lZ7%_ur0 zLlZ^epc|bpnlZ&vylF{cYaLIyvSQFYPIPC7O{__3a*T60$j~1DOrLztw-!460sN?( zK4y|B|AFXovd4zOM6}H)YAGaV+`Wu|$nbf_x_};d|2EWrkX$(9Dwt5y?^@3Eaja>}-+=*UxMj`XT02p$_gAA%2Jf=lj=h%f?n zWY0GV?l&EROOLSk76eafk|ql7NJfyHUsu!@m?aDjG}7V^ulrO#ydJ0XV2TDj6BQLb zT&mHs3P0&BT;pEKzN>ebKRj3_0OXUj;zu8F=jo6>RO2Lv_R5X8$zdX{hRbCJ2+EZ= zxhpEi9oMVjW|{L!>lG9ZX;v$YiaHw`>SspRE%fk3YEEV!8k8J|wfIW#?Se7B#;S=X zyJv2;Ub3`Zj)(cq_uc~NQ*xOE8OcNx$+^(kJTIb7xAJo#7r<hYCs-L5!m4)+oOu*5dib|8B~r9$yLB zYFRYdOwVj4IZ`{u2v`~?>WLG{%`d@blJm3?jzZEZ&0cBrieNKI-CAIzwL&k_lGH7$ zYA0#q{e(#LJz;LM|Hs_B$3)ltINy zsA#5!3Il_Hx4_iGv>X%*lM2lg6?3?#Xyid7L-PV^MrMYFg+}^&ul>w3^MIc3=igti za~^^H-fOS5_S$RT*PgGsN)nno+=tGxhp{IbTih#Ozyk_9{9!RG4CSjY{0rt<1Y{}D zCy-LgW}1Z(n2EN$qaM%Gs_pQgrHQgoEWC-f2*r}&%^OhDsnxAaw9cY|+`ySo_hqK; z76Bk0SO6y7Osk2e`uq-cw}}3r=)|i#d-R$X>TVJG!7YQY+dX=L7O!qT?gMY4E#f{@ z^6D;|S1Tw(FwwVo4a9V8B6W{oPpW<{z8k!Z2SjyzY*Tmhg=b*ys5r<-HLvdQ1@%G+ zOx>ft5Yt-D(=v4Yr=_}!S$Gi^`KCmCIac9g@w20oTd6z6qJg~^pl)+-$&bApMs&@< z`dW(tW`qx~%tPs|^KZ^5`7d<_pSV$e%UA<&w*Qcqo-Ar|@2INiD3!V6Q&A^(TOUOo zYxtWLq9(UFL$Zm87Wk13JYY7^VxcwH!u9?KLcIx1xLzMa^o(zogPu0*xqW~GmYko{ z!N%uD?88~-bwYgHVh!(msycST3aNN)F~V0q3q^(IpI!|qzjBT-V~N)iX%yY-EaP)( z%<$!_q2hFAt7@pTuyr6X)}}_l>EK{!fqacfjY)iGTHCSfK-s0OCy;U(80rGhya`9;r`72or6#2y|QsjY|7p_~k?9T-7 ziY$Q9St_UnP0 zII~K`pMaBZXjRcm*6V1%?z*o;RMBU8$Z63aG+(|!RMDTRDB{So!j8Q~6}>Z-fAR87 zLyfJATCzUnJ`pXHv?O|zh?dJUTM%s~qFV1~qDHtgmZSMb*}}pWL`!}rqQ_bhJxoOJ zwIWIv5}uj7sbxno`*Wnr~Y#60$Rry=JMJ!is-Xz?~lMsN8l8VpvS-Xs*kzj(|)Ou`r8cUtsl zN>!|xiZCv`EfgWt)*)3P5}ql2dP06Y-}6OOGG-8~+u^wmoZOx9B@J)?X@@sb1aDl; zlL}v4FOWKss-96uxF=#<4Ua2Ze9wl{&Nx80$70-73%!*MHk@I`LBchOakV^7x~fr( z`-*Un>_zn=rJGlb8S))B40zr zm?O3e4MyB1*|VR`;)jX+-c4cB+*u+>W7)CrWQA_>{$(LMEy>lpfvtH z(lpkFQ|LX?QJG>9?x+l8j0T@KTi4BCIaM*=r-zGSf)W>^G@l$=&N7`Gq=c_rUwK2;MJ0DeUJpX=fhtvy~ zgEGT4L#D~!au_}LTT@&2>kGZ6cLCTqj=+WM2t33M;F9hH&Km`A`e6bqI}qi&1P-4; zVC^OX7Z6x7kib==iSktfC-@NfQdfY>RuMQSi@<*~@B{{anZUyw0XBChu=XY5xq$J! zjlf0jpq%nLfem8`ypq7$egtk{;FARAwi8(6LEtz7*N!9b#{}jE68NS+@qCTI6*~yL zhQNNy2wXk^;Pfj5&K^qO#O?%cB5>v|0zYElWCAz7LSUs6z{+p}F9;xTTYG@(a|x{X z1ZCa3dBr~kRZJrAe+X$=80fDt|5jdeQ3HVgOzfXWod|GvJ7KcZnG@f z|56s|Dwd_TKV^y9#Y$;rSsLe37Qc}!%S#=pl*kK|C42+VSTJRY*~3cd>_QrAnnzi5 z<5-qBmL=+Y%3|EXvfO4_5WJCd+=7C2jzflCVEzS>m+x zr7Vl-O_t>iX^%&To&RE4Dt=_LoRm+xyU=UgK+2MRkTJHMWvPj0jLl`GjPNE|ls_@X zF0)dqS(e(vjIm;trEwu;@mt2S>}6RZuThrp-+1u{F^wH(S#Gc_8y8cSk~J*LLzboK z1}nuuK?XA^Bzq*PvWzk2-Ig>~u!OSIZDLsxI}u|Iw^%7XS(g6{rc#vWSt(0dmi)I^ zDIc*cf3hq^zfcxwD9fT9LRqvIQ#_%u9G0be8D-IbDt#I4YgT6}Me`dgWt!qWG}!wr zi|I#J%J(cw;d1pRnB@^x%1M?b>JKK%DptzFp;SuM4VI;YE6Gy1l1h=BVOfUxP$>?7 zvQoCQEY-s(OYI#d{$SUEK4!VqI||mdCaoZvn&bEC`9u6q4$&0SMSe(m&`+V8@L7KVppOI6vDPK6RxwvK&M*tevUdX3sO zUicr!JGJU^s zi)ZQM`{|Z0>=&h<_2ygsbd$#S3m^aL%dhqu(05Q@jpgT&uSWHIb@AIvXU*w1dVc(} zIZNj+nmhXKMYER8U%cq8e)^>gXU5H6I&=1%S@Rd_m(7_Or+;hN%q4*X`p){FN!Os@-8vRq}AJBlO+vGYML0F8Dj8>Ii*Q_NtF{*7^^SKy1&28isx;yVx)NhRm7_Qwf3;$Je?4?eC z_N@c7UHHAdF{acKr?9_9Yt$v&+dLBsJvVtfAH<2XnC#)&f8@q{k|D!7&33K2U7}uP z7~!h83>lU!wwJbdX5!Dxa{j%m-!a8J-yT)Nwa+3m?@OLUI4yH6Oyy3tcb=}%el&Gd z)QVdl_?NBMHP6r(N@AiUm7O{6mV55~D>2PaO`q6tst+9A?yk6{aQeI}XD%90<)?d}AZ(^;NTa?Y@1UDS#>Y&9Nd@xZnD~$xq6P7`jz;aDIv` zt2>)k??$MiAKKf$t;nCDG7Z)KdWyRy=^5rDFRjpJ|F1suwxMb*8n&XsH<5GK*|}JzD*X2@Iev7 z{2ivv8>dV^o}+et2Y{+sshT_hq5voa;Je}e4nM5|pa~Ry2jDgURRGKaV2ZCtTHOeL z2Wb)j&7klEJTwE)41mXG$I`ei9%K_|Z9;K5`p)=m{QP17JS@Qvmn^Ma%{u1r+uGklqWLoD5Zefg)z0 zh!X&;o|CG{{8py#|AAxaUjVqg0l+Z;-Ui?v09QcaG64AiEbr%$wrK{K{04wE05pS# zCjk5lfONZKseh11ntnDkc@}`J;Gsu(NXuOfDITMUhXDKvKs5jd{wveJxeGi1;F5&uZU%+NVDfM9&@eYu(+Ge=u4Ab- z43(7zO*)NN%G-aacJ33bQ_Yx{sxeo|^cw&e7>**+LBV;va-cT=Apk4|pdNr)04@RG zvJtBG8m~P31VzLFa0P&>3o?Dx9#~WaRJ{$=-5W(r1BK%NnCC;2-@~dubu4X|0JHxX zVvZQEOwayM9sev?S2KD+YT@>3w5)xOrJqdlNL#oUfUy8<2ZcccbgE?wVATMq_B)o2 zo`QB!08LH>4~IbEO#o^@;p-n{`c(jMufycN@OSWj0~C(g2}>Y}+AWxzhtIs_QfRLxxK?3j z=}eD?+n`|h03Rv4sbX0`x_wZGcmUI~L8q!hsszzRDbDz12&Z#E?51fI_*u}zZ7OR`>TI16k^KYSD_e0(kETZ(pjE<0_iS^K$7PGh^( zB&YH_!2IYOrXZ(KZgg&MB6FF_jb-I(tUN`hP+CUK3)AWtzbD0M>E3CRII6S`yTR>L zd3Ta>h6++H(%J7syazKFEAk7m*Wf+JFQ(dmq~X%NQzvsqM`_Iax{7qlnU0)W2iEs4 z!AW-K$(_0$NTBmiZ8t*Q+EWADwCU|2Z8vQ~??ScnWaq&LqD!@>{&L#j?>sra>j6WG zaHJrm&3tBzcffuX?LpxI-WF%eiVW}Lui+Fv)^#{Op`u&uMG2Hvwm_UV-#HWZ(aHU| zlyi=&lSAJL3HNN3w@lIpSrk8#GLo1Q`QR3u@ii_5wb#k%xYXdiK-b;bP?v6MZ;mPD za^?hN;vXS|PrdyS%6u9jv67V(7fXMdKhh)A`HW~XRlk8$P9Q$MFw3^us71i3Gk&*K zcv*)_RN-pc0M%FGBUJc2i5hG#Exd1IJ4w&jZlIDYL~NU+%J-(jS}XjH*pD&fV(9DsA+l6fypXmtSMHyu0)Mr0@*JO@-$U`O-+m;vrb;ose)B&$VRHx{F))s z9`c^)=e6s}u`mjU&uP~S!G~6usK}HN_+$(g6)D(5LdH>&rkte7VbYXx^;{a)xwX2k z>-!RoCxosSt4n58S4?>=r&T&Xk^0;r)5s*#$URTFEIw}tmm7tjQ#Sfn+#7EvglIl=`&R zjfX>E2z8OJCKlciQ9i$O0fNP=i$UiYO) z0(1#4uO$Hviv(DmPXd&L5{~LYr~qHZp+m2+(6jeqeUl~jmdOK8*C8oxp zmPsgU3bKYM+R>3o#TlD|XZhYE_Er*m5{f}$Rj{{RB6;@GPV2$n)buh3xSy2u-?=!Q zvQ}<>%~g|jV=FUqP0q$3wU5X6~zG7+ni!U2JzqyxM ztKO{SLRP)3g-sHvCg-I{)aWkW?W6}rnk8QOs&7V)$WB?WA6qZ=%CGrErjz$P{8EYi zY*Vba`&(L}GanP%4|FpAAxsy*KF0m{xLO8b*%B>= zxU)-ccjjhmv@lztSK7whgWo7%718*#w#;Z=mXRb2dzccIiHgkYhC$6i!$Vs)8g0k4 z?goYX#)`CV@Fdp}(8m7{PHmKkLU|XBoI&FB!tvTrdLmO8yJA2&QLc87|6Aw2nzX_{ z*YO(wZ2XeEdxZ#*ObGTwh=w7UPPa-NQgz8iX>54ko7BbAXWPYdl&LY=E?;XqQ5e-r zB@NvZ71-jO!==Vl`S#mv8@(Q4`7kZ8AUL8~%=6rXc&>U8&kx)aiKB8Fi)(E>AGGoO zz}-ZMA{#=)bFqvb0C4R?W%Kk!JRer8DVQShyvoM2hVjh5K|-&3vZ;&(!FV={c&--l z%#HSwQ2e85!SC3@7zQ$GWz5hH3)C>+*IZTR%V?8+MBrt*7##32W*SW+^?0`F%Y+#G zeMv6;-Mu$9+O?c5y;MWL;B*~{d5k3!PoZE;$58FdIZZyp@VnbrP_;MOzSoM+>tFh5GzjRe8rRyeXT z`${rv_LQSA((HB753`kH0a9{NQmKPZ!x^7CTtaewn@WVsmU0lh`qV50YmhkP$9P~v z_>BOZvJhsL!`(gvyT%+6>ZVwzcx_&)8gEOFdLtO{j6>mw0JD6eoMn~6CONsEdoe6r z-yw%)i5%E3+<;yQ9wu7f9j@?rlxVD7ni`B^6#c2SRf<|0I=2AUx!v1+U?``ZlI=(n zu7|PsMG#%thK44-RU~@I-=HFhE{w_!sA!&)I~t#ixA37sc3pZ*cQaV3Uz?AP^8FOA zRAHJjU49!crgfmHN$(VdEUeTPkdYO-oP}MFt#w}3X}2U>(!cL_+A~^jLy1y|UM%hG zJ(D|RE{Nz~b)Zhc1wOjyyez(1Qg8RF&vOhr%5Awn6OowaZn4SG@(Bt{N2OTyd+>7?qVW%9K%jEdK4O6QS zBi=P9UMp?)Q;(QV!BGeHO4D_MovfI+18j%yB0Hg@ORbH6NryvZ;0+Ya(fkKKq7{fG z9loNpL*lgT(`5WlyF9Q}w0Q%Y&(=^y*NZBebG~RNgZr)uXoleVZTJc3QGNode=k*} z;eJa=!w%HhNoaO2jD&=)_ODW!&SVTCGt@$gWaw!K=eG~9ZpQ{6K53o&xPDVeHl>?P z=xlt1ZbofSY>zH`Vq?3$%}->X&BV46a$4;ZgW>z=N>rQb|FEuLl=X`z4x6Z+^ z{sz}{?+>fg82NMwc7jf(rmuHm1IN$zWY0In)(6a{h$LnRWs2P&FuS8Ao^9l&8>ELB z7(gT^i1i?J-PH}OoxTFm734|z$*{v{yy~pl^t(+*;R9Bajto?bbu>H>YB7?-WO)6B z!lyQ+Oeq^gk<=AKZUs2j*rz~*r=Zw3`YIk29N-mA^~RHVgj%i;Hm$&4*rBu z|7fE~f|V|8t~Qz^2oOuqRvR*IGRaiFBYzg$yg{@mGB>~v; zF&1aePa)hem=hfa5ZL9zEHU|SMpDTwYM;%3YVZ-(rJm9522MVH99ll8d&YvW<|(k zwq>%ptgeID>QVHI)2D<`2@-W);AGG%?;!T8*c`6~Z!<3CGT|9K(( zQscg%-Dg6ByRM;nta}X~#Q`FL-hj)uRYv_LW=#o?pcN$@+ZD~?>Um3BDNcg{*U?k; z|E>(Py+E_tNczo~LHa!{(yu{I|ra*4*#5`$XRs94F~ z58}Q+Qut+jT(K6cP%Dq&_E_T?(JM@DKDVLd&@>uq#r%bjuwFQsQ)1kbtU)M8FXwEV zu4fHn>r4(m0xS6)AE7~vc78XAqDyBz2q2B+Ud2ao2p^`gCXWkJ8$wG6oehC%aWn35 zrlp!$!!A*SbNCwwo<1u(z^If--6w7^&;+rtZF;EN@R;)204W6lS7)&*D1{!9BUu%k z#tI^jV9P&7p64dA4?ak|W%(yzp9>9@M&~)&UdIXGsV$;Pi?UL~+H76Ac@PbUin7ka z!M$I15W^v6MO?=XQuqz6+jsPL4)^(^%Bf4v52?v@{{lsg3TCFJTh{Yu!RuRwI$eiX zk+f>kCOhA4(`H*vR$>ah$UBDRWEpfen>yP`DpZaA`soP!(%GO@(5d(?+iutva?h)*)4*DjrkS0mL@MfS4CY3rVAVnER-aHbOJe zBSmA2m06FP!A3o4h9b;cJ83NVxUvCgbROX5;hZaJbq4(+3_uNhUV0rpnZkQp^9lpd zrYN$BlIi$l9K(knfU1X+#%nk1qXDSiWjFgJ7r&TpMsLzoZNu-J;xzppyJM{;VLSf) zXA}Q#(Phb@e^8kgix6DU{aeHFR|UPJ?%QP1 zyEUzhrM)dqyU6M!q#K6zs){o%m>kvC*4R#7-#)X7+UxJsUg-r`p}lhQyEGqL8{&zK zgQ_wn(1m@;8Uw{i{I+nuC|qKR?;~ocnfH)cNrP>np$db`X1`tdtF)NP$;D6na)GG^ zkHG8N{$$&;iAb&>^Uxf`$4FBt!91$$Xu05a%rzU^O&P0++%saE#bXz?niT48O>iaI z;ma^hj7?zEvj@Hpi9-W%s1| z@sltx#&qj^5;0XMVk#|@G1VPb6iQPrt1jDdv^3K85~flLb@0Ef`3vmwC-Ij}{25yE zH`33>U*Chz^VcnC7cxn|A!X2gUx7c(*g_miz8Qs@#y-sIEq+(Dr|t~eo{PCaY&QK; zJ128s)PVy!`TR1MsCC(_TC0}OKT!wX?sU*)vUBH*cv`OEDYVOo7pk+*K`OGWl8U6N zvKDF4_JuA_nByjCSeS3j6`-yXddO)EBPP1qqgMsi{6BK)gtRL8ZG0_g~U%F@6%N zKr7?O`i*b16^nNhNfxE3GH&yg!DJ!tCfEd?VADC+p^;}xku~A`J7~4~w(r^$obhfW z>syGuGV+@OdkB!&-wsj1)(-Xre_uIw?!eZ9c6i4-AsP)|xlsp>mZT@NHlyM0u7k)Z zGC|GCM<)M(VnkNC@jDWZ0u_pPDu<`GCA+X>vRw&jbQn_2S_BD&7Lh%-5F61>E22fq zD63^7k(g0P?wj_7g~2Q>>-I;#byX)?Giq{E5-uJ?qhvL}t^2Jk--(J{gs5PYY&*zF zve)sKj2v-VoMSP%8DH8GOLeJ<^G=L!<9h8mh^ z^ct{bMHT(YR#?;RMuYRfdf4xBw_Q7ZvQzEw%7l4Q?UwJQ0V*{c#91B~>A}{^S;tC) zN;U)}7^X_9Em%dmryMbu25@Y6)^+?in)e6%1Z2sJB)d42ThbRb)S+OikS$8KzSJILUJ9t~?)Y2`4(*QM_(FSv%{#tB{Sg2M#cJ zq)i{970=0Nc=@hG=pzyilK%?-iSl4zWo`cGk@@9tv*QLzTS{qE?TnszSH6!8@iId! zwjub%XVxtz+$8!&lA5Q_7IGuJ($uQ#iZz~R@#u%)ZB6q!;wZw4<{c3`3&?%dQl%S7 zaONL)1mD#!VLX>zA-)JDxGXD2=2JMp;DLNR@Eppywsjttq(Q1|EUa5RVa7*A zq*(P4eE3-P@T1~*RyB^11<>g;b|sK?m#Z%(YI{<=818t=EB|pd#TY~mq2V^${rLeo ze2I1D1Py>;pC@7z=Klx7oAMrE$Lco5DwZKfZudYyLu+Yd(18D2du72M6T{rU#GgYk zOAt)A$R~RP1z&@g@c6M+8yvBjwL!An3>wIDBbJZ~f;(7L@FQl7{4D6nY+9IBoFKKO zSx4%Y`R0gRB2!0AS;1C9Lf^5?4ePgbq)coGuM|x)_q?)*S~T-{I;NL*gRt(ec5@Hh zN)P7!7Spta%IC{)4c$&<=$X3^mBHLs*(-5G%1kDwNB==@yTG;abJGS7R=yT#$+VEn zHF?yC$Q~fFxjb2BAm`YuulY8U_ZuYoriWT?LCwb^eHl=f|j244)=8dzhL1^c&GLI6Fo!kHrXOxCXuvlW}>(4g7|Jw%SSJU>a zP%~ktC|3rn8SH>F^47Gywp!b0TS4eL`5~%2IfwLB0@cTPm2%EEF@bJaSITB`Dyc@j z3w>denR|?bBreW5_+^KgdxD)`d*?&=#oKIf{zh1#dG#zQ(Qh$63gN9oYg!4VNtUrR zTMG=?=1pRTp)n`N*!r!kS6O|O2ynCo^Ds_$&;CeCf5GH8e#8f`0sci0D( zGPT_$gb?(}+C>%AQu&&Hs4$AxVcvC5M0R}^Wg;&c8qF$_-UC8Hb=af4RdSwDV zYZ_BZ1C>=Kx(!|9^f9S}y%!aaYrx-Cq+nuEzBXc+FKKOC@i2>#AxYpy%KPK^?*MXrm9DI;b&oCs(E~sKW&`GV3~j|OzrOL10U2@ z8?Bg*{^4%e0o&qI(>7lsUqR$)%Y}I%ENU-j8xr{&us~G1b=@9 zJ3KpJ(Y&U<9j*U`?ZL)sh~FE_;CB}}oVTa#)v59zm67%cEc;z6KNvvy?^1q~kYDIO zuG^0XUl|VwQIAY;$KBq5JHAjO+BvCZAjLn742>eJUW?xd5qg4^y6-_umxPaEsHK<2 zU?cl&SU`)NiR=!+MC%T*R3xy}YG?7ZJ$0Wgwn*;q%>GGv8!UVn>g0Rgpasl;O*d(2 zIK}yiWn1xMcj+AGq)%Kgo~FITUGCD^&ZVEYUShwEcitMb4IWEg|m{9g)PQ&oS#up zMbbJ_g+7f`G0~z5YezA&mO6^e8%Wf7qQ=^49q!L*wYgZvgVc3I#?#WC)sp9Sb(=bb zkUF%OU?r<@#*ej29J#E(qw}%B;@z_B6k^GbSjw0ruvBBvuvO(2yLa4nws}i?+sS63 zda#>V!)S`OpR;(Hbxh1xEj0NvjVhPl{-84!*-5P{U$vca97!B&1&&*8j|p1be1KT4 zBZJBqW+4xn?r#YEuMhY}rZXY^sZ>^9mn;CVckk@Pq;SVvkP>5#xFj8_O zDI^jD;r@(h`HaEP=+eMoW{qe?Ih!Tl|` zGiU)NMAIdKa(<~~Ph3*C%QC71Zp6Of>J2VKFr9s)y!+RRyj(37x(dCU^8VtSXGi%+{V99K!cJrnO^RX_EbIV1dDD z{ie3n`i)^zKC-(mjcQ9H(gw%-lFo+0if<8)YPkcz7&7g&t;vPL5?9r3FY3*!_iVTAk*axMJacIR5R=7^X|lL`pg*-)d2omk zU0?pDQgnTS`Nofng}V{hz5F3j4u9b$)c^%-O$D@Z}v+{+XQ5WK-uuW_U8+~+ed~l4=W*|<-+ELuuKv^ z>oX9MdgJ5z0OPG?oSl9kZMF{+y_>j@fx;Q+A&u#A!;51(To-)D)#I?Bbhc<1wS%1; zxk9Ql3H2c?USu5^Oyc>qt!G9i-Z@|C&5m?jHTZ}c)2)Ts%j8O`Bhe*TN)JoBbQDCef7#s#v86K#UiwJAP?Ta2wWbE4HH}+K4$_nP+)mkA zh;i}sN2o-HpF!Ub=dJm(CIi(AbnKSLsE#<;mbdn>1l{dT`o-$Lzga?j>9-PJn_C(F zp2e(2b6B(MhN{LT}VUqLmdWkFI3@Y{8gXv$PyhBNqtkAarhyy~j5(S;5k4=csor!uH2#hU`IXE|e56j0;hfF5U1=DYk}c|E^Z zu2d`*zt5Wg)_xF_`r_l-M=0Jh*HzrDsOU)v--zZqrgt|shK;52&E1O?-RSzFQ{jfD zw7(7GJlTeabXP+wXJ0Vk3u@yhIGhJ2Xa5JBy(Z^8@9Z0BxtdM)ONI=*cn-xGLj>t; z39(Lr#2lC3D1sj&``j2dPSmd!>>+WC0p;bGg{`MOjY*3o+pbG7Er}t!=<-M&_3Lo; z2W0KVK6X7%D6pE8J;XNmKl9sdhrw0Yr<8E3Z?4k13(LZ*Ta8;{&%BO;GL{ssqtSX( z`QI3~M4q;cTUJ}gE!mxY^lNvJm2s1kY=8Y|COPm)b^bQr>U#Mx*`=i2A}oMMhS6KJ z`;HjS{UIrnkJQkn`{qPxelzWFjJ^#0e3?OMs%>p)A{`rhPxNfUgd)=RKJuvbOb{4D znah8FGhjMf(ls0=)mz%>Ha)wDGsWk;^G5lkrTgH=3h#nZJ{##rXW_@&8a2*)WB3F4 zI?++)2Z;_~LNiv0+q1lxN!#r>b~MFKA#LYSJtmW;toJrU(3I}>0iP5-~N-9tfYPMah>1VG9MS69ZU&NM3$MIwc~d9 ze+0{%&Gs{S%k=zTmbvp4TBmY-Y;G^I%uL)Ppkav3GE+t@eeXPqGq&E(Kth+c3tL-e z%7}+#nayF-M3!0K^-H4A@6ah&X8QlM%&Zoc88t#=nNO-6Bh9D&Ps_Y=W(Eq%NY2JF zW2u#tt`6&@d$oK{Wg!kyF%Tagx zm>#ae=>a!qIz5ngo$GlLF34{35ZoC5&5zP7&Nt)fR@$GhCA*VOC#%LqlWe1~MRdK@ z7SU5T+U_oSDGb{KnDW%o{!rofm|@cvnN2@W=FSF8k*LHmTsuy?*D6HTQ!gT*_Zc}$ ztyLwLrA;Ot%#ejYglkY-;(1N9 zT4PA=HWKzp$^bF1=%_@zLRp;+4J8&{8+~jQd81`TX0=;N^^n^=hOfv6RFUTmE38J> zVx#dw7_H8SW~arIfsic;OOl6_?6^@^KX068ZBOkj@`OEgK?BuxVRWjx+FScGHLn2U z4OqzxSIM>;{6;GGP0Am%#O~*R`o8t3WQ6l~WQ|c5@i8v6SR-9~Qi?_&l_~7KZ8rKq zw=lFkr7OCLQJ4MDlj82jowiF%73hQb+Ty2pagOyE=LUG}XZu;<8wP6bV4|YkFi?F5 ze`gO?toq37A`E9-fi1d$Yo~Lhq?En*WL&5Epvg;-U#1@|nN+3iqninZ#vu-{zF;qG z&n>Mn2@Ywf?QO-@g%71-)8KiYY3Lx7c=Nld ziXDNXwdl~A)3zP!y6_R!Ooi=jolb`9W73H750QX@^U4OW^(-}HCe6ejB5&Qm1{`v;Wq0s)HCav$B%7mBYhK33(Q(CD_bAF?LpF~BLz4K!2%<4w;q*ICBZp-vk@bPC=#EjS>5Pr7z|p_Q5?kRjz?NXU zv#R^9OkpNLgYX`0{#Q4Au6{1EW*m>oVnYbl4cFU0ey%sEbeM4-Bc0@0)?K5W3EeOf z%(25;cg~d#@kI9H=q%f!TiHhQUqoTuBXp5V+Y6rl1>V!trqSAE3(}i57dMVtMRjfN z{*A)w<6C#+;hRpgLN@%(`*`ZeWlIWoweF8*ZMwU+cP(?gqF#K~CU#;;*`|q2^ZC;P zmok|wg$BrC8)%$tG0=EO1C3_8r{IqtuIIOHmeL{S9My4~Ls>MNYS_q{&!0l`Nstc7 zb7QVG2o9k#$c(3EZLRW*_eZR~Pz6iZ2;SJz{XH1{xC@O(!sm->e(~0Rc{*QVkULfA zx9eK<+nHStQCBv)Yy{tLUq`>~*STpfzZ`2DfMs`0+D_Zg65KFqamfP-`VIfI?jf`H zFtQhKju4G12aoxQDD=5c0}l3ZALctR8gSgH4dn+%7p;RMMd!z37u;q|mu6|!@nq{+ z+P%z$C__ ze9DqVb(!e-E&gPjhL7trI1UUS&sAU8s0-})(+!(T^*KQ%qNLo?OpA$V)lxdSzDNJB z?c{ocTOT4s1M1K;91~{F5dJl21v-|EqZ?^;hM%8bh)5uYn}}gG9dc&oiD}@)Y)ofNiN(z3nfi=!=Lt+r z_NJ58fgLZ|Dj}lB?|*<$3~AITaDm>jSTY8UYu-qaMm`B9jg-yD$CVD8vo5DlGSQpu z;P25vCAEvHm9+4%w+>tEf-~NEk?DsfkfDVFD`hUn{Kn8xi>;#{z?8n#%9C0wKh0*^ zf0N4UBa~%n0E(U$NO5LTT=)hwfX)WBPaaw2>A!4Nxp~YoQd2Qn<+EDBD*1p8E$lr1 z;x$t9e&ViJ;I6Yl>GKIu6x;cMF>e#aLZZlZwb^+(_0Ff}ML|^#KEX0L@=^0cu=pof zQo&@BManFR4!84NwZuzS&T$+jWtQ0HqbaueONebA?t$3meolyOUZp7c1F@j}nh=7u zhwLOG9=IUCCV|~ zKv}wpD4RDE<)QAxMg)N~Una`^9RbevXKajoa=cI3E&>N^Bk=y4C;t1s-xP{WTQNrY z>NI%*fus6TfbPbZNWhZ>R&6J+zXvEw<`U(Gag1jIYX%bK^Zo?BLg0iQ1YSbm+(q3k zBIa$@-y^2U|9Ws80)~-*(G?`1^UH-t=BV}%c)lm`yoo4RZw5Gh_(On|V=f{r{DdBv z`}!Dr+mnEM34Eg4gKO{meayhG5*u5cz=nAe(?(CQQ8tdiMf(Umq#Y=id`jT7*8omG z_+ISdZ?peHY{Y*HHl&MRK6+$Mw zo9z33Jx4qA*S`-tFYf@bbh3}}9IrK9f>g5nbFZR6LK|Tk)8ifuSWc>?iAPea~9XP4gQR{zGHG#PP@CU z-`%@suI4jS+cA4W&h61U;d({GGemi?x3jZL^;8lCir*`f{{JC5b2Q|M^_?J_<7U*`1@dxSJU2c4!+fD^D0?d_spxgSGMupUU?~IBEDmx5o!WpP1BS33Pkl)ZOiN$Uw1lzvwgN56>7&pxZ?U67w7S^HFGr zuKQuE5a{-!mkVa}q$so$OLuhaqsb}Qh@o)3N80Z{VhwbABzpK1YoOcmy9aE6Zl`2f z1Kq|QNGuQn-5NLiu*yD1!pG8`UU0pa*;2&q*he!YaeNUO?)w#O{47#zjUFB?gzMc` zez!yj*E^x}lz#0aEmCwlka$3A3D?_e-47e=-$N0`(}|z|lK7=!)q(D-zKU4&_1slQ zGFBb^bk*@Qt4{o~>ZBs6u6xq0h@_uC{Znh_6*jvfGJgq$!u=?GIqX<~)Wg4pe`djXrWXw!?ecLE?f9(o`V<~nQWV(Ip98+UG5Mow3E3W%-ihuFI76A>!)5h&aPg)0CQA$0Ca z0PGN3*AKCEGuMn$X8)U`R;z<_+A*_JO*;`fSG(CU^*zMa)giX-x%G$$+kU$`pbw(b zP6wbE0K;ZSyRfbvGFgPbgG1IhrBVYxEC8ng$VTAXfGtpzKNN-FyG|d1hhP8}0B~t` zs-gI-OtO88qutW(9ngW7rUoIlZVE!@j@bvmtJ~G; z{a|v&oK!>QIho`rV(S_ZI=8MLq$q+E2>{dv>7tTAq3N7V_v(-(7DkcK$HDEWNC=4>wwt0$|UfB(791l;!;hADrLHNwmYV}BXq7V z4pMvvReuP;KmZmY@NF&P+L}<^O9!DY5ct-39hG$vMeG4!WV!X;4n0)QBVtu3d(w-lWhk#S2988`AiDBJ*`7JxWVr~(By1isab@Q|%VWZW@` zjO+O)0KWim5fpZQC)2G1fD84I{k#TZA~LQg!qx^n0^mL<)c#kdyRgeK^&kLylfmRO zi0SsBI-qN?E-G>!3v>ZkxbEM?|F^fFk1g(7A>R0CEWs;UPQqF5=pD z9UM(35HPtEOx6QX4M4&7GMygLd8;OXNkr#eKMYL110EW| z!`=C*hI#<%2=EGc*aaR!!Q^HDUPAEQaSPBc5S>@M4;D2E0EF)?L-=0L98%N(oi=_! zs^MNWDC~1gz4$5sp91g-0EGbP0jLB86T#w)iL0kCv{F6s^f z09XAWlawI7ujzFd?U#r#JafEKSp_Nf0#FW{%)TVkZAYx-g#RF%^Vcw#H$kBWOnwD` z7IjetfFmj^b{g8nVHoXV@NffwlK_;ynQF@X5ta2NeAEmWOfiZ`grb@NcmTj{04f34 z2SDsh51IW*m|PNy_!od?0Ga`42Eg%vV``UJ*km~X>j3cBp$-TbsEe8$pK2y%tH2?+I zAjNSQ?Q##<$osJBYv7?6MFaz|0Dy*TGTj3J8diYGMifzx>b?lTC&a^I#A3fL)1{mM zAQ3z~f}-w$hsyvQ0w8`dcmUw+2~^f9RMy`Jt^EghxB);dm@HkKYRJAJ)9Fq+mS!iR z>HQ0<{s$Bu1JDS-T@+DsLngU=5{gO&4>BXXdTI$8!VbsOF#MnkEQvw$gdZD>A55kg zjZQU5gAS{w3Ld+iGd#1i9R5>ogc~#hpG){^z_d#VHWV|S#?*OJT6D*cshpEu%fUQT+bom?jp{y^+$~ut} z-UZ(83^6$irB?zU@C%V$Mx_rSvYjDvAz=C#zzpSpY3~x*$AD!g5!shP?dNWwmiiv3 zy?hqb@@J#;DM65>w-*%nK48YNpjP)1m<-!e0uKY2p#d zMYIg89Lv(ywaV-VDZaFJQT0P-F3J=mT3Or65%Xn>iTR!)=26fN-)0naz-cB9m)Uc4 zx`f^8n3A&JcDq7r&jRu;|C1I7AApi@x@u8eLzo{O|DK{+i>pFTZE?v9N1?FTTWA-6 zRll7bDseQ!DTzV}###=;IK55s-XnRHbR0=I8yDRup_P}zS~>PX)))$L-e+x}+4xyl zhWH|e3BkhN^cM+{5Z<#E6116WJ5zL~R}CGXYS@8~bQ3Ed@{g*}2R zI&M)M#?tP+Fs<|r%2)s5v>B9kSDc3X9Qhm;1J_#abG%GC$gg?!1;O&;Bc*i2&t4oU3Vp^D2~%6roR z7zu}KGG#8K`mobbW7R@A&XWUbl^Z2NQGp4%^J;0k^&zqWM*J~WnT9{+*6Wl(6~eky zNDkFX?Jj)6x4%GZhHw_Al7cdYZpG)BNNWZuOZ)eQX=N0X%k}XK(~K4%s+3Ly{45Tlk;7L(6A9FW!=6IC1g)=HSqJI2 zii2n@Y0EzmK!Tgaf{%2@VaHJ{h{hU&VI%Z0Q5-}gV#r3TEJkWWf-Dq7LxaV_Q7wXK z{AwV1%UE!XP>}>x3WtcnBtRi#7g}CEZwmoWAV4vs5Cq`d==k777Q4k)-TN1kMI1z< zq-`EE5t2pu3zcW_du0fs;Y>^O6kEnDnDWp9l7N|V*);qlNYE%ry-9obErMwLu4MwS zoA*ma0%*$(aAjLr5DkSx+W?Y9DUzj_uM8$jl}HvAL_$P_6Co7GY*uGP&o52QkGlXha5ibP!ypH}<- zNW1#tG!}F+kJK*EM7>`0f-WAY5&TuC*9s$R|7xn&t0G;%FvsbkiV7oLSF~^%==up& zrh&Cc#;K(;*BG>G;miVE-xJm4aORdc*0MepDN882itpH1NMVX)CU#3zd=vr$vX8H%Whmq zG<%C_RtBl;Y5VmbK4Ovj&xuX;fl(=W5t5GOTKk9IYv(DY?ZzzdbO?;f-8j00ewpd1 z42%lQi>Pw~;$lbpH}S`F(>xor=tY)HY>)(6Dz(n_3#wEW&RRo9dW9A$xY?&wAL6gP z{q7IjOx^m0%hgc4`8NZS7Ad zR8WW2!1UQqq))Y1E#tipw^YQt z$yHg(Q55VPam@MEJbDPBPvav7`J(J^zaP~3fmY7AoSq$PLI@?up6(8Z&%@a=IvJxj| zP3r<|=x`p*B3jpp(U`o`yAl5MI)HGo^Z*N+TrwU+70d8pp^0!aqh6XepaJLdcl+R?B73+qhL77cbSZJ5- zS74#FcFU`9II9m?tDtIQpJg=lWHE##AB$8yNvB2wonVHga`Lq_eH?OtTBRz1PNme3 z^oE&F=Uj0oB5$pisL7j0v0if}T6-vk-?VzwAZq0`td*P9ZeS|5`(M-$jV@%&AI(EU zG_?%?^+#*-eL<1BvkrrIV8iQG}41>~UnaJ;N=Fw*IinV`;(B&=|=bw5-?DrD` zNr7ei!KCXmd_;bKO$-8ou8kJ^=T$tK;tIJ^@G}9ey-NN$(_ZACOL!(KhzTVf@UgM1 zaasxV#!(`cFYxFBLaW7Sp5v132)*lWUT=)$?jk0#71RQ% z?AoFQFypoVPa_$xQwkaHJl=Tck@1>FG2=~ehw)x*VZ1v}vn7z@WV{9NR955dNyZ!5 zhPo)TNl7iL4>MjVGu}hI@lFYY@fM4XH|qx$cvU|Iq%uB$lC8!oC*xhf8*ew(&z)lZ z95deB_RM%o#!^4mL2SGaItzC}E2`0x(r;lndGhR=h<5CW_EJ%h_Ud2|Q~SSLpFEFTrK zyqsrwDKTLdF#(nz9Qp2&KqxO7u?!9o*?R?%8esHP{A(uoENd7Zbt*1T6;FoiK2l{ zN(VO3Ns-e)X9hpenX{RG4iODV?=0B`dPaJf#FY+j2@WsYnHugx)^IhEtn1pqx-Kp2 zy85xMEA>zX8ePAgqK@HF6?t#J8Te!z!N=ORSjUhk>KN+9ZL0%oTe@kunU>pOf^8g@ zv5H0C)P4z4Wi*TsrVi@i&2n-fYc7box#9@6s-&hcsF&9s4PuTUf32ryA=|Rs2ut-2zUX z7oLDiVVbCvoVNOQSiH0>&O{{T&>)^gI5pl72_cNgZ%43sAPYL&#Q3&_q`9qNX-uZ) z(#~X3z4?*6&!uIsw3l9(7Qxc|Uzny~X)M<8b2QH|{q}ibz6_SeuCY9qkFS?NalYGu zba~|hMOJ%H#o_R$yA%O$B4asBlD~4USR%T+5RuH5W1f%SEa=J(tGUNzWIi@paPcg=ra#m%cAd zit^Q1Y)9FjCViij+_O#kns^fZi3H6)txdyXqZ!GWY{}~@B$Ztw8^r?sV4Cf2?pSGS zk7ttEs&W*;q--^bS`L=?g{o-gRmx1Hwgo$%iP{K1ZC;YmF zoj_vefS8?#OvX+j!Hh3rCoPlJ24knb1v>>|b}~im>=d!Xh3#Gf_j&5goD#U~_B7sD z=@L?dDY2A>3SVAOtP-Zg#ixCsZhlJqpyR?q+cbDd7q%LAk9vZ_EBFY*1Jy^TX&PLp zmxIc78Y|chtc{`3cNoSBPBk^g6T&n&W{=BXwpq;-*(i|7*baewXP`!f&X(Kv15JIq z46FndwHNgWF%RyOqrZr-cF7C-eJ6@LgK2_EXq1{TCLhSfgZhY;Ls?W2y};WzPI zE2!KyYQffJ17oYB8-_5mXSsqcjf!F%WN#V>#Rzd;a@;x)cT4GveG#4h73QJBoX<=0 zJ^q@)E?@kBpD6l$n>Z=@JLVID$S0UPf1Lu)AScgIbZ1~fDMF}`e;D+$99QAJoDCE* zLo(I=0*>&@$H*sX(z>P@Ukmx!(2o6|WQ$JG%$xZaUmaB4HSp*okA%0$~B8@RXU8V0u5d(N$OU1~nCNf23M5f6? zCaUW=`0W;CD)Shb3qge}@HcW%kX)-m1ZxX^V2hXu5VJOG8oTwYqoo9ekXDzj9Y^6J z8u*96{M--~qm;c@oZ@7^oNI#`VOJGRVRhcxneHz?sg;PUY1~Q2_9^T zXA<$1!}zk@n<0xma#r^$Mf?~+Z!wR}8c;$P#Sp3^-P>+}1YwwJFO`kw!`aoySU9`%wO**W zCVEXQYWO*s%ytndYe*n9Y5j6iBt)~0{RE$ozlE+V`i>#as~AT%ZRBt1PieDXnC1~o zY13brwuPn93XGLz(W6Y##$6UYYmviso^ko7j^Nek`Y$cFFmVTJO;U(Ml|ObPx3-J$@2;fe7d%?SfF&7en0Gdt~XMq~w^Q6o+>7_*%O6`ib-hG}qk| z$;LQ@jVY3JMIwGNV`Emo~T(PFC=uf;i9N$qos-!74TB3XwgzdOUpmA z_Fl~->vV;v75@5kaxBoi1$>YmWxo62GCTPf~Ok=JUr)Cc2j$XAy5edrGp({F*PHz z@Aem7u=SRw6_11xY&n}ax0eaVka}Fv_o2lMTBa3l@Dr#o1pEtcg|hUIAO!~NxL23O z?HdjlOf|%VC@ZPYoAWW2Txus@4{HBJINc2|ax?aC^7Vij7ZTilNp%wrYBqnoVSmxh zva2QVV)Gi?3t_AAY14{s8tIFfixb=~r@F0ebs=_4A@p9>Cam1FjlZ1GjQFi>zSYm; zDw#j94~!89+U8RiFYz3O8hMEt;nDIibW&NoZ!}8q3L)?!aOw#qo+I%mQqm7Eq=WuW z8t-L>S5^v~+F>!gzqs`#S2IHVgV3lYQg5;y?&4O2;l*2F3dLf_E^i-0%3fLS z10QBFOC2u)vvoPuQSQ`_k=Zv$90OIazbXJIgEqo$`y1{ zFw9XWmmiUiZ33~PPw{(oqOOKu(asGX#3=tnBO#Rt-QKH{Ynwelu$Or+Y=M-b1?eVY z1@Y7hpzxTQ>jjlKv}s)n+*&`fT2Yp=)D#Zo;Oy*U)1nb*AKFBCh(f4+Y6%kD#S{Kiyd zc5)oo;(RUhf-{FKI&v3a!?BZrKMos@#==kfciCuh2Mwn`vLOXFG}or!nTTQy-&VUV zwgsvA6@f4*RE5<1N}OY`t)|$Mmq9-12;yNQR4}kom|q4E6D5s5WP4j9#(jR3znZt4 zXAt0K$&h^#z+v|JWn264Yz$~xj6o(zjZNbp(40dsELpk?dxaDO74;;&$>4 zq>nT!32##PZX{IWqU*HcMr4m$1_7$PlB82X=2@q+SKwR8BQN1wK*$^MH$fS{CSBIw zw=ENX$j3cSMIJl^K0p!=P@y`;!6cF-bFk-X+j;Bj26zk^>4vPVWLdE;H-6ap6nZc&%vMFCP*SiN+O9Iyt{rhL}eR2X%h+ z$w7DjbI{;x?x(PtAw-rBQI<5|m3%Y|g{nw~(L5jc5}5XxsF0ACBKgS9l<_Odtx4F{ zn-O(T5+luTbuaJYR>FNsy|y#_W$e{tbZg;0HS^cCDayHCX%TIN`(?_Y!k_Y+HXD@I z;G^_h#k!=SjTfyA?|fVp>v~N5MK37zGGJ9Ruq8;wnC|^E%ay=0lKq2uGu8WNRz0H2 zrD&G17m&3slr>hT+MtuD(@NB-1$)JcRE$XO3=+|)dkSQ4+UYdvG67N}kd9JT917wYlP^TCek8oBm5U~g`R1A@Z&^N#83K84((jj=NqxsCSwFzJQ4m$G|W!wb!C zQ6v2^E2E&2$=1jNmNU?{dkEI`a2dVangQ~n#}BA&QhHKB zD#XH8p|U&fP6p<38rBRY+$~1#(rCjenk%?H78EChraR;ISj50GBiswx2sgG0qkVvY z(H%FoeA};3F6U|Z2Iez1$7xwXS&mDFS-G4J%-*80kcdtPE}!BP=&N9 zX5$=bKO0n`HlKl0%J7cU;NLQKAbb)082cjiB@mWz56xF;Vh_P}<>l>%bHpl5M_s8e z!y~dEE0*Fi4t%vPqijT*^d>~pk!Y+9RiRVkK@#5Qc!uV@y#_j#Jw={!6jhPpgr~@ck6M8$Vl;tpihO*X zH^;=vHlD^I?jWka8um=-1~}O5N`J81otHRbZSMU9b!9@a%BWa|Ahg@*T)VAgh#p3w zb+k`cX?Vh}KryQ!#}ke)6pN4|uPJMI48@sTiq#Coqew9svb@NI?2X31XDBiLhG_i9 zKe8GB^^U~&qcNdrzz$;kbdab#j-9Bu0uR9@cu+~`u&?=SLq0O3fz5u|bjnT|FBK6&mcvk9mN7WikXC> zE&wTZW+|FHJM4vwja2k%g)6#*fw)8=afu*~OB529V0Pnu8JEyr#;lX}T$jk`L+U%$ zl5WO_tqa{neV_}A3pqw{gn>@M0G_FU3WJ%27)38`7iNEU7A>tDCCsN1LSCe(FHkJw z4v*IvifKquN@}WFDTZ(<<}ef=BE=#~kr+i>l+7rrI3wZ_W<(@LQSccvBK82?IdA8V zh!?9$h?ieO(XYaT8VF3cZB0<)&VEdIMxe71I&v86n(rACMufgX=gC5;j8v)`es0@B zpxC6N(l*NI8H%JdVxB$412q_+T zZ?D1uq8<6fX37nmL0VL&!lxv&$!tO+h_id~U|G-?9+Fsi(9>@i!1rdu5LU3AJ0<+U zK=(uFIMyVYjL>=9Ax!^!C2CdnBRulPv3dfEnHHe9=1cCNc%D!sm+MNV*ip>jQWVt^ zim^yB@)MRKGbsLI8x#lZ;0%hhIfLSHGAL4~Hw-_I=2=S|5SFEMAf(fz=uP2AM8rOD z_zm6WUkH>vM0Rh-IN^5&`XhwKL^T}wc<+f5 z>c~CDr0!zmr#6ZwEkM!vGp5x| zp&X;AiY7Cqx;N;~U^i2iZn}g9(gkcoUd1sGm?3I&D}nVeZp>K5KnEgp4Jm318wr^> zL#)}v4Qt*^CR!bgQmtmC0*ZAJzX3%RcZR5BDB@Xxyv~l|fOIa!zX-+1AxP0kDUv`* z>R}6%rf_Bj!zEjw6yA{pO8iQg6|UO_O8f|N=x@PPGx7zBZB|e>{>Cv>Xu?2a9%y}R zOV-HW6Dp(_E~8dSeZQS>j!hYY%*H!=uQ zC(>a%)Bb*DNS&1AIED({8R#s8*3gQf?+Fzwh9cD243zL8m2j2DC^_vIDvV$#9!H8s zdy00ULJ31L0V!5divM<~aOT}mq48}rkZL^NwYvrxLWO7z6xy~A6(j_jWWYr(g?`0V z(R)IL>yg2z)k2g?N=ux=oD+?uyX`{-hGOh3Y~P-uU8wMap;(L*6_nz?9V!?$y%Q=- zVaml$1iBJTP2q$JU9@42p~6%KdJ;lEVNLRVp@KK5Q=3hsTF&YTLWQmwK+!%_m`*6> z5sFoI6zxNWmV{y%QjA=|Qv7#Ag&0#9-0pCE9VZ04K9H#^`wHkvX^}%ZI2^xzjuaEL zG=d$FOHTj5I+WStP)Di<;zzwjK~u)ze)VX-zFsj+gO%DGmD;yN;hdy5?~8@cT7Unv zb+9rc=gL0SG4amFZ8^JiZPpE$fl7Qs{hF?A+HA)CAd(_sT-UQ`?! zI4NppqH1%0cLSu1Y4|(ju7)l+1hKcvCZ(|Gek8onTg3maCekc>u?KFV*PO*#WzCKPmYz|rlY@D-` zuX5uJ$AQcL5SmprG8(yoGWzbm$L2w^P(!t-A#1Th7z#gzB8>L?9^a6bbxX13Kv=qy z`0zdZ9!$gT_W(|iYUj8A#=ZwH@|}GT(+yHr_#yU@lI=?Bx27BSvHyO>cg5fnObox1 zyYy-@m=rfne+_)awrBA{(B16NETph}6<|wr#5d)#20XsrCTU%$3 zlij}+D7lK2+`5_yyp0CMyAO}Zbj~<+mK2%HTgf2V#;NM2q`YMfij;f_%Tw^+Jo9ZP z+ys|CwYpEQ?Y1+~~+!)>(1!hW)x-GCkrlbqzg$>!~#yPnyY&aLCtwU)o^yHRRkz{^LESix)RMZw! z6d-NClT|g7XLw9J(Z3oixhjK{J1qs{{L{AkQ{UOS) zkd`Bofk6UaQAa9dEglocxeLuU(R_y|qG+ion%Yqxgl`= zuBd+6=Kx=Z#-RI(H3m37FWQX9=W9+ye~kxu1{2`T3%Fy$(=&KX^%#oB9J=jnv}idV zH!S*KIL!8^`T_;Iw^iHhG_(_$3J=LDIx1!J!-);;+^~?~iTi==RUQS7X-_;HRf%TO z6V*~ndxALwI;|uOYNB3&I*eBq*wk@k_#V_5sVu|uXAO@Q&u~7?2v&U@X4}nN!9?

    }c5z>0SF!=& zgP09iV2qX80P_`gLy)T8mq!J&A=rQ~yy%9b1fPueIU69mtbGr7l3W~40Vr^|U<0({ z#7u#0Ow~+5Nr*=-E%Pp!g0w5_`ka({vxQtNy!R0Z^C`xc`qN{(vb%L66Yi0iSuU&tN(;~T^v{m-tLOj#5*^-FbCS~ zMGmxy9O(Mfz2HD+>qjV%JBag`0cSd91mzS4(Pv@F=yuH+s_6Jz<@I9Ow_ayDdH0;b zbb$0#$U>j#swJ~g{A*!HNp70|Hi!Fm#XDIrgBoQWxZAMeZp?~@`lvB~VEs!cn0Ax7 z<=k&=d*yv**K=Ce5&hkit4ca<5?1c$DOkhP^^*m=j$ePZ_j^Fgz2F3l_ur)OJ2YCd zJDoYnR5z^bQ@GUnah+(dH)aF2CU6DK_4G+FK(0F#S(D6^X0-YprLALToN|6lB(Q}( zXvJ0K1fRo}gf7dUis1{~yy%Z0Iw_<5>`gJNqli=d1WWcy%%vyBc=0y0lA`{R+)Kuc2s`&~4)!VSf6=c^|h;Vw;z_ z+~%dz`ku7rdY>2N{t_}&=1$G#LQ2T2BG>1+ldWgif7Lc zIn0YRyRBdb9ggO=ZlCCQA9K$SiD6~+A|>|x_zk6Yd4Da{R||?Z$G=R`G?6Oq(|%{y z!}IlYrQaA%(i*!+TI<#SrZS7Vd2e~7&`skXHIzd?{r3Yb;UVn8pTtiG(#8x6*EHT^ zyPB^+8ZKv8BBpV#^@zOtilBhVBwuCs6;xAkG_h+up>VCL(_XjGTCEy9-~_LmH5Ns` z`1i`uO#dPhXjcDrl-S~T6?*tPCPFkWMMul`=TNPxX8WBA(Fg@G)zn3oO*HR^1YL_q z_w1KbMoqKT?K45TTy584vG&}|)tnAPmikP9eK`2ajD^S!_derI_%2U)>dQ=$4 zF4>4^^9Oq`G8fR=CYinmH(X0d|4<$Q$*?>1-xk!eqQZ;SNXM>7JX^K;DDlAmk@qH0 zO$-aW|jeE!*&x5JQ$bR!_IY+j&2v4wbXZ$sa`YktR=k>q*$tch@!&EoE`+VB1_J zTGqes5!{wR<8Subyju2Vf88<<8%fLKVi|K&$z^>`vLrrs@<5~h^tQg&^-DpPIHvtc zwn2iG>vQ#=Vk2p>Jv;KA5-Xx0=389RqA@VL&!U#Y2LZh+uyL~7*{8gxArjI1SrUd^ zR&)0%ulWjSoIZa3;AKlK$nqg__+mEJpmF-HW%3-bl*JK*5$AX~+2Hg~2ChUJ?%uFC zJ+>}fbAqNwdi=mSj#oODLHfCU|77i}?Zk6ut48TuZOg;Q3(by%cT33y2m!tco ziaYLNV{^FnoZ*6xBjskMk^ZTNsk--w>7M~YEcAb3>j&x=>5m|Nwvqg|CGIQwARekA zOEIx01oE!G&BbZfwo0o__2RX&>m!>dS^SgB}@&~ zbs_dDxd^81gGO4*@9TfW$|3GM6M+UvG$n6a_pUT^}Q`l z0A}e&AnH-a1>$;m1j}fJhB;CUdZ&|NW&zpkW=FRg_!h34!Ra#$wi966>03mu0yL1H(yG7rnvAY^}-v5WJ8-}S7CSLErIXXe0+`*Iiee2%Y= zu>HMouTH5l@FFa|!Dx&wx$bz8-t)A$8$7v8gS6C}f54SsTBPoKQ7-|yH6MyR zMn_nU_jA%E*OvEl=JJ#yPqEsukvbw*hYXy0??KZ*jAe$xN;i8YczP&Zua&{QNxd|Z z)Z-8PlU#@AJ@$o0iXakeKAK%V95+!K9|*2_C3sPpBx2t^Hk-49%c3%o9)5)hM+JYE zn~Gk2qBpT4+*CEM0avR;d$p{gl{dT5Uc{OgfJe8-G-7=In(j@vc>cONQj*_zG20rl z!Brh8PHeImt7#UGmjW9OV1>ovs!U&3yB-5^37XRNQO8N0vv4T*av)rd&06?*u1+|% z+}iHXbEQ{Cm2(rYkru?~vs&U)?rQh>7!xO(6dm?gYThoZ?}z;rfPe z``;C_){+~;Qni|&a}a*T%j%OCJ0{@DgW>+zXh+-;VR-(XT{H7;kbGn$t^rasuKZXu zChox5{EtTC+6y|}sAtwzS$iS=$k=CS73jtfu+?FEL-)a^_BZVG<~~NIZXvLpa28kO zY3P0BV?h}7<&C_uV-`2qW|wLHJ+|KjTmZYgXm6j|Jg@ zPX}S)ysWe)%dCU2aGs_Fex)ExuPq1{xVDK{zS9(hHn)u}%|%y1uTkTwxG}cCRUb5| zY&s_`7S5A(M{EeYS-o+>!+4cmou_B#fn_b9i7c6?Dcoohu;{j%9n`6s4@92E{P^+b zJYV=klosIHw(*2r{ObxIh&YRD*@_-$$_{)mIi;8A;c!fpmKU-OXpnZ|EgOk&8^vEu zD{(e(&ThB@%#=(l2^nWFiXP~7Kpwoy&T<-Qt#BL$Y_R8-^WJtA?7qRC-;^h&p5KwJQrdr6&%LnXxT&kxt$=rIlozpP zVh@?R5v%7{K5e`b;*~iy*~8R(0BUSgo8j8O*G98ok^b^?rj8p3x=`gI_{Y?7JMM1P zaqA!$G5iSGgyG0CuYi{^suOB;)G;KrUN$C{PBD!+ieKy?xcX&nh|&!PL{_}Lu9Cjz zcR_`KHGfZ6=s=#Y=YAPjNg(CYn;B=C+wj0AXY@L>5sS9ZH9+yZSu0~59NbYL3qFaq z)r$+L^WHb6|8BE8)Ex!FFVC9Qxh<@mtHcc8EQJoCw`2Z!of6n5Z>( zGp`iHzZ~UV!vn|W3*$Gg#*EP^-i2+;j?I^Qf8TaDn6OcS*W&VtP=C^4d=?z7Gx;Lc%o%#BZn9VC}0@MGle*wQzLsX|Xryu&07o&5oCm#y`eH!`H$h>u@QFE zae7w06Ic-~UidLmfe^?2C~VLrF35TZ93f=cisK_lo4sWQ#HDNwS)6Cl^!o^0Vz%RE z32OTPG+4amQxkb)apO}H)c=&UUSMt<#DB;y9qMUqaq%A#|L=PmES_VucoDuj8rYjG zPIrm3eWwT8_=nDvnk*h;u=o}8Gp(M6XIiI|#XtIP&%p&nZ<=niw)nvX+T+c(87%(X zXmNU)wPY(Dinn;HM|YXGcgj3AkR|7uf8>>(AF*{#=y6R8Z#f$tH!9BOys@tDQ+46M zaJF@37jX1_D$C(l8ng(1r9q3~Wu7{}(x4>>AG>EmHu(?j*IoOuW)(em#A*GU9{Ir0 zFs+|v`v*RVeH&*^6fM5e!yh~z_0Pi&o5ygkToZY*k60(00%L$c9yvAESTz?Ls^(sN zGk}eyk_DCfHt%3zqFCB|b=rP5 ztk_`Nu&`)I`-d1VVq?=WqB#DuHKh}|x$FzU!HlU(xqYs-ZrR1jk8tHtMvaB{^F8c> z;g;-?utr#Mm0ro&;-xAv@A=x$K>o$~_iVC(5b&b0T3EsmbLEpf(rW1!7^$6@1%vN)@@&`YXZ<-J^ntMm=9{z+O-BLNd?UaoT!tC=bB5iD6*&We& zIR+oxM~V_vfkcL9n}Q~Rw_H}wq_;4FHb@_vj`FI93Qo7wmL6oi0t*rYv0YjoB;z=^ zi(5%?@*38`+D_6QMpu;;OMP{KZEkje;QPFgqg+>YajU$V{L4&m6)Xe$2u@=(R*P)+ znggh-Tb6Xy6_!48Xg0aDx^g?~(q-Ah!KKU{a_KTJ)m6ONSoDW}GZ;0&V;B6%4z9N} zxU0Dk$*JVQw;^USd|II5_6BuzQ>~P=YOa3CdwEKx`$wK(E zO69W6oNmh(iUhqM;+7k#v0=1Q>AM7|8ZLwLID8c@TN&LO59{T46oA}tt;g*?`Hvuj zRH`e?YV6*t>RZ)q9;*gyXLQ5_=Mbj>>%HaicBrBtR9D6c(SB^s+S%Z-^5O;7V9M2e z#RW~3`mdM9mZmxvBv^tyt!@XR?d^pQmg7Jb_`LsuD z1I?xj={22u^7vDn7zDt@siBWB2HzN876Acq?b!f>~FL(@Y z@(@<_Ny9{6A?W5~&M>kkN~fZyxVMD{@cCF>S1zjps#IME`c4AhyF8tP)peln29O(e zuT?JqO;$^l(c71E#3<1iP?_D(#7+@ngrS ztQv5ypg-2M9JZ!C5@F7}Z&}k0^GBo}UBZT_p{Bhz*R&6Yn)Vabv=3}eE0%r%Eo3QB?{e3YMF5r$ z)A$_POWd~AS+g7$R!3!JU9lcjH+qk zy>7>HQjVC}-4@=qG;vmKCLTOfa9B94i%EHr_f6Bh1IG~nr48+Bf^zI_Q|)S6zDbAW zo7AYHU)kjw@Vn*m&9Nw^o^QLOCjY!yD7wl6r-sCcxZeH>uCAQc-NdA@k%;YgA9gEh zw(S?vO?&sbo7iZ|vYWak=Wi5pOsW1#@Ot3%?r6$3ztUVo)lXMmx!psf6;o#HhJ8|Wl16QKW^fk$;k=~-1vNYL6fGV0UH$j2PI$N5oBuf8rlT}5f*33W0(efhM8z1`s+u>!SbmSZQEvl-%ly%=853i^bb<TIw9~NzZa)F=YC;x?r3<#3jORK9lvS_!10}l@*o5*A>ft> z9N8Kus}>_=pA@A03W0T>Bk+dg(XkVgTG|6;ZEM}FS^0GLGy)fOL|}Pa0QNtGz}k%nd=`OulZf(A08Uzn!1^u-d>?^TXAwAm zGXlRyV9ziFP76ZH8xgqD8-c^x0dVdw2wb!Ufqk6;SUL-Vw+%ze#}Sz6jld5Pn12_6 zOSdAh27wg|5m+-EDPLPVeD0;xerS!@_Ay&K^Q!{)od}og6kYt$@lOQSEkj`CXr#Q> z0Vr!mBIU6i0a!J(E|@NJAKJNB6O?%`0gpl8EQh<(E=k5BhOk2>cEy>!+d^LplL)?h2$VJAz_#YYM>93rM*n7{zd}2f#(4NLkwn z?YsqnB}XZcnt~Y8%LrV$_Xu9Y6RXe6~%aml(lgPJX(wuAuBk;#pGDC zc=c6?JHrL*g*I2R?ri5=FIyC5NH;=RDMh|x9Z|dN5JZV@szTY0yI`bcpBJGAyAsNh zZgyy;Z;*@a0z#<>6{2xGh)bL1h?4xX2qhn8i-JXYBZ_uCa!J}rf~AEcN?9l3GP5}f zmV60ORFjCyV{a5pyO{(#M_dx8kuE(6Wgl^o|AK<$&LWhE?#Lx_D+zXwP^zaP7ioVI z>=B^^|4LlGCcz#PihL&tR!xE_BM`+ifVi}2fr15JAzfAxmnl6^F!^p0%(XsR>}doF zCJiE#&=#n^_$pa!E1|#@9Uz!+FA3J4P|9Z@m-sJ9u-$~>^PA+`vz=832qmN^a#0>2 zE|Ur6wbrpS?}aGJ6Nr*@ralHt2^<`Ogc(JGmA6Foz3!2btI1+;fB*y&q~-N zC&5k|1Y1Oc9Ww|URv3eVNiPygKX+8W@;M6TzlBf= z`=el~4@oc|LMd7-dIWwcA(Zb3MgEEeJ4h(c1|W*&FLGrnp{!nlg6Yahm(Cu@CFTvG z6p}9UKSz|Tze%v2PLzd~k}iMp_xhF$%dbI|=1q;!?SnPy&cc|Bi@KH3GXgsx5?ado-d5_o867{hOdJ)%yr#G;!HS zD6%mm*Z~qOVhp0l4iJ|~O;E7v{fNSwL|h(pq$)O!1Uo}q9*@C*(SDC8Ny~_f@*t{T z7DgyupP^vE<4LeWLYX!exyX-_#dZ+NhC_&=n?-`feui91CnAdf6GE9Xj_S*466_YC z=noT0G;ujcTi2GnM$SJ%-cKPE4WZbDqhS0_#3f`r zRk2Hi5=mUP9VNlG6Ut*kQBEhp`V*Ijgp&Fz3AU8DR31ex(hNfJ>WoH~%^)s8gc33V zbrD}BBX1*=ietznelMvXLMSd@5ts2K*fl~CUMDW6NU*2JN&REQC8IO?C3rTXsAdz& z!imVG=vKmp*on4(6H4X@M3MePT>c?0MRUn7aU@v4Bve20K5=Q%42^syo48ygl+U}M zE_MqLMYV-ciU~#kkSyjyD5WP6MfWSA#C4%6wusa}M1mDgMlQ-{fIWhZ9uwAcF~hv! zF-)t$`Kcp17LLasE5*%|M0N=x{I`GKu45L2&tK4W(W0I+BfCl?BLfr*6cJeyX#J|9LEzaX5d-2FF%wW}!(4V^rZth?+p{Cc@#sqJUn5gGuN*&6AH6TS z_4$>aFN?aq8!Ozkci9tl-_Me+gr0l7b&So@&9S?@4~mBT`tGjNBEr3U$nmqJtEzKV z6QvsWe~)`ScI>D;$!Fvv_-SA$?RNMu+FiCW@So96j__61ubUOScz5sjKdcZk*Z3FO z`rSJ&ef%i*aa~5-rQzG*4MxuOcrl1k&RbCwy&yHVTGN})tR9;9({5GC6G5COBcIgj z<@OdCcYQ1BGt7fV>3@~BdL{9j%P=mR?UqIh_BCtNmCtCi11kDm4;-*I20V-`&JTLL zBJUDIEDk>#`DL3awgwij*T%Mb?#&Pj)vA(~_Gfv-V)CSnyMZi=;jLc{GO_U3*Sk@y zfyL<_fdkf6HZ!nT5%73p;yDA0XKhD*-=?~*fko8jSTB~v>C+`cS8O)0aJ#!_%8)Z) z6V&2Gmsg|jha0rm8@+EYtHtTFLkDbRwRk@H@jlcd%X7im>#DP*>(AcUefH+jv$t~3 z-oASF&V#de|2}(Q(>SG*NKRZqlq-AqnE! zc{aKstL$^MO`UBH^lWG6KRHO)@j3uR0zi34g8b|SoAiV=_I^EEIw$V$)z0p>*+IgC zw*lZ307Qi*$eshhTL5U{?wq)?e>=NPivi$o0I29D%`66hBNuJb=cfRGhqKMl&)eBu zTLLWJrin(s0)WcU1o`h5ZFI#c_BmMqa9|)%SOpZCZ4o(&y`@kNUA7lW4w(X$i2eq?nx&^A-a6t)6D9{`8~ z3a@~|TL5U%%QSj+0Ed3DNuRmZ-tQFvbesdexB&ns0bmgTJOhB= zf3eYpYz1HR1{QOH#XXRr2!u@UF3k#DBevrWCOz~WJmuu}<8*bfvY zOb1I|w$XV*$hGYUmW%=xe}cPj0>F;|Fb4o00l>}e_6g_vIVYw^gS+1Vg_me3U~v=T z;Vi_%x}Ek31AU!so-Bi~e-9Ld--?1;^^m#@ib#;JEwa(|O}9_j=m&;c4l>jmCOqf@ z0Nr~)#Q}ga0JsSNzxjiZs{z0T0K5TUIslXaz>zC9y4c;|&A~w78vt+vfc^mRH2_ot zKzy-{uEievgfT;a!bSk-2moUN;ClcFn~@+c0)QU?V9`+LM0lOjZc|qPm;Ls*eKHW0YEFTWB^!l*f8P#Z@(3d_US3jTsRZlU1Fo_xYj-)8~`rug^2ocn6Tp! z00;ws%RnLhH=Fb!2cTYzbxtfe1Qllz$j}%}-2uY>K2Z1>0QLjGqH$0!z6Tjrf-jl^ zz}G;b3IN^#fWslE-4met9|JXKfDBiGMJ51@{R%93%|% z05In(a5n(lJOs{a0cxHAfVlv04*<#l-~s?_xeng!$}sFi>$1qruv zT*)Yc?FH-vwjc^_Tk);Hi*3bt>vOJoV?dc;5tfzLUbYa+=;l)vuV_X65;xdn2y2jX zU?GWL-p#y{qx74MGMq%L4S3=M=6D&&J)Wd}ui(Y0xMKK4B4l}{E^{G~m#S03>Q`XS z6SyR%n-3$jQN4Frj_-MUguwMGaT2`M7}iZ0_eeUw?g-pDkR=8w`vvmga;UN-d1HJ_D!aTf z9Bzl#_lEFr2f}#U5EodkOmhLMbJjvhL=&4ffI6YB=L{%bkOvn|5oy2}O&C+8vS2dK zIlgj=G-84RZcJ@r^RNj(!=E9BKfRjLpE1S25lUAW{!F_De`+I|;On|Bb5dvWXhSo| z4Imerq7DqKN6tx|V=8c&lQ!ST)nz_%Ws2a!mO2q=pLDovX^ij~yvFC#x3n%C&rfQZ zFxhbFtF!}N44tQEBtplxp{`Nr)v8~?*1TWfqsEn5(3f5Gy&xqIe82!Gf=?XiZz|(a z+51|{JgKaTTNYcF=M)QqX0G@d+-H?OE`!n~}tOnno}DrzkgP}$?!%kCiF z(@&H=0w1mm3d*odO=hl9 zmQHwYYsHHvyxPkQF8{YyJStOLl*QK7IW7aYR<5mU-k_1|8i9&yQ7Z*ks|rVSYn{O& z2v*ju3p5C!@P&^6`;&hKftb{!CD5E>{p4Zq2{@rl$bXslo*U8 zgp-&acgaYwK~7_~vcKDWl&6T~!Lx&Edp@I*0-cpaN9?7IyPzp;*bQr$G4ILM6fy4+ zk*8y=DKRyn{`V-*b@LC)n8r0>3uBj;_ZGwMbtC*9r*3UcMl zb&ElER3R03*EGlpo3~Me!iRMYWThT5fDRel*9@Ivfc6XkKtVqaG;7gh zHPsi{OHeTjgyYuFWHtKDBdGSW=UDa@^$DzjSBYg3#IvsR$pR|#tF=sh5AgzO=SO9O zxMiSmA3128Gq|Z)W2ePrpLo<*&DB`*9foeCO&xPMrK#~&Gmqg&6g|T>qf85|5q@ZP z#?j{aPKbCLNAPp1eGY_V(b{^PTIEjZT8_z5fuU@juAip&hhGKG7!#PbFjt6O@-VcK z4qSas5#Nx{QDKQ8iU%S&%&e@7S*K|QFHLe|aH7*R8K_>Y4b)7DC)UhegFB-5Eh<6$ z*f5@1>rw%kG7Pn5@EomGB}!Q;L`C9}y+8&Z>^vy=IjwAQQ%*yHS@}>8if1J%&ntnC zweqji2jH)yJYBgC$|tqdYu&NWkhK;YolTxn=riODa-aq}OZ7Esf%%11w!#nef zEAA9&CVvS)M`duJD-F<-5ZZ+c&2Bl1vqR<52ccXe;KS(|ImNk9GOE2yiDgnU3ROEW ziiu_<(IkJ>D2u={UF~IFSXM@5HDsYOo`oz^q*eT-pz#u}JF5&DhoHtHj>gRV8fo1r zwF+}bJP{oU|6&76lU{pT-&!-R4{C++tainCmcb_uq9XP-kSY7=pZU9jv3V8Bn#g8XmH<9)((P$z7MbR6pypp&Wieh^mi>k4{0d@jz@ zOH$4KLRH(#m}@JLwC6P>ZPhOfHpu3NvW1Xr=6+%DE|ObZYgq!7b>o&rWa4eQ-A_V; zWXM%w=Yqc=SMjiw@BRh+%yxyQ5LZEW#Eu}Ymf`TAZ3&9aTm^}F#&Cev(`O|fQ!Pq- zj=kx`d4VgS-5S=|+^=*>6QlVQ!%)!)@G<$UmndIKhY5$Zpp21RcOy``o$@qx9WRTk!+@h=>nfVXgc!#nQ=~=9cc4V}Y3zs$M0VWdBT>wb5WAK~ybv@>x}lMh^fJ#mWBx08U5Wryi9_YAstuUDpbTV$kXCz{k3-6ej=yXxxz2ZK7qa zE5!yrzO|OM!XzT-Uu#(%N-(vT`S~K=fLigWOl?s%t@*a^nt|tAcHkJGrqGsEt#xM_ z*;JafHfN8T7U7mr=F`@WM@c!q_A*L#MV}}u<(5G_Cm(^>wrIU%2KQH?zhWqCAXH%{ zgXvhK^-4LOO?muFG-V8Y*qor5N9oG#22=vE5W7}I4aHDrw5{xDgwlo6wK|cHG~zLg z)r&ba*xp9uDoXCvD36aZjJ0y?PlXHyI`Hl&_NSr(usg`+K)u0(Bhb5#;bYw-^1En} zTooF_^A2*h=POI7$+hmUu`Qm{$x@Wla|}X%4IfrlR?gH_GyyOOt5h~y-Fk_>tvM(u z-{p%$Mxk`iYLU*rOFl|RVIwt42M^ISSHUYshGDTLuh?bZitoF5b)&0`49!<@{R^G) zwVf_#JADhG1iep}z5BlJ76>5JoOM&Aes}JI=t4eDo)}YURy~q=ILchg@wG|m(-o+6 zIyq-4D$VI*ou=Wqf(%lljEt4eEi-iCbAq~@1E1Mq_nSSfM*oqYD2uTugI#L{B6sU#`qpna>Vcg&j{uJLkff@4(CDu0KZ7&pR&B7= z7=#|gftKePChc;&(gasFGFFg*zzCa^a7(CPI7U)t)}Zs=>lK=uj?FFwCB-`&nAE9Z zb9ne|{*j_Ewsr{DQ0<6)1)BSiQw^EWR6DLzV(n0jL%G+(hg&w9vVI9O|NM{^HvOSh7;)Q5SNiDYgw9wXu;0paeqmVIsb=H{GaVj?3SrUPf9jvD7@!G_^`suMA#*8 zS@R4=Fex~oU3n#&W2;HID4Tj7%0`#+8}lI3a3I?1svcm)a-544*XP)Z-;45)%XL6b z=dw%oKwGuy$+1;RDaA8YUBOmBU~R?fnOvXheje(X#U}J#qE^(JUyB3@V(WT_tN|DF zJO~Y%19_t-CpuDY5Qnl!KwPkm!$FeJ5c@#A_H#UU(;&@c08?AQ#?!n}uT#JxAOZV1 zGaqoAkyW4C!BP~ml$-6{lPJLe=<2q>-i^w8}mky1glHF;Df;9bX^oRV?{`C_YFlCN?!NCPbM&RWr13p345SjAKqZXL8caL%D21Mv zUjPT%=@mgMrlJm9Xz+Ok_4gXi9X1wjp9GooB?CQH_#ai2r`;Vu&%+Q1c(jNzl@sFHuS7FJ) zbMS|;7t`98CJ~i4KwRBlXab`fS%1CY>PvIXUZ_3~YM)7c z!SMD8f-x+F&<1E>2HulHkU=N?*y#YVq~GDqF zCEVI3YUqL}V_ySGApDD6BVb$|FxL;-jk&%J4zELc95&ZBC+8I?r(!yM)W5-pl~b}D zo1vT@*`S`IE2g5LTu##>Y1kauMIk$!MP%8*8h!GhunU^NH5D*0NkDrBa@&0Wfz!~Q z8nkB_V`{694bqKZPHLExNQ{3##?y$gVRXf){V)yQ#55?Q<)q9t zoV?E;G?M}Z*`;&YMXo@0+WHuSd^==!8(q%o8J1^eH;2Ox{M@4%%sEG)wGADtC_cyV znzb!a!ewaqe2(Ga;Wza2lHC9+X+vY?-rPLdQipy{pA8@N9r&<*hOGMKAc)7xWDw5K zrdP^2az?hHNwO?t8q1NBH8+1S%{K*-{{J9#$YP~R?Ke1GoSzHrfmVZ^l>7a$lQNn* zDVHB`6e?QlinQ;dLRDOaCXqrV)Jb9L013YV+)j%76;!B<6v7)a%?jCc&t40wX#-3( zbC*Tq>v01S<7c6)&pC)%T7)w+zN2e4zKk=?Gd)8&>0)TBMK~uh(e*@Us_B+$YJ77# z;vyV1zTnzo$>-3T{VU@bI46t@oQ+1n6=t@=8+x-Vt1)qjW3a!Gk6jkl?x8_37-ii5K{ppZYK&Yq zf<$|;FLOVE!!p>w@-ebh0w^n>ak05#7iQNEm|YXPaI$MkuQ$j-u@F9jH}GLuXsEmN zjLRZ&J=x$XvPc6^mIdUyY}E%4=w&NYMtI3ZR62{%crOq!(-Kju5<)8Z*jO!fJt4kD z#B57My{aJ~eypw0IMdqGYedZFApWPereAZKKv)DQY7p6EjsMWrXq~-L!Li_@xC#zC zu#8>Z#vtd&)+Wo0j^Os5v#a}>@x5GPqRQ+ZtO3&z$z=R5B+5b?f~gPNRQXe z&GmI|b6u4Y1b6~`iNFI^#cAIcx1mwhtI^O@=OKz3S42FLj3|*_Sobn%#JiEkBsaMo z7Rm3#WKlldz68`AX5#XV5hb>+w8?u&4W;I$v(%#L99@j5A?6NXdV*bXa*19GmHVrgvCh9-cf{dGiVG|#P2A*LUf-$bN zqOGUqw=Fimbw1}dzrphmrECeJ%(NP{1rs?>Lm7=$58}vW<(o)Is4$Jt4AXg*6KBNS zdMr)F+T8`D{2ktN8~>TVqca3| z&_u`BxchW7F{du}S_S_gO13HP%9<5|4#Kq@9r)w)C{Q2@lx`HL_SQk$#0ROBQ2jUi zXr9k{$mjtZ%$?bkN%3f9-cpocuF)}V)ZKY-6;i8#-=e0=B4rsssBvkAtr*(nXjt`I1Cn`-hS}T)f4Ym- z@#0(q#-CJ<_N6yo({U>!2fC+-vkoO{)(<7EK(Q*I4`Nu>iYljF zFPI}j2Is+M0(qWsO=1$Qsplgtg*7d)!Of46Rxr3(8TpazV@)x~S!^E*H26#cO<-Ik z?J|Ic64+(Xd^6M^i=B9jDE1U_Ab`3zbU83dK%JD+-j5}DoGodx&HYie_b5&bOOp-N zlI77rLGUj^t21F_IJExsxl=yH)pqnF6oc;;sQkZYJBmMFG<+ke(uv z3qE@>dvN9Z zZXCvL9(5MTtaJ{Ys;4+Xoq3J9NLLW4jJziU@4?_I6KYM!=w8L<>GEaI(Z%Ux zO_s8#e%*edvega)V;KqD9SfHkI^^usUxJ4oIy#weWV?7y`4~_mbK%49U_9HBs@Wxn z!Pkhjfle4Lau=mNAKH-(OTl`9;jPX4EbX?)(0U8edgA5ATwE-}XZ`lcG6YOxM;CZH z^?On3%5y+E<3+0Z_Px}?Ofaic|7tkSk^wim@tCCoW`Vn3r$qLAXrAoUb9@#nm{zk}7Tsx<1?1K|j@vGq@2sV1q zVCOWhof8gJ7r;HYTsx~TWuTqq7vPiIiM4YQKKvOpuoYOemncEOK!z@n*uvulD@Tv# zs4E{d206N;x-(gI%aVqMW2La$2**U^o22zrl&6kF)?4tyJ3CePhN}2RLr;j?{+l8W zcJAilb>td{bDX8Ywd+pq7vK-J4d1>6O`-S(KI*Af!R$Xh>=i^r>H{b&Yi15+r|l~cNr(0W zBn)PSW{XuYrx<|Zk+L9?HL`t;VD_8W9j@5R)!#{zOY~`w$PTXtGBU5wLTRYV$ z$elyDxifz&Egy%$q)c2TJ9S36bD%};T+O=+8tvic&dOmY!J1CTFn1>AadYP`tK4bR zT@Hb6>H2fsu7kj3o8Y5f`w7>#I0Fh>B(cF28dNy-5`0u&SlHPjiJ3b3SAIrTx{dG= z;I@0?knfs498%l}Zbl!}elh}0!6=d8)>Ay0NEyAGMMj@3s!{8|J9-%9=?WjG&)}vz zIuuD6y+*BXFwNTg{6(}nX!i$Tr?<5TIt%ZM1iiY$Gp_~y=KGDT`Dm;p-u$&!D zL`}f?IU%z0G!OXS>!(|Lf6m@103(P&W6;Lqk9a>_1X<8B=bu`D#!&T#kCU&3F|55G z-}r*H_f^rAXpBsf5Oxo9QjUf9FdAIP&7EM8c_RmUQ}?kBb{|>7DQ~(V;j*3ZQ6D!cmmXoE+)u1> zcm#T?J&nnE^L9c%ew@4c#_g21eUMf<3Mn{iqy@u))PJB!iwy5>9u8#fT#xRUg6iWw z35zqxPX~viFq<*t@8XdIc8BjyT92QENHH9n=snWVkTb30S``<9 zHqn^knvJ9Pi&1nQx#%R=gzbD)3C0i+yEXQF5g+@|dWUd!K*-*`T$QpP_s)J0w=kx$ zHq?ksS_^u?@DHw|H`lBOabYMyFiH^5hJRYyN|xdK>2sk(unqMO3^jYX%Hu3*GzT>j zfiP?v@gR;?jSTd9fS2Kfp1K+WYY?}u#CXzXjQNP|1zXxEu!`Mrz-QS#*xkLKbpz4b z<|s&%I~+bvnQXqislqU@?i?1WKUj}&z0%1OOOUh7#JT1OS9AGKh%k#0uBNCEtl%UE zy?u{e;P%pLjBw+;(yhh?PEB^9M->O*qrPqoM#E6kVqD<&B%=sNy__Na;CeSO?q%2D zqngFWJ#|ZmSanN9_LZP;5ZBZ(>08lJMX0dGLgD2W-O~I9RY3YXZnrdFb{Q3(i3;Dg zP&mh;TPoRU=OF}@MuvNubBD&0He6_tZ$8U6sb$pt<=jE8GYxW$wInlr2a0+dQHod; zHV|hfPe;@Jh=I6}6Ns7V#3#pqMDrToG=l{Ui+Ia20Nq8PQkHU~5iqZDK9f7IQ9k?) z7-2Wp2<5V0(FoYL_pM@$uma~bLM)5`+2gw;sP-1~8d3QuwBQs9t!1+Z^@61qz2KkL ze{MYp{oLk9^!H@=I6Yv)oO;35gHX?#HG)m-fRjv~*s)N*_;|48R2}=(D%dW%toakL zvap}ekNsq@Wnm7q=!@=NosY?+5(gF10md9?7E(x*eS`M1tsb;=!UzT*pcm~y*bzmj*Ce#Co%su z58uE~c4&#R0i33)=YDMQ%gC<(aME%~@?Q8|a2grpaT&DUjz7IX1}+v1DD@Sgz>5A{ z2B(mLq9%ii9mwD(Rs)ztTi0PXj0Cxp?I}GwqTACETTo?myE35WnRmHCZwXjDE*Z9* zQTmOThWi<6E>VcXXot@8kPWS?YsGC4D~sbwGfQ)pN>x5x0{Uu z7bE;rwvred!W16|v=Q$ZLc9cvdrhakuQiVRO2TkIO9l6`3)MFz7!|?jMNeO=7FpB! zgh_*Z^h~KTxOvUPz7@$Ro4N#LdtoJ;Q(tehY_CAJY0X`|i*R^O2ie)CyzdZniUKuI zR~wzeww9`Iicmz6K}1d*^c_h^#|=p4_TN$-b91a|eH29`kIFcKrurtIVhzQladu^D6R5VRFU`P9z)c6@(r~dqID3itRUGRFCnTrLV{2O7&Hg<)zVF%mnn9 z#nmEC{rpfpDXE8>ac~|%xsU?2=Di}cd%^Nvkr#m^<#ps!ZRM7f{vEfFzpDR>*n1s; zgakxL0_(}#b<>mqeVhMARt?KxL|OargoV2fw3X3%b;Vi-xVnK~7q>OJbB$1)Ny6Pk zRNT18rRn6m5;ZR)%^Pl^jfgAh(UQTgoD+(^vE=?A0aT6KW@y#SIAF<52_9rZpJu;} zjWb_|Ek>5+u&8Vuhf-i_jdNLRPH?#?dJGHMpTIy7z==EG`4|@ICi-kxRA(N7-wk#e z1m<8n`<1Ozk-?Oj3?#@vX<{%%n!lw#G|LtTKdW~Ch?Ot|u|=>wg7Q#iSj~8m%|v^| zlRX+4?V+sPTl<9taK|6+&%mRars2~FGN|VXR08++a19!|p&VsRLs{c}|MQ~i?hSgZ zv|5Ch;u<7(kf<4$kyTgc4{6O#$yrvxv ziy2@=?!u|ho|9F7gcK1dGoeYJn*lt2jyO!3Laoi_1a=JWe#GjQRni zv5ce=LS->2wT~FwuF2pOT4KJz5|pI!rfslJQnE+0QM@~dJ;7K%nUOVuE@d>uOggy+ z_s>$2D)~r~qGKPHNh-JlN%0m!5VJq&Eu5sIuSXQHrY`v)hV_EVSpy~!$#d306+H9GJ=FGzEQL0Q!t`UC8 zZE3}|&;Vtu#H^=6W>5=5p)edm0m4t!J-J5s1%Hd$@lZQKODpYKZz@L-?F=Gv!Y_Ci zA)PfKnWH1*XR`a>X!lv1@Jrrv1%HY~L47#Mu=OTC{E0yZJZ^jk*FlSt%^_)U7}(E6 zp5#~y1_pawKx$|&Xd_Fft+o!ourElw7bwddHvDR~ktyTJ<7H$MS2q0ae~=Pn31|O>ESX>=Om{`A_|`$bCpi{fdldO=wc9twbmm2x(6L*KK6fBNFZn($ref zOqqNW9UD)M6@RRaOqpDOP`sbe6=JKH3Ef=$kPL_D)9j1js+C4P2Rjuo^$V4KIa@?} zIl5N&<5zd*SAT{ZIy4!LdR}Z$pmZ!``WpV;bx|9y^41qJeK;FF9&Dy<#YzmA_#mrF zQ?-XG&20<<(Ry=}`(QKeF4h>3IAJ{X6zZWPJ-k8O|9+Q9UI&arbu}9CvXv2?<~>HT z3M8v`BO_Yw5~^m0~u*y}hj2e!^>8$xG|?RE3FlmCj4Q~EF~|4G{SMK#_d znFx;m_}ic1u80Z)lG%Sw3yU#J#G|1h4$WDjXx}&Rllotzc9WBaB<*SGv=SNESul8r z4DxF-D8O}h={clk!JtD5{3LjX43=T_qqDOXmzxC|Z1NU)MY1+A1elxkO&OBLwd_UP zUWK_=_#7B{4!5$DyuB~7u0rB2pvZr^xG!?=PuM#9RReq)D-7FE+@68Ts40XlgEFG# z`)luSH?DEFQ%cO+TY$?ukJjjCj4H^z(-OCX#1`X|@<(%#OGEg0JYxeDcBTxhxg9OF z{S}mUI7*vsEp7P<$}S&J|00kUwxg9OlYpDWWi91D6E~p+w<*%BwNciwo7SEVK@37> zT9z5?3>tLXI(Ah%s?j52^2nD~9?=+N9*bo1IiaQ6K^xWs4M?2WjrINugyR|Oqd9gf zI&3i6i5t6`_M=ecKx7bX!NBhy_{pm#gO0xgAssSM_vBQL3j2jYtdxcmSK5Yl<{G-& zpd|?`Wwrd+1!Y_4IG)={&N#Hvej#uaG=q=FTh`C)Kxs)Ux5kEo|J?Sy?0|&SO%WdV zxm%3omU?d|LhrHixqT#F>BOtW`0(uv60QZ(41i4&#wCTSwM(qWN0oai2B)Bd`%X-Xwi`74lF|u*-y*PoV4&tic+3g}?$!{1rR@{0z)K|o2z(rYnPv$5uoVF3 z&PK|CDG2-of#W|%VEteOewQkldr8s?fk(ChVE!ruPFst>Ga3P~=a&fl^a}vCmDvMj zQAY$G(iSQ2L*ST=2>b&A$B!j3h9K~K1di;2z_$^Ye-?rBHzTmf8G)xGa8(eBaRhH=_JIs$iU48XeO2wWjU%3=oq77s$;YhFm%6@j(85jc*3H)McQDn}yl zR0NJ3j=(oNAm#pFfK&4KBJg_z_RK)anhkAYC)#dDVErfr&g%%ks_{r!b^w9rG(iOq zBXH>$l=(LVuAG3t(>?>>+}Q|RdI*8fA+X{M0&g3KV!TFRW-0<#ATWO!0_(p=U{O;5 zj?W`8f{}95dH^g8Mc|p80NDQ<1gXdrA8h0x#=~z}a7XcOpFE1Oitgu;Ol;tGB#gPDJ2Tq?|e*fhTl9 zF$$4#=1BzZ(+tJ<11Tp?Mwx#=U?v)YD-al-S3?C)Bd`X6<6n}3Q;_mC1kU;zfn&R( z7;g|b_#6U1Yaf4ilk@`uS58IBjT}jSIaN1X6>)dLSQ6%n5VyH4(kT{W9g(S}h|-Tx zlp%!9QtM6rz_l#)xb>RPP6!0hu{14w; z83q5PH&+}2g7CLjO5XqETPri>%vf0agDY$a$aEkh_gO`QtkZq+;T3Mf`zp}D*f!DL z<}q2BJa>js_T%#bP@c=Xy}htv&E1MM=VrCCVg7=rPGr%u<mgAeC!`-TF#iC$TISvKNTKdcq+1eR6RUiKrFz2ug`o%re& znQ$k*PB7>W51vhK=!y@NSMo+jGfJihn}VFT?*S`Mr|))Q9Q|?LPIP8rTd0 zR`70J-T%oxRIN=2{20Z)OV>YQfUmLNl#lPy#oyWE-WlGd)8lJx4yKpP{>jU^!;9_Q z;#q^D%p;M}%EN1tPV*1Cyggi9ICpHr+mj!s4?Yr7b^34rb4~aadv?D4rDL7bL(jHs z-eRzy#Hq+l=9X^U7v*;6b{CJNu-M-|-6QwYaC>bROx{SVj-h z$)n${jZGKQgLF2hZ>5yfTb@d<#g)3nWlZr(gf0WJc-s1vpJy1o78eC?-nDmVLl4qr z^~(-CJ3>Nl-X+{QKk)IIIz|@HdW@WFV)4(~*xgDai=nEL)^+0yEVc(`OzBWn&%k0z zt5-vGQw=Nx_4ZA7Xm4O~`g-8m(LP2NKM#7mC+BB_7Wk}Q6F< zKY!ct=ND{5KK&)X=R*VyMsS~w?q_H1XTnh7ttT?2r_03ZPX-31$7 zIsk})!l7R6?D%s4;5M*04FJnR6U2!ZZ4_d7ZY~lYtgAl&Si}Yim9GKdSvRSR9so)J zAPWGZJe(7yzU}OoIC!Y-1F&cXugS##z{?9Z3ej5ooN2A#VJ>*E&L1AEvxNuiW_1OC zu&@NCDBnhL001K4!Mgat0FVLz;w>U31OW2G62zLHZ4|Qg@Z=r5M;8xk)f2Y{35ETD z0z5b8QV9Shpynk6fam6Tqk%#uP#6hs;_dO4mOc(kP-Yg`C_*>d*J<^cbK>l=pynYk zRPYv&=%lxli49N4dItc^X8W8$@K{_rye5}>3hWRA6kY)fziA2L4TUy};4St!3_SIg zJ`F4hFS#Y{1c0#aQWtp1O`QFUjUsiceNNNfU?_OWZR;IiaT?>EffbY;-^68Yh7kyVE{<>7z=y^+a`X7+xgZwD z8&7e(A+dV9>$zYtmQ~bV7K3Hw+_IvL^_#et(^zC1j1O!bqRhJ8Q2%HfVCf(Rob3>WoVH~#X@T}~Y5+|6=9cjlu4R<>-t6_Vu ziU-^NQWK_|^^-a*K{@kL&Q#J5ccDg^4?|`Yp@IBop@BM}f#84@YrKp~G9C>MYn17+ zESC(+mgUwT=b;4syVY9@4vRDNM4R#sk7>fd zDXn?+e!;-t!|X4+9VTlzKKJBt69c1^rdthx!6fv;y>-pDabjd5-6g5(4`c=I@S&q> zS^XGML@w;`h}E4mA8OXt{SC<$A=wHJSvIDeCI$Wi6zBymHPJH1o3Ttf002Hx=2?4L zFiaZ5rZFyF1Bo~cVm(k@@yxWE*1Fk9lq>jG0MuPXXq>2i+JLEGM6hFOVZ^frjJJ!( z*_J)~aIs4!1~9V|KKwNJIN^3raJ!I)WA`UY+h_sP+%Xk)*t%WTKZ7SHqDj%&4x|mBW&vT>X%v zfa@ewAe$5@1RPcYc5Nz0ffd>CoENR@!hSiiR{+q7Q9pae=o9+n!);`4MI@^Y${HPm zva$;f^Ofiwo-Y#V0Uy@WF5SdRp$%W`vqswh>V6Dbisf0rBcAkT0>%{GcBOsBi1-Z5 zmK3TMn6rYS0nM`9#+jQev}~?1E9BbRG_iwOjBZYaP=k|oJf+CxrMiz6VPrcI5bF?Y z{e?^&n+9+=bU?Ds41Li6Ek|fRPA;*~G8^>O>q@9CVPXkL|8*rupJj(u$r6B7M0^|X zP+2LTBj}L^N3kZ+VI>BoWd&s`^%Et{UnOIui-Zq1eLWFjS?*G9nVV9wk!V1`ur!{g z5**Q(hcrM;hSNsq8vKAlR3d*m$;L?xx&~*k?ByrQNcfs~#}KdD0`HRCF$7$d7%&g! zpZwj*A>|E~KpNyXnK)6HCzf?PXrrsoZ<0G+cu)+v?TI8`Czc)gjqfSQm7FhfPVC`0 z+Hru?2mW~7$fh@&l1fzca%Csj@Z(znOxcvgx_9sZYQ==Rph&d?K2GL}H+TTOPAUIH zS!C^HxXJH>l3OMbh=hNEdK>Z*Ig#i@^Mo3_QY(w=;L(f_4n2O+KZYu{c~b=6gWi9O zxB>|2SpV3n7s12av0lbM!&f@m!YtjqMl+Prj8Z4615M8t+jw_*+PVi*@!wkbH`7;Y z2YzlpqRgDUU;0yX)*UUC3?H+l;(3W87bP#o=6g^;lczE(Q08=Jk`8wN}2fto|)Xgdb3`3Pw%0;90GWgSClYan2%N5jXQ zTMVy+iVRQJ(2bITuK>VsdGU7=2JE{jva$iwmr=Y0v$ty3&P+DNK(=fUAQ&E7!j)!h zob2!&(vbVQeoVex$?09RZ-~LhPk}&jItU-O+DYsg=*1Kzw$QVQ;@d!QD&uI|5C}!w zcLWK#lrG-}|E|*j{#|762N^`O!U66;$TM7GqiS1#`PthrfA7r+#;Y0Mg!2QhBg-X z;--^|uEPP_*dBJn_TOxTkl;X<6xr(?-di%s!VapibMv?AAd^oZ5wB_!NAZnGX zRYKgS#bFeOr8A`~Q@tL@Dze|1f3uOd*hs!SR`zbo_1E8FvU6Vhn$CAL-X7`7Jk9BP zmO~JV&p)Q`@e%VBV3wvvPhA$sI@z@RjYB$_7jwgDHt5CmgG*GrD9cSKfgRM7Bh~M0 zIjoe%tMLq~Ty0?rt62x4hW`pxHAq6Rj&I%;d)?#mF3!#{(^p#FC!QIiKx7DrpFm-f z1R<=mlNz@ITiC)zXcX5bf@Vki?EAI88dFH+`fb933H*`})r1f>RE`fHWbM>VykM1n z2ZiE99lJ-AFo zz{#ND1Rq9nFixi@Wu{@i8uek{5u7|%M3Rb5E4cULbld#gBmKUdA(n=Z5WY^>;V zIJ>;0>e4xTN2c)Ay<5ucU80`7d)5O^Mcc3~auUw^y_?s0euWItj>o51)uH@ln>dhcwuQODZ|W6a~X> za&9#~|AfS7qRr`c$Xz>suJTpH7Nw*YJsWmCbWTWO`rKcJh#Lvc|7=Lg)m9!Vhcwh)*bK z+hmSY%bhNK#sYauZr?whWBYPjrS)~mYt z|7x4TY}3+ke}caMK*N8dU3grty0rOq=0lyq{cWS+;e|LESeFqL6;+5XZv#g__mOi1 z)T5Iu%9PPjm+fO&#D6JEZMdxNh}UaVs&|+(5@r2`tCl!)RUKC?V&Ft7!cba&<+LiD zu9^t*{9)GN@&4}(@R~Jd0Q$oHlz1+bacBKhxfF$#t1&+YG8U# z6-7R{s``PIM~faE^7tHm$?)L;7`3Lx+-1lY z%3Oa;ak^#>m0nfgaBF$MT^?@a13d04sy>9Oa!~uOoi4m-20r=&_$XGMv2 zRl>3e(WG;(IM5VxCEI^C0_T<}2>d>45e#{)23)I|sfsV# z48l|Uo1;@q>s8**n502VXZ%kq0m0ELU!`9ey&s=cwH@Nq-gj&Om$al1EQ}p2=GJ%6 zqa}TzB8xHpVU)$Aw@^3lPkdzivB+cfR3G}WmPl2&FvkBUf*+~}{#5IqwP069Pt^+i z=RQuy;Ngd)+ZW&f21$| zE=S(3>mL@F^IlIU=56P~pDi320ItImo`zYJu;I88TAmqpl)@$6CQ+V~LFl4s?^`;! z+U@phWmI-ovMvqo__ynZv~aE!WHM|edyVp8B7cDxlI)kc-UcS71*{FAei z<%tmn={ASxK~))*+Lk^vP8|Bun!b&KVHB#EKM8$FunrbW^$GYjOFVa`cMNf8!ahMC z2Hv?%60^htz`F5sTZLH=g(@WYC1eX`6~Hh~P~(Xh8;2(wSZCAvEzfOIq)0 zn_HSNXTn>_Yqd>V@4>s+RnaE=@=ep$Z?~OSJZrTN*f`ar(hL72uZ?Nb@hCv%m7BID zul=~a`-ffrO z9Kxu)yn+us`wrNU`U5@&-rXx0LNM6<37x=acx6FOSscB7Tf}9wZg@!sh4PR^{7k2x z6F3`E>E?*pU^!U{FGVl>u3;7INXMNaLj^wSy$-3Y=#;u_YA`fS&>yYMZHFNb*VBXk z=fwG%O=omxOKs8^_f14p-fgfduw%&>%=pPaW=gTpwkyIDjm>#GuI7v6Cn62DXp2f! z933$UaQe^j@#nmhf>Z!Q)O5sfOq=}?HLI(gi+-QytUCx=B7;h^HIcVHpTpnB?=DcVR65N^Y{)WWH&?q5ujc%!o?z}sQ#np!PPf{K} zl05-11n@%sf#4!qjNy@2sO#4!14epYkQSH_I}O#fLtZl95bc#vUh+kIg&L>4fVXOS z5vsgZx+E_sb0Qg72g$q^Q;DRR9n!vcAQ?y`2lLVmBB^NQ+q0$sMtVMw1LHta5%~N! zM0*#BWSMABg=9lQ-7ce^P%q#AK59nXpirxWS&F>W73yL8IKJ7Cf`9Zd3p5&u%SUY^ zr0F`sDGG++;%4F)a@ZcOQ!PJPq#KdBPq z$ftJ2e;mJteC zTI_HvV7(-LmI!8HRV&0TMksTvJSzm=tZBby zq81tSLF0lz$yAT$yiu!vTa>`aGQJ5<1k=aoNeuG&>yIi3#kX3(R!$P{Ob0@h8nay(1 zQkkU@w?U#>+*I;Suc?|y7ERRYdiov(Eopl|yt@!cPdIkmZq?B*7PD*x%Z$+ZvRqAlOrW=t=qhoykl(R%DifEaxuznpL z%|6ympkhaNcp}!*4Z<$hr+IoO`w(KXn9ZtX#;R4&zF@r)Nseo2XU9?qz2 z>`(~%PWC-Yy`%DJBG^&E>E_8s$ES^2b3F^2%Qn>3+@}ja#NQ(r*D?G6&(g7U#`O4^ zOJ^S2${XjW`O2I6vO!;@sWHpFADBWTT3H$sM)tgjx=EE1jc0Yzg|ekhV8`KAgriDV z**$KGt^oZ{)i*fvV(+$_4nWV`uO#0q)48DU>k&7B7K4rkzJ`v1O#H2rB3wr2K1`g+ zbI`G`$1iOBDCy96AXF_(NYa7zPvuiVaW^&E-FdZxi`DvEh78dY1F zHJc9D_@`tlb|E19#XX;3UQiw8HS2YU^wHq{CmI_NvVbI1;oS#U$2G9m-0UL&(~%cS zOiMAYnU|9QogxU&SxZssqRQ5kB7zrmcK+kJo>D%nCzYsFh ztkLYuq;>u3cE~}TwaQDeu77UcMtDDYH~^~E(6f}oq6bANkqPDh=-R6sr=P&My}^GU5=F_M?#^7D42LWPuK|#RTbZ6ALTI;hG0^r>SmanXN7}W%u4F# zr`9idm>y+z5FMt^qQ69J%){I;J`$Sk=+VVf& zsR_cd(w2YJ`hH+$e)Ob;Q0<0L{A}Fe$pbr4>rX1IOPwy>6E{90mb`7hrE6C_(JfJ8=6PfI~$dqn3P6jf6GTN7H0(V&9GN;0{Xw0@Ojn5lPqF%3!c} zZB3L4mD(<|h>9QiGD?og_Ge5s&_DC47T$hw+LvhTw|zBA@XYcm-(3dx=K&=vJ06~jXpo`BCG;yqIQ>9ZU zu166~@G;~%#MG&dig&X|8=KK}SE-{;MW5kMMU7c$xk}%@dP-2$k5r{|CaTNEenpD= zv&@EL>>-ZTuTS4qWYamaDS)27YS*TZ#KKaRS-h2S=!xR|Q4Wk_Gj+>OdE9*&dyS(N&apkFY=>V*BAfjZr_9Oi17O|06p}nUB5 z-f_Kx^@*>=ScVX5-6Kw{9cL~3W^)W`$mg;;V{o_c=jB2G9)SQ~cpZdJuYq_{NrfJGm z+|gmG8uIj-Cp}l;q6zPUJ9FEf3#`Ev7DEVJV=Y_ysZUU|THFrNnZTDu0NCR|Rbo7^ zWC8F`K?Ht|z{Yh1exJa}g9w~w{XPOWmSon<``Xfpz)LyXnvcl|J(**C&(_q_bl;qQFt04bx;$6EK%?u66ia;5 z#Wz!zW4NB&g#X3#>ba~U@S)=RnVR-W+%Uy-f>W>}`&j`NB)RO%uoUju`jkV^8)T&}&ey z5Xa9Wo;3G(HgA4ylk_rBirLe3E5y(;^?2O7J^x1fb4GE9>- z*=>2Zmp=^I`}%8D?+yuAVILZOB*dU;s%bVgScOb_{R%m%*?~UzxeAYKZZ(qp4T554v=$ZCWzqZrM`H z?F{`9-J)qjYdYAzuPC^q>EUti<0JpxI@v9;+snHrR6jS=*3mZMn)VMAoG&_3`*fcJ zJO2Ll>fWH@As15D$GG23to=TTNuR%szc&U+pGSB6^OtMVr%jdg8GX;rF+tMjfj|3& zh@{V4CVgIiwteyRjwK@LGw{xJ9csq;LKr;q|fjx?m8DcJ35j+1Ml35xMy@E zeJ)?B-`~cO^jSP4KXcdwi^#|fzj7??Rz@R77q|P*dZMM=#h-yM@6z%zodB zbj(4>*Sr{;VmpLf$>LYMQg*dz;TDISQSTXJeK#^^bX6ad`ELMNj=Pz>kP;ezoKY=u zMkAOr`aJ;h`k0LINa#Fu%+2)fIabv#91k}+G00t+c&&Y!jTf1Jr=X_Eu=yY1i(T7{Dc`)iUHdM&coG1)eND!RaVa6l88uA> zfW12=K5|Bj_5xrUy7(L|EQw38RiAXzdTsGa2|~_j40A>gA}w_hTDSo!&c&rz^1pU7 zWgw|Epmz(mwCNa&!$9(70Q?&O570sl0JLvA6h`x>6mYT!&bBq+RtQO1b|xrxB-9)0JzTuKq&zB0RU;K=2HOJ_KlnAv8~|4 zK(z280Db_#X8=e5z;yte0>HZfh#iC$<^$jg01g9S1psORPzwMrq@@N7MhlAoa066) z3xM|kFlA1PE&sHeY58_gF$7dB0TsW3iXQ;*82}anpb`M(Qevyyk4S7CgT&UBNNf$h z4uF#Y*!C|slW8ZAL}F{qO6nTflCtG(#-gu#k!DdBe8iqrrm|zG^?n}CL)fXG0A=Q; zpvutHdOyc9k^5P{ES_aY)%8lYH<{A067GAs{+TPzQ%$3T^I?wt)6dNte+&6qWO)32>hI>MqY52=oUPmKB+ zjRg+C$J!Dfv9DFE`0xSlp8_#|o-0fe*Z#qAmA$I~_s>{1ufejkEVdTkaG7Xxu1ndx z{0ABYgw{?;|BaFUjR7#br^NshTX+F}thIctAQd7ZB^rpji+*U>SyWU#8BMB0F0Zu} z@qlfAdv4j^#+J0}O7N!8=`~GTjsPw8AOjzi&9}5n0AceyM`^k5-G02On|KblrJaQp zXW_lJT7wQ6n`2NgwGp|1JG=t3w#>4HPe?0^^yuEQXZ-8Q-f68;7C$Dtth2Sf(Yf^p zaw!2Hu{Q;+8tsT>%xr!8H~cl^Ux3n{AgB^OpV|5r%lsNHyU8+<93$7W8GP4F0i$9~ zXB9@-(mrS3r^)GJ6{9pk9@xi;T#}}MYn$44% zUB+ge>9pX#Cb1=&t!Vv_s@bPSvjv0LY)4hIvJmCFA0~=+wpAujR0PaXwyNQ>PuN1+7t)+ zEveJ-XTz`55*zUmr)P{;90%Ks*1kYi9u!aO5weTtHy2fc$jnX=8ia>R!M9_5-6pc?^jj{fv0P5HBVMJ{p zKGYa7xi5qws zY+qWc63g>~4b}%FvP?!GR*(I;yGOm4Sw$Vk~(53 z^>v?pU2mYT9H~7U+cVYaE2qOG_9e1c{zF+_!}TIrPh@Y`uQ#4$r7rb0XZvx}q1YOZ z326&|Y@Ny1qx;6Qem*g_SkHCItyU*{$93@-!auI?yJhstHOI#0>0)e+4!&Y)?$LeC z4!?6oS$=c%BHzD?y-NFhdVnAA3euhwcWN}Dl2HXEJTP&!)3uNiy48Bv(4c~ zzbjarDy=sZEmPD{jBE|5kKspb*g?yr`|)b!Rm=WXl-KQSP8Mr31|MovBPU5?ZBg6W z4w{m_(abCnWi0J+~KRi1#S#w|+*U1i?65^hVrTruC~eH3tT>I{K(wi9@T8b^wQ>jZ{y~nlG;6`SORMo|-kc;b%!bh41>eN{Q$PgWTmcoj;oZZ`Bg^SJaN9-Zeg4) zrSs=UkR=s?)p<&c3?Eu)_!{uDZUqgLd#xh>-| zIDq?#d|yUz%zh<BpD)+Dl}Jtpn+-+Mt-d>q%w`Cah47BQ`gAprqnRiX;UZid3RKjAniDO z^b7ED9p}DxA>Gy5l=hX_?h0%Xi&=_+k<$8Gd`T6w@dhdxxnV0aakW@($F3li0Z1Xx z=)$?&zF7&@RC#j~Gc)5yMgPw1Daelh7ENd`<0I=|*=#=^G>m^8fSKxFBBrZ&4{A^- zh27>5_KGs(_j+&3QYq`=prNcM(xlIdde}J#zzbS=v^4D*m?hB;%RfiLA)PpwE~{{m zhI0Hi4yB26cwdWU)KtRKTvE{#-AR^aX~tkke!isxEX`6cGk%sV%^_$0QdycWl} zx~&v>?tlx=HgD-}C5!Nd7(zt6<%CdMnnRwEElpL8xvCm`Yen6rur%}1mb10xoML>W zMpjBv3Poi`KOhTJeRvHRzpL9l$iB>$qDO?Ql1sM4T){^k8qfAz8h6+^GlWh&(_$&u zV$q6ik0;K7U3T|s3zWkXmO?5A9fqnh|IL>kpv-5l&AJO&k0mRf&#Cq2omG$ z9yJs)yV7Fz?#7&qG___gbr-qcd=NG4mWqj*7)phbDM%!^A#o1ky z{9b-uIL>~yQA_;X*N;gp(-wh&N`h$>&65xwKrZ?UA7N13+Roz>atf+Q+tw%$+!;)s z;K7V!WAmr5T4XK{QP(&TuhdZTO(h8Fz<7>nC%TGYLV_U}Kgq!(Y093j@KI@q$eb`h zSSM4nJWy+h52+!d8V}_(7VF&$3#h;ydy6A!#5`kb9*Vt1_h2#z*(5$|KcRwi-ji^y zOc<16Jm@?My;}Ljw>kwvI1?rX0zOVNU5*cL!7z5&h8a_KH(Fi8@SRe_TBl7ZiDgnJ zLC`c3ME6p5t{vXevELQ-y{}!MPzW?HjR;>j)%Pr+zModqx42iz`!iCPC)9VsD=PJU zZNLLgsp9X@L^8cDSx3m~d-_b8;MS*!Q@p@QsPBOxRNv{1p@lUC+WuS?Y_I&J=pNlb z(QX#o%~rSjK%;%AP#hUVSYxDp|U0gfgIITX|28F$CbPM8KSroA9<~n{iNb1!m~KeiOJj4 z)W@`n*ZGpDqyMF>u;DV%=BWnDnus=+HCVPzSk$W;ENddl>V`m{tFHNmG=Ka z!#YuRT8&FqT*zyiF`%Pz81ZRDgZ01}#6?au@7&MhSbR$a0(7m4{2v-V(PvWdf`ou$ z#<+m6vO9R(9VXRC7*A6&g0^|uH@03y(XOY#gum@ zu82t-eP@#5^PNoUa^}HHU&bp zga)*E0!wJsvJiC*tExs(oi?Q<^tTO^^N1s0lVK@-k_nQQ&?(m*8%7&s?=Y-+-}!KgkGy|65mp|9kg=rLxa`eYD7m55$;-)nEu^0Y*YbK_K5u$XoM9qSI^H;4f}E z69<2k6jcNXYu?qAe0f;G8YGdf*AmH~3>vYme_z%%lxLA~bP;3@#H4 z=1E`3i`@6ayF_FE;t264CMXs)NlxdTuxGp{VElWg2H{TFkv)7+Yj-=x!gogUo6&5l4G>K@jZG1J+Fn?q`Aq za**w8ROG(N9y;*LAbxi{3jB&b=AE@K6TjeEy(b75v^QP4gLbVr-u`t-2v0UT9RFsW z2D8}`A#>D}al9TArZKTBCyG4w-aJo<|cibMwoQBP(NWFfeadp~yrrK-Q7YTAmq zs;UDd+%6FB&s9~8M=AWp`X9v#F!oh6^4r~fMFU;(6!-b@!QL=&t{p<8!B!45kjax8 zsMva4R6WJ2zwbl;vhpgEs`4G=Fy5vnYZz(u?c=}k7e*R~4#0uZQzxz|YAn0cFpj}) za)#HuIme*L!!3|cvh?wPU8;JE^Y+9~O4WT*)xE5$VVCmojqpJ<&wZsC99d}zIEVyC zlmB{UL`JW#;fUBdB1*0!2_mz>T>UYqSdi2kIDhAc03kJoI%2!ccrqZ5J zl-h}U^w0^2aLCssW{R14SJl6Eh0HYlHFAJ?SIxgtLgGwmqXwA!<`&35?y?sYhQyfu zAb`CfsLv47<&gs}MJIc;g`|D#v%UrXfr0mEXk{dKLz^u{j^0Z#5Zhs+Zk zC{c4j7U1|;>e?cavP?Fq1vq{p%XH#+tX#Iz;+qb2?;^mX-x86r%+COw$`O(UI5UL% z0}cUhWa?U1fL{=ur-}}Ej8i4RE!6_tCI!iFS8uY) zN{-Jd)N_tcT>-YTs*3<0lBy=LYKf|8zTduIeJdB^w#4R|~Ly`)xC*c=1dIB<0~kpNsOsc#z!Ef$ zDXDkW^Z49jB4W3PY~U=BIViEAnPVOsyMdOY>Uq4N+w){Y7HP4wUR^dcbIfB=wOBom zlclOQRu!C=s|q%xt&rw%$R4mEP|XJGj=f|?qML_GhsNfQ+;1Gub&~{HEPOlOt7vWoJ5zV?zWT{!-FNlw$ zS=V7r2&p@)eY($M2gU5bQkQ7Q0@O&4G+fvDySqZ@mS0IUcrWY>m(i^Hs@`b6dx%7v ziCq!Ua3NY=cXrlWceb4rG3d`IuOYNYp~rp2%KE#svZnZzpsPM=!5RIYon2W3Bl^|p z>Xc)Ni)C`L>rlSeh!ZLGHmUlTnksoH%^m}Rd5L;n6m(xe2HqkAeVL}GmYQlxjl6>9 zofLN(end(RAzm!cJS>|VP3!8;(*t9+5skxyj&z}0R>1Sr+g%q! zqq3Gx>^(&kL%w8(10@t)CuV-ZYv9H2YP02>_jaOooxBj)o~pI{m_rAYc?=Olqp}}j zdWZ{9vxs7z14U_pDREdx3rd6xsI9X6i9(s)XNQ*^ypT1$i7HNWIysBC3oh_~MP+h) zc#dS*$@$bl4$db;1oRL#!|08)8LC2sA&V2#cB8eT#oA&0*UGYKv<9`t@9F)NJi@Az zf@VhW!&a+o+JYWS$oIV@$`_fN_8&bzti*1Q{}jbgAN^4&vC)vpsUjzoLV3xz)SJI_ zZvG>0fEi(iUd$|JNvyO_R^DT&b4Wu(!Xx-BOK*+$4zlD|;`6EspYk4JyGEqgh7g~0 z$F=kyT^F)Q9Et|SIOc5E@B(E*)Q%BN@`3NLXorYng&RQ=EiEH5PcfB`q=<eS3fQ~r70Sgh3Z0et+XWE zLSkr4Q2SeoU7s%q2slCl+M*dTvmLAo?DaBPwUMJ>Lmsfa6ju}y(TG~IN|iPo*lQ)h z#Z%x+8+L-96?6&k3$pK;<>~&ib4>cEYTA@(=2Jiip=*$eo3A$B$zFL;a@Z`Hn}Z(u zvJpozV+8o}vCo;79RI!;R(K@8^&p#vs@VMFexjrMi|st`ST&)YdX`~kWfglUSj9-> zmx`HHS5mUR7Kq#+A_*#iIA~!6-+Y7&8l(t%*5kSC?;gPysliXB2}E8%LYG-sqAtR- zt?{#>d%N5{BqyT26;yOXr3dsZgtJ+n?e{O zM6LObT5!zDymt@++(MH(^+^G8kNVq6)yCf3-kZtGWNhfPn*#Rs~$wj?t zwXu3ssuzgW5-E$0;S+X-ydqngX4hSj`}DSPvZd1{vFPQQ;Nto%Yr2dVL>^n&Q{_}w z3sET&W4>JGNJ7M;hZ<9B-syu`*n(??{KaT+(z82zN2jlY8$X;oC@fiHh(wBCzCG zCoQMy%FpO8(>XCS3-L+y2PRZ>uJTir(7mqw{JXE1Sl%ShCUdK<7xwjGH0R=lRY}x~ zB-GU+hY*TMjOa1h4=}t7!dzkq<-K>4umdD4M5MJgWB}Nq!A&j`<47h6t0G}Kk&@~p zOf~@S<$*Bwm1+YZ@JSM;^TEgPc3r}Rac=p;+&us#mrhhDmU>{{J;ZS%aZHeLJk`9v zmTyqLi~m23mxMp{c}&jti)iOIA8$pAe7_f&@0Z*({yMsO$G=`|8x^!{>m#XS z37nfj;Lv6OF7I~e&ma139LbhHt*V^=bwC@oTt(ob=>)ENg}{5t4`1CJGk`4@uNj^6 zw8tg3T-Khzg`EJbTP|=m-1!U7Y>SqM4}E|a%15*1W?lfc1u@_6dS?JfY$tG83j*(I z4m1KM6Zp^=0zXaQydGz7zSy>;3vvE}z*$>}#{HmucsYAU%J3ih?j1|uEd=)MM>OKQ z66bFST=FJ?hqXY<+PTEJWE_Ckd?C;nMBoPm)>RVNZ##kCCUD?l0v{O9mP-j7GK9c$ zyAkKx0%rkygutd11kQSbEmsrRKAgZ;2%K6=;M|>TxrV?oNd&GXutzg*0Gq=JYz`*Q z-tGWS$R==~mHxoaV=}X{*Q3O60nR56MT?Yc^1my~C}9lzkc*PQCgroqFeK6dySt@O_KGQL-7y1c35A-q>)UbGp@2Wwou3HE9 zSaYOD*zd?MmGb-%p<;dg3F42wm})4iMYSaas_us@zQWlq2UrvFW!?v{f(P4om^ z7E(to@8hGf@A6oNf5sYo@U=A2CnPS<8I+ha=PlU4wMu_3@8W&wkwMaG>=1c>2aGA5@Oh;?c?M+m*KJgkv*& z9Iub>d8bFBco8!9ivhuhpFPuFgLf^%FPD$Jad+(%k>YsscE>RvJyk7I9L-DTO-MYv zYmmr4{QURzXMf+c1!;kJ;QVrjjaNQ81f1DL`0naeKgNkiCl_}M+N1B)+tI}*!G{y> zwvoCxJmN;iD@UX*#&;g`#51+HTc^qEH$Uk3%O^V;dU|rlX`R89BB45_L)N*la%ICV4zqG_Vlvm;`w>2Y&>lW#A@3*xL zG2Z=`=Cam1&7-oZxmSPVQj1sNizP?h3f{}`N{Pc8>%Sn|u=RK(@@>lsh32LNMcrbNGa+%0<|k_nHsYvJ}jvJEHC9qZfu z0$TVO0LV5pUpVeo@cBBg@U-?V+2f!D=p#lI=vr^2P zzIH3S-@BYWbF%Sm?tP=*!`7rSNeLMosJZ(PRA=<3<(2yj@1F8&6< z-vCHE<(3_UM83&L1^fl6fSXPNU=siy>1WD~o}ChX?37!uV=7QO)v1VE3p!gh3lOut`{={Myjx;PJj575H&IVt9^0Pq$v{U+muN^d0cO}UL0 zE~AStk*~N402%*sD;T`NE2Y(Nuo_Q!wt6tu_q}Sga1$+@2f!x)Sh&+G{0{&$UWFF$ zgk{gZ0JsN$lNhXD0nitt=^hRokl3kv3jnR&3W(~_-{cn+pA!8^30lbZO8El-udG1} zO~(25>;Qlv{Y`mz`5-#&3|h$XN?AM#0P6tI9srL5;3WW50pLdf{04wOMgw3Y03HUw zWB|MmfavGIsIzVb`}d%Q#{sYf0KEaQ007qjPy&EG-vWnsyb7zvLuhv(xpWu+R=gG9 za~}Y;07yFrPQHtIF%e|$fjo>E=eu_^S_m0{H&35W38@6YMF1Ro5-q#~iHbrC`v4F( z08;%tWb!+=g4p+gaID0D$KK@Erg?0KoJY zQlh^)?^du20E?eS3!edCJ^-!)pd0|l0r2McZUx~Vd8K?Z9XYgzf#k~or~wss0dN}t zQCD+#C$|X|#~U$-5Q$0WJIu{jlMp zTXy&-kf zA(=lw9zOF*>4K>@<}&9daA?c9i5ALUOo=|jxe0*D^U=a6bn)dlUyt^X$p-*P!0f({ z79Id#6IyuwI*|MdjOu_Ef(M!MCeDM}A%gQkRu{;K;4b?hy^tjLB)Lhh5Se%^>dLA-QcLgO>5V%Ua`S1EXK2 zpLOiR)mWu8?yZk2ThAtM1-r}&peVKm7V9;aFWUal2E#4-a{qTouQkW0ww&Jnvz+d!V!c&e3+*K0?l|Of$ zdRae7+#r=b2u1of`0&K8eBw_kOIDX@e5%EL^^5zYqLJw)o>mHf_H9*zlRdvdGs#2Q zpdTCLQQlkyqbT=pofugJMoNxml=KFwIObfhOnP=zywO#!%w42tiTBIum8G3!f2YWS z`egzak(%f%n^zX8W%BUSjGIgzE)4MGFKzM5YoYEq?<8(C%nuB4=RNGF&F*;HY)$({ zlQg^{Y_ll=muOZzy9NwtteY&|*lre(v)g9z(pC9j>8$JlHV+?h#sr3r^Q>p< zSYn*{FF=(a$48a27txw&he_!Tmzh}>)o|H#!G(s)qF5H+aG7{KRh-e5aj`w+d)m?p zW4ru<>YBdUT2f^d$$`!!Wl$Ax#>ktWe~ZOk`v}}Yz7MAu2OxfMXoTw7luuRf{SHyd zdgiMs+wm+}8g9ntMT9XLm5e@ZrnqT`;iIGktHdqeeLIT$OG#W=9dXNdXMU&ur3TB= zMA;Q}Syo&q-)$&;vrrkQYpq2}qmg_|K7o%oK@4G$2YQ~kFkXL;JuMRhtq8$9E%U?l zBA1|ESs=@-|7TgWqs`*?kk#P5bGhmri3C*>yrD^!G0S`2I3Zs3<}JJ~pflCtje?!~ zRMi$Gi$N4UuToWWie{T>7zIJen}&iFYrasF zKv^1das7W{JD&JB)zWl46Au+t)%J$M;vA{JT(a229sas3PE@h+c?UaW_9vE$c_t+C zXx*{`!G4i8JGX9GxnTeQSr+f87o1y<{VP;WNN7QMU@}yoT~kE;wf$kt(nR<2U+{=#;hcQsg5vcH z(m8=lVfg6#<0I?q%2sZD_~d5coZSkvVWEIdCbaU*v1+;nNEZ~`mr#!}-8cFTZPeWjGtTA8L#e$2wx{O`E4K%}5BGzF@0#0E)%#-6yLKc1t!ilK z!v{t`WaI6c+YaEPf`yAQ4burp;G1- zv&9q;r{0~J-jH9+=)cPzf>0fppn|?6LATa$brHxZ^PuW?+{_zOTATtv8*m&9TUf5ttP`oO0k5YBFq-O$nr8P*f(6} z6y`xxENd^niJa~bzoXC)r5%Ei26#mX`I0$bL0XNPf5ZXQ^welBjnhr(x~1USvTi7p zxt43aM4Y17uad>CvfC2H5>_Cn7xImk%GdN;rl(dL102f>!P^DBp!;8&xc;(O{OlvaGgTnAh#evQhXrr;USUMg9uu z$#qCCl5RQ?8MQIzT-j3OUqzOQeBA7M^(FyWDwFG}S(Y04Kd?-mZ5tAR^*@25+5MCF z$a+i`Jl_nlh6*m`i|IRn`+XT$Y4Qg<2E*ACf3%1N6J56L#!cM&}! zbL;7i+;H#jHuo&?;8lEbH#|2W-5b8f*o~JbRkm6^qfGREkJgO#p%qA3!ygkgub`c$ ze2->#L<8s2wz7ff{BqC6GHob4Sq^>Wttk~ud?_EYgFl`w?nMhx@ zYHhK$jIM2TLoqqxcipIpwiWKmc)N+?_xsZCKlwO*&nVIuFg4zaKObUGl_L@tZJck} z&)}oqj!#`>le_LwDvz7c)=4D@>8MT2+$N%|vca--p{#eQ!LlZz?576H)(v94vIfhV zh_dVIGECoV10aPiPV?NNaimAwZ@vU>ue<~V7Jw-SEu=) z_8rtt6$xC!@sk`N%1r-{PP5^1{CDKdv-ntd;!~H6CF^c0We_-7*IyP1t%1{>_0(lz zXiP3;Q$4(Swgu8~qR=-Tt6SwWxE0Y9Y+~r=cOcMO62HTVb zzgk@e;nokTE8Hut3E@s6=Env3P-ct>wWu%LAJ-G^@@7J~zeGjDY*6eJ?u`E|+;?+1 zkTF6KKgLh;K+0_NUEepKqg5_OOR*p#hAtsB#SNF;6o#`)8IEUEL}QVYoNG9GtCsu4 z|8dcF?~=SE%WCUxrZ5v`pjYMPR9FdEx^ls>wfohMRI%;}!fhbO&Z;;DM=EnQGt5rD z4c`gOK1pUDIHNM4PDY5Tue0hoRaGhA^B*0((>deOqgA|O%G4uS0YTTlU4;(Mj#4>m zM#C^RKjt3bnEB(hieq#s&6yP+xfPFNYSQ-k!i7$Gv9)T7Rp*fn$usbg-q_-LEZE@o z!chF2pRA(gTn=fm3RunBv zYYEm$;o2x2)CJ^Hu8QoPo5^*^nn`v@7%GvybE!13r9qU$K15T=2wi2GT8*ud!LqE9FYg0x~KSiN3CuX|Lv#ME#$u;THWRavS)WwM|z~uV5*laA~LgbhX2h8 zA|63C-65zl8acyjS(d=js9*MgWmyfE)v(OcaM?YUWj0(^&9Yo|SwNFI>P9}Vcj?3q zkYga%!m7YT=>$G@a@z3~pUgIhjbP$=V&W--TQyUN=0@_Ir%3BELl<_>7Dpa@iH|z^ zP@68KuHiCquZxyi&RK7&$NQgSnFybyUO#I~DGY&1BaT@l>o@!QVIng%yUsA^!YU~E z%gC1cWn%aQtK~Axe((E`%rT=bDq)1;^tDf$msZr^wl64mXQYOSVNWNXffz{Vikj#ico456R?OP1bh7?$Y0+dS zdirV3PQX8-<->E0psCo-`EG-@;n)k4Sh3C z!L8E>A3s-6x^cJxXm0ng1BjZKu=n<q1ndTz^(&k0{Qw5;(kzumH1zc?a}xy{5SJL1`&5)}hvyLf%O$G!v3rWZ-VWqed~etkO=%aR)|dz59_ zE@jhAp3||(6x{YXMeK@3iFZm9$iA3A@sW+pNNFZ57w&L!`UXzHd}VeR9ul3GvjgiD zd}Ilks0-J1o?@X6-$U5V&s@VzXmrt0qmUM0*(rUxOCpNdpf!bT04=RpXgD zdJ>1(Mm%{y+lgnT!1E0WPg4Q#tZ>28f2v@_JXX1jj}uQZQ^qTJRtP-%HzJJ`s3Es_~2%JB@gj5YO`Zcy5&N)II>7L2+t+_FX_cW9H*y<@9&O zbE1N0P#pOw;W<%_XK0Hk;#osHMfRQ?RGe}G@CS(3mP7<1IIdN6Eaa>c75u} zZdMC%Z=7>;HiRKAbELT;m8NqI>q9V?12xC^FZli)^qzcK6Y9#+&Es(s(Zp95!kVAy8 znnZyiu2MTm+{t7oL$a~Zi9Jt&ThyBpZkOyMf!iiEZX@;x-1zRM|7$L|ZBlTHQsK5q zjoX+X1#XvFdt)6leay%iKt%ro%eeG#X@M!xG!}TfO6nx(z9`}ygFvo=cd!HR%IHzR z`*k(mW_RHPTxIKTx!^6?H)7z0eS@1uIPkBl@t!i0we>VS{cVog2+%gIuURLHyR!nW z0zB|7HEy8}+^RLBf!iBu+@6UOxc$b~#XGA`&GCl9)Bh-xv3mq=;+59DPTaB$OTaf* zZ8}A~&1xoY)6E_!Gj6WuD`-8accj4RrB8&6fpG9YS@nmSe6StU__Ik4T zR0(Ibg}7yJRr5`j#j&Qbb!Bl;7q)J#A#Pu~;P$`BVq%=Y?LKQCg+HvZsCL<=ZxE*A zN@AafkEAst)A~Z*Tnz21P2vWrTYmz3KM_!N4xX$sTb&jXtWrg~XG%$J{#CS`En>3p zuK#y^>DNX?sl-E@M!8S!7o0;!t_%G$B2-$&jsnHojn0mQ$&Kj5)M!5c=%UeITK+u| zOTx!Gz(p}gws)#xdzUm|dp|4um#V9%ULg002+Fi&=*ZRZUYx;>Osm<^AeWA0iA)F- zYE?a3N=Hs7d^4z2{|d*rNrA$kxcxMaJh*W^@i@F8V<(;u2#VXRm|E+XX0y*^_NgE2 z=o9*TNisXyq}JbMY3xYfk{#W2=_odi{u4Iw-G@LPrmTT^CVO?6TM{Wy6LHuuDPJBN zhZ&$u=KrW5Z~iFyR9;_%P-;3`hwv@M!nn5-It(u#Bpu~~cf%ZXMCS)CYs0OS5z^@% z`-ec=R~&<~6&5yW{JBh`NB8cR@-kn*f1rK z;mjGA{scwhP_(_FemgruTLvxX0)IV*{{V`e!J)wWb((Cb%zMciZ7kiBN% zK^%B>9B>n-U*yI1-Z3%z#M_TX+4_>Lnw1yWg4WrBvy!xkwB?u?=`=W8gVHT4I4ra4 zqxc(VVir+MZicd)htWtDq-EM=!(Nqb|XV*g>&}uaEh>QR?JqNX{nL8 z6VI*#5G8PlP5nJ`6`9~id~aieCo8Vxo)J3_&RC_s#r~;3q)xvk?^Vf!8fVWyMQb}& z93yiF=Pk^za zyiv}P544hc@l2z1(q(p|S-?L(SrwTaFX7UMxJ1dg$O81ng3D;3oXzN`sA5LrJ%nbU z!G=nT0EM>wj_4+ki_zjSz~mpE0Vhhgst*~?n#5UR7t+K-m+Awxp>2KH=n7{Gj#+YT z)-$YBBUKXHhPI4Mu&efXg)+yC61(iI9WS#hx2urW`CY$+uoq=N2UN2N;CUz*hUQ_!>=&HlEkvj!9pCeT>}H-1s^O;mANGN5b83AojAN2S65sgtPwa&BMu|P;TDzo z{$(GAj0!g4V@;I&$eB=Vh4WR4&2Xe#*!Y_Xv_V#E!vC$>O2wudCf4=&R4|fRFkh|M zJUdaLV(I)c3mW9_u22E8{Q7msqx>$q589359E|Y6%U`LhTn%TnL^OE|aoFgBgQQ$_ zX5tVel$^l9Rk=EGIBB0#u%o|fkm->wW7qYEiRz|g#1h@u?H)!GXu)N ziVF2QV<v$ZVFjZp|GODWu0-dq9OqE)>XAVZxx|i9@_9YADix<74++@{BIY+& zEwGo9iGXPbKKeWd;Sj6acIuy!eJT~bOwY)xK9O{Y+sWx2h%*vFi-Zl3AUrd%7N?5^ ztHi|yc-3);vNH7($iRqhDv|Z{|C}S^66DUvp;g_qY9^j4LD?#bsLm z6?hpyrnkw8BQC56kf!y^WJQWHty3DF)=QEX)m?`E)-NV2c*&f9B-U{e!9iw<`&qEU z#iY{&p5u@vkQKq$V^f7xC6lg9uwuNzit!DyBJiP!&~QdID{@vxkricRg^6dzs2S`F zLOb|^*eUO*rdUYec_P6}`;ww$B-XS~`9}-qE_S|@1#-GEs65Q00J6l5kK9Qrw~@*y znMzrCwOD%!q~9aszJWY+Ixitm%7AR$NMt}J z`y3BYu_(Tndd<3@{8(5=$_(umQo6HAw)K*_Ql+T$nN*3&(5)y9{XV#1G&tq=dC{^y zpFoS`mWQ^yg$FgmT2~?^R)>_>k{+I)wurzLNq>#7DR;+(uKogDMlb?xI4o|(#DR}1 zuklRTO=}}u<}YU%PB%rx#~-)l?QB}@3|U237jj~XM-9q(1d9_hGJ^Sy_hu${bmlka z4H?vbrn&S9H|0X0GZA0>8|O?Ir2QwLBd?)4*Fm#8I~u2c|D6re;MGPujK6>mm6dvml3 zxsjdjI>}9t$z9X_!#Q+=nV4#aQG8~=IK-Zu5GsMLPqx0Xlk?NaYYrTWLDmV3-!SB&-` z=c#=?F-?-!miIiV2~17)?jir%jlauU^3iEmL0a+weDt;Ql#yNV*UnK)qJKr9HcX{V z9v#C<1)oTj#9mZ<4h)u6gE110mJNdiP&t9Da?1hkJi~Nuy?dhbJaD*nbY0nAdO+}; zHoBqKh3C00JV$IK3*8o~yInst_A2Tne~OR(9|u9w(Wz2(w<)pcHqB*9t+>u^BVNSE z5QxjVl%dY6({0RG=(a-LtsyM&|KaY-jcAPJ4Whhbt5hnsppe9#k%`T2dWtHg zV*2XZP9`?bMyzsGHswr`#K|j1t-49|@*!5;R3^4uD%S2T>Okayy?f9ps#J)nqH9N) zSb1QJ1J%fTNkpnHMGid|b0|!g6GNR#jXhuKR zsIum6%C9<C1PcLTKOL&He4!J z-RT}GWk75oZmhM6ePGj-R!@5SY*wDs+Q4;BU~M!wRLI5tDYe$m;UIOTrP8i+)Eobx zE6t<&FS2lBYfV?W)V3=v(l58|(_+6)qIzoF=<1b7AGdghS4W=~tsi3B^hfP+cU<8D zdbke!FPeVd+lwLU2}I$Ovt=?Fl-h$j`1{fhzI+$I$i>~KNsjbQ%i6&YmUZx7B)6mv z{tsyf|Ll?@^uZU)ff;=Hve?0Ix9Q;R`-IlY`Z%6_B%gwwJ!E+x&-$10F50&Ks~d2+ zo%tp`0&%_izqfv7Y9HGX&2JA+lLDTR!J#sPcBji!L}os z{cGsvhphp%=Z~CqpGfnvY52(^L0(1 z)XM#>{DF<{ztGX1k$=m5z4Job`AqVg-Kp&c;#NILn2m`ojDsx#9W#1*R@VrdLuey@5mDPHSE8llB!%wk=bQ z+dJohvD@#&IQcEfRAcoe#JRGV9_q0h=(duTLx#~el@~wI^j#d9f`=KY*!U7Xye81+ zS?-@I7Hf9m0Gu{E=b?f&N;bHlq1ixiG|hCywMNk1R_M~=k-TwOa!I$wk6sF<>TX~< zX_|Fm6tuTBDisNptQPp}nhy&!us|0=7QBr4Wp=^z?_zu)zoxC-V||A^W9`&*DLEp_!>nn5?NEzoPN|?JfZmtP zq^a=jokY@XDRC8jHR(S4st3%95`9IN-~`hVx8UrN)~3F_i$9A7bBo}!h4h16;$A;E z!2~DxueIuWdl!GS)S{A7+KlU4q7Tu1Ll1QbHKV9b*o@`)sTV!GhLe|z_>z?lkxRry zh6PIgZf}C}B zm#wp+Sv%pbggGJ;dZ83%>#QBS&!X}9Y69Ij8)xP0?Ez;M!&#vcXYJU{pZen1!%}B$ z9L62)1u}qRUUb%s4hzb`#7s;yD$JyFGTMqWX>p-cdb!$!&@$0I*qqxh|9fbu_FRVA zd{94IOy|tDT5)yYx_K#ora`kA!z9fXH*6h#@~4N_d`WVc_Kt_Ce0f48X-UIG$gRz` z!^E6(+~|E#dx7ZIFVwY`1}R_u;7->615{E2;e|AOAH^2TTuo+L!0K(z17zKy4EalPRdqdEnE4T z@3X<9VDL4W!Sa>wz4$kYwQS`(v)NZvOSKmUSIG>PFA#Fumpc*u*wTN-OWtf;8^#}X z2SV;0QEtWJE!vL!DrP!$PXzR->Ww9;xL`cI5n*5PEj^6yS*A4N_)vJD5)SnLg9^jL zq?JBKCGRUjB`igiogUM0DO-}z=cS{_-t4Q8 zvry6(2E75j1(QcdStkmb0|UTk9z<5Iw~mpiUo0R8CzYdZvZqKw`O+hFZOPw*+Xp1T z7oVx**I^AikL*yhg4LFIQWWgqFZH%}Vflr6VLQ2O!gE`wS(%NXj5W0Fu^m$Nc7FGaZakj!n zFJnt4gUxfv2oltpw-8`U8db7-&F9NO_(_4qvNF=@wUw7!qFKC4>7mY$G|T9Hi!GAr zRX$&)QnleHnh9DaoPl4)_@a(+y``ryJjvlZmuhWWbFSsZ=mV_dX(*IoYuJRDMpixp z%CFPjZ)X3`s|RR0oUorRs}N)9`<572^I3rnwq4zq0bpFsxht{M_4`YRk8DdN>l|uQ zeV$gmONCw|B>@=MxhH-q1~*^QMs`2S@7N&}k&ty@T z^my=#6&qbN=7$bYHJn>u4Yfd&M;rfYELiyq1|3w`c9lY7-dFS;MGTG1&SMaV_~?^l zvh`rp`OCylJqN<136*((E8%k$RkDW0)I+#?DGA@2Ug)MtLgR<0*>k}pj+~NZxoij@ za||C?KjsYCTl?s$?=IOcrRyzy62K8(BIj?BRL9yO zP1^i7jI8JQgj&e5=JeqDArhV3CoX83|*jWvO2E+eHB+zZPKv&lYch zcAF)tX&yE*=a6kjJ8$M%5WNRAO}1_=n**05!zIQI5|_-|hf3;1p_0`lC7rq3zXO+K zN#o-1eZ)m3e4am8;`6eP(Pe}~PxWMacV9K<&5rr!Tf>Up_>h$k z+JuhQ(2x#IW;AHiv7!5`Zg*+jFT1JkQ3@hc$9iCY2j0$8WJWn2C$Gz{E-0>#m5q88 zJ-o2LgNHZTF_|X2x4_f+Q*!gHo|2mZerFN+cToF~XzCCiQ_Q>R>M@hSk$POaS$!pS z9`o`lo7FmORcl5%)RJ9Icex|fGOmVNH)^SM3D+rTv-hK0({DOs9p$E;h(H|T&G-v! z6yZ3ePmwQmhyJk|t1hljSeFXuZ_KmkA`X_RC!QmdG{ozLcdR5Po^$}`a7!iYkW}*X z_u#XT9$vS&!!V`xt=vm8Ww+2h!9^f1*#0_XoCdMRg-FUC8(mYX_}ax!iY-)@!G zdIC9DHr}rk7?m5%o+-igdt$VIQmKoF6zj)wl4oycL%(w)dTpH4*HA^DQbwt08I zei?~Tb0}W5v~52#4F=+P@3y1bcD&CO7)}Di5?h83I)b4P+a;17Dz_`>y|9guDp`A| z!jpP9EDjFCZCRqjT>oy|c0D}sgqTRj`z-5R=TRMV9lOo4xz6MBYa$>L`*mbJ>Errq z(a%x=Ivj=Thbx{rQ=ZE1e|s_$8W=H9d1+}s40j)5gZ>kNa1Z~99%{2>V0*G`Gk)44 zRI)Z`iO`_Y5USW>b->h9=g9V?A`ZcLs{>a4V+Y>(cWViFg9YG0T9JTQ$IAM6V=G<| zUG9W`U`vEJSbJMgHXw8NcpFI@e>>3NQO|? zJ39JH61hrxsOaf3_T5I14zh?;9NmV=DW6^xGqCVfBFxW$`Qfzli95BdNu2GrH!>(x ze=Dim-5x1D=j8S~a!PO$c6?e!gGrT8X|+_PLB&u>Xql0sN_2zL$_GMjy8=h9ui}7Q1SL@yxdEwhV997 zEw&3g|7DjkXa$Z7!7*CoSjm}hISR&C#qv=wQ=8gH!J0KeBq<-j`Vy;);v5f?i)2T^ zLWgr~eMXdC5i%DEN5RD4Z_XT(nd1+li_Wr(gu~qIBH=K%;3C;!ZbP>@aM3v!lp`7> z2CF^>IvDvh;tY!K%xSrg^_$5%A4zwM$*JVI>FVwSgR`NY@tPzz_4}Fi=P(bf#m?5y z?Oo_&7WCneo}Uiq$U-_oQ0xoTj*&S>e$R-9C!~A-0_oR9=Uiat2&cr^IhGp^c%CG? z;UMQAJBRN}GKa@P?@A822MC&=PQcF{{LgEZS}QY?9e8AttOHx?9MLW-H- zqU;4S7s>7var~S)R)M3R$kBHz53a&#OLoy%tBWF}r!8H(PvkcL6$U-F7$kOasl}I} zLk@KC{s5Bv*%H`0O+KsS?`cCrHMd?w%M2__iH21k|yRDvp6HLBWM$ z^RC)c_ZzEV&sy(Vf<8yD==BUb#fe@P^ZwFuxKJ=;A?LlhT2Jr5RUtKc3iY!Fc@}0bYr#Ddpy6|9m?-gD;5QfGHOGIb zR`>#|*IauA!fU$6;8rDRmdnLkEt18#n`|L9^_z#UqmH^-jQ&cF{{Lnn756#oIE1Pv zW!f56+KGF;Q&i}67G7*?79CtV{44s^$VGQ0HphM53e>~sLHw+dQuqyH0Qj-Z3(02M za=ha?%?4!$_7VkcMxu6>sEzM*em@}`*tCCLkom} zpGo9L9|G0{(C{fge01Rs@(T0E7v4#-+_oG(ip3gAIDGVg-7DYtZQ3JSD7>~0F;RhO zo$dnQK_nXV|taD1l{><=%^H&qHmk=$0aWkA8~x^;dh=90k>Ugc+mFQP7|c z(ogTVFF%D)yxw?`OuKi*<@1D92XXR9dSWW%XShX48UMoA+~O945*8tr(@J~M z{W;2ybM2HZLP8tt1Sh=k^@@nL%vHj4MQuIFWZ4O&=w2Cc*g2w1%8;8ZoKOmCWj&#^ zhE2XCJMIx8Guh|rkf(4Sv3rrf*lO~WOJuVARML1)-q;W47(HbsTTUf?50iycNfB(a z%?Y+$GLvJzVUwQ_JFj`7$>Qigu_I&&7ag_QNbi`P^1^hvo}uIo*^A}8FIT}EH;6c? zT=a(6o5hYmqN>q}dWA(>=W=pD8HJ0zQB-Ll+Li(FkBgy3WoW37{O3<)BF+8 zLT#yJbyH<8^srv{>5-HrNzEPKU;3DwPJ3l+Tu~%(MIARp3TNX)sRaiPU|U*}AHEz& zH{ukmKuD@@U_br_ReeHJ;Q0gLm{2`YdO0=d}$%TF3KEkG?U>V@4YyE*t^kq9q_6;QeFSy6jF&JQ&1Z zfH>F(oaej@&Kp4Nw;IGV+K?LABSC!Ubr6qoB4T|95RYvO&Ig$DS`Z&=Olsth+j;zY z_q;bioCsobR}eo3vFdB)yaB|Wnt*s38#tVZ9X2s>ZxENaBjVID=DZ2SNg&q6gE(s> zI9~y=rXPrBw1*lGL0q*3#CJeku>izhya~?LAdVXd;>RFXIo2a$_iPZq(v*mk7lF7a z7@S)=6R{}}#0!0iIM4&c+8rQ{1+n*sATAvR&iz5`Hmvc@SswaVpmQe>=e4~@ZG9ZX z73)EqF$To%fmr({I6q+G0U$2l1J2JutlP=NAs}82;;hji4($NWZ-CfuABdxyf%pK3 zkG}<-^FZu34#ZVV9LAiPI2**_Ux0YcSP)+Uv3UZB!#ctnvp}4C0G#tcoP8L?&zQIh z#QHE0n?am9AH;@FLHr2B$tOX4XB;^H!l-?Al^A1#JxbQm=5AQ%y|ol%{}%Ko^uk2y)T1!`2-M;S^X&X zyxYuG)F@{=6X(JsAPzkY&cA{<{04|iCxZ9`aITsS&e2_<^92x>9|5tx1raAd0CCo2 zB6c{$Y9xU8K8OQvfVlD)h_gYQ{g`zQ1LvzCc6%ShF8-pU&nFP$BjH;wmNIvSLfP zN^nn9F>hyES~YH% zJuQQF9G(_;1yxl0xk^kgNHOeVDO0$LVJ1tN#8oO=!ed$Avy>BDrJAdhf67%>ah1#% zR7sx3Rl0bhO34pw%eP$RbZ?9Wxrf+ehXloDv0}5i$^x#UC}Sy=TqURvD|VEnT;VFY zv8bY)$5lS#Dp_15@K>&~kgK?zWGRoh%I4Xq;{5?v`Gu<_-ekp|ah3aACGHegdB#r(4ITiIn{*+zG#0arZ1!_3j8lzL)h2!-zN6DPHyyH75#tDEKF5h z9SaBu`!_#@;!XNZz_}h2M1LxNQ7HdU;9S2Q`*yq>>{|SVblA7E@L^@c+++QU`VCYF znAfz$%%Pd7RH?|#Q4*TBVw?)b=nIvlTZ$`J+&I4cR7@K}q-S*LS^9*;xCAAmOHZtS z?7V72BLVukWyzM-mEQ=^&zDUPpJ-=0GP?9nUh}?EcO9cJFW)n2yy#+)a>L(KWr*M^Tnmci9n?F`j7cdU+^gJki=^hf&6OqGA~V zZx*%OPr#c>=OJZEQ?9a+M&&m(*pM=YK{=f~^Qf$wtZX^TGQLWsy~V@BY4cvtG%anu z+^r|DPrcq`qB9lFGwHBSoYIc=vAvQ=M>kp&=hKOY-EM07+s7f@BTeHzNIxo5XFxqa zIy4wRv(QKVF3H`QGAcpg7o)ZRMnPqJ&0MDHsh&q=xw5kT8B|ueTzAo#kV&;#AdWlG z|6AsPaWf|_ei`$?rsiww!kuFpP!Y%eCHk)z`vm=NiG45UWX*DraQuhRfB$dA|2y4! zb(tDIXVw&ey>tB2FQktp{`(Cq>Nmy`{{iy&H%t=ZUu8$}pXG9H^YT;G=i3D+sv5el zcbxmZ!@NJ$2`xCDkA0cjFF3Lqm(0NFiDCcjdBUa9bGc;b4$lRx)6^>;t>Ce339N&&;hOifJhZn~1#h!jQn zY5VY^B~ArdO-O$+C*sY>i9o!ham5*H+nms;k0VAi5ce52IiVBsg-Ce4-KZrHJz-VpJCA%O{*Kv4Mlsb4l)LF(irFJ0+iY(fL*~Oj`RN0M&0ZSeQ zDPn0o<#@PrYSZ-Vgz$8B)_HxsJIFKqFI=Ng)SXYR|L^2ek8Za4)T0~e{y*oF;$2BT znOus~{x|ZeSdveANj|y#_xY5jw&as9X5>>G^65D8sT}$An>3&1H^=xi&8m z&*14}8G0rT%a>aJiBO&VRAxPHAw#Dt92$ExcKBEnK0 zUpq5J5YfKz&hNWCw-ZD(@$R4So`)b}i~YS5H6oe?{mLR5T)CGWc7N}U`v=2*K62yd z{ICaK-*|8_?3W*I{8AD2@ZODw_g>4;E_UkncGd5Q$+;#&e-Rt ztaJ)!=G7!kH-IMF3x>OOdP*GrAPx_vrs&JQvd_P?(kbN(5qvzbo#U0H;cg$hZP2*9 zPXvP^Q}k=k+Glt8$SGx78xqm8o#Wc2q(id}n$SHYB8&*~i|q3QRyYL=_Gyyl-K(8r z;fFMzZ%qXKyX$fn5Wzo0;Jlg$+BLD?H=K0XKm@&rU?ve1wBxUhSKt zof}C6TS&xf8#Eych~OzWd~KiIcr6ihAPym9=Ep=3LLByW*X2x_mZCp#jyS9(5gmzO zEO9tMA|?~TG2$?PT1s&8dD3A$ap*!KCK16A;t)?F9zX|hIB%c53Itt=Ll}uTNdyas z!`~$0*|ZeDXXow1E0;M1^y=Qk{*B0Xj$@0+QLBlAZx3DW=%^I^-eUXghz(9DSGqSz zbB-c{V&YJS#v+15a`i98_WAc$IHlC-E)QdR85Wx-NaOR?Y{`MVC z1^S^)((+dlffo@36G1i+1ihW2-*Cx3f7mXklrM*oAJ(>WY~E>v+y3!H@Ffw%5y3qo zxK9Lsyg{zsOa!kI!Mj9oj|fVL;K+B>+hgs$CXaWw}LXOKI+3I$v5!-204{ z^TEO4!`*9^ZhNY*0ZzrQGdf9JMe4QkH54q@<#(9^K?)L-k#C?A)+LMfS zCa;CG;UOvUH{vATh$(*8dn=l3c;WpTJ&Y~r;br~G<|>paWo08{6{(}l^qFh^d-TDZ zzPm~2$GYLjM1CLeatAN*>*XDXZoZ3V(H%(ZcRj4x$Gf8$@A9ld9UYE#ieMQTRVv)% z^Z1O5WIN;}VQ(J;Uq_KiB4CBMVW+U!XxQk8Lrvk#jrMS)IZH0oO04%iTyY0vS?mhy zm$k%tmr!P^vuqKU71UjJ74>e_SyqqB%Ihp!bOrTp)LnKNW!Ge7u?kfPU|DZX5iaxc z#T8mf*e^`W^y(wP%4P?syW<8T6GdH~t{-7)gWaKc zHvITp!SEpdnRqm~isVqxDKTKi&tt|F4Q>dA_-p85ZN=B7m*5TeSpRY*ZQo^_T{^h_ zs&IFC6+_)N9L_b;`sUIWaQe*rpu8#hAS895MW-VS?G2r%@R6n&*qGRN{ewsb{rE)F zjNMS48bV6AH4GBm=g_N4Vve%mQ>6=$RV?coQd4$oAZpZIR)8|Kf1UNVbG<%wmZfmL zUUim5a9Q2;0=TTVv|i&;bnDroqR@HPThE$ypu4Wphey)OP)nEHs0ogJ5BvgqAN06! zuTXmNSwN&OMXz}AnYe)_!|4U*FI<<$`^^#Nl1uV7gkB_0$s_1toJbFJxpb^3lrGE+ z-SCyQgPht92Q88q@KX6bs4XAnb9h(7S}EXXGGm7(|OZ97d&34ZqLdz{I6%ognGl?Ew6AQYuoDTP|50~8g77(X`;Iph2 zaUx$;`*~EJWB}i6=4;*5p=!@E^>vs1r+N`^DZ`-F<{5;tOc~F074E|+ql*}0VqLc8 z88X*$)w@Y(q!QGstgDeWfSj7iXQc(*>|!Lkxqj{r-SVNEaO4tQv~ZtGaclbf zpj5XD5ztLN3c4X?Y8r=ibCc?(>O2JT=5~cDWJ`66ZU(;vNOU`G)h$4(+sZF}Ile+5 z6Z@jXHWdRqw0>h~B0zEeNdz<)Wlr-w3OI^6yXkxsU33^48Jxc9=jRtZefLI2YSOp< zJnUOfUyrJYawofXeOFb{Ilh)2Zf$-#YW|(BLA>sMIwg0>$hOCm8=r6Lur&I}Cl8O0 zUtH)*LluFs2Q^T=;?UvaOMV#8Spdq^q0%w}he@QXgj1&Pw8ok1v0#p~v5@isJw$&Am+mLx z)Gkd^+H|32-C7~ZxhfW}9uEOe=~2_HoDSuD)}OJ4oVOmNYJOTAK`r0#qcy{)c4gZc z?p%2X@-2}_Xh^xFXMs%5lhM$#g7wUh=qcRey}+Vpy{@F^HmRP^3fK{opyv=;AJph6 zToy0Ua~t#&Fp;XHdiwO#qm7EtM)`6*v+v<*<`}6zFB+g{_BeV72gWS9A?Rtc=vfIp zbESF)`C#v}dJ6O$T}w~JrUx|82>#5q>Z#Zy@#pQHQP8s*dM5t}`8ECwKT0>l2gvli zoXL4I33Th|A-21q=V^cI@_^0~;pco||jwDaLL$cdnQxNzUtLR8)pR zz?Rw-d0f-;ZR8AKBtT)x?$ao0&>C(OP`$8{71y@_6Sz)&o=JKkhFPG#{|DkoLM>d* zZWptabPLmIRi&u7nBbGdUbTD$i+O;GjyQ#35hGUQL4~oscu>(YwwG*BS$VUXodjFe zd{)bnIJA7|r616nQA#Zj-#&3%QR>p)6d3uEx#H*ampo=pTU{Cu157Bv zrKh7Lm{7j1-QZH33{DD`*eXsdRzBjv)tg0dtMU9bJvEQKmMC?%pTH^L2|`K2N$^_A zbiv(OskqZHQR;5(N>+g}cBJ_5VnwUF6M398;rv{RY<}^FFZ>W5Ne^|N#1G`|ezkkw zCrn)dYNM1|-uw19)r#Oh{dl4=4Hb__#8@g?-7P?H)e*tzu07%I(Qs0(#7Pv0m8mCe zBRE5#o&f4R3AGrAf=d;PPTEFr)=Cz`z&1s7RJ6MEUnBVMP}o`lTh%8ewvtOnDd<{t zc?3UW>hLHc6b>I*;$0rWW8Ao+G=l%(l|eFBJS7ohsc3cSzeaGSE3X0>q}z?0D`sm= z1V7KgAmR-X>R^cA2o73w$u@$k1Wt3{Zg&YMF%SiJ>lb}z8^MZ?SOv!7_N}9$)!qLZ z!Ht%11W$*Om!YJ!!x$G#UX#xs8Z$LxaT}%7@(3QU=ZaEyH~EMoIEEw`;T2KLe;>g? zjd*2J04HHkt8q77PQ2)Q+XxO8sLz2qQ9><`VAG-!+X&Ww#A1q3F};q8R+s*31h+WC z5e!?^*Ce)51W(SY6~XRI9X^W)jZ$iP1W(DW6~P|)5W{fY#_JL>|9u4KH0nF9 zW~r?&8?P&p={R-FM#(Vhc@gq-tZ11;9-T4|!~OnCH*cV|dz42*AM&ZN2&l}B9%Nc! z*Bvp1ML}h*d5Fd%HF7Ct^*cs;>H0XIk-W@_-^mNL!%%7=Nf2k5;(9-DXf@^w8L+kj zs}2b;R^ZSlC}TkH+GUSXmLsdT&RaK}j^|`Z(dz<-J_RA8mkUfRlRt4%6`#f$9?|&>qUxC+K(F|R4^u5Q{llt>mw2@ixc`))a-n|$W4^TZia{CQ%-%x?HuoPBws0YxT>HX z-@sCht4=6kHkpCIK3jSNi{CxOVR$?})W6e1>`FA7*=eWtlA?YZyo9RWbV0IE8vYDz z%Sh@xD=j&@M{L=tN@s0&-zatNPY(Q;pDKa_3$~46>zCqTAy=#P)gZa5D){XJ@Dj z=or=S+WCp==?9kH&*>pqFti88ut}=G4uM(=YMqo?I4M}V z0fMwR6=`baNJx0k`0!HP^n7|>qgA{hf0Y;%E2O6)SNeCu;1xcX9_qj8A;ump?mvsB zd%+_TI!Ug7dzGpAax$ZoTEmcu^QM#0Cd;KI8uCSBhHxy|QcrS0TS`ya;kgGdzXj2F z17tx67dioYoqwrd5ar~}gJhK0j1L9sA3$AA^~C;EIIvi?#R-C5$D7-m$yBYVC%;!q zXfC-i#__VF^v0NyCj1U%1`=0+E`Z)wiQ!$c`Yi|w<1(7+jCW|~G7LTJ7T|*tkfYDw z@e4b;j2!Ml?|b@;)<=17@H4gOMnH~nLz6cwq8o0eoF=mEUW=lduW?c>Y(xkc%O*8_ z`^W*m>aN`~r$xVXiuxnESNh%8o8IlZ89g()q`sa0p?~+>Yz^{ZLE8Qe}kdn^v>yGT+^tmf}{0^hKnv9 zHh3)6GnT^gT5#@dxr_nV$skdM9fAsqn^aLp=fdfQQZWzb0e}Mh| znzt+2Z`0M>^86W}4<}@Q0BX9v0iQR7`CCK+_Z`>R31x6XIy<3E)^{woI3Y8VoKP-x z!tJq%a6;|O30;v;B&BCDNT{xjq=7a_81U-W{R&nyQbJ|nSD~4ZCi+FGyLj6`!9DS1Jv!eI}TTG2vBJJh_C!0B*xx}Mhe35~5i_{xNEZiq$1S_+kZ)$k^{Le|%oFPcn5MushyL!}o8 zt{98Cnb6U4b2#6u(-rBd!Q_gCQdi`(zzW7I46ZPXt`Iw*`-Ay)LQ@*wysq-s=`?>w z$|QMr@_sVgu^1|fQ0c;Zx*Q$_QbRF~***`$5QA)PpC=myM4-=yCZG^pmj?0^#)ubS zxNAVl!*qG7T0Kg6i}|o47Irv_sKS*S084y~lQ~8L$gJm1xiZS9GnqOm=2(Ac&D9o*;I@r^Xp0)SZ30-X7i!zDSg@@5Hu)MXYrz#ad0OJmXnYH-u2>+OmWZzKZZ?Bl zQ7v`Fj%iw&A13+pMf|*FLNZ22du%}y6rc$V^cTL{&@4M7r&h$QS7J`-y#j6;FLRS6 zV&eb1hza9+@?{YdwU|S;H{29weUqA4Z!)<_HU?#Rtb)2Xpl(rJ5tGPn`ky1F`NCQe zGd&n?%7mNpTUaAzLUpZ(5#Xz{KZGl$$XsEGnEC%*#LP>cWE(LH7xO#4*WijGt1C+C z&9RLbh37iB;t5>gD^7c*5mWMCM$Ai>YDG+p9rZg!2YPydnBE*pJyhN zcnl>%MI~sD&oN z!EgMGJbbr36^e}Yn>K>+a4=36jT5ygxeF82!9qjfW2fP)W(3V_{*)S8;xOPTd{a}M z)|Nv7ja|O&oOLC-V-~+N4E>Qf>hPr(omF1<-#p0O&!^M6SJWs@&?o>JWr`a44)3ts zI+?sZi;-F~z$>{m&ALUm9^Q-mRkB-w|127E<17yXY)GZVt%;kiz;u+jN)@9!F3^r}$>0;xtb<45b0sE%LJIrvlwuGPYh|#uPEY>kijAd zFhef96DY;h^l8$UAekBrmSAe`?s14rP4%aTYeyOGV4k-W>yeW8D6YshO8o44*&E$e z{$_d@*I8Onz#S}?`q}PWWicHjl=}Ir`QH=@KYUMe$Pydos7x z1c|v5ezKa2T|T_kt77rb@!0B^nN2$>_3M(arBKI^b$V5=uQxoI+OJ70Ev9?DzTx@Q ziS%crS>?1LAaW*teblXnpiRB6H{PB4cca+6{P$aR=l6a4J7AgJVe#iVZZon9oR>Lg z)5>=<{o}N-U+?z3a%5d7KG-JXtFZJXK;9O9dwUn*P`*UcPt7Yur5vFWWki_H5!XoO zWJ~Z$RS8U#3!-e1bC3@B9latYc%{MS3pO$Q)k72e_FMQiROZ_mQs0tTzgQBRD;2vV z;TCi&fmmH9i$MZvOK+*ztV$9aE^ShEbuq-6AU1HkOl*ve*wFbTHcu)xP(Tq^VAo#_ zint)Qk5p`x;afV@DHS{PuM&uLyF`!F2{N&~SYDSk{R|en5aBmxCoZwZ9EmKyTIt?x$C~FSIRWFebKrSI<+neOLOn zlMovQvG`hR6)W$%60=EciBzm^U=qZdAT~oL*4fjh%N+0*iH((7n=7D_M?-9hOzat% zwaaz?kdymJyUaT)akHgsFH(D8^%-fGdCRuT?Cy{IJf(ft%6~l29^QNCp*H`AzN=*p z1-iWHU#xjZwRj(4Vf25|^e^%uDttFRj7P0ae@Rc5*)DAosH(Z8H0Wxz{$2e%+mS0I9TOz=7Z|z1WCqJet^|EQ+mWmD9T*%qfgY}( z$qcp~xsL`lHf!mBu8JiRO(PXm0bYxVgJE+QuV@Mc3b zTNabHeZJcwTuv*Sw-jYxL+(FB5?0ZNl7Gwn%#>MG#N&^!{x9@%r2Ag4U>U%PSZM%K_qTk8jIBBor zzM~8V2T(&MX+@N3?qlmUlup!4DNl*DzqHrM9L1pA+hOp2nZb5O=|s&mKFAAe#(B{a zbZo5;zW}d>qoYN+v}W{UbnL}^(8OUMZdmtdNry}5eg0qR4^rlQY-tkucgeB`DWgBO z&LyUKZSZCKOdp(6~E54wIx|$|27ZNT6(OYt_Ym!4NC5#cSHY59PiP=}N zIBPj3QraB?h~zPVEUv&A2XQ&o+wLZD^=8(8Sz1ku_8ltqhoqCA3>&m$R8FGQ7O5<#AmMt+@znpF+*2Bk@)Pc;|KJU z5i16-%j7cwL9z~?&0PbZ1!B5vMRFGqB31svg z_CSyoLsPZV3=d?%m~7Dl)ZI=05Kg@>f#rN8SaBUzJQIb9G4@)-O)x;X82^-*{wRk{ zzlKWXlA~rtK7YcuvGA=y;#;4U!|t;GUJ#S+!8m}@a{PA70TfeuzS5v^fBaSJEyc4) zlB-4po%;_ys;*=ovGZ$^X1raDWkry>l3{8Mu-|)-wJ}`>9K|~V{X$+DSVi;t z7r|}B0js}n31mpSENZ39M3P(}>!U}_fn?=zdKjBfM~d%57I1lKAD!a(H9*E?Q}b8y zf2CS(H|UYNRMtn^t_X6MjH2&vvYDa(u4=UCIkc#rHa=JfCHc&7bH*!liHvM!m~#nt zXS?2|`U8&_{im5>+7=UTOqI?IUB_;}Neb&u(8K61Gg!W=t^W%dESnjA)$s=yoC||h za#VHMqCoM$siht5DT**QH(R^a(4#l0UN#)9_O!^==Y_WqWuRdm5TZPMyGW8D(kThV zzaw;Fv1>zm=4t%7n^c(3W_U{CE%J4)R4r8Ecsp2CE8fNzptko(dZ@8wgMy)QJikNo&gI0flRDwCS@~KGrC{Hq2HWgX( z9mB_C^T)s(nZY(wkt0DqwWcEe?Hfbx6HYHtZj@7w*a5$5haODuM{h~^TzCcJz7ekW zDv==Z__x1^p8{ZqezkRQyS;e`M7aG%wUS3re;B4Sx9Ue;knLO63fc@oZR{OkF9gNo zBfqd|SyU^YLGQy)6E}4qOEVe9GUSx)p)7?-Qbo(YSC>Sbbh$3t#K(AHB1O+#ow(@m>H%knA(`Fyb3A?|7@@JeI&Q8XT4QHVckf?tBe) z?$TvRT1 z(Lt$;ssxT6;P_N@(Z}o};dlq{)pO?K9fFHw$2+EO3x$g^*&uv#mA+&v*~2=7K?enp zrq^Xx&7et%a0bybC{Nus7999EzOojF_RZ1Ie8inO)Kr>)N(}t246%;nD?gZncE~>q zF9q|amHZDzzHM{bFjWwl2c^A5k-}ytK1L!=3M&Qu0eGC`MxWa_@_mg$LASsMor0~2)Z)YtIF5JnV@g1>o zF`RbBaJvdF;!{nci~I$S1>mR`IaacZ{;R``TKvXg~lJK%$m zx(7YH^fDI(@JSWXMeCSjK6AwPY*q6mG#N!kpV4`|=6v z%p!9Y9FY7CJ=7B|>WYovv%3j2=h`xXS~AwWq26wbVLE4H;&LDvl%A&V6>hKTbL33Pk+*`XH6;)VROZPIZ|7jT_Q(I?ToGwkTs*b~izK#u1dztX-(6%7JQkB*n_ zuy)3(#P}*yF)OermhQH4rA+o$L)5ADd%n2UtEjukT1c*QE%}HUC z> z!;B#?tWuIRiTk>Pb%@BCBI|VYHrvQ5pTV`?LhbuCktNw&Iu;l;!sb%PmBR0`-C+G? z7&TcPdq6181}7DXzN7AY`EwflQ+>Lg3JkjW*{JIUlS=|;uJ9aa#LaRNOEhFm%iuxurr!6x%o(jqq5 zX4hA+%;c($y#Ak`P=!{qGm>7ia@)AvsOq7<_DExmFY4Qu1=c8_x#vinmK``5vz)_vhY z=h+)mhzTKY3*HbrI@e2mv7T45goTpcENCBkGqt6XwKvlo_yN9H2wzkpoGl%7>K9R1 z1XaWG@G0UL7_e~nN!sH?wkhct(~yzoJRK59tWtC zSh(t>b!5N1id(%D+K8y`qEMeNOCXf*+NdC*wErUAWf;XFZ{()f7`^xlTW^HC@gcD? z&Y_1_n5{Ri%z!uY*&7)WZ-mT5B>}uVOaf*ae4rHGU`$&_2}m{J(C0v7!l4)O)Bf5( z*8BM~QqdhX?Oqc0JjypL2^;;yyr1-j5oa=zGR2syDd>0->bye_uOXyPW?O?@1YOao zm}JFIG0mp?Nh=Q%eoFOq!cTdQ`$;v2r&Z!Ue^VfE3g+WJ`3zT}BuoPIiE23RoX=tC zlRk}b7kzsWKVzJf&p;g49K_E+92gB^{}sSc_!z{>-XJa>0-S|X5Su(feAWjj3Gabe zzY@g1GUovxE*}atUVzxG4TysQs#3TV#OWV_xF>K@bVEV>I0&4(0iZ)+J8{@o^xqILrsJUw3dG z22hnkMqmkL1eVT>lfnor#Xw+LypeHIdVqKyhy%X`=V~TS0I~OMaDFfXoXsHC^aXJc zKve?ogE*8CSPn2;%RCU90oUSi9mJ-7AYQ--EY%?P-NrgI=MO-fXN7CgFkH(+=KLJQ zB~0uMoRsi15U&ATi$gPDj+g?#c@p4S3S9u4k;B+7eL$RS1aUPJ`!Sly5D=R|oZ1q^ zi94XiJItA}UCOQ4E~cR%4gg$Bp)ZJicY%1{%fFPZQ?3K&qR~)e0yrDq7)_WX3z+!T z*B2h17QIJt=a9?8rfH??^0$FF-4@$r62r9&2j|?q;M^CWDisGnT+G-m$G|z4v0a{n zxG);T{tVZ$55&qtAT|T8#i5kFF%deO7~ADN5NjE(Q^oLmadUoZkoB8Y<-f#ng115bh2kr7zh0w=}$M>cR0)YuGS z)4OaS!?pat1~LLm42YEvL0rjjEhj;&nGfP1Mqs%QVm%|UdWc7yk>_C=>)e zQ3oD@t8;c!hU4ISoktRlaIf>}Vf3fsQSko}JPy9aS%l!Qx!hU&x#k}A0j6W4EVu;E z=>x5R%Tb}I91-<+$n3DQQ+hYDi%S5Q#SND$AOG%ptp1|)uL$4+txC4|RxeX3JeME;?%0Xj$4>r!%qieK^J#l! z&Jw!cm*6;lZbuj2j-)GuH^W!rJ)iMan`qoi{SUYUCuuv{z%@S+RmM$vNU%6`>JupY z7fb@iEBF^o0xP~pZJt(q53!6c(iE@-M#=C4)-blf*Ot4`>%bkzU~GZ0R&0TNj4fcm zUFZj`*aBxdFtz~Sg}%>%Eg-)OeIH{B%(2{so+rZ(_=K?q=2-4Rx4|7?Y=Jp+7dq`{ z)QQ*v(ZCik2LMRq>jsxw`O!v|&h7*-Q0Ooyw*jg4PW{)*lm_~!o##f-1oo#DPSjo& z{En*Ggx`UANYkq8gx~RO*7;z}L-JBGRA^NpcOKAxMJx|B{Vm|wcv0>w1)PU@arTE= z#o|QwTQt7`uFV*t`M;V+GH?%TdI8}>#JA~h%_A$mjZzsjGAh_%+Q_q0qNAF4D-zVp z-$*+;Q+4dNYE^yV+VKe`lf8-v!UWfjUo|~^rkLiy*N*Q2?uT!na3}Z+!u{~yV8_>v zUnJa*lvD1UdLIJ!Bl@nw&|v6|du2C%n|$-HvYR8^uBK8dY3aJ|-kS*4qfa-TB934P z?wq!-Fr%qzax1}YD$SspiZoQ*Eg|f|cmvK&J)?LOq z$@yBFrxin_b{Xd+=c`y|zS5wQPc~0R|*UQG4BLSk7=X!>oQP}^KPzcF7l^BO#avGNQpdPbC80~ zTHhfh8i~0z915Q1+`7_WZ0jm2tI0bqGY5?3dh1*JOY+X-!g)7}5Oc=$(Z63#vXAO1 z`CL5RbiOFdu0MjyA{Qu}X(wG*OTKEHq03|NB%F{%T?L#Fx`JNB2`QgL>xRT5glk|b zC0v7uSXwu9KRnHpvf+C7XyrGvJo2*cB4l{Xt2=Ko=5gL;q+~bT?)d*y-bz74Bza3n zA|j4Re^Wnk{FCNw+{h@sLsWom-dFPC=>EF1mmTUw;%I(nT7E( zTNp2qw~K_lEl1vdEE_Myy#1K-HbKbSi=v>{kegp{-j-SNRw>I{6X$K2C2zaQ%8EH} z%jm*y%G*Wac*%JiULSdzV9DDfmb_JZA#Xq8yiK4hzmd1~#Jn}Dp-Yt|ZwJ@TTg^Pm zTX#Z>Ynnj|Tjz7g+bHDi=g8Y@l((8+YVx+M8OGbGj7>t|6$<;TrqSBuHAB6d2I`s) z4qp@S`kKM-gy>qWp>5W0hXgFS9Q4;)8jW7z?W>`kd|Jn!I;3W8G|tl-;+r%+{RV#fMNzLoVBfB9y*q8r zyItqb?KLB=t1d2XP{M5+rk`O?^9V zie(IcLKt-?f=&HHEOX(9z&gn<`{Bl`gmt3$HD-1%!a6Y|9BR>c(FK)Lq~k~4KH0Aq zwE0yrqH)Lf)X}S=i~4ETrwzWLJo@t3M-9zwFJ>edngv!?OnLrI2fO2+_uu3@)@8?@ zq`waj>wD|$Lqp#9Z%r?5^icRK236TBhWjZDTbHFDQx$ixyR>Z6QNba_JNq&QUmjubofrcQzO!u$%JwGzvdiuqL#8~8 zZ4mQjvtWiyiAzWfe}A7rfJ`}dOnrT7y){Zqk4m>pENR^_%S90JtnkT%ib#e`NjTj6 z&`-_+WJ=z#^|>d;Rkgs%q@Zj`+Ak+kP6;9&HjHs?9%K>GF5>-zZWa;s8(m+$Xtf|> za@h}CJ8iaz7jjkVClwlF^N=fT3X%-Q~^Pj|dTdXiTA6j2uV-YbXxBp}XiRhhpWY7D@ zzIy+}Pw$^nFRW<4kT7$8oWAfUB(Y1YQ_XD3VaWgzuoo#D(Z`aV$ zFQyy1+tD)3{?g2k^4|G2Yc3C8JJt2yQEkf?j0^g9@U3Zmy%Xl5s{{ciYq>l3gVz?LwGZTNyIW*ur?yZnwPs1 z@J3{cUojEnedJV-OMo=VLy2G|5nRpGXr_19l@v#&?MK=M36;jF{??*b%Zzb&1Ay%I8IiSl9|;+@N`;A?vH2f!<%eyDu^Sr zm=Od9<9VJqWRecY$V@_u$^Eg|KKwf(@as(+Vu-^J#Ni7fc)N$LgwSIAPJd$`zGD-) zx(}H-o0Pms1Q$t%Jwy;PJtgjVbVyA^?;3?zcn(d5aC z_Tj;V^>TFxiTIF2v?7AnHfmzt@2PXwzMYc0;*x!Mk6qLj!$<^Sy-Xym7l(;NaD)ir ziQqRPsM_UZSM>$~6m206uMytN`y}FTA_$(5;`aq1wPfyfDlm*8SMMQL6H?3K&xs(0 za8_;+LFpCy@REH_1-r*JN$dP6DLI97Fq4QKy~v80DSn%;+K1~8I29-+Hc1O7AQn|D z>2QMxP7uL@nJI=f1f%lCr^JD9R$e|y96lg|=R|OlVlp5m#V@nOKKyTL%|j7Q(v)YZ zu@Wh0TW-?0^zE%HDWI54r=b1&AjLxz1ufyMOx#Eu`fSp~{74+`5{L31$PX0NSErMi zmr2BXOGQ*KRL8K6ztze>uS>?DH%zG{x2K!HXW!_Fq-Ke&LE>KfMGs<&zA2 z(+*?@;2Wr|11g+fp>jbXKYX;J7f+;6WSsLJn!4o`BoN?>(m;SOk$xKbDmAWLvS}|u z*QrLW7>QanU%HwPCy7ZJ-w+jV%aWXn_7Td`|3jHUT1KW`&^9~nk=mxkHL~20qKcpm z_IfOPk0=}x)Cyk*og@BHUe>AxoaS=Ccr^SzxV)0SJ2E(pi9lkUo4R1_DWxru&_0mR z(n>WqzhgSBaP#YbE8@%eSx^y@>qjwfZqCo(J?v^GZ6=qMI~)wIk2P#3`lt9B@Q4UefQWR+`=1p9quH|TkM0%0fAis+tKTR3;UDry&HY#;{Z0AlbFu5#OebVgE#6jCq zMH_KVnwLh>cjBU1scp8`*NEo%mBwYLV7S6Sol&VFQ-!LBvrAphcNdGBpFtne6B9zr?Wia7=j8gHQn#j#*1x$Y7 zHLhKiPX9Et@G_X7vDsXs192P;;3bQ&F|RPWc8O4XE&f@u$W9P;bwGwFtgykB0npX2 zwEQr%Ph_bTlBo*9)pfIB%GyP&=lR_suVYS{n@M_wezpmJ(_K? z7a)mm4d=}zwl0~}=1-W-vTFg-aG6?G)>jd(qpJ}DTDO*5Ew!GzVC8T)m-nS$a|odu zX&jK8cXX0#R}6yslc0W%RQ-4dI_WXWwb2cw!XX(w^dF{*R*FfAhW>OOM&(i7N>SRF zE>;-j>T;tdWz{2!(WB~j&?E2@dWb0-*-c9-yq``^_H!IWPRUg_{8dqIH;2HS3R)K_ z@V6Z(^pa+XE3mdQZg&!!K^&%3?XBU(yH+H_l&bwz5}#Cp(5oq1m+k(5WGj}~QG;Yf zxfK#QZjWh>eCXr(A1-Y?Wl!RKxy6GC$U%8Ub08)t+$qz!LAYZx>L$29eQs+QbT zHg%Cq1&k9I)6ayD8HLB_!6^kY8Y4<++A?aMz(sP&cKA*E5?&{3zbvp{=D6>piZ! z5%1o54dR-i{vZ+~G((8iwWbm87Fa3x3=TD7oKJlm`w8AI*(#k?pVfSX?h{8?&fT?c^tB~St=wy9ZODIZ5)JYh z_9;{_(vj8_v}2u;Bg2=vMu!f)SNPSZ?#V|L@KRc`^J$HKFfmh52P)=yVOPfFbH4&* z?!Zi&JbzZuAHVW_!2;e2Dd4W4Des7;G&^cvsiL`zhl_z4V_k*ce91jdjt%}on+*Sh zjP;}WbB(d$Ze?>iT01#}9_rQf@Ur$+E6oOugtz{uvT;H^MF&MXDc-(7`&gk#RnkGy za{G4kYhcZ~wfqee`2C1i!y|f#6L@isy`#}K{Q5D4m}@RQFvm_)kelm`+@D-Yt2GrJ zoH04|a_g@!>D}n?;Q!+7UBIHOwm;x^7??o>2ht_u6Z%z4qE`uf4Wgn<93lb!S)0gBMD+p^_WHJK%b&(rV@iXR962zG7Y0 z>?;C(CtCZ6mL$;Po0bw-G;J$h$m^NdGJ(4v3CQgA9^AMd%zE=F`x7feW?nqYAAJZuLM!lXMQQnHX77*f*idDw$k3K? zgx;cpMux3%7GKeL0Kn^+7pyoBI+h(%887xVe^MYtO3?z9KuSp-5)v6DyrxP{yRFAP z8l&Rf1o0B5GA}wA0lGj9nkR#1iz!4>D4@M1O(QEVqS@*qkFql1uF25iwVXsXG-6Go zg#~DPP4}y0gk=vaYR+w1QMN|lmT$j!e@y#vS#D0+r_9`7`GkC}qRi5+mp7IhG?a&m zLCaXSgM57;cs?;ol5T8l4*63cnxs?ssxVeZEQ+H*C#ni@k*f-EnJgt)ivkbaPJ4W% zl}%UwT|w&K6)gX|0-L%Z?U+O*-8J&*U3c&TWKd`!lx61EwvfYTv3jiLdR(;jl5aeC zlliqV2)`EfSjopUYYH!WCcyqkpXu+0|K#MfF{1%VI@i7*7$dJCo(4ZGeu2Yr{|&?9yeV%Ux~_l|fz&BEE0@;N>=eRT0RVp6`Qo1V7teZAc;6@H1_t(Ji8 z<8Nphmk)$>(IFtma#JNMJ5Rn!Y1&6=$^o{*%R*_g&G1`}+QnNfo6r;#jw~gWv$g^# zLWt)q{3XQG>;jRY|6H_2PRshpQPt0DFAHcrv%om3c^ho055<{uJDy*dd6HMj%n(m(*&A9T$y(UIw#YuWXr{<2(@ik( zuLk;}_Q2P4FQ~^`aB>yLdytjN)Z?w0Jq7x9*V$TvXbrn#hr1{!upKL9@h(mj)uOW6 zT<}Q)pE`9@>9gNGSIj5tr%{f$He;hu9^C?PEjm+?)g2Vv#+k-8s4onV-r0lfCN>xzTg!Lmkr`v4Ex{d2qi_U#7&8nhv6TC`-%4>XmNp2!{6_ z6|BX7My)Y2)!QLEifrwZwuH3(ZL5!Jd-Y)3AdDm>joph277k>s`8iU!uXm1dToM&- zbqm#Iw|Z>=!5I`H?!@^7f{+JwD=$^Wu_yo!Enm7bXgXBthj1@zZLtU`WY} z>YU*6yrwE zb2=_AB!EM`rJ!xdpb*}p3|M2;5DyFo4=yADeK{9$sWlV=#?h_hbs?Ig@}?^#sOdEL z18rlB&`c}h?WCB;&2{{{kUZDv=wsQFfj$)ruR8TD%4I0!ay6P(yx=*hiJIj`f19Pi z{TC$eDLkNIaRKXf!r z%YSsTuZ0qtHnAT5Hkr6wXZmz>l2ZH3Rg%(^q;$UNAsiImM)zFFdvxn;+NSwwzrz1x|Di{cdm0_@gX-BoHkak&pM;;gn~W4yCt>y z6<4uWk1BnI}{0clpwMvUNTEwnM|ZI+hi`HoVFkCK4`+M_Uwm-0NNM z9CE*{c!e9XP`zRU0l86*aX1CUF%`F5=NPj{5-k-Q zju9k3a%x@kO*b8Tph&~uyEWpB+)Ly^6?tI6Up3;KDTL%swDuc^IIn9y&5k|NK#KFg zh4#do5a-tG`FA1ymUJEz=NlP2n%Brq#jEcT+d0IRwyP6chd6)j{uK6JnJ3?0=n&_U zYa{w`*KmS)9PUPqGQjw&C%icK-A56*vlMW=87}--P+5byUkL9P03?+KssF5R0V&?S zNuhpJbZ`3Pgk$#3X!l?8zIx@Hf99K;WR{+I-%mT)9{bwHLEal2Z5X2bsI@cZvP+R4 z)w$pqqUx|r^Rg&ACK)wCr8^bnPAW=e`lPPPBnd=@zbDC4o@PkLa@tV!yC~62VP5AP zK(ks9MdIO?;9PEibB;yJbF875W6_Q|whnVFS~bV4E_3WTv3d8rh;}nDOKF@a>%DbG z64T_2xke=~Nnqb6w}p~-i)aVFPHzjXpzMP`PWlKf0&Jdp+%xP8}fN3Uq zP|R)zjOMb#t@Z*REIaT8KFRy&A|gPEzqEB~!ieclcb>T{Pb z?b_P`aZoe~xofZU?>W?~i5dvv@#%3YB==q=lG>k%WT^v5Wm%lpAe9+jn$|3fHZF_6 zuM_hRSR+(=H0z0IVzwCCeOW04hK_V>PW>$s{!to-fwbl1@VZc=L081f8Z@o=H1+;W z;HOxU>)P+(luxBcs~iD>+}@eJB`Dg069J&MW4(#u0$8$wE2Gy1d1WkViNqooR4d~o z^~zZF#8@IZlSulDcN|oTGrWy>Kgg*#L#Q}$=9a421vc-^P>JaBx}TC>H#kev#c2_a zL9spx)`m2#VeN>fHLT5au(m+LJYDsj+VnBZE*4W~2^riCD**-0=FiP}=9=yWiZ$_B z291K~dcyAcOtyPUhQRLmOl9|!sO_G0osKx^sB=su#XpfX+=dyoV#YW$D*O}2dc2D@ z1G_41UvM{G&0T9_-n0#@AmLIK!apONNWm)yD3-gzZ_!dKrVTPcTFoj@(`3qFc-zAuzZ4k`gB{P5LP%wX&ius4s%)i~4 zsWrpOIf=}STcsXVn4kJ=In3(~KJ~rp|`&0#I+y6$-AR6yrTky2yTg={Dy1ph$^ z#`gtI&1~gfPa)T8;mY++?Ni)^sWQV#m|x3?AO}G>*hj04qFy)DYsh`iz!^3Bo~=E& z@2O|Di5E1cxhQVypb2OwZS8R$MzTC3N>);_S)X=q0g{yH_>XbE zov@!TIq@V5tc;)-(R7T{HXHn9H7APj@+b40Uv`2aBG^-BwS{TEz)zpgqIE7&2YLRd{%MY(fXvT$QW=AT`TiN@ z$a=Brd=2vlPM4W)u6F}w!#*|hLwdC3yxw^m4cY3|V*bGCHOxQm-1J@*o8Ee6Q_B2D zR_($;V)q+Lf}K|gCYXP^=Krtff38TvbD=!w&0VPnf? z*>_H}Y=pe4T_W6#Bbo}c%yI^1*@&i2vuxlDwON*Njjn;@=J*&|Gx02JTV+eDY2smm z(kgXsY2&%2#U5b26?qAc_1K^y+*$E#rTpN<28D2?Hmf`Lw(;ECDq}G=JIAq%afO#Y{6}++yJ%JHmi3mr%nz}baLuDrjD!R2=C^q@RhUs_~;4wD&ykk>=Lur(XaC7xDft!973Aa=s93pP~=(Dm3pOvu8(wvrA zfx|NEm(hcBR=wRC{A&R{RaS;YBu9g*PRs03v;58)wOL-)`ahZFzJUiRc=LqdiFEub zvwY}Nsd#E7_EEDueMK2(tlBc$V7NrW{X)WJisbw%%j`d!Z^xCd_haOWkY@{otW08+~oH<|4Yz<-qg@6`hbB6cuQ~KSoW2D?5F1^jnaT zs5J}%a(M9~mOf1ru!^U5S2{W5T~Qus@AY^^F2HGaJ#)I@9yIcg+f-ih^!J!KCGamI zo1-Ax#Xk)*VX+AwoNqh*z)3A#=i%;HoIG&Wg?rqD%Pud~)7#68JkY9XY z1VgP3=JKamx-@G($B0l?%tb zZ<#kvHdmxZK}h$yGmkNYJP}xE?fZcaqJ951!~!vd`N1<``vtWqVc~IG-|H+C#$J@h zymkjX`ev`D-Cp(S?QocB!ar@n(M9|dqs!AR|IVNH)G7wg0ao#5`{E-X=zA9b?6qHY1Bn$d{peWCT2zwaEoEa&`Y#oR(XSBdDB(HyI z-9UAphuiQ?h$sSB3}RrTMygzM8+?UtMD(4h^sOM(>P|cQvBN6!olG4OzH4iPkJ!2N z-l67cr*sVZ>U8yuZ?z*w*nx1V&*I+}N*-EOmBjEpoNN^Xrju$pZFcFgdYQtpG+YP* za89c11sv@4<>{x%`Y>f^s)ERDft=lKkqZ14pr!hssw8E5kr>Xy@&K@;x7S=mH6HdD zWi`MLl4OAmzN)0D+2fvVF~inwFZ9gJX^v$ovCcD28SF5reT<)Y-l1wprDh1TWiyQI z)qbvFWC{hMf;Odv8sCBAed2qMP zROdT!U@E%`$9vAla8lOW*VNG(>o5WF_DgQ<_UN(g$)&suyy;_au?Wr(|HH664mD?) zwPm;wtj{U9>WnxEia0=OmvPp~?^IBRL;H4chx=j0DsPIq_qj&0zqiGTrj6B|mFb}+EYpJ7^gy60G=B8n0xPsbs5tAbRdgG_gnU7Cd zx~_}QDDSzx{71RokN?=}8*(loZ&So1A#c&o;Xjx}N27vD%*UhZJ`oO(FYphAz5W^8 zAY0GlBR}Z?Uz5o(ll%t#e3k`yFRJuJ%)Uer(GEB%amkKPlb zY!@kGQ6{sh%B1Z_*!+vz#nTOtIuH^!WDsc5>==L*Rkoq{;Gw$!%yO;?a)b*C9xPu- z`IXvbslf051%d6G(cG!s1~>VH!^vuJlb0GY%phdRSPC5;X7d+uwud#4NI6(>SBlN6 z5}|9psm0DImN@9QvyFLTf+?2YJZ(8sXfv*|v{qbY_l1|yQDWyyLcSn%a0{;g14X^+j_wj6r>ulckdKKwot@|feY-!Xa#^H(M;mlwrh6p?# z9)`8IWy4DLUpKR$IkdfdGPgB5LT#(aXlVsj8EPJsIYfNc;J-Lwt0;FxLaH&puG_85 z&)l^7`d!IOmns4d<%7Jn_lQHZ&qLy1dv?5`LUNB^4%N0N0>Cx&>35a%3F`Ehtb7t{ zluyLkYBpedjV~kAFd2GP&EzaFIs7@OXfoMtk+~k<+mH?>J{xPWTjekhS!+G0ScY!& zrT!vkdv(iuuskY;BM)=iKFa~D(5E_BWIL}+8cAnc`H}_`9Z_92`i5KF)nVzu z^g3-$ft1Kf-CK7Zu`4eXJ-U2c8QOt1RU!6O4^6@BOe`PnAIi(e0I__GegYybuLE=A zt(x816{EDxn!aGJGF!g6@@)GX$kq}3z4L}lN{7QV_!7RyCr`YOKn-8!yR*JO+UZwc zT+OjQ4+V3lK&AUbhM9zUM^tPIDhlrl&kFbDQS!bVLsw=~$gs|=^njHlsAb7V9Pk7; z`laUNU*A!nQ%-au#7hOLPAzi%YS#CqTF=sq5J&D0JO?hSS(YrrLfFf7LgfgS2r7Ah zN-7OpD^n@D5l6?>64u6u*0`q2)q592<3Z#AXInAyFm0HX53`hELdR-`Vfw9|yU%GP z?sunsoVNfULtn>fo1%YDb}S~1a|DfFQ>}dayE!XeSD8v>XT90ZH4?BCSANW5-~Av} zHOjnKZN6i_Stp2KzbLmD1@HM`pf+Pu^N|K*Jkm+t7|MM#9dj zI;&hShV&CVlV5^R8P5lolMY%zypS%=nN@jKU??h8a2yV`ynEP`IqXuK4q^&#^YOLO zDrOv-%l49A!N>3iL|1kX$)>En^E+!qK@2*A0T&?*{Kj-+!hw#XdIwDpNAJ1A zCXw{ZNqXPbPU}M7d9%+#cKMr%l~wC6s{m@i@`+D7HDFNV zl1DUP_51sVa52viRs+3}j%5x7r+m6lUr98oYkn2Y#wS0G#ZNiUo*)urXY?q*6}5ur z6oiWYg-8Ki$6NmRD&-an%MXepGSD9}1 zH_D1wP#&lfhTf;1S+-BKO^a4Mip52Ge62;gWJd~W>i=3GNI)y0p1G~QH_|QBQgm8d z=XcD!2~%X5r&!E|2S{G!QE*y|LsOcN&gJm@Dbeh0o9fw*iSLL+*Pe!+$nh#(R-*~| zIJWmJeT41B!{-OLnEl_`KHuva#uGH4LdEtH&DuxUzTj-ryP1!$eL;QJzS8%mitV;G zA|G|(w&DMU?Fsc3I@#X0xr3@rig_rTp)Vh!94%Hcu97rR{G^ zbVF~6Ry`e7J!DNyli@f=kz?wTwY;fiSUQb|aY6a`=zBr>)OSV7{Zw~Fs^ajjHmv5e z#UHY>GF+!n_Uqd1-_a;D^Xw@@yxsxrN6-rbv_J(KEQ3Z7G*HlrQQ=Idv33ox{=*FIjuR0aZ z>QUo>ilIF^4og*BuZooz{6lY1U_XtO-kl!y^8A3*=8iayEm2xf+*`*OxCA%BAhQt) zXn0TXq`;j%hVuLtP9H>6(FLBLso(4qoG(aJ9+zy8M1&Z7N zY2vX1D6QU7?&N9zJb_>b^)XSxQ+)|4-djmmKvQ4jA#hT6=Q&`Od=7XkIR||DHo2K|6(8-V4)eqmD<1+|CnWa7r2nMm9B|cno&zdd^JyQgMXi+P z_yo>XPLosa3m$Sd}l0Y~DcM`^(usLK!Va0aqYbLSD&qk*iJ_{B&jpXS0y z-}e6?BhPbI^c1P~!SlGkiDI4DfMHlc@_Aow-yit5^Sp0Gy!yOv>iayAl+y}S)+ksx z<}Y!T$30N{??PB3KYorlT_sKl3Qjl$=RuqlDZ$ zxQs=VkBEQ1t%}VYFQR-*b48%Xcsa@^bq4}H#;c-y7O10qw(VPt;Vlz4w=9!s6p9^! z9OYx)Yx@8{mDU7$c!($;g`*$d6&(GTICXH~gdKMAP_c8&;@Q7%dop=Nd`)CexFfPB z>YX?FK!{6ZlW&{WC`_&DAzIH;Az$e~b9rCuN_^_xPe7syII>mN|+1uCT;q>k)4 zIejA!mBdXj?U#xKJCwR{YT~n?_Fkt_zo1s?v1bHMvdHsV7GC)#346xMIs%$C*TGYocnN>fCPEBc_Sk!bh_HoRPESdpGX-*AzQ z$Y$5wx;nS;Rp(#gPuJy2FmS9|t|vEQ5Y;ULRaf0fPz7Z#o_+}6DRb}%JYS;(lVvON za=@?n{Yn`j{(>PECvo|GG@yK@SXtIJk3)2MLhe|sEbE%jVWhc*0lotl#(m`U+gxi! zMWfw?k0DNxddKC6#2p^9L4`-7$=wfXb}>l2p)*L_@UN}pSnfq4_nE@6Qd?A0o^5&E zjZ+P>@MrEUQeMUarbIJg8x%PVR~?n84474@GhedIfi zvkoPIm&?Q@wv4GN^YvdJ5wR;o43$h$q)fFeAS6uvfeU-8=yBr*ww_Eb7~0mXw2o82 zg~jVc!Avl?NW=l%*sVilaTTXUzY_CZN&1&q@>=vO!avI04r9q{(eFnVB)ehHujxoXnHkoMx_O!lLDrhKP%b28cIz5Sr>{ zu}Wrho=@jU?o#|VC^Ea(eYhE^3{OhTA)IsTbMHvsIb(ILkwaM9FuQEi6))?|GvyxL z_D^n`Tt5GEoSzkxZPGMLx_qHaRzy{wvAS10m*U-q2ICDgnp{2hb4JIA@salZlM~hu zc#q!$JU$TGviSQhI|mc^-vl-X=KdPrdj$T4 zz(Gq1T=^`4{}L^S6L?fx0Oxsm0C+(LfqOLsa7+q;A4Cz2ZeGNB5P{1GtZh!5P4}rEo@*AKTf%_ZL07@1JbyKIGo#-dT-^^Le&h`qqF& zV^>b73p9)~+4AXUwjS;nagZ(B_Os==jRCCrmB6X*wp=)Nbh!1wHeOq(gv?RZ2yb zs1#Pw^$}GnVpt`np{TN5R52fCm52_aN8+-r+j^efW^R{J_0Zsj zi(OJ3uMZyFwD~rS`hDZGbs9eV#3&>F=V|fRhn(TW)9o((|CUepk(AoA zx`wFI=_0i@a%)E*pKjXWD0@vl-RsP!n|Se*$fsL=YtmZg(@mJar*Zw`Ug5v~xc0B8 zsiXbM-l_b3!0y=cgZK9yeeSGZ=*#+ipYgiiPrj+i(HN83NWMuLsiJS1d8KcYF7@ws zYEfCw^s(ptPBRB|jhym?y{Dk|@8?DwP z&CQ+uV}5nlHuRR!U*p|A_1j^&W)E%2=I7k!wOJJ3Wv74aOCH)r-ZgJ$B;1;6)lIRA zw=+zOkUlo+V2`wZBXYiAhT5cyrxGjI9Q#_lol$u!Y|`3>)+tP*YMnpNxafVUE8orl zs(u%`dZdfDGfJB^E4cI&-_E#JKlfIN`QAv)6p;jX-hSPl54}txeQZ{rLurSfvq9L{ z#l_^x-EM^lFutRl1UL8A=mGbpIg;ScJE_|l=j1dwX>O3VYZ#K| zN)M1UH~cb^=2lFWx-x0*@*_x^d*Mv^RFO1y36kcXd($ElHmbFD~-JAnyty^s*sn($yi z?qJ~8E)4iZeLMnba9gZ(LmJ#(-X+cKgC^N;Nt1(-#ym5yNoF7OC#TE+9j?1s&tzF( zcndk?yN6h!;$xHSW%=%wTx7;g!#gXjI=A*bJsufAk0LYfRwR5KgdCz9kP+6rrjFaz zfClc9kr_8)`qRD*@1ccX&_db7B>SP0?v}*0b&~1_HgF$+%(!`rpZ48w9{~5z!mWu( zwyKlv8b2hyEoTb@T6=oF3V`~Xjcwa>u|$oUlw`{;aJRg&u1->m;0EsbgMh;tbkS_H z(byjVOD84SQ<3;Kvs*WCANWjb&(yckLMU38-NjAv3P;KLGF{yO@kFPNR#B=%PKkKxW+2pP&n5#x)*c7n9M&8FcX`y6A*1kQq1i zAi9{37Jg$F04O_yF5W~JozcYvbWwmV-T*-Rt`>9jlqCDULU+ro&7ecq2JYLZ0N_is zumxT8>uQNw41ncdx@(?#yG~MUj|T3`XCUEgJOK6sU?u>*o04QJN9x>iq|Plu>Rip- z)}BKvfFx7rZU?~7sYn_7mAhp#622DoMuy;*(LyDdbpZhTkvcbFYLcxIxpj?5oonll zB*aTV>OYXa_dWo20?GSmA-%}mV#%oEwkI6SS_wKJWo*OdZyAk9oog;n1Z~vMe$(P1<1o*;4l^J{t9&1@{I3> z-T;`_!xB{$k2g^OQ0FrU%rs=@MP^*D9q8g80Qe99BWEPpGrx1U!~o#zG|0m@0N4qD z7XYvq0Mh_a3V>Vyte+0C{}uqd05AanM*(mQ0IA=*TY~o2Ng6p5EtCP^003SFz|R2q z4glEzNCZIrm(ao`u=_9o76IVj7)2ETssL~U0B*A}d9Py>1sKJ80JILZL`BU^vTrYQ zx4iHLm<532KVWX20YEwcx&j~$0Hpx<0s!mhfDS(ahp&;w_+0?>2f$JQq+Z0lIEZ;M z4*x*@GWdZ5CZ*+TTnQ_WW*Bah)_VNp*&u<2DBSCxduNr-bf*fJrulOw12Wx3t@d zq@NjH?=U^C*9qrwK~P;Mb_qPy6Zc)_Id|TBBt~dNhzz#80mSTD7cbg$A7|k@-ir2% z0oqXVN35t$8;d+l9$)dAvAoya*62K(n9D?RKg&t9A~Ggas+{*hjK$Yq#Ev+Samea4 zBGW`!N}0Osz`+=waTf0>-mAt%Y2l{ws<#>;PstjbZ(JumY>6HCLdUwgb5(p>S9d1q zSVTIOt4Elw3+J)ffvO8mS9?X$SkiExpu8&$b8FA-jFKZm{` zg@zX|8ug#0$ti|aBt4u(lI97L#*(Dm8v|q8tL+^rhE?casXHCOVOc_aI0mJ0MQ)EI zyoq1scgrR^7}U}m9I5docdnva)chVk+RgZ=Qw(>mWSQylWfd$lKECWa%X}YSR>rcb zcky|&KauX(_wi)~tQWGwsyi9W(hb=f54@5#YdzP zz_ZE~w{(3=Jtp83V$792I9YN@0bUT(iSYj>3TN>VDS>j3639Lw$8o7@WmC5aQgR(_ zdCOTv2uREhlJq8c$POILH5`knhfF?R4JpwEcev`UMqL|({XTg@hk)GlJdC(V=Q$3) z*ndNk;uxuHPdyZfn3;s+oO+BD9;em!Mzl<*_s^0}(5|>11J=Dm8~!T)koPag59ZtA zhc%j~r^tmu?Z40VCB}|LmgAWggd@JbAWUiL#ZHIH%PqiQ;%0GQXSD# z!^CLQ*h2(k2t;NcFhg+?XXW#}$k3Cd%on62gOg(GL#OxctBU#R81y!(C#MsdkqU~g zGM}*l#e(G7ss&O;F`p=!)F{T;kJW)R+_fcE~`2+q#YoX(D zp>YM~VO>v5v71+Xa4y-Yrw0NuD`O0*V4AqAJ3PIF6$3l_S1TA?GvY$`gRM!rGen^(qoF9QrtkiiI>S-F-G3~P^3s%O#0=L-P+A$ z_f@i66$#UCH-31S{5NH+7x9+5-V&R+3?apq`eU8vnkmm#l0;b?PM$c0=!)YE2xe4> z6>Mpl$gAEq^AvBd^*j)|FJ%4Ge*3NMzrsc_`l(! z%+Vsf=cq9lZM)s7R8!dlg@wC_qb*d8R%%5BluF0kUAsBpU|iF+@GErMJbvL>}8< z04i*h2bSg>SfpcMU7{bI8{Mvox$zC^=U&H0nHz1J{2%6q=c98&w*(|M>=bhYLaUk^ zS(c|klQwGEN|&KU{>PmvXj!&qIc2M-L$ zhp2edM#Y;gF1(TR^cLMjQ%2CHhBr`8dfvjzR8Q|KGIS-Og$1c_QcUj^Lu;mYcq@5& zXC^aqqU-dCofwAdDdRak;z7RuuhU~jwU{2XXp+CeM>RbHA3(zvyJ%P$+Vm*^^VHB$ zU8rF@)@ayOn;aU}kPi(N=c1tse0+)gX@o>BQ4NL@FEjnZmdl_$k6Di@>fwY2P*~ z?Yl*#eP_97-$naqv6*SiB$wf%60eR$h=R;;5ic1!i_m#$Xj#14)rgnZMu&Jcx&`ry zauKi8wc=fl`xGycosmgJBy8x#0vN6muS}JAWvIk!#Za|)-3_9lt368TSctzA@q(T` z#CwRY3&=eIomW8UMJnjOT|mZH;imW2RxUP3SR7aHwii%8ms;IL&#v0!v_XnhHpswX z!Uk!f5vz&X2Dx)mBqnW%1v!jDu86i`&<|d=GwBo&w&O#i4BD&SR)|-ci}nf(r7-TS zWCQrCM%M}P@^Bg_Ne<(rZOU1QSEP%0g|{5c@ob`aJ&nIywU<#PUM*GPl_tc?YUAQ= zaS^Xqt0-PMd+02(J5XTD)Cw|R3%>R)Z$f=Dy;Ut6t4;(rid5x zY*pS2E(>ZsTPj0OBs4FBE6}o@Eq3bJIES9SZwYFhuV6Wtk|Cm=C3)2qt z9%by*&6saq5!*&P2>jW|H zh+LXL&go#awEnH=bJiwaD$kpK^C31R?N^n79p3%1gLRqIBU-=2#zoo^&i8y`-B zbXy?^6z-YLpt_4yxUY`bnJgrC3fMW;Q2M3+)++Sx4(r`@%`49zj*9vt90k>at5W)( z*F60@&UC2}q^VOW9dk!EKi3)k@_LRonG?rAE-It_yBY01jTe13s?82V-wT`$iF5Hu zVTZ2MVU*MCSTR&()wWYvwV7(OBe%^`3dQPMXv!A^r~4ShAG@mdT)rcxV*QB3_f+=U zkF^Aqi2A<&^tJWuDE&9y+qkwQRejE zr0fvL77^Jz)n%V_Ih(6U!qEZdsh1W(=@qYDg>!eMZ>~6lfXXTC(lT{{N^R_z{Sn$p z{)Je49N!&gpiv94Vv`C34}maCf@N$?1{DK+?q1TQ7H5#X{)h_)_L z?g4dp_po!<`&=(>3$M(>M-Q7!SX|*$0B#*lSQVFpZH0d&Tn;9>9Pk827F-UALIFf~ zK&uM+yS6%qR#IzdyX0i7w>Y$u%A+U?w<5&3r)8k(QItCVcX)_`l|3b~Bv#V>?7uwM ze9GF)efDb_|9umEbxLRZx->dSMop^4#G+Lnvya`P%*jOkC-S2#2T8FWG18W-Ks<-C z&jasdCWJ4;b121)W(ubuvonlMhf}ad9zQvB6P3zYGtUPfm0F0;=WUS2r=8IHPX%<= z5%$CE^pxx@jiu=^39~Sv7}9!26F#E=^+Fnd<*YYYu2;l*$&Px`g%k8%6b^(x)u!>I zi)S3KKr19T=*HcjwwmMR?F>g;mHI# zMFWvQCvAHOf?Zb~tfqUl)K7I+YVqWbBKfUI`0X&t;WTkPV)49qFeB|EFp0q-oR?J5 z=O|WA$7>Z6UU=WmXs)f-xiJw^js?<|<pb8J)?=XYIc} zsFxj_&8tb;5AiqdJ2_qjd!HDk@KuPw63k-Bh91k^LUMU4Ao&wDXyI`IdO?f|hk-&9 ziiXWkBQK8aOWREq4r}M^CJu>Wdi8)=EfKUr>;BLa#J=FY3H{iTG)T5lgUi_;1a$;1 zWeP5EbINa;M80_>leEtD!Qea4pQ(|y{xsk0Mekg}msXzvU;iq3yYt4`?5>g8Vh*DV zhS4Ha+tat-QLTJ?Z>DZt#qZ5b%BwNiXFjEP9HMv>3h`L-)BK#fLwI3ertTdz=ph;O zFhPa8Spq10gHRn6U)to}z1jQ1uDJY$-jlkX!agZ9xi@>Sk&9PukSw`tNcBD>OU{df z4B574@TiLUlr-nfVi{47p}I8(S2s_~W0t9?F89R0J1389;BMQ_*`{sFrLt)LQs+x2 zf4DXMi37CZWJ`7%&h8q`(MZWdcpg#aeb#&jqGTTqd<(vm>VfFU@(<;>?rlrUg{qbw zCVh%7BIuGdZ08g;U;e#W!l!&WG2?AZ8#SmgnV`u6^nC?1O_L;OX%)R|BiQ>T?-J3w z^enC+RD5X{y({x^;-r$h5X$OnXiFn<#ga;$DjMMGNmQ4dvdMR3L=)Fru65d`ziwiL z`v&h5g8n(9(W3d3-G^XTR$3({6K<9KHF*;}N|f1V$-IGP_4wi#zH^iPa z{KmznlG|Tjkz)4FJC~7EL#Dg_Osf7b(qcDOMrGN}dioH5Y7gIFSUv(0gmQWc-p~{F zqPoARA_pcf$XkJC^&+VZXFCpGdxq2jTm|9E-A0{qCUm(r`6damfOpXo8#$mO-ROT_ zQY;=G7S~qp91nn=v}PZ&aQnT)&kOpsiIFL6JKwDMk-R9SywH!dhtwCKP@+!5&rlX zu2Mo&R@30Gw~*xa6Zj>^Xj0VANZ+>St+|ww{Dm^*w-GV1eQSI=zl&rfp3ZJc1*iA!J!}$fhTs zA>^#|N76Y(oKn9OP8=%-R%6`m6bb3#H)xcDjI=d;fK)dgsxiFBbx9;E<_6K+8HeTa zVbcYxmd}uQ@fi{%ZB{*u@87Z2t!6Io4c_170L*`;r;eEkqqfAR*dOFl! zgP0!N3cOOE$GSmH>pBlgQp)n_+9^MYPhLOjGTH2pKg&FqGwc|0jVU98N~Zy;?e73J ze?udqbP%6(l?VR4Q4HKfH69<~tr~dclqsmH@6Cbl#9$@F?PNYH4ybyZCK1K+d1Zt6 z$ei|2Is7sm_6U zpaK0H$-VHAlM_Op!P`-E`T|COBvCCD2L-6MH$i#)DqIE6@o@4=)5(-Dak#fQnS?e; z9+ce0E|R-=>P*ztkEi550ODew6YwV0O0`O@94)?rhmCDru{U_I@=4AJlLS>&w|o$m z0dJaHx0}YJKlwV^@s~1H3>^IXbu!TRJU+=hVwK7}W$MROu`&B3+ZT0jYVfS4An1t~ zqYMQxvWi%pc+lQFL38Ok1>Dj!8(?^$T;ih!Lv`=5>_n+3(_BMy4t(yd1U@%(!LWUi z055iY5_29eov3;nF+omBdItwJ%hE+sQdNvynO^uD7Lgi1@)f;SRu~rFw1w@jYuB(_ z^xWZ1GNVeY>pJ2o6w@w`lN%Df1|Dt{^^Yk{-bxvdE)(kwEUAAlcF>Pd-{Q`?J)-!N z0Wq_Gz#TQkWl-@U`_R+%75JNDXQ`aE8tw<$+I_DsRgD& zST+)?nvaQX*5D>+SZKgizZ;WFl*A1`MtF~OzC2U)lNgntT!OMZbrBA;{-=$+?#5`7 zqQP(C`eJe!l$IrMcA7}?K@AwyOwoWIOR_9A@8sOc(Cu()z=!9EcHVfRylH1EQ?S61i;k!AwO1W;Ro6K+pF65YMFkn@Sj?kTn1a zj561@biNK0yds_}xCj)g{@_t$hB$Rq^YmnsIbmIGwQqR8QLlK z*vyS7_0ki)T8(br+p364w49@J3mb^VjO6635tT*-93LzTnm zlAfUE2~ugEN!ySCH{0?uEWtFNUbIrgBd?89*D^s0qnpBelw!4zZ&G?)h*IO&^f%%d z^Eq+6ByfajkZqjjFe~3wo0S{XW+jXcx4Vj22{5%;iL%w-DQ2ZGNMIB;-e+){l?W1h zqQ;tl-W}Du8zgs7k~4VAZ;;pq?Smox&?*v@At(p&7bhZWTNWw)@6i0LqVCIkMOnPg z|BYoaJRJKNukU@;MCdZ~GU~?c#3yCF!l+ebRJKY#?-%;Hst;6ZnOZ;J8m#E&S!(?} zL#?0nO%D@C+a-J=7R&ni1N}H_e}{g4?S@J}Kdsi!G(a9I`Wawq{fx4e_Z9stj1#UG z15(xcd8=2AWr5h466tk}zARF0S9vZ2Qu<;}fP2aWK$wuW7cPs>b+M0c$)INmTI~Rp z_D}(ZhdNEL3LVWkYfb~YVJlqhu$(jr{yvAvq< zWP|4?aeeMjurjt-B1BmZo0IWz>v0uI{XniKlctv1GMyF&?BOxiZLn+l)^{piL&90H z^FB;L5UK5;c&+*J{!zQ-JSh{&>8Kfu`O@Eo*lA*(sU zuJ{C&%c9P$U>7v@-?xGfYDE3ft>9U?OtlsC9r~!KuZX8Xy~LJQZ&_{SJ>uEv6!qnl z4LgpnL_{T&cc10R1R3KZ>M=6tY9U4ns4VKAt3VN9CvL)4dLz7N)hszrU{Rr)kU7N?Zw zX%R!zq36S|ZU-eUx|ky}sD^uWJzts==EzR2az(0D?ttvv$Vs73>VS(m(&-1*)xL(0 z;g+%y66VNml{qp`m?N5vupmm+=7{YL#Tps&v8$OLU81~g0;WIW^Hf-!e6ZmP=VT>6QDB)xrM&caMz2ZM=MU2ZG3-STd*8Qd#AhnHh- z`%(cmgVeXjq-^`UbCI7@M7ti%r8$Y91E{A5E7dq=KG%`M`H?st5;#&5dt66jP}1qx z`q5EA?@(Ns$6ec#bTC6f`wJa^=O04w_i^`#oWI^Y0J2Z2;x2QlT`n^@^gr;CwlCmY z=_bCFj->q`S$WoTIT|L&eM!^tK5~~xYu%mBjO0&6E+UDBU(f`{d^UgP`xJd)C$_1! znVIb;kW741ep!)7(FHQ$M$3LT_>N<{e*i=Ng_S?nQFfP##b3E*dH9 zQoZ)3bDg;M=~8ZAdlHRA1&yAe;q8INfJt9C{TewR3oOjAX_i$eO-8=1!@U`0%?aN1 z051Jy32ZBO0@fU5hj3`&&-f|h8+`Q3RQuQ8yy%bDkH#nP!DMkjBXW`#eEc~_Jeqw~ zy{Ya%$eXo1*EMrb8XgH-wiy#cMFa}0LWJmy= zCoe9Bb9%2k)pv_EI65>Rm)_DC2jJGsOr6vKlZBo?Q&dRxWT~wx@BNkMI}YFpW?q5H zExfe*SNJLUV|)z3U4?J)dN=L{^Ij%e*M3CkmdwmUPjy0s?RiRRY2+lJc_!f**c&my$%y&zn&sh!qXeHN z@8g>gkp(a~N4ks}rSey=meV!uW#4wCZ^dc2?K5g%`BF<;j7C9t^?Bi35(wK1MU3^} z2_RI@vtKxU2x&6VKDNAFX?eMbqj^30Q=wpwxzV=B117Gb;lFsqAW zb%lfW-z`bR#NZ7-Q-`(9K)vgcKRN5olIxYRUXD`FSKM>x6$_+rINX2Dc^o7Kulu>i zZ##I}`~L!b0tGes40kSMbiCPD94hw;m+Spw43yjJbAho!mX9_HCR2_LogHkD{6t89 z@Fsy^)wzFy9KGwS$dR?FH{lPE9Qg`4@^tRvLQ#feVCG&JSfzSk#ba)gAa^)0V^;@3 z2c{la9}djwK8BjJTKyJ>X6(=G>pJ_2Qu@MM z93Oe`Esizbki@;-Pc(+OYg>6`>)r@o_%wHU)a2Y1gF>UWX6Igy3d{Xv zApXpZGlh(bPFPaxv%!0&@@HuDo`E7dEN`+0q|&^ie!WH`aWn0jHRv1jaTETb+(4^n z)DL(VZjxXR6oM%%IMAK*UVDHLVJgY2*wmLliA-M)WXaUds4bb5G7zI-9O=L?vH@zz zG?zkF64fLf{L+^ssknxcT+8qKjCD2R{$p z`uYIXy!mmmVA^5SF-%v~7lJrMv_z#RP&7Fyant56cxv#HGjvXw$=mzCVdJ z^F*8RF7!=w(APW%$h#<+b>n$&G^|Xtt{|__*TX?y<2T<>U8v}rvhX75YbSm4zIUPT zbq9UVy$JgDa+&sx`*XPWN#Fe1^j+te_M>h9#R`{cKfanM-XV&{P?u@nTb}kwpN*$p zQq%X>jij$Qm^93-P2URA7a|Zn9w_!vE9#u*1&T)04J%Pl6w~3jLs6&w0$R9en3Tmg zNDE0^z!CYz9?>w$Vo~tsP(T`Z0PmErCAKWKnW(1kNx4lE+tglip>Mf^zT?Cyqo!}k zw*vWKB442(ugI^mUMyH5rkHBIh?(#Q>6?zv!z@?&I@XJ0|Awp$bfItcand*W6h4L} zwds4^LEo4v)N@@gei!v3cmicu>LQ~V4jE0g#MPddmCuI}{waLIY)V9%GB9PmNE7v3 zxLGCF>%e-;m3l7RjM8lr(^;i)u1pZ~EsNwZ^>!}1LgO44GeXh4e@#9Ipgci@4EW?7 z(NSA+IRY00;K7?=fHZW*CoIhc6Ay=6*>vyMo|}HL56PHA#Kc+~6J>7NMLib-AV{uP zzWh-~;L3$)}P8s%M4Iejd zM>;lNv3pcGA`X>0hf!2B3?I!ZKFG1V^*Y$U9U@lZL5|~Z(L7Ov)HIq+YfIVmX9}qu z_~=(}CO+lFC*l_sKBhr}KZ4Gmscedz=pk&!ici>f#E+WuxTNlT)nif3dgV7!JSWKQ z+C#e{eOOTjiWU3qs4ORHAp*5z(aR16wS>H^SlaMAhX@-!?VnOe@QP4%vLP0Y=By685 zKGrf(yt9|eO2}GN!%+R23=*(N$jHws0(RRZsD1&3hL1tOC=yVr3h;8k1l~eN}H| z{-U?Z?5#@a4LKGHO4(U6XJ`7?#gJ_DUq}3^h`qxYNu1b`#IutQRcboC{sl3#vQnO! z4n0pe+48AMkjkTbWe8&S;Zp_NFBG?KzmIB+8t5EB1;p3r^vy}Ix1OPC!-_S zQm)8aVf{&p?Dv}TEl2SkK87Qz-mgc$$e*U-6IQKa^SX(1IXt;NUh)F|K(-44%LLZjpwtsf z<_gC`WgOcdQ>sF}Qw^HZfn>`eLlgQd>d#@MuW%TV)_Rp{P{uuDn-aWBN7wt6z0Ve_bckhDRMnNNBA&Lspo1B zluw>e+gwj*bPn*zm+>*&bxwJCJEYhHcdV%AY7fj3^&%=*@1D!tQ0#%#jhBM>F7`m- z=BEjtflpYuLVQ8dQ-}_Auu%Gx(h~8!ofPFDfNg(j=2V ziD6Vgi9zjmbH}>qdb@vKYf?5(Q0NbZLN~tVvi+E={Q&{HA4z-hbsU@^%i0pB++{8Y zFaPD%a)p7D&?V=^oeR$pX9nejrQ$qP9GBtBa>P^6DJ}^wu1pOj{peSF$QNgY(Ejah z%#`T(+P5)1KNE2qV^DvYDFa6aj3gB42v)t}yvI08b&v75oT@{1Ic-BFJ0{vPTEa+z3`;DYx5e*JRa zm}sKCk7yS-(asqZN~6f-2Jf^OqFpJdjnE4fwQJwpSN!YN8Q-E^{Uv+?!}t7kt=K@U3RR^y*{z_#QR(xQ#C>RIL7q183S&%EyT=n%@{B)f*C#xbFmrgx{-7V-VbB+gM@@l7b;$;D@-97qtKPyfO#B5!6Og50jsCqP?@*R0_WO()O*< z0&VtcnB#(W?d#6UA(Qsf{Z(u@g^$1qk@$aiKT?1A29XpQpd$FVi*Wcmj2O#HTfbo^ zd8e?Y+3P+y(3rpOAYiO9I@M*oyB`qw2>W1%zQD=;FzNW{&}<$dy0H#cL_Vug`dweH9x1U9z$4h?AkF|>xj$D03A zo4|t$UgfTp0{k41c zM{LEKRlAkbzfiZ?)~QIadv-hg6c)8jMS`7Aeo7KF?w}H__WP+Lw5^9Kq7@Q2nUS|5 zGW^G62JxB-nlLilHKKRi>z|>X{=^c6FmH&gNcYmg;ohVZOI0pjT1dTXmXX5|}o%*sdl zakiPg95E|fU1L^0(r*cwF3NJ)oFiQ9L4JR;wdp%=gML~cRYY{o z%>5W(N{_Z5I?Oo52Fa;+Nfczi?>r>eRx-4ES)_I>TCV|ooh|6mf*SC5yH~DoI$uGtY~Wy8DmiiLdCXW{iN)SZxPI5 zH}s0+i+>W-XycN%mB^T+{-ucHb_D9)kD?SfLIn(;HRYtueex3HC8YTy5brWOkMS@wT4 z4#lIGPlfN40XxHD+i>WV4w{C(T1jf9;X5gIypu9GYTj5~EB}KXlN+R7+?425F!M~7 zN8J9&zRBe?KKGvOn|^UqkRfUB_gz*;RP`9Eo8x(D%9*Tsx!>b~k`@cz?wB{s{iVH= zvtA`|Z@)udZVKvy+Y3?%U91eO{TxQf8q2?X|EL*NeyoZFqi1%m**vW&n*O$mHD z7{Cz=32a+S;5!742_x`>!ECuf9RS-~5O`8c04J{@aK$B>|A{0v*( zOW>*=`QPEyn3d6J`7(jyG6)>f5G`9)6F7YYTkh@!;H<#{jkavLtp|YZ?-Mwiz=>}X zc;7z=oJL^tNCHo5M`{co@)4NwF@dK%0pKg261eI)0v8e3cPxS9+mjkI*>dzQ0#^|@ z@d$x8L=)#H>JsNz0_SvK%bN)txtG8T8?oiD3A}m?TOLE;wCMz1*pV$C6gY?e^X})~ z_X!+xz2$j4i!;tPZ>(-p-Lnv$IRswZi7l5B*m#gFPi+if%P(SpFS6xqwrpNV;NhLw z@=XHA9VT!Vfn)9wxO6;mE+cT!B7rl3^QsAKI!fRh1Wx>uz=tNV<=+WxT}I&F2&{cb z;A#Qf!k-Lkn``9nUOK{zHc{}Z@Jb8nHt}XVC01XwsnLrm&_`6M5>+DnMU?_k#kMl> zI$nqAEvl5ou!_Z?t%K)g@Jb8OJI-Dr+Ue?7&S)|zguR&YN(*`!FRDC<6;+-Tz5F1m zm`}1wUT@J$nW*BsmQ_|R5ml-uvPw`(QKe-Q_R{kdt0WHXr{-VlpQ6=bKVl2x@vL zMT3bzO|I(yi@Y`SLi+|!V~U#Da~8aWUyz@s@Q)gwxWVC>$Hu8bR6}cBRb|XiWBC5i z)Slk^)2%lr_Y6jU8q<m&qveYgGd zH+@R$O5>K8TNM7VC*a>-c5^wh;Mki%&wFPh+erV9J#KvU$E58OLf2mYcm5AviFMV_ zAlJ=Fk;>|=c**7m({}`j5Ari$b zN^SK?s#lOkba7;P+_CX`k;NtA`$H+e>~uLR62)BqDtz)YEyjpMF~%jYRxSHj%HooI zc)91d(`>nD%HE60Yj4X%lYR51^^Zvj)1@w2b@=2budY%T16$8K_ClDgi+yRoqr`ZEevdp+_wkY-zwG$4PrZI;}4 zP#2FEk;KFOR+et|H2|ENmYAE393Ay*kkq9;5{V!si{=dg{DBsJky5gR)BvD1!?Qrw z0og5(l0}1*EPkz!CnOs!Oq!mUyRX<)osXO?){f1Rvqu0R3pj*q(#@XM%TQiCJyDf) z#x;82Tb>1$F3pnXASFv%q-5!L9ylN+i~miuunT!(t^mNV8vu|uX7etz@Els$jV??x z5<|+)x<Q6Mc$@}3s}uonOe0B{{GT$lkIz5u{R&jRGm{$-g4w%H|4m zaRdO%(88UWi6Lpom(u+`kQxb9vXM}wEfT66WI~lZ04#nvG52o(_#>gpOe9o!0tr=; zkWl4dJzA(k7qtC+X{|10Q0$n7d3oj(lX!WjccCS8|&Dg|{no`&3U$QaGNX*4r zP_hjG`oF95UepII03ho;MzIrwdJ0Kh-a(FzVB{)^1Hg{}C__S(qhGs5=X{9Sd- z45>iBHPJmw6Ga14Csqd0N_@ctGe~ao&}4a z2gB?^8jTmx!eIa`1Aqw(^UpWP8?(o=pd~o!31nPJdi80)eit#D`}-oX%&UpHUt%^b zn5+vgHcK`f#W3f94!@&?8)#uJS~&TwYjo~jP!cW7Ie}rOp^FNz++j@ja3oa8DaULg zCCjxKkopw#k$j%9O}`Pgagqq*-4#0!5v|MU*wzMmEr6$S_|u9%@|PzLrk0UX$?<+TClhwEJ;vlt!tE zL+W?fX$Oe(<=7diApMpFZTp3*QV^`B`QgLZv^Kwi%l~|{;>oL}paeC24-ugQJoUuB zUZr=0AJTSP%k8^yGd_`P2#Rx$pZYD$_CrFCligMDL$Ahj!}J$Jl2A|H%1tN-DekKW z5wkYz#dQnVTppb}F0e zku;t$SQ1-p1L_mqgAg>xYpOp8_UT0nCP=GuMa08-jh=N(g6_EU`6%uigjQFX6b=!el?w3?AlcwvyX-QUZQ~*UYv?cu$3>40+5l0o?r$ z6T}Ku*XkZS3J4_au5KiXI?cncmCuTl7T*0IJ0V`fGa@`des|}-7eQMVsv;t_0zB+T zQM=$-{Hl8XHI)lCz;Th&kk&%N0UbmwbaXa)snpqXQtk1tv$Nq^=Fjl%KjBxs=m4F0 z_n&>kmNVlgks_m{DFcf1^oBHOT5KNey1ut@-zz(J5KL{b)?{9#0KEIzUj)u@tP@N@c0e8?c5^4zQHPdE|eH%d8bnpkG~~WB;*DtIzUW&!j-ZMs9a32~@p`aK_!g-jURFybW_Wnn zL+VXj6%z&VtZwH8q9mkO`M6K=bMLk)%>Qbne~y2($>JMr0YrZLWE8gT6kQ}ee@yBm z=69)>3l6iP#*B*LF;;3zGRQbVD#scSRX}Q_3g&5;9?4er7yxYbus)cdx$RSIO2Uz& zsnxBKm(`C4mEy?ihWn5+j6BBoK%rscVN00jZO;6BeBwDj(`3rrd-iGcAh_O@L7R2ryfl9(rLVQmMfu*OdOdKqV`aDIA zn(-SLUFzXV=VfSCg2=Y_AaT-_&MR1EB_}0ZVDGH0SbL{-;JCEC@Ck?&;}RTE?-MmN zpB&M@*7FB-b8jhvmztr`MvUI^6QDzAX(a8zM=T%&YiBOKi||}y7kg~2ZI_vE-r``& zncGA+eb`N$qnkU9Zbmlj=4p|7*5t)7_s)1Gd3}I?)XCq>=aL!gXn3<^JOhMeN$j=IWeDnP z|7QL3qCTf#ydnbO!s@|x2tHO&W<6WTNG11EL-7q*DN`3_pX&3sRd{t?-M)?E-F3!$ z5{X8$H9CsPIvJs+VqNgMEPY9qcwLsp*JYQp8My1|AcXx8ObUda4oMX6&f$V`W07BG z`VVUVy9I7o=|}4D^1CwGgun(96A{m4X=(wCKugTm9$8R&{d(z42LBwrcfp8c@}NTT zpLGc*GV~Y;C{v&>FL&E}+!V{!*MKs7kB?%x+uoy=WpT9Dejv< z@|mrDG%Xzp#P>icIU#xs8(fT!oe(y4r(g3AC%l>~Of1<~nsA{C^=s%M8*uZ#mE{0A z*0Z>nLCrB8y!E!k?8y0Iak$dX%H9e%&HI>sUq)S%&8v`@IFZLGyX74k=9NDKDvr{J z-G|;og(=ul)W(dUG$DH4mxq zvqs=HioN-9yW+qtB;^QjTcO0QR)U^RXn!Siw5D3ZZ3S_QiZ){p5IqmR>n4*AVSr>)D=C`gH2^q`o!<60o=soJ8 zE`k~rEB1_i#!$3!zQLf>KjI^=TfO`<;e|0#uW*syv7TI7NMAGQkqOXODYov0HW`jG z#hzwI=m)GPq5+G?DJa|T-Kg3Jj5WDAIv7z}M9&ID{WTk&o-VlD(&;A<#5xSBMV8Iz zHZ#atMc2_-E;hOkNYMuZKg}NE*B#}Qq9Pv^BC7O&4A z-Q3upbH0Leo}--eXiY(T7uxjKwgFtXR51AAT!a_v<*&m8PYJ_I=5}Vk&)}6kw$*%K zEt5O6Z7(8|Ms71xyUI%IfC|xQhfO((kw_%XY1AJv!Sq3lMzJlp92Bce{Ir-q-^9-< zjfR{4!=>m`wpil|hoeF5OT>8@#_h0Jt*Qt(_M4t@IRKn*R3B!PNxptwd`l5Tx#rY5 z603&zae1&4D_5QEn;^g$-q|>Wn7U1!z(}Iri2UTza|>q3M_(R?Fb~#7PXcS%gUniRh^RboWx@$vUsE09T4*HSRMOv?z)Vvmz2HeTRJrCCkW`}rqt~89*lU^Zs@e-NuN7L3nqB5QxE?>{>Oycv z$##G<&{_D^2f+7xpWVnLUP8lYI=3y+8Rx(B!>$AbG4Imb<9|B!xSinPk7aamVr5i8 zhk!IQ3a)kW$mkSudp!$gSDQ^|mcJ42?!Mpqsg6x?kfA@_rK@&Z^61{B`!*Hk2mN+l zUir#Si*WTi3^tt3Qg(jqkyKa*^^@Nw1sdQR!z*yVL6B&;r(_LxS18E8)_=s=5YbXs zC}u+*YZBHWU!r`i!=Ih4vg3s#)l~MfvTH0 zJLr2^UuR?IqpRAc;ca&lvh%Grvvr7;mp=>ae5=h8{A`P^_-ZVWmU-u16B-DbGvpmI zw#+*rF%mob1t+`;hRdC|8uk*|*{gi%P+!#mRra|Ih*H1i=j`Ve8Gf5+Pgc#qN6Vk& zl^BsR9y5rlv8XDmjfm-D$rib9xIwf_!aMn%NgKh36SmWe&hh_hXA=zqCbr!;+ zpO2r{48+e?E4LgG!3Q9b@-a}$GbEy6Cebd($4<`B5HsSqhb-M_W-6FNdDQk9>TImlW%CqG}&hZ7wYab``rVSW>ZZ6R_6i zIH}mo^&%soh~t_^DUnrd40&=}utUX`2^Cw}UBrbhbE7hvtZxrTtFn{r@UzK8&)u=D zE-4OM*Co>|kg%nNEn~aZ-(T;MsP?aUDy}`qJ7qF(Zmq&Dt04G&u@>aT0@2(?KRTBA z$PvS-y7b?nnJP+~Y!a<%>@nO=RDBnk&r4bu?CLhbrf#Q)iACZuAS&yJx+tL^W>Y_; zp+Q+cs6uI+B(!=B3kO{FAjGu=OsZ;ZX+}m5{ny>O6)g=>R*P-VpTo+Uz-1=7k66->mI*Y50GCRSBGa|j=0wS8D zK-8M6&iA0|y-L-qSBpg=g{FwW`p*K`7Bwo@UODI~rl-{pd$cv`<=C6IMbK?NcnQC!H47IZZ!Cvr?WL8iWlsk*c>L9z$GVUs%14 zb76%hYJ3M4K&5ilHDhKH(OQ8hbc>yi@~kKJ#H`yTEzI*j~4pEE@`+E!OUGP zpy6VoeLuenjIdBu(Due@+J#D05Zd)KDvq?9sBgx9cEwTj2vx9DsR}GZ|D!4xbmkkh zm`?;98EwS1ilhq4H>rj_R27)D9l^jQDT>s=`??+>qC1Evtt(;l&(?<|@|Oj|)Kcm3 zcMdpQR>!!k8i!AW^KYPX`@rbr*HNVjJ-PD~%!c+7QT+gb(pjS<^3`2x z*FRL`^CCV*$4(+&bXM4HdtMVv<+a z*qy{G^%k-E)P~igBt2Bv9I~Wu`j3)+sOes`TPOnMU#1Zy4o}?u5=|=i70q^>fsNJb zTkc0x(2tdCu*Jhs`TVNUn5N@K1%69sOeNL+Abte^6*rhTGHBu|>RofJ0!c4SqVW{= z1K0n{LZkPl<}7xY*ItK& zd3|*?&1TppNnSN=@1nz(r<@1+TwIgu=zGxozC;tBQ zb1zFlig4NZy%iit49mEx>$MH!x_C@&*N4jWQtgn2a-F$xJqf4BrF(pyOgLG)7X<&O zHNB|teW1J4X$$qoxKDwR#uFdkWk8xoU5{MQgOv+<*xUbcL4Ro+8BfJ`Q&qmQ{;?Tw zHSg)41Lcp}4Y*-bB?HdlyB(_Ms#0s8>)D-z+g*!>bZ{OJ3h{p@+Lfu}9}sQ*2ei^t zTY+Z+Mmd>oF^b*s4Pm;OUJ|C;4as!Vb%*J8Lt(n@Q<`og&WNlDxdmuFshdqu zh=D1T9eD%DB0$#gm9|>1Z!uiW~=KoH>>xzzmdd>nK{p>+b z?PK`(Ui&WsUfN$N;8`30lYke_K2JV1T|vXq?PNZ+33ybtb?QR}+|=@~h6296->W2C z1qoLv6YhT};QBRhJRsoZAIw2Rse>sL)&EVvmnj9jvgdyk@QNbtALCBrlO)ojU?r3V zTpwvDeyD&41`o$;Stk=cY3?-=&W}g0f%dJflYqws-(dQ&^b<;Hzdmy=YG{odSelwhmmxTC#!Djt7NeUA9lEAG1T+8!qVM&P_AzLHmOd4cA(OiHsf z@S}l9z_2u#MoLOHP9c7sriVz)P~+|(^B7;6oqWv*;ok3x=gX4kkN%`?&O(jOUHJmqK8;4V;ehLG>NUx3#f3!3j1|vGRhdU47$GxU% zQKmV6(E=j<5@<b9}a482L;76wSi^%H4@CwD#62-UIWG#fPTOL%d|Xn1$! z4LjS%7+PxJFG&GIi}6dnp=fkrfRFEVvWUV7^Ym{!Mh;@ZA3)eH2V>qX)l8J;=tC{M$T&x9>aW(Bn>Vk4SS;3n z$MpFsg|lbcGa}7qU0h4@IJpjIf^~?6L!XK*?PdN69WtlazeqTd2})>3iLp>{zEP~; z-y8%Nwy|62ki%rR8kb3mGvq7VIh@E~3(}}$uA(o`xYyKRI;2xF@zAQnAMW5tT}I;p zI5lqX(k({|uRYZehlr*AZ9Ow&x5(VG;>~6Hre2FFVhP|ei-~!eWZS9q^b&NWeIr(+ zvLV;`=%a2xR|gGD2C%$~j@tTUYwhP`Q`LApwXi#BQR<)`C zUq(gH8)nz%WICk92;p92{W1->4$|v>8PwmK$})Ktf9ZW3b(03;<2%hZi+Ud!_c0A{ zzcgY608`^LCEPJ}KKi&i8}3MQV8b$QtJuJvjSlAOOwpAGU-2><(9X|V1J?{sH{5~m zxJ+7N)y)?>=If0m>F%)tK|~}vU$s0!nj=@1P?~kCd4nuV^O@HkkmkRi`~jUB+SOt& zrBWHk4wluyw3T16fhg@!%+H&~Tk%tk-X7ka$OiJ;-BC_5^r~`_Eu7>QKTDI0vL}Pep~K)HH*&DEgIs06 zU({NYJM`fMRYG6jOs_XGfzcOjq@6z7<1dpw7VWu)d|0zpG;qz(=f9{uOE#ocQhT!a z-3tGU;+Ml?Kl{GQKFL?>oK<$m~@!WE|qIMsNg zog4kK|K?z8tDJC!I#8%+5UZWJcBc(jge$jCWSR3s`ZoKN^PukfJPtrHks~>gUs)I1 zna@%>yz$JS}8RA0yXg{+TlS`+HN{D9_;P>ZSF z3Ea$=3e;xFs8xjI3M$=^sAQVR(Q&newULtM3WBsV8xPPh3~1tLOWRlsQ_mhF=i1tW z_@y?2E5_Y(`xF8AO7C;3MdX(nxCz(}-y|CM?ZbV$Mht3?V&yB#^uXVxN@-Yq=GQ`tMKCEC72%LFT7he$xh4^>Q8SaUJ5Nod0Nn7p!R zbv=6b!433?(~i#8M+UO9-R!KgZ3AQWYN|(EE<~U^T^I89e z=<+y-37Z5c-i=mFMop$@Zx-9TDj(X(i{XIr!J^)h>qzsMg%unQHuAv4@N*!Jg%@((& z)}aBDCr53Sw6#M6ZcqJcC*53A>FmYRLwT7)!H->vgubFtT~sMjpKec$VzU;{TMFZ3 zSsDWxrEc=e!20}>!DdT5Y?(Qji_mbAF)q{owC~PFQ!;T)qgK%ZvRe@iEuexL(aE6@ zUT3Q$3#c~bPupVXFcyl^rYx-Adz-bUg}-7)V{7^VWMf z=YHSfQ@E_5xDBsOWP#=-1vAWa?YVrPXqy)8M0t^ZvcV#)@7NN0BcN{EU}=&5nv3*f z*It$^MTfN&wf*%oJSwm1NjSyJ9~881^p~`BJC?(!?V?$0!C-|6*=LqGF6~-@{M}KJ z|6$szjR-Q=VA|V!(3bO$0}f%dV<%f^0XUM|NX$US9rWs8Z)Hfv{wX)+Mi}A zroC`o45wYU=I{SD?N45HO#5wp_yg14WJGUHdo8Ek6kwb7lBT0!6!c=8r!C2C;k_y^ z-o@v<0q1L>L|2G`i$$Wg*KC5iwpM_aNTAyYS}B9d`ewm+T8~LDv9Vti%D-fN6#v9~ z3RH3^z0eVz_@}f#QL2LBAhY1<$3(ne-6i}zsRuYscom!+nXIm{xtN7{de=Gh!T*f9 z1G4$^RLLMLKn2s}Y=4tnHyq1!yd?#`8y>uZ2wUA(#Rwy2@ORg2cev^Ldv}2BY;hG{ zy=FZumbc>I$Qw7qg$_+)V$=?2n$qo$*_*5vldtgWuS?qrG*ao96;KK8lkyFB8Vl#m zExF-gNMS7UmQGw%sM+eNfw8sNJwtQ`7h=0{99}I>iaujYO~6ppegy3zKy?bx3<)%! zpxUN3P+5&!$%r9gj3i9<5QTVz-)8%2TP4R{?w-d7Z0B1dzd~^mRp0ULd2A$MC>{d} zM~CW81uEHPXp5`6L*XV96G7MM z5P$l&gn9Pi1c^L7U8}%=x@I&I=QV9qW4FvwA79O*&RVibVR}PNH7bmF6cLZy02rn2 zaFK6T0H^65`JwWnJ4!?fFC|PRP?Gs?L^l!CJMKIDJ!uX2Z~748`Y5l_X>Ui7%%!A| zdbmw#!K!~dJG-IFXwusv`*t}&17%R%0{T8Y#9#FBX7qgswD~^x{>YylPK7Cxl}?5G z4xB=pg>&%n?T3?LrKh!eid!@!`BBcIS)D~r?*fX56&J`{gbQH&K^9 zNJRB$H_^o-{|8N`GTdN*6@CQbw$|oFs5#+vAr_uH4llxTr5EA3rxpnNia6=I$m<}o z!Zf2*9W8D*FZ%K}w$kCpQfu>L*;>WSV$OanTdq@8FQt{A&1+>YR2DZ9sn+4O;5Jow zE&Mr}UJGthC9j3~8~C%rYhkIsdf);_>laEQ>^sBp3HC5C$h#@+Qw% z#BxdQFyR8(>HN9q*&w!XpEFW^CRwcyawRLzw>!7s(q3_wcx~4PfNPuJ6HqHNsgFK$ z^I&)lTy^q}s2NGnQ~`S12C5Pc#t{ndw_@oEwL;vm6iZjArcqDQZ@}UG7U*<^I%JcB zNLyth+1xR$FB-aGOSi!81eJbB>0SKi&jP3BL|>09Rhvgd#43l^xTTc~^i}$UUgOsO zIiPG=iqhAu{@HG5%J&J#Z1~!oHI2{v64-QS}ZSnsOArjoXyI zjT!4_iF==uXkE+OX=#Tb`HNeRCQbykALTE;VS~8f=uMn*WSnsDo@pG(D>PH<8Z3&V?+fJd>ZJy!@!BFat z_7s0&7|+iOdBy7csm#G?((@Vh3b$jR_EpCVfZNpyucf8CCK0D)#K|J#1f?G8MWtRW zlzM>&_0^varM}#z)NkBCRK$Hw&S`uwVfNvSV)DD{}0LaD#hl}bHE za$Itm52YTXa9m11rgU7IJ8L7FOl^-(z*3pvWTpO(*H@tSqg=z+Kg$>d;aiAPrHm7f zUSDl4j!LN1?RsO(uM`RWHGGox$|CWU1zY`#B}jgCtId44H_N;6>}QX#;T>$aR&H1} zVrrT%$De&?kb8>HI;`33XLnOYSfolYaDEovJ&$t9u6(?l6Lf(S6y;EY(nIv6UI)=f zYF~T;{=^BXMa`L-dZjVEpM^i%$yf6dYT!HmG*_0Bujb+xtJ_ViEGJ*BV1c_E9cuPw z!{=JYJNdaV;{7u5&Jsxt#o3s&vUF-$^fiRD?M7PIbeb}+8&OA_v+~r3SV_u_3b*Ux zSzFMM_DLdlUY;>HP0beXtW4V#e&@RGOxhE0nS(5qxo~Fd$3oMnXgg-+MyS)Ahvu8MWHIsRzCLR{c@>PJY);C%)@d zI;?i!i|$7~R=)g&u1(XLIjv(l5_k@Qi`P{yIp}?($=$Es2^^C6!^K`TBLKYW9|G3~ zX!&y`xJrSBCxp^fhTlf%U=>WZxewBHUn_>YyxLJ zP2kT6tnW|Y>jaLxOkn@_2)vWPfr|)SGKRpHM9TvST+|i7h4lmu+e+YD1U9TBaQQO? z_VYr^x(EV~4g#>o+YP{J*#z#}9Kcnn1U8Q)a4!N^4kz$s0vA3;;F|3O&hE7C@;iZV zcRG)Nf8#inx85B8+85TT0=PSXlU9t}{rQaYy#&73f;bm3I^d28Y`JA4w5)oSz_Cvd zc<)Hd=QF}SC-5&V0j&OkXwhN31Z+DF^Ki{N$%Jq{&_r;VCta~c_ zJ#EuszYdYZBa((EeXl;;r_BwY(p~3FOU!fExgXJ#AQ;_K$9@Q!G^ouzpWGO~!GFAX zqD4{7zL)-;{Z)EGUBz$zFzVgT%PYORdwiXAqCWDyiNlObc4<2nrmO$B`r1AB7S;;2 zDmO=60@7J4@KKq@Y6jt-kF<#T&<@fU}BsD4p1F5D&EAH$>na8WVz zTvzR`5nkaPrMPhSqmGR;Z<0dKT{K+Zbei=b`K zCY3$wmZg@0wzb)DxtkSp&Ms;@{4qg2Q;G|>#CO-XULhLM#lX*_jy-R-#fAHO#PvgR zT)4SUjQg#{T{o$Vp6i$8%Ax0)tu9NFL(hHRZpYLfnYJz>I{h&{&=z{GYsX#v8wJ|B zXgPB5BoA8`Z%17JM(*OP=HvF-L(hGfx$L0aMPy3Z5z$5AkIVLIm+$MkeE*2$pS`^N z^VH>sK3sm}^zvgrEkB`MS=Dvrl@TlZ`ZAE*n~XV^Kh%Iga$Oj6?l@!44ah*ux$#pO zb8h-}92L3wXclU7{$R|xpHHj4SI-*Cm~%aQA?Dmi>k)Hqv|m9|mo6Too*wPjd~=pA zsDG%z7#EWma<$0SuzHPWVy{++Bo>TFVp9<~?ic{xK;XE0F^QI%Q?7=K>pT+++BS3D z*}n^tK_a5s4*;k{3kA~>Eo%{3PPNX{C89k51_9u8bWsNYgr3u127vXnt6@`yr_20+ zX0C4GT|CaN9PO8R9{{>u2IKJQiRLZEt_H(;&%{qVG;_T=tc!=&+R=U+T5r(5t=B1pusP(8XKmqAR+123@?5F2Vs|VHY#d z#aVRmHoEABE}ladyV1o&bn!X6n1L?Nq6+}H1fz?|=;9FK<1I!DzXG5F07t)YHLTz0 z=@Qeknd^^;O_z??bbj#wxDSA#GZW2OC9Z}iH+j08M{GLWDSLR7po@(F2<~mr&qwIF zIs~t)MesVme$8Bm%?6U?pya0jn2E@8Wit~kyT5cbyt3KT#ic)xoQp1IqlHo+xdSac z|8klp$zaE+(sK1iC!?|qGA+nikQEC^DsMb&WZ9r_gptwG0AvV!mbI#QexYILn+^A-*u5W`5 z-2pHe0EYpv?3F}w=GU%pTTn$YSdM;!V;=&yO9bQ53x-tOl>1!}feHF8L0i@mo zo_rA;br5uz^R!>{3WT0J1b~895ybCXS3~Q)o{14to4KAmiWXi+7jY8aJm(3QGg!fOTPq^;S@gb7_}s|J!Nfv z0IwTcmhrtxq8c|6dAb(Z-{rauP~4K3r66~4zoP{yaGvet=ikZbW^R=t@L!q&H1`(z zkzIp(3>DG*rZ`3On^+I3->(`(eA?n;chj}GR%N=K$5^D$X?dbG5u8WFddYMvV_5}} zdY~-jYnEMlXqlTRD}QKNis4-VSe^*ky)0LXS}4Lzl`AM}el|u8pF$Pi zFwgZcsl9Q7GcDGD&@WZ1^ewFjV^r*tfZK_`X2XZiTnG=3Qg@GM;h|Vr<;9SBk0Guf zE&|Lr1&K?_Z8RN!+H(7699UF@)QAv)g^gW%3UAyBW4-MUYi)lhHES3|@WU??gx9V* zjBrSOvo(xz94FG?nDjolR|(K1Cp-zzH_SSBhVQd{V+#&A`6qjDKm_lrk>d3g?o`C< z3zOpYrH^v;?PJW&8S2-&RG+e~so@K~rUm}kTZ63Lhy8AN!sjaq?* z;+)HO5B?f%d3c#XKj-0P|EXRj`^$THy@%lPMV$9E(5@ts0b#x{`h5rdj0syjvfC8u zQ|1RG_x2ti^lw8}Av}K9dSq)0Wim+%fS8BE90i%=L!o*NT@QSN$y96cxet!Emqoq? zQu&H7C=ZlrL|Le^9x|+$F%2gN&tB@dl(=u*j8+TRvZWmg%Iigz^$N`@#&dDOdG^h! zd_1DoB*#EyrY{fCI3kJpt{2e|vxlRh)MxRLm8x!<75e68w0Es7bIi%XX=-MUN#k=Zr>J9V&Y^nMH~7epb3~pwuQ&WTSZ_as zAjtNOF(ZhIIuNIvDMQR0jFe~YPHv^+MM7Tk>m=tO62C-DnxqLJVWYd@O0TXER1L8i z9=Doa<7n41nt`5^Esu5sC2Ux@2}EkGLv)yJ0qudt5Pi-h+?ds9ds`h(f-|Lvg=g!y zGW~%z5_P%uTmeea_Y%_ffG zh=M7gaKEF%)$IdSWj3rRT1L26IoevqXr(M^>Zbnov`wqEzo{&~eghVOEA$2A3fXUY z;kLo(FjWKvQ3kFvi;QEc)u9-ybos6e6kOv+?+CLi2cv7sn|O88Mh>P(ea1>9Vf_G~ z!aRIrrjT*2cg0;Fl28%na)EQS3gY3P1LDtfVlSzskPiW_IizSwVz0mK?3KRU#$Mi+ zK>R$X>G5myHy85joSrb=={ZeLb$iG3R4Arro-{pb7oMmOR!+~%v0I7GdnEfW&eQX% zABLJTL@D7f3+O@-yp5RwWy@E8#tdGpaY%TbO~U(G!2b;-kkcTp~#9`-r?HpEl08?E1i_o zp~`=uX7+d@zZ@S~Nm-^-N!@WMsbS#?CH0R`QfVS)UY(PYYIUDtn@RnY%j17pY@wvI zIuO=eq!99X67+6DTb0m4$lapsLhjN@67rfP#!+<^lDZB9v7@4=7!g7in;L$Cetew@H`3nhL{^A zw3wTeA@;e6uqohJ@w}-nU#Y;xPa8($MdXO7U~T8QDNS`KusbkIvFr&2R-GPIk zW{AF8GDD`;^Zi&Sr)9kVENa-;Z&AOB`rlbeWjdB|byLSO?iQt3#&!D?%Xq!}|4>QY zATOw{c&~P2lS28;wu6*m8vU@R3i2lD%%V4Pyf(T-x>Y?2?&Lu-D1KcJ``n zFNuDTc0a8qC-y3e9KrD{qv-R|v@?60qyO3M+9~xPra@QCf-Rr-gmh3B(8&Nxh-QxL?E?ctbPw z0V_*_79kUA70mcZE9*`){gpGF*1&(FxPWKRAo3^han`f?7`vhF7NuANb!uuXX$>?N zDGc>0DehbD4Kx)3CbzS;?D2Fj-z%8I6Z!-@m&s9g`Q-O^V>x5yyVk4Su?6lCX>i3q z`jqPanql7Kb-BHDMS8bw1Ct`KXByHgwg}too=L-yGuVA1giLO`a|haFg(YMFE>kiC z$aeME4*QM!@%JR^b;VYD#OflN+L;e8v$D*rEW>no+;1q<(H-I_)HNKrQ%to!Vq5&6 zQ0s=mpUT-PjB4^EC1NRbLOerZ+a;nKjrRXy6(&4r6+SUe5JbdO_!P~_1cAc!qBrUt z<+7a@C9KC7&JIZ^>o$pq1I8IM&_91`Z>qx}IN zXL0zyHVMylj3-m<{uNEp_rWd>Zl&UVlzHiOs znk{dXtka(ZZ0aG>d=!4N(_Ewve#k~ixRFa6rOGA`Y?QQ{gaIqCh@=F|G?zC@nX3m0 zKVmBwMI4DEzVkLngLKeQx~+U2+#E|5hFr-XjlYVsJ^h*v!HM0PXEs?d<;Lq2>_#1i zn$TiRJuiE(Oi@(k3P0|in8SoEjyD#rdXyd zgOfXyVO9_VCyxp8MLham{wkq*5{ndP?AcvJ0OLwdAf0;c6DaGPS#MI)Bwc3vwBotw z+so#QvL7B=7Q?d0hnFepU9q(}UTBfgre(IRe@vJ5#~j}H{S4m#uIe>>QfaTsY^xJH znVB)(b@Fk`=(GeLw}?c&z18k$&c73_<&vUpjspmF*N@4z^&GVk|2;73xZA{DQ^S$b zY~ZLx^lz6gBKmiQ#u5EHbH!d<7a(kDd9-f-UpoUB!SsSb_t{^20{ms3D)StxNJWNJl6XbY-%$fXCrGcAqJ{27uXt!c#I?hwiDT!SP=E@kD`@p^yKu z^5?JcvlJgchvSe}%_iMMi01V3mqO+}+0O#R^FTuYk#r-^kIpQ!i(}jnRs!9eyNtn14uk4D~Fr7e= z^vZ79_+qY~S>K~cwcYDhE)#)l@}x4ytCHa}<^o##9d#&j65&K@>h@=#9!`kxX7YpZ zal0sG>LvK3%D&Kv>(oG?c1<6~3lncaRwgh+n6#U#Pid_Ps=4Lt9**Zzj+g?`cw zr+MyT9!}ut;$*V42ClqwgPI_^gg%nt>Ll&tHgFr?iHMBnjTv6mYGFuKmp)~rhK&G) z&n=@#ZR7)*XvTrFPO10FGYv!9V*MG_f>y`zs+peXqukdWK{Ad)74{a!UjCXTRRb$s zLKwoYb5g%JfURnqs7BTYuMOTqSmxbIlxa?(Ia}uAwVu!TtG;eLT#uD?_GB+;b(6KC7>Y=LRLs_(Vc#Y51z1)4=V~(B>6f z=SXMHQ5ptZ46)J+Ti3WZNFd))mA}1!(6GG zX#Iv&bOo1QJT$M3->e|Jr-@6KD>NgE-^8kiOGvfMbl>1het;!N* z>MLl@hR@Ap;PbP4M;)%!qBSu?6B(Ih)U-p6K~LByHh_2k!i1aU2yu5*RYSglN2*@1 zh)f@o1S%r`q{*N;Z9*`?Wl;=^CkCF-CYA$Nx8-qgLYtZRMOFm2g8$-F)^M4t#ZPwq zH@yRAX?>d+_E{RY9nrP(vY!UaMKxy7a+zP!67HOipIzSNn!*NecJJhM;iTgriJj8wph zeM=OAJ8k+HYOXjTxI8$sZzo5HL;JpG0W2Nb9~i!5i7GBKrxNErZ5W{yVQujuGdQos zd$44f+GE(O6fT>W^`30@FWV*EzUF2i>2Z8~_d;M*<|B98#pUlpV+n7nuLZ}G|AgGP zS@QQlT`E(iSf+=#-@LuV>UIq1rR4T; zhCG>-vK6f4Iat9;O_y2S{bp$x86*{CxWXCV9J6y^5Q|RPj9QhV8^keifUMH}N|ZW^cepyBtKcR~pVr+HqL^ z18(5eYK_J{PGxRA`p%eFJ;J^y7AE}4Xr*8;DipI3ga&-Sfw9Y& zvtr}m7P|q;z-BnCy9t|b)$94R8yNVSHXjaRGJ6exSC3?U(Co3j5zt3+!IcM6KU^3} zD>#q{oam`kvI*gac}KpIN+0eQJM3UmsRy^HG&CkY!q5owWL)#8LT?2T z#(yX_T9ZhGG7OLp&{X1xW?LVJiryepwB{^CVXRU`*S41BYM8KpY;v{JNk#ALEiC@0 zh+{voji!l^@P#Z_!bGsC=%1A;`aD$hw6|mx{f3iVp{#YNRJIn)+2ksutx~Six>2sS z^?3)%a){oTbO};1x}(D4C~qfZrvmo@@hTBBNpdu5JQq6F+2W{_KB(_P z!fFg+Ec&R%eHB(?NWdkkf+E;6@e>4&6-dO^=oA_QJBBQ|CD@VcUuoW&AJk9UOuNvy zRwp#U*3GcbjlE(u07K|Qe@182pQ&7cvadph} z%um=80lN_{AzR7O+Pif(G47D__(V=|aCCNQe^5fK?pB-WJVF9B5;RE$)nDMIB6oNj z`=;W8UWj#d1maD4T?kRyTz8~^W?RvKc9N`m(y4l46?XF;VK?jg!_+;Xw4041WV`vC z(r$iLX*Zv~w}FOZ+_(5>VmTaI5R=Vp%mTal3#HwBPiZ&9HZlAn+syz|+RZ3Cby>EX zh1~*ExsfSVX*X~4vKt-P*u@4Sa+KG%Q3`n;Rs06Wy@m2T9z&KMZ6|3|hLDySVZook_BBcx#x?}a5+KjAR0t6B-;I^h+vdQ~f> zalJmGmaJZi`-J?12%xw zot3wbi0o8)0fR4m&B5xw7!mQtd6#%v0<9&eW~mKSV)aY~tDl!xeQ_jN{k#*a2Y7Kp z1NY#gwV#y=R)27dXdT#ai+I(}>W|(cj%fWJ_W*vE@ktW#X~Y&$H{^e_Ma=(4X7#6> zSsnU+_}?cj_0DDuCWMmQ~8*j*|7>Ab>BOcfw1Gl;+5=Sq^g~ zN-{_4VL^20t1w66B4l$Uy-Z<_jB_$a>O4|8jp7C8J>sZ>Caz5Uw``7xjUJdJsCA#4 z)k<@OI(`Bo07&KtQdcU>5tQ|=>nh6pZTcVPQEkM7=E$&Fk~tF6%+hC?F=x2q+`}+R z1hKAw5qdwfgH4|6)DchTF|3)>zHzJsdX=CS88q_|`^KcEO%fXJ8#Ql>4N?{jAT``v zq(~1jbYhvbora5TL%0yOQ~xYK9FFc0ap7@JfvlV^?azy(ojC41;LgM~CL=Ee&b66^ zZKb?_xi#t)?oM{YV!p4(&5N5V51P1DE*lGKZNlyk(M!bYXPLM01Y)5|2Taq1j`tgm zPykp!#Rf7iGK5ih6W+}K3HpD_tq4(OWpg%sdR4tNDN* zUgZ|_eG?15{M2^xo7_4k9K%P;^bWL|Ro`}4$F)9fogzO%EkKsz%tzgo&T& z$<(GtrPQYHb_LbM1~csU=+5}LY#I_D%4)uHCvTSnsH)>V9(WNw@_n?NDwwfgx?_V` zxs$$s0XL*d7*vsT7@4$DSVrP#EO0c|E%}^r>inP3Q1o%O7NU4Oyz~`uCh`YcbLyb8 zz^dBbL0(`^XByX5DGGC1GDx9HMHFU}B_g^|W$hS?-(j@cdwgjdX_zf~3{~{F;^)tZ z#NX`k6o!=7@@!}5`r2sK4cl6=4X83*cK*jsb$OMY&lSF*&>`$>kGRLCW{5!K`52P@NMTEa~Jm}INhy)qZ;Y&ZAhZxv>X<$`nAam7D z16zEF1W70&qE9*vtll=TuVNVp>b`Pdmsg8{HASU>nuY_b=fG4Q<6NNKB8I->AfoTm zYWAgOU#SCR;^$S3?Me=>n}#PMSu-pLnK3zNthHC>hF5wVJW=UBs`)O_A)Z=X}>x2@wab|M<`}ngHuiRNJjv88{PQXw(27$~gl{Q}SUIAXYqvVxZ z3DH?Z)L)KyAb2JHp2REprGlB2LXg>wXDQjI@JSsf&qKLcm&`-yJ4r%#l9RUr#rri1 zc8>T+p!gV39Bo5U)q!S2LHKS=^ALU1-G*#AZO3R5%qnPs>>&?j%Y+2TsS{iJzS&D0 z_nan*a=;Zy-ek7)XV8^<9f7Q@(nEGVOG#TyT1=2>fhZ&q+y_^O=O{Swtwc7TPYP(m zZ4`hEw`ZI6O8SOLZHmnHCb>;X7H#yc%5JD+GB!kr)``6Kg@*L4m+0%d`W>nZC4I+x zz0Ki<;1e*_iN4!x^v#?N`qns!{myZuuLt+lfzLOfZ@NvNWslm$Ia2DgcSaG#gc5wT z6KyC;^sTjt{YP(8FDdD}^l_0jpqTVkMtKqREfDk_qPNZmiuFoGt@&U)Q4AxB*)ocf zINU3g6!q+l4K>X4S%Mb5Q72$-LvauqMqXheKj2%tct19;mN^Vh8Rno(FDLrW6m4qz zvduUr`X<`wTQ03K3bnfZg9&Wi$kq)nG@!4%UgZA*7I0cGd^g9CzS&>mgQy|413;(s z;^<41WhH&ByPhZiULt*KWL}f`cbkpA9!ad{v|bcT^(tAfR<7r?UIcmojESsfdj|m%Cj%fOSCV{UqFvAs z6InLG?aS%M;iIkHMwuB%p=ck{rlG$RLw?K}A& zAstFY_$pYn2|mO6CZ)9x+K#~rp0Px#*LVa3eeka1M84u9(d1Y*d2NQnSdZ&2j&(<2 z(;A<(5!<6>BljS4N67j;jtllHdt&XwNSG=QW3ET37dH~ER>R7j**dp7mc^;ll`mMk zzerM#VbeJ>$z-dqQsARC5})e|e9RwEZ59?k?}H$6OeaNKSrW!Zwq=#u!l_y8$Hecj13%xxhlPB91N>4~$oR>dqfZuc zd=ognY>dyoRXKc?l@i3D7Av+YHBykS@hA+qq#&TB`xH{0PbA_efPj2qjE!NFUmRBO z_D{rOU}Rqj3btHjf_b0rpFPH5oL?Wc5kRTq*vkb6Cu%++CoWwtI8psIII(&dIT0u5 z)w9ss`Wi=XS3a4~k(oqqFWI;U`;K9su(O{Poz->|oxQ-$?#P|Vo5Z8HNeAg|+7bmF zYCmEnt)N4Nf)3V?Um_hQkq%3hjI?)DjhKOzXe5aHg>?UY3M6iyO`gm;wenQr8aI%( zQHS9S;IF>N#7^ch2ktYdCG_MtvbzZ3-#{jK>A6EfAx$Q1@Brf~#OIPC(KBTF&kaf~l}-#OI1}B|8!5QqYcHONB!S&2l2Md>}9?{R5EMX_Ggp`xe5_!zW;RLo&+; z0+k7<=WGv57WGo+v))WwJ!gAB|M=#H_JH|`c@)&Mj8Tp_EkX@ z&({}^V*_-hJ`>?B_m_$9EM#YkL(kCw)f~accLPnMyttm{I}Pu4ZLhKoe6tav zqla9cHU!UPt-9AJgn6lMzuBDxw|`zr(oYZrpKT*OR-x|=&?ylC{S!UdWmg+P}{dX#YU8KXXF6 z#({R74ehJXPUUj~C$yIxBiiCTG3h%ewCfybr>|6?eMfBA&MXX8Fvl~!Q+agJ=Re0jx!)eygxqo4X-H#?@pVYZ{lwO z(-kM^IeUe}2~XGJOE)V7@3>V69vjK$2|5HX;KT!hCyi-5YnRJo67Trams`PR;&$ZZ z;6b3&8)kiYHd41$B(+w&`n&qV5Om<%1E27EIG#BCYEBMb3r3gxYVx#v(P=f)udlkj zRS?@1#7xIu5z;)HEVlCL<{VptwajkW_gJmN1beTsjHbW(eA5Dv^wxy%Wu7_YukY zGLo{H-=c8AJI~&w4yn!A_cm!>O5{srJpsSyDsQtoI z&(lT0h?|o8(MxQKNEZIwePQg1Pa@;X-*bpr;i>Bsvk+FwU*wQg-?p2nAq~8DO#N1| z2bn!uoY@>;Yf}}jmbv?$6(bIBBW2LIaj!DP}`JKpT-z4%C zib*Kg&0{C+VSEDO6qDf5d&x&q&&-rT^CPB92;LG2_wUeV8IFbfbXNXb-=n&3jcjZ* zp|KI#Y??(jHfBcfX_1r=Bg-=cJZUwl!R;|!S~{L5W%MrOW==wpNr%LtjBQ+3N=9>B zc|<8;3C0Bv63-t~K=Fx*JAD17uPVV*)|!+FMf!fn=Bj8jiB~-`97#W_5=2&((zOg-Qx(l$ zWYsYD!q=!Ac^MzyV3~L+C3MJ28^L5XlF4(c2H8aBntI|&wJ6dDKigh;bofAi<>BYs z4^F+(E02glWpLV+`CNJ;V9;7V||_NvXJ z`;>J`gl}GD(fm{JRI@ZDSQXAWaLj{OAOAvG*!JV1%sdax+3@Lh3NPapCN=THKS&lFI4si{SSWD9}Q>gNw*?|>%~(w>Q-C)ZLSwhmnmE? zN|mk`+1kn0X|n4DoT`CvdQmh@?KmjR>YLjOh}>7F zHc(qxeP0*D$0uH&O=YR_N>i(vAYQQ)*Ly@QfSpy-_B+?{M(7>{fNwTt!u)D!XE$k*OrQ)lUD1ut?h2?0~qX;d~8l^`p z2es8|s@0-B6^|B0ii#C#zyIC)ZDuD)PfvR~=gj=){_g*N_nVnJkDVl&uDykQIZwZJ zhhyw}z9WlM)dRjM?);t|g*AoAfv2>(A0G43H!No#IC@9){NBCv4a+qrE3VzRA^n~9 zBgGR2-ttaqEg*B>RWLvCBbckllR5uln71w@bLulNE4eU#4D<6VVa~e+=3Oukl*4?u z7Lor1^DEO}KKu&^Vvm+oHK^Z_Z7hGoQ0fkf%${+V?KE%^STL$ z+z9h)^@w~a%*sVD*Ij@#cEjA&kH~+8SzQ71q0J9of9=Ng!fb{4%GpTcC76%h1+y=Q z%=vy1xe;l+D$=Nix$Qzk{siW{pTc|`W_1J1k1j?Uxnq$=EzF1O#vUxJnU+H4O%K3) z|3ossyH%K*5qTxd*-bG2b}mKk`xeZDyI}qrX7yp12U}sj2j(Z1!hGx^ zyaSPYokUIll<=;$OgQ$t83C`!K)KhR8c$zApsxI+&9N zVb0qRbH|1K@7xjn80NthFdz6yQ{YJPI+(94g89SxU3;}n2Vs5+ksV*ad}bxgClPu6 zdYE5=x$hr{-1%#mPr_{b8s^h>rx8gJ*6YhR3P_Gd3Y36>-)UW7wbU zv!O|;X!3{yP4crulWx)E*z=;vOwpvR1WnAF(PU$rXmZ*q&eQ3l$p@lI&kJbMcd2Ny zz=|e|w}@Wu%$#-bE$cBinm8^LO;%4qVm(LDB&kz0sVlwxiolU4wu@fg5WPe?vJZ@- zuj{61jagB;NUd4f1>ZM4UQ{@_b#Qest^t|Q|ZjKZT^o^-1ID1cI*2{k$ zyX?Kkei@nl!VfZgPF+42ne+Ff?sEq?cv33+|{=S8i*IF(cN_Ydo%WzU|Nxa`$`G({`6e>$-zRCRT<>cO3pw$+?i z8Li&^)Z~57Z}LYkeR6Z|;PXd&qL)2#YwnrSb3M`dpWmLFcWC?i=oR;Vlv|bj$Mw+# zy`SVR+j`yRXl?(NDLoT2?~c~JJuqe4)}p(kSN0#8vajsyz0rn$-Zgcw?2<>LO>ai0 zojJ67e{}JwTc_tel=)(``Ti}_s~)=i#c1o%{^`p;d*|io(oav$=-D&vRT{(E0`AqkeF}AbIRQF`pT)jop&A0$AP3t%T$ydCPkT$wDs7)5#iOr;$mHBRB7`Ck7h`(3*S=s-(YCz)1RR!lZmr3r+;B1I4kqx#4%1- znV%(&{lLmRJ2{pQEAxEGS(#gjV?3}jzk)d8voc>w9LJZHc|dYj=9?sEWxi8#R_4Ey zoR#^j#E}mx^W&1UGXIJ=ju$I)`bBTCy{yb9OU}xCw&bkLD*{AtNqnZF|Avob#}IV^x;A%6uF>BjI|;%Dg~wR_2wGvodcWjyzeJ zw@J>*+)EtW%gX$E$yu5A636orD>$B)ZX=#WoRv8tkq@ijbPYp}`k6U=E9eHcobIdi z%(>?xDm#L{X3gd4YIQih+P6!}6U(I~RJJ=kYq}k7yUP)>yW30brPiK`GP}p`3ES6{ zmUz8ueHNeQ3u$3XSFxwc;wiO`+KBv#buNcn6G7XJt%hnGA?m24Ty#XOEuoMjJX#dt z9kmmY^XNUrHc9LQ-8RP);VmAeZ%T2rno$z6w%SKa22~YhBgUhuf4d#=`VrfQ!dg%Z z&@LafbG<{~kt^U|%lXFEv9kkR;@}|Dc8}MK1BG>0pzDUAbu^SmF;*D|u{|Tg=^_o4 zE93qyM=U*a#IPgc`{#Hv|FXIVe^QX~stFP)K7^qO1!aD;xZ=gNpDTKb?JD0sWyh z;^93EH5uNUu}w+fWq2v;OWU25&N9s! z?(FtC*H&u2Lb`Dj`U8HgP;)pvHPuB+LRv6X)ZJZvU00E~O6P71^2_i)tEM>RXD+EtQsHxxS!faZyX4JLuAiYCT>$e?0z<+HSuq>2jsD>iWr8h?OA)cmWT8q7nfW{_MGpVY6rN%5`xj`(~i{RC~ut(svHZIYAIzDFn}M{GX% zE*Ch>lyU)+%LGYRm70LBiw1x{Ty3TUYev!I)q>^c<^4W6*p*3#NoYHe#=a8)5?tAsoqe$8!mbvl9ymF-!@{OT28|zyrR!!ZIxyja4 z-&8wPZmDltw4}kN3)i}^rPW?*t8ZA+yin=(%iQR0rgYPy)M^haDDXO+)I_`i+OJ|E z>*zy6SXon1O3P4ZASnDL6<{Uhv?Ny*3YD~I_XZqtDWb>wj5S2BqGgnZe=DXHVq8q<|Arm?A6^h4bVrirFWO0JrxPEn_- z)70r|fjUc_t>Tpp4xvgPN0n^#L0Tp$_tKJ1&8%^>R54U(R{!UrR_}LtyWJYbkoYKP zrw6c3ODBR~rFp#ewdHM;3FevxThpSpD?I)-i>1vIYt|MDyJ?q^pFR+dF!^*WS^4y9 z6mO8H8J*v{TCB#(m2ny??=qBMG?d>olusGTbRNqo7H_7ZTx2NIFZd<+`TE28xefK- zF_hOB%05H+Q$xAmP`<@b-e4&I%uwEGDDNiPb|}5*-3g@^ zJqJ@#u8mrdN0 z3Y0Cn=Cp}o!o>^gIM$eO?DUhkxe~y!;WntBPjlSArzn76RA(~(eP1d=!sEFLrsi5G%uzZQ_gt>%9n diff --git a/STM32/MDK-ARM/WOLF-Lite/fpga.d b/STM32/MDK-ARM/WOLF-Lite/fpga.d deleted file mode 100644 index 39cffe8..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/fpga.d +++ /dev/null @@ -1,59 +0,0 @@ -wolf-lite/fpga.o: ..\Core\Src\fpga.c ..\Core\Src\fpga.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \ - ..\Core\Inc\stm32f4xx_hal_conf.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \ - ..\Drivers\CMSIS\Include\core_cm4.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \ - ..\Drivers\CMSIS\Include\cmsis_version.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - ..\Drivers\CMSIS\Include\cmsis_armclang.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \ - ..\Drivers\CMSIS\Include\mpu_armv7.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdbool.h ..\Core\Src\fft.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h ..\Core\Src\functions.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdio.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h ..\Core\Src\profiler.h \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h ..\Core\Src\wm8731.h \ - ..\Core\Src\audio_processor.h ..\Core\Src\settings.h \ - ..\Core\Src\bands.h ..\Core\Src\screen_layout.h \ - ..\Core\Src\lcd_driver.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdlib.h ..\Core\Src\images.h \ - ..\Core\Src\lcd_driver_ILI9481.h ..\Core\Src\color_themes.h \ - ..\Core\Src\fonts.h ..\Core\Inc\main.h ..\Core\Src\trx_manager.h \ - ..\Core\Src\rf_unit.h diff --git a/STM32/MDK-ARM/WOLF-Lite/fpga.o b/STM32/MDK-ARM/WOLF-Lite/fpga.o deleted file mode 100644 index 4f07c98261627b12aa5b99b41cf8181fb6088475..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 31460 zcmb`w3tUrIwm7^`5|RKR2@mCs0Yvcugog-&J?Y+w6)XlP#L8(TCYPLuQv#_wBtBfnO@s*+Hb9s90-}--}in0-~asZ z?7i1nd+oK>Ui-E7&URO7b{a)dgn1|uPNq_X+}6=woZ{#poVZQX~>*pi{?3l2^3Xl@nD53`E<`DeT&inJEVpQxC^ zMxXiN^V_0d=-hNja;YEa?vW6(8p4>67H3KEZjNW@sYZB>G-GQo;<@+!{I7TaeEzQU*c#aQ!QxdXMC;%vVFQ z+MjIQzw74n8y|Ak7rQicE=*SN8zc!0Bk;z*gBDwaP9_`}NjM-$I1rj({fcjyIp?}p zPS5Cv&p)Z%Ft6&Jn>$W>KpD)RhMz3X2$@Sc^Zz4_WNF-wu}mvze8bx}KydcSL{cEXVov6tBBk^Yl0B^~swSUd>(VL~@|6 z)_(0>H}|?0xTVFw}J!4s6e6vvhB?^ zZM>Z{1#JRvn~MD_K*EROwgDSJS=Agb<>|@{Tlu^lGKe=Pwy`CFS2_Z&)Vp1WcSite z2)M2e>X8Qwbp+hf2lWI84t2O)0%qz1?@>~uusxiom-4pro3}!_`sQsJylqBg!xVU@ zI}jL#jQ7+*w@A=vN5G}vz;8j13}G8=JSaHOF@~ zYbC%Nj|fRm;GGV)>)n9^K+Rt89c|4!fCo)8P^dfIycLvYY}SZ*KyCY!-au)$Oo2V! zz<}ET6?Dr83%NS zpx${)2!98kw>_b`#)wr@19fzow;hGLDG2}rxIZks0<~Z7c2gg4j|v)6pt9;@}HDG1O;RY4Xeeor3&xvUiKcL!MNh5f<8`!vEWNx&6# z(2&usuN!e7atVm211ywXJlHNSw~MRo;`Mg%4!d}_U3{31%Y!b}yMZ=FgJ)UlgYG~a zq?6&N{^7te;Cwi+AAqz0^vHv5bq9^>9g;Ryv6iihqC`^?*=MxM2oR9h6mY9P5K4hu z_w`uZKt~W5xlN@;Mx`BYSSTDVniQ!2U>^G4LAYyf}Za~3k90UWZ;$Iz}pZZ zK|Sh#zWSi+5SKw5ReF!kb&m?{kz;YF`N@cyfvD-aKmoDV?%5c|_!t8mqpQzGp&sjz zoCvxNZMGZQ@2{A8%MNI9WrpoKUM<=cZ>tV_7*hcBC(t(aZXt7?U|%I`?Oob$NIKG+ zU}i5JRrvS!F1=w`+NMZsQ~0+jmJBJDK>S>HQlBBotnhDVC!LcoZDcPqvQ@%ilkkyJ zNaVt=EyBM`M1Kzh^W#m9F~d3hzZFi9Wf*g(G*VgCU&v?e+?*uBD#PL1yEjk zu0GME@IR*jewILd0J@KFmBO!;!iqM0e@(=rZNf)lA=v5HX6}So_^nA;DMe=POGWxb zpfI3FB#nvviiC4eE|qjav80Q=4A>wK#)S`n;cnsAQqdzbcU&U+S}OcjDgrvdHt;`6 z+7$_%z%yG0{G5|7=`th^Op{tB`d%pl9)MJ(ML28`0v$V%&4#2KSo+cn3jYDclH-P@ zN7#wy>YswrFZ3qai$*3SqJIE0!@|FV6cW)e5skMAA83S(_H3m3Kwy-Pq4s2Z~( zv6a2-f&oY++%P1zD?m&vXbcL~5iw}vdyVjGnFtgzt`wOP028uUK%eYD!dcd6NII@a z#ClYKqL$sDD~LP~xy-^JG(6D5-%Q-+Wx_{9RMClYRjYUq8PkYB=Re>l(U?;7HwiNN zcbV{OfT%=(RwEUSGx1uP5OP^5{Gbw!%Xp*EN7D$EV$^(vnR{1-I1m{DBETxKi;L~z z61%w6E-tf+EA8U;G&M9_A{sGqK^xzrXBsQh>_m$Y*EHw%DM82Dx;Hu)|LWA&qRL!USo(?|l zB<0X68f3%e;5*_$mQMp+D)KqZtK*kN!y*MAWF!AnCTE=wP=G(0jl}Pr8^Q%Z0sfGU z*-5?qi5Cm@YXa-#N0 zhzt_&pcLf=;puc~kVY}DUsWEU*?}~zhcopc5U|H6sS;_pQR#z^KBX=s91~=+J|?1=|H|1u!bhI1kDhAED_g5Rg=};52;&f7 z?5C`G>|B~F8NyhI_Pe9Usd*g0gF@h;klIkg4ZRmj21%?$y3iTA#7Z#N$r4P&!=K}_ zvxt!5dcau)|6+pLCBE+2ZLQ98(l2ootTb|^L$KG#$=b2TIJDU5O4}M^_zI^hALJR^ zRybvu*BBF)IbFHA#`uEV$;Cg(_F>tw=}liC&^ z%2>$JA8-e~oq@)348mBxKA>+npwx?6)}lyg>}^ff!;*$q+g>ez&iZd+;e=ioD@cZE zV&Gs$K&jM;Ml<*iu$T2Kmce9VK-a3(@OHuqB%@iQ;qB0{ai%C~_8o8+-ft7a=u#yW zjf}&5LKrLV3%mns!r(xd+LlW9EWKdB8Ob>|^!`aW@f!Eqs^aY|<1H?OsV8r-z8N}T zjS5z1yseL&fb2GXa{<4(+6Py#+uQ3G;)Kj1{0C0m*oCm-g{9*F{l2XZxDpIA>A)*$ z?oF5-_A9J&9hfF298n~+u$La`je$#1UF7_?a0~x+cI}OXu z8T=c26IvCC1HDOI-HA9OXD1D0oU4X4vx-*@3e0cz{mUg-yNUj0vCd*eUrR(dQ4kA9 zr1b38!u{4F990S*OBKVn=+*c*MRTrByYW?T0#sIKZxl@KVeZ(XSOQX;6;BN$TmYX% zHHhrPBiki}l(7k^3CfTtS_Hn%H8B~I(E8Rxhpwd<#o@(C(J{#f+Ja9$b}?#x3k^KC zgjXSz90-!8*LuC*=H=AmlO8SLM91aIhp9Mm$|33Xy7f1YF7kEC^!zd-D>TzIo|01< zaZ@H0PXri7WF#^&O0lJ;Bx+4f(WX+(v+Bqq#ga|g<=T=>Yw}jc#%x-dvLZsByHc^G zQnRJ1q)NL)0x1b5%PUH1B=M2aizInlwp1*UsB0>VD%4faN;WPkE?%4%CzU?4qNKc{ zVC$kykOluKvgNDNHzk)>ZHkQCR9;n7QCD2Dsa9KDUZssJgJSC-5*=Tlt*fdnf3~Wm zSW;WIrA7-Jrqq;gEvc!EQmkB)xh5*5WNUd5aGVE!z+zgQP8XGFr507It&EK+jgv0c z=_1S0m6@vx^0sSAQc6mZc7h%0qB3=jtvI?A#geGCwOB@7-J<6-QF4tYMXgmwWp61` zS47EcDx;L@qUUR)Knfcdiy{|CN*RvUC>ahmff@=b)!MSiveJqzYHe&xftHS}T%D4d zi(DXNQbI_t&Refc&0W1lnVOoCt6Zy0$<0j3U7eDfye2m{Td^Wno|c=YOqUlF*3}l& zYPV?YYAniHV+F}j0W=ORab{Jyc8#{CuE<_>ld3AnkY^WI6^cBA{%MWrbOmMVih^e~ zTxj(Ug=F%PX`cHC1YD30-JSNv&30qqUC%qs&)%U2*xA zf;A;o#mRN0r6n~5nKa_RfphG@Hq6}oX~hcP8VMPhZw577DT(d z^=xfq*}AmVX*DI)llp}wmZ3~5NGnGRDR{cPSX-9uke1hJx2)QtEh@7jS)&Shx`Jl5 zXp$+kc{(-8o>h>{Dv*P4tDuvFN7^9f{vKa{kT#I6Za!3fPWD)&FnpZM#$!m5uWmNA@gQ=R-V^Oxg z>;gsfupjZZb*?7$U(?pd)2A)+lM`afyH5E%OZ40Y@1`w)NqWd^ibPT5{pj7xj1=+v zgP2CD$d-GQ6MfT!pA52K>N%oMn@T+o1g26IM1SegBxWV#^PEgoK|Z!WLq!Amrc%|A z&tvji4dk0j)k40hlos+$rRpG``%I*%TxaU)1F^*y8dsBDC@!o)vcm$6lnW{AzxLdK zyNQw{dysJtB0Z|rr-c*anHBGJuOK`mNpK#z@eFV2u$2I zKW7n7F`=Y5EHXd@c_f7*j{=BqfLKF37wJn(eX~e3U0>`OB!rk!+*Dz)9SVICU2=-s zID}@752i5NLRe`+wl__Uvq(Ih4WV&jB|s18qr-PCmqF#m|qGTk(MHF29x$d=Jx26{IRjGIz7^#Uyth0&)}YT$Ro>;ebjDCeOVv1Ob_aV2ecK-Jt5~!5KuQL3;_!6 ziE0~wMFZAwPjuJ-Rs|PvPh7JBV1XIybt=d2t!ls1(F!t2Kmhk>&V_$=QgOLk6V%4S@qa0(Qjh?u7%=8>}F6 zk3bPIDvy9fL(mOO+^>%q5DUJX$6d)$TI714Cw-vu0Y%k-_(`|GFzfy$z@4DB-0l&0 z&YHLsF~NTLk4@=v;acgS_VyC2_X-Jj1t z-5hzEQvtOHTfo>}7M#8uc{-&`Ldw8Ed#?rkotpJ9c-ABNtk|R%%>Eym{kzQmADR6> z)&zZG_P?MB`c&FW9-^Y+XbFF~=HDe%v#dw zEa#!L*W&-_8BogO`dJ@pwo*pQuch7x|0q9*PyX3})=$&l`rS+5uYdP46FbS_uXFdN zey4CfD_jqe-J80oQLd4Qs)*=2;xZ0@7VcXR*Sq4|Bnk=ku>Hw-$5*v*mgj3_ykGnC zt0FF1l_;d%BOLy^U5@#mW(R6iYSDL-_^KaSff~p=A?F2LzD8ZHu9ES}WV|1Y!Vt9x za|PqysLZd*!qu9p11?wNJFq`P)lj1@djI*_V9%wszVG;l`Rr*O8oGaL)2%!G-wj>a zG%(aNcrPuwP!V5hh<~m(K9@$$3|&xr(m$+gf3SffcMAJeeA49;;!Q5&{Jg&gqf;Kj@XVA;y;%XOmk6^6|8^dEA0sZRkYT<~P3tj?Pdjb#F zU|7ZG%^OHMWmtL~7U{521bYmMgtlJn1Jn{izJ}0~BVP!pWETA6cu6F)CCPHHWQx^r z^cR`hOA-$0XWqHFZo!cd$milI)UCW+FO~U|Eh?8jZaeEVb>#CBj$!Eq7`ysjf#h4ZF6yC_5bVuD z()-(TxG8LBh|`llTyjACom1?%Yz}dY$=@FXzQB|W7TL@qT4qJOjK`Y88e1Zky30oD z^?OMC!f9>L?EgupI{(m}uHA0Ed!cu#@L6|iagDmSGvw0_SJKN(Np>@}%GKPz>>;L3 zs{TSB8SwFX*7Q1`2>ivDR|w!^J@+>KQQb#>EH}f)Rv(|VtOofvo~&m8|2fHV8vjpm zB$n&sUon>jlsVsfu%$)HbJVsFBCU`GL`B2L-ToT-W23t#e!L*ZkA*6qdCuI|S97${ zMM_h>hQ=Sb=z;hFGAl9n%x>T$YjviPFD;Y>1oOE=kpI+SrAIic)kmDpZ=m9VleZ_1 zaQ2c=Zl8ODO79lSO`TsIRv!YA<;YS1-)tiu?(Gi9pPqXqWiN2rSm+MKhgZCod5RC5 zhR?u{pV1$uC^;oK&p8xgYN4tdB|fU&RynomAmUFkqxXE)V;(T$m7dkm{b$e5L9M(V zT5~y4Ec~`(;f>P^KRvB*_&B}r4#&a)e|q8bSx{%cc_@-YVWZtzs~S_6ai9)6c;-Ds zKL`pELsfD5z^+2!-$srp<-9=F8M3iSqB;~|5TENmrsi)dzN+LbI9noR&HO4RK)$cS zx6PD#Ua-RAzma?CpZg;eBlVY$bwBJWx;oCed!|IsdN>r5Qm?D=rOo}3iA zZDRZ6c%=kG^US9kqqUvRW8GcuwGdlucLTOdY>!TFnV#3rv-qi8I=RaDm)!;6)*FZH z1lt!DutYSwEjXpAm2+Ts{Yzwgq2M@2U#%u#h@+jE+#VgixjVs`_*C(~qXcsV`t?mR zeyL#Z)8Y3i(&WZ(IMm>4U>$wqu+&}Er%y;03p&-8j2U4av$Huq(UJpV4!Z(q5KxK;sMGzOjbl4*iCJ1TseOpDJ88vnxjOCKcv_})n55#za& ziXYRLE;(YXUY`1+_y4RpB01fe{t*wXdp1!26Po!VhlS#0 zW5IM|z!u=_G)pO&5w8518a)C>DuVMQ61f}8<%pbOx!jPbWbR(@a$_9@QF2r+H(*RU zN4-U~`gu}+S+A1kwG0NUZP7QVRFRo$+90UQSi?C-S-6V8>t0kPdH15SIW84YbkR40 zSGohr#;IneTw=|pf5zJ0_QF6*k7slG@mL=js*|oPS=G|~)cjlF=@ zhiD^+R)c7Xh!y~78X=&`5bYGA-9WUzBHC6&TZ(9bNDa~Sh}MT_qloqh(RLu(GDHhP zY9izf(MAz%0@417XfGn#azvYj)DR6!7=FBHBtsn}gImkvBveMKmpIO-B4@aJ`+qpsS;>ih~u?}1l?R?_*S*M?csA$(j zs~Z`Z&#I>OYow(!>bvxp5`5m@E88Sf1PWf~dGw1z6luwy8Dw2#Nf4*UN%{`I&nfO+ zcRsjOszXTGf=vsUy3|$M5bNbfvWGz5nLT8ao^LQxwNs@=RPg-+1-ovFl>l%XQcOgU;}@ z0yFeBVTJ>4n4!|2&Ja&$*g|KxLua^4XShsfIN6LDR*C2mg6Is(FvGz71})|GQ+VkN z>QDNnUE%NVH5SX*sYlkQs$z`6p;z7wN$@pW+)LKE8wF+Udt%<<=W^pLDdlV2k)_{- zfD9m!(Y4Iddp2u{%#cdV`A7d#HAByqHO?kv3sJ3eKPM;~HJE>rT4MAs&Zs|D?;Ejz z6~T_ZwT`oaTdMs7|D0f8>>2km-}Y;thL~fdCoiWOOH^sE?UP+KwWfJvhTlhG2EWai z0XRNJ?SC;JIQ~N4w4DDh5j%-JmhY3`{M&2qOEn%(oy6hxg-cy2V(%QXzorX1+;~o5 zY^3}3R4*BOVHLOrtM{};o+N(iZWs|-S2Swn?&k1)uMwi^mBp77#rtmvN!5+a92`l# z&-T7Q;yuVt(}kuzP^9UK(gqD_x|3;xy=mhkX@l(a@zC^fMfzY-`k*0w@MQX6Z~EX! z`XD=dFf@Bmkv&+Htutf~p3ENa&DM=%>)0!Gp(}NYmAaypI>Soc$(6d^mAa9YI`%4E z=qjCJm9A)&&ag^%a+R)k)%eJ&arWx*(ADFL)#F90#|^7>Csz;lt{xv*J56v44 zojI<^8!XDx8S(~C=IMI#bR&5>_F7%&+CjxyUD4V>!`i`PFV;*z4j2Wwor~ZaVQ}9`SYjs6Fd&cno zjR^<66Gi!!{rSUgn=EeY6A!K*cH1z#f0JeZhGDmjfH3U#jKyseKp@h6@R=^r=B|UA zEp7#t{m*zOHN%r}fYTVn+Y-1Jn?W#>7UD^BeP45i3!Qo@h#~;1s%<{c|nIj)N=*&`ucyj7f z=fHv?TTFakk&xIJIk{KTKt``}s4id3KN^&LJUYB**vA{^UUyj5xt9v(UYemxPGoFZ zV?vR#v3GGKk=_>fIr(J!koN^WVwj`DDWefMzA%d>&@BYyCjW+yh{2hyI;Ivh!E}bt zQ*0u7bEq@SL=t>PS&*|x#-S5;Sunxokp`8S`ycL}AN&kDHTJ-?p1_AZn&ge{aGIz4 zG*90)tql1e10>a!4;Sa8d@sF?LS!_%NhzUnh5gc~#zyte#EGJgFV# zMMoPCOTBH=HEAi#9pC)j>;Wg++_Ci)m^>w1dBP_DXu5iw2=KYswl~aR?%e&RrH?PvkO&pj#kmPXCrYXbZWNGk@^a#2zAbz zS3d@IT5&t&-+@Zle}I?h53m*?vX9?&l?kJvM$hsM&@oEbYK$ANmpr3)H;fRuw2b{# z_&)$Y7?sJ(M`cQ$xrzL@9pM;ajr(DGys#0ns*Vjy8=Tq3D0Z;_AEMPnNm`+{yMo)C zr0r5t>MNWLL7LzIo6~J{t2jCL#eeTR`FYtPxn2*z{%iZ;7iG^XyWpaGy58H;pq@KLbG!}9meaWl7&Rn>i?o-Fx_uqUZxw$7+uA@=oq-wu z2^C})=`cj*67=UXKpHn~ZU$&aaQqbhgvwGrF-f)D1xkjHE4SNZ)4A^MQLcyHI$$o?hhL zTGs=nXkA)ehw9OXsl#qF&1az-6qvu`-%zR$C0=sR7>F&h^UeKXckHw5-RO~jcN*2M zH=7+%?g9#laaTbj+7^5Y8j<&L_9`FZ-D$x0g+=r)?4ux)Ls2KkqTl z_&siNVI{TDc>xv0cqb|W#dQ6BN0e$r>7=T853vi}9Z|W^eOi{=hfN+2?WtajSmuc0 zaT!qB_L3r4N7Buxiv($U2)woMRt0VCA)t~8i3A!c^o2w0opkqf^!(8_rhDp40FzK< z<~wg^x}$vtXrIU4a75X&5m2BzTy~m=Jzzz7(Vl5p?jGYDYoA87>RYuVN&=whH*tKR zDVNbr+3ArH`Z%W_I^o?(UE@*iFra{rXN|Ja@AKZd-*Y}?TrbzaFGQ{A$uhf2t*#cI zKtSo7c-Il-HlkF>9#c<=A&Yv2|B4&avV1tZGi4gp>J=hKl)f{7(#T5&QF9e&(lMkE zbSjx;)u}Alrc=Jti;PY?C#7F%)9Fq|r=Qq#>VN_|-HAF?4o&KGS{Br4*EFiAQwNj; zK+#XgP^ZtMPGwvf=+JxIYOQ_YjJ2K`pS0G;j9tCOvs!EYr0MOjS*rs|^#VZYq~tC? zGuC=&S{Ag{AML54wK|}Hwf@Kw&*DQraM}$!{eIE{9bm(*A=)~nyKVizU9Xe|TR)Io z!1Mz@+4_MArcu8uvi1XxC{&GyX5Wi;C}se=rt5A0!|FluV`vh}bIC{>6OFWE$eF`E6^J`3H$PRoLtbwGh0 zwt)OZ_psmCdRPwjt;ZYjeY%hCVN(_|Hhb3W!St{aw#~ELTi|HXK7`2G{T)@Fk7eyv#oFX+!+k& zr*L|L$-Ya|@CO=C6!V~rpJF(1$)PX;?ox%lA4!}{FnwwAXB`nkm)W!YV_+0!nf2(A zU2ZlO!>k8p(J06e2-36sCW6MUiY@#D(1w0K#IvK(a8yFmc!D_XB|}E&LdHeA?Pxp( z8mv^Wm#oSNO#)>Y`K5M(XlP|9PsP&82$=^`DLHQ0cFKqbL6pTb2$a#T{}XJCglt#Y z(P*KSq3jc3w)IUbaXI3ZlVwLE7HAZwBwCeGoID4V(W;tjr;Jxo8OdoM1Ea8(IswW^ zPBUBV1j&C1K{pWu$}l>=3d)E%%(J7>@ER&3IqeDJfHF>lGTPiP+R@MfjfQluBUWY1 zsQ_h+^PB7hG1JP>ol?-sn7;s&p_}cdv=bx|1kp9pAW+6}6DXrQbcf!K#u%*(-KYq& zfn}gFf^t^b(O3#J22wJu%Frgy1!cUVnrEksPTDfEKL$p@GJ4Q5vad@@>?{KW>B$~K z5L$-w8=#ER6FfT_4QFV}$o?5|KpCB&45_D+9gW98gSE=*s8tzrUjSv8oZqq&MCyvo z#Byp4t&ErmOw4x6vlAo-1hF*IAW+6>{S_Dm=I$`s(a3PcW?~r?VK%S~S5QVmPA<~0 zt;2HP-TiB4Sn8eoNUiZDS}yFv~?*XIr=s(B6!#A)&v=; z&%uoT7kS*&`h}gl=U2!XC&{&k4RQC9_19EyRv&F%8?yEl<(n}__vM{smOr^U?zmK( zm4-#fOE!dHS9l`NHo@2IxxsXe`AjD!Jq(gV*SO~>(=~?fv389PD0?;n3M{l=mbuxw zM(1PpS+HwVO`{53qa#WJq73Qv-U-fVE#tyN>%z<=dm8e z!A`K>>Ax((J(d}z=D>bx*CDeJJBs_C0R?6m(ldU{*cW4;1y>ir)2QO= z!U3fkP{>Cd<+Fml>#uF%mQGq!-rN5KK7%LV#rqNzKujenZof_5bs?8uc*%$p0tXbBYL%j2Wlo{NHs@=YmIY@fW%g8Y?dOP61t^kN$#<}|y5QBT zCIM4nmiaqC&gI9!7w`)~xpAkMM6zRX;pKUTnHJKpz0}4zF z7GWJN4)$m2DBeB`){%M|RjeZilxjqAdaoL+;Jy3xz_u)&x&h|-^oDMzlP(fZFXCXS z-1GE0g!G&0F&+c81jej<8Fxfo3O<6GA-Ue^;5hm$q)RYB&P5(L4%MV|g=(r&?t5)A z&bLlkEMa0;4(crz55YXDix1i$7x#sN2f+1KGQ9n7-Gyqm#T)3V=I?ZFgsPV8tR8KZ zyGJ%4tN(MiA7_|dIM3vEbxp>b&>WL7^wL*#9=1(%Jt^6yUC!VNT^u==nn#ul<8(86~78_EGhiI*0<4n6>gI1*Y5niGP@LJAv z1Yiqw4};JahOS@oNMvl`{7f_-=Y@wRZQ*&euG(L5qu&?_Lc;Q%LcaflKD*n=`QWy53e`B{w0YgoX$LpaZxW zR_oi2lh2o+k}nzaU%m>aW_$^&y4zaS;Ujca-=M4d23^&3+*Z{mO6aOSU4m78j;`vq ztJbPsL|649x~l28t*YDUswS`cqSZd2tu`f=wpxuFTCHY3TCFv1v)Yl?ti)iA{RlLPPfqM7bepxIt^zV;JfW? z0~WzL&UuOWGtP130{qpEC<%yiOeTPa3db9IP)8@?2kB0HqfaiK?up;jh&tPRD?6kD zJNfuROyeO3TRNZqZcQ`e1XKh1%X^rbYK5A@a}JPY8p-CJDgLpaaFKKVwD zWD|4lVP2CZi9a!v>(jkmJa*0! zD;bGAjpr(e>*g0Ld<(n31cD27|2$LDz8lZ-oU=TT%NU&TRr|$(N(GNja?PK{lSk9 zMzELAr#G6B@^_Z6FCS9}CjDzDrql2tP~M7cScE}_ta3%_Pon~VL3YhPT0#*#8fDsM948`=Nf`HtQkh0Vp1sp>G70;l6o%>M0 zZ73iWg#wQ51_8OBVhvinQNW*(GP?`~ zVE%rj{4NUEu?Pk1YC-}3jBF5p6tJ)t1T<8jfSvngAmAtN{huGBl2{*o6>}tAvUnr+ zAN=FFSAm#odfoC&AcnwC726<<}& z-P}^)`=HPBPz3u8y5eYpJCLAyH4+@pl!k2NDxC+RmgjeGukg(ZLz8$L>mp$W*2U?& zP#3{#QDdj~V#Td}2$pG@j}^Be%;!)k6YKjR=EpSk;w%g5yFlAz)SvXIpc55F(E zs$B2}AXi-c3)ICQIH6}tEJyWlDDP8`w*F(?PqRF}ifLUT12$@$GvHiaB(@CP_D71IscNSlKu@h228+!qC$GXeq*7o&j2Ruu3E3TTW$0rTg8fb0Qe zqvaK3BgPZh7%oLNEZM+w({W_O5{o>)I0txU4=gzmZbAuwVw2uEtgN62Msc)(>omK63za9hf`uZ@ib!nmJ8!UF%v6MtDhmA z@x>^+Y%W^Mm<~EdaUdQ7J2?Vp5<9eXLt6#NOTDa%& z%g1`^Y@P7Z?(Mi;P1F)X4mjaiv0VC$A|4=|c2;bc{6|+fFqU&97!GO$v+gdxL7yA@ zx3gk!rqS*g7v*>47b?*y=V!K8JL>~Dm{qBtr}tO63~1Kd_-86w~l*` zGQ;7lqr2g7*12wFdP$|spHxb3IC}aR zkZeAIV?bq`xa^+xwVXJX-+VQkFY=?@+ND!xt36q%2a%2R$qtax8Qll;m+r%{BxAbFu(k1rKq_$9-R&vXlAh3yU*KpP z(AIA^9Mm5eZ&{z(8EQPV{1P1P@id!fsp0sJ zbJ_hbqTk_P=fpjJ7T7Fj`31+pIh$B&zvl)xXY-n#>*5UMt(1lCKCnL3GRN4F-1}~b z#?!3pP~-2$_7S5z>Ybb@E32k=i0rS(>Z=7DOUkOae!gkX@v=kide6jbVWDJh4V)P2 zb1t*AUMs}ZiOs?n5_`^oYCJ)8ZhghQV1@0F~Qja0S{PNfW6TGywF=NhRc!1!ZN zGe59nWjf^R>q;@Y=Mc9ec+Tfi7;AqktLzj9%&=1~KAN-s23favtkIQ9L|Y^y9_n-@ zCocbYd4%}9%wKvU#CUDVn=E4LN*OvdgL3=xycuviBax%X4Uz5a$FXH&1}gQAHUNsN%&*5T+>(7U}?Y1>CQRm zoa{GrnG@{xpa_2I2#_D)e2Y7rZ;`?Imce~sW*9-?cf0^|$y5`^;__3er@PxH|R(3p#c#$ITja2cIq)=)e>wUUZTwf`zrRrrs zc~B3OUD~Bp9P*a`Q&E7a>M^>b^N!#-1j#Wc!^{+dQ9Oo3oD(O4Ij6j zW-&2`kEPFAPqS#4lh6a;h{V$@0k{U3hpxDWIUGMc%~Cj@ZCfDh!H+G@Zn#du(=0W3 zBzew$oW0}h+`cee9rW85Mt*l0@vV*@EX=eg7U-o3__M>hErJ1DxjQS;3AnB`xaOH7 zfWq@|9h#p$iip zo@SQLj#gnM-7CwKD%l_K1I_})&9ua0i}c_IFs%x@&p${$^;s7h0eWF=?ZM(0*KNM* zVdS|G>1vQJY|~kp@3Tmi9)cVCh=$8(KSSIk8zZI`z-AVB{>Ixf+IG@!chkF)9A9Qvvc$+^ zynf4Z&l}DiQC!=(kB6b?@6}6uR4QNvy?zcT)e8UxJU!g)%uYHr$36?XA652L(f#Ov z0`5l@%Y3iJ*HHL^)%LAsXo<{6)sLgObO^E6z%~<^z=pPViMBlJDF&6u>IyV7CqpvW z%NZ9v-xK>iXh~9R0qCNaLJMtyBU~gDc93xQ(g6ir^iph?abt9E0w+V9qwTYxi(Wj9 zD!S+$P!a$|pM>V}*HE-!#$0wjq|Idr>w7zBlr|R)ZGlu zn`=8klH%XOvYjC5YsZ~eAB(2U;I6(p~eIGw45KfRdqTEK53fVb%ICFxe zZCVyMLBe^(o+_RoaX{%i11Ph(*oH=J{hak6+|S8$>p2S|&B9|1bgQ;BEQWJn$uDAc zO4t%~W~Je2Fg2ScWMPK}3QmyHhYvTGZ`>2ZD7c8Olg6XrlM0TWxd z+Za&U0b2dB2&<9fkH>`fh(#m-RSkupC$@+$D^oyJC%~3xBF|Vpq%}I;|3| z@qzt_o?S~Year|W%FoX#k$@Zjhw%Lr$d}T;kxsY#L%)weI<3W;=6|K%CxLWE8Kz5} z{SLd~iOPA}F6V>bo~z$vb+Lv1{%^3HvA0wXyx6MRr+tai7jjxF-$KP2a!5BS?n7LI_e@bt04YL1nSGC9=%&93X8`>F{ z07d^3ISpF-leRi!E^G(U=iz!m?{i+d2zvKT0E=NpEb}G0AKVIJEbph!{z4uZxAAiy zuf<;?wvWEyF*rOIKjI;=mTnw~&ed18(R-8s1KHRSV3kBXw}#cW9cKk5-w~^ErQk_Y z_*tF`ziZ%o_Tq$tPaUY}=rY2L z(jAlb`5&Mb9HnA_hdq5y0Fte3vLpgnMM_-3l1pNfI>cC z!A7pj`ERjhyS^xAwsD5$?1Q!)qp|A^B%&^mU{*G~c8QyD5{h{Qd|1-lehaasdZ&lK zg~UA(eqfFhJZizF(LwJD*I)%>mm~S77`DY9#&drQ%DOG?=i*_1?JN_1*RLMsv{yY& zg1XcBhXQhYRhhfDFAQ6&_&uN>LNHT>jB}Ylrnpc%)w3g7aNl~oGo?*nJwP{+oWu;U z6Z8NJKPC2w1QWy~n!m*X)*XiJVK8+ZU>#84h~{re9*~9srW9q1RElt*^lzvp&}JL9 zm`pU6dQmW-Jide-AvD+kXD&VHLeHm9rjMfjw~TIj4=8#H5gpQvJi};_*n;zgCI5+{ zTpgFui8QpF3RxTfH#kNFFY!1{r(65C;4gclm-s+hHa`S9?gnk7@{p&6HEzLpIK*N2 zRUMK*lYx`(WtWWMfm9ydj0WVhn0As(pKpQ<^o0S|b`p}uw38lNJ8?j{n-3`K8!}** z{&n!65LO{B;rrp=Mvf}&ydXmlPQ|(7`y+Zs{&ndX^}Pwai`$3K*nW_SuC~+YKwgW^ zx~t%G>;3u=xNsj`0q>s^xCg}sbPpDbp8(Jez1*GhaUi%D9M>xza_OCs5;(yPI9f6K z8-?gX9FwDu^eI008>KE-L$i`>5J;7{zfR7Y5 zKEOvR6{3%{pJpQw9ja1#d*U11o}dDe=W`0wz&4~jF5C>r7TkYPB%$}djou}Bg!?b` zLFm1|VL79aw4enpXwBsHGY*>KTT|X1O?$>+3>u%V9%FK6-0_2Ke@Thl0phvwc z6+G%LpYUlfE_*ZQ7jR1NBkRr!euJeCN3rr@*Cq`KnvtNy4+*CA0zq~;5)5}kf^Q+g z;W#8{Oap>V|A{&@`C;vBptl~L$J)`+`xKqHPZ1oCRoPGPQ+&lwD_(KN-zxjqew2OK z-E`%cJPGyFmQEIIZ2BGvs)CT1 z;78ohkuOhzio4(ZXTbS+{0SRd2?5WL_5a+65sE_^ga0Vb$emvw20vZCTLBlcz*8b1 z^!+U!>?7m&11W?)fL9EJ+3*kjW%=+A9}FYlUmLi);Ezb43~vY*LkM>h;oY|MGmal^ z58~sq7-)iLZZd@4_!nw6Au@o&FS#Z|g!2Ku(uU834168Hu^c8uIMOKuI9v-d88Yx4 zHaHW~bQThF7~pWh%w)*GPXipBe}oAcI-dgE1>j6b<3qWZ0giGpApH*;8=MIl_!S!+CS>5lHaHV9@W%j0{+STr zkR*8FGTILl(r{3KFThbZOvu3TzAtPVOo(tk@VOM=_yc_=WZ+o<$NFVL2EGR1sDCD8 z;3WWu>(B@jGH{Iz&V&qnC%{oICS>3TZEz-J;3ol&^~;0|{C$9<-7p~o|I7wwLI!@- z24_MB{%0GU2^shZz|n4*kbyt6!I_YO{{nE7YchlnsAo@`{WBqh9}IA8k4(tG0YpDc z+sK5<<1#=9|8Kyb0V00}TF?i+hqURfA=?#_bR6%Tbhu&i*RP?pzo_!pF0-`Wy!k6P zUs^v*zc`aF+WNsp%kiR38yGGr_?25Z9d7Wny9Lx9*$!;I9Ms_&Q<@3p`cpb#yK*$r zK4HCc6mCnv3lmD=Qpy5NS&h23Wb*C=2Y7*2hY)#+qF{AiE=E)2lTn1O%v>d3sm#vI zTbq)~V6K$sPr_hh4zA}cEuGw!o7%cdp4_y{ERavea|)*5IR$d034fV61-0AMnn`Nq zcws4~s!F!e^x-1Sni6&KcErz{#8=jADJrS0-BMG4X28@Mtq{CM32%9wR3m-mYe|KA zyS={X_~bU`)XfwX6nNVx5yt|U4pQW`0Jwp=SIiH|FekBf_mjZqh> z7sp8Bq6?+bv5OW*7Z)YQlt_zXOA8kl#+Afq%jzl%w=OQJi~!0JRd6?PM2Whv-2RqQ zlr6F-GBa1c5=SDKN4QgALQf~QP|Wp*euNcA*uqTD<$@)yOQE}DFCv*Rx^ZSgz!q9z zPO|~!DOXqc%%v#&%nOFki(xaIKZyqkXT)=LeG2egBB9rDwUV|H5qMIruGXlV8(kzO z5&%~yqKg#S5P*<)62S=(-09LFLeimc!Z8CF1o~5b4#sU4?$3t^#p1E?elpGy1j9qg zfGV&JcUi)-68=#vK06me8qT8Z;BYbiB#w(++?9^+q4Nr+C~vX@yU}qZOMxpD$#l_i z5%(g5y#Ioio+4}0 z24?}S7La_oz>5=w!!e;15ls)x)_5Fe3ci>>6<;EliZ2se$G+WC;%d zg#W4y?BvH1g*%Dhe~*9%E5Hf3ol1DHI8zBv7Jn+?#S%;t z3ZN4+Kp{@PED7OaiSDRexD#L@ttO~|r)W<36z3@hl1M|GSVGi4`9HhM`&VuXC%<}C z__U#m*xz(bnCq{tDAPwYLf>LN^=0yYCHfW8JU7p;OBtbZ=&Tu*CUZk-0p96dQ1I(nGws24V_(ky0=MDY z#ysmVXGNXt;H}qv6S$|F;6>d8Z|WxWRo!Iru5L1kZM)UmN`o0!r)rA651rN;UdB%7 zi_3}imU3ddrkv3CloPn9oWM=x1g|P5cvm@r%gU|gFl9{EKi*GG6NM|HiM0s0i-<1a z|I{MoX<*H2?Dh$T*W)T+6iFmbMQ~|+i7uitj&R+0EBVBk<-!#bcgln1#hO99DIdz0 z@}vBz04juwh_kX|Q+uW&rm8f9KM8 zB+A@z|G!<-ZjbZ-dJjG!|9`ID#|5@^u!MeuOkH4)1J18q504A)X&3EV#}d%q^rzA; z$srHvwbDf>%le?U?H_A;@g&Ha&T>er9MU@+(ot#b;@Va1>0P`0F2O z(++9tBxj2MoetkC9nyOo(pFtzj{=Rx?qS0$1J`*hn404Hkp*qd14BT=H7F~F5I+oI z=tds`3y|Y51T`+j5N=OT#t`OCYcO;n1aH)4!ThKcLm*m%Ak8IfQ|PWMCLXNFIhDj0!^uA(a>g6Y>IvA%qw(3?<|x3}+K!#4rr_!*C8E zA7eNd{9G7@!)5On&Ld?XCH&t9BofAkNce-rwej^V4%d~|w5ME+zBz6zt-~;B0de+bhcp=_8CIKOXx85#}pGyG` e{d&y9L|*`a9oxiM3+)67!0)l}59<_XE)gZ diff --git a/STM32/MDK-ARM/WOLF-Lite/front_unit.d b/STM32/MDK-ARM/WOLF-Lite/front_unit.d deleted file mode 100644 index d72e5db..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/front_unit.d +++ /dev/null @@ -1,62 +0,0 @@ -wolf-lite/front_unit.o: ..\Core\Src\front_unit.c \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \ - ..\Core\Inc\stm32f4xx_hal_conf.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \ - ..\Drivers\CMSIS\Include\core_cm4.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \ - ..\Drivers\CMSIS\Include\cmsis_version.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - ..\Drivers\CMSIS\Include\cmsis_armclang.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \ - ..\Drivers\CMSIS\Include\mpu_armv7.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h \ - ..\Core\Inc\main.h ..\Core\Src\front_unit.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdbool.h ..\Core\Src\lcd.h \ - ..\Core\Src\trx_manager.h ..\Core\Src\settings.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdio.h ..\Core\Src\functions.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h ..\Core\Src\profiler.h \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h ..\Core\Src\bands.h \ - ..\Core\Src\lcd_driver.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdlib.h ..\Core\Src\images.h \ - ..\Core\Src\lcd_driver_ILI9481.h ..\Core\Src\screen_layout.h \ - ..\Core\Src\color_themes.h ..\Core\Src\fonts.h \ - ..\Core\Src\system_menu.h ..\Core\Src\audio_filters.h \ - ..\Core\Src\fpga.h ..\Core\Src\fft.h ..\Core\Src\wm8731.h \ - ..\Core\Src\audio_processor.h ..\Core\Src\auto_notch.h \ - ..\Core\Src\agc.h diff --git a/STM32/MDK-ARM/WOLF-Lite/front_unit.o b/STM32/MDK-ARM/WOLF-Lite/front_unit.o deleted file mode 100644 index cf7d4dce12de5a5abd1f7c6e18386dc25e97b427..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 46268 zcmb?^dt8%O((rk52LptQkZ|(}sNfAkPzs1OA%Li;h-kICO9F&~ML@u7Z%+u4sMtnD zi>+INrQNjJeetqg+Oll|NKx4?y0%MQw;PmN+Sl!3>vn1D+kP|86Oh*3?|c9F@}no` zGH1@5nK^Uj%sH{oWaea16h+2A6p1DGON5*|!?pU#O? z*x9y(C)+n2q~GrYynAUvo`G+5RG$}Jyhm_2x~(3PoAs@>pn#lb8>iF{=g5Y;!YTC< zBJ!f-RIH1U{A=l&46nm2yH7SZ{1}q*hbErS>3d-dR;)C`q=yG&&c`V`_>VO zfJbRaRm=R$Ce^nuZr{H6sESWed2Aj$RVIQxg2_l^9m(C@Opa2d~} zp=A=m=HfYg_bqyp7q4wj7fJ7olFEnYbTdjNy65yYwCWLZQ!)j%-9aSB3H>N zuX+1bB=@XDCRwyRK~H!A`+_sN^vM;zOPN>KkQ74CnTiuxEhogzCnRiS?%0p;p={Qz zJH@+fmTI|px8Cw(DSy{a%kC<1b*#9$lwX}Kej*$4mZWCOPMdglDZhrXB$ZmK?BZI| zuM8hFL|z1Gw}xLIiWqK<=rToIbB4QHeXc3PN2mz06VNtWs%_#YSPZqv;vIVazo{e= z7;XoU0hslcB$aq)sd>9Zyjuf#ONxz8M_gbFsSCeii0sma54MJ1V&>2bzg>JM=2$0|u-q<--)V+ATY5mZT0#Ee*7Zi7)Aj_@ve6iYuZY zuuY!$ZkuH{&`@Oo4BH%*?Z7m$aZ8JD~qRM#UPqpKM}!*Hu4K#2ulX!yQoOFGUEDB_|H&Tcfsg#%eGQvKC%L2 zjojvMb%<+Jz!`R+0T^_Y&?Cz5;UVC5g z%4;X(4U_VwN%_`EdDo=;5S!OV_L_Wv8^g+JZd2qZkcZi6u&Hk-Vgx83is*w%Rsp)S zk=I<2qs-5W9#T=t9HS_|`!kx{k((pbzd9M;6x%LE)GRphYX4AGKBY-BCkMR19@cWEwyq2M)0+4in=YlJdtsk$VLgB0Ia7*L7j{mUJit#Sqlnh;Q`+wv7Q^RN zzF#^;|E7}2V2Ce)48ZL4-JH4t=^p6 zu1h&bEvnb0w(G(gff)Y6e!dKAtE!a8)x9e`)@ zl<(4h|Af(peE%^Z`r79EncMeMjqesaj{Xmo-{h&0MSZ$OKn$=BX3?ihcJkBO%nOfC zaL27n>_ zw5xoTFF-<->~9^CD;hv0l|Jv;vXc3qkHsGiXk6n#23~X`Xy{xD9%_I1G!L`Dp`G zN)N0<0MLfj1Lyf+=S<1XEar2rWIzI>I;pUUe9xqO-=uv1r2N37{MAW$pmqY!bvEDE zoebkPn$w1XlJ13&w{?djS}LWJzsPA$>*S|ECD3_Jn+!TU$dCM45vK$2tdu!MxhKtN zaz}2CPy_RA)-7zunF4bnkXlp9RsON$%avx=CFAT&$oYv3vW~6kXDNqX zD79`@YFwTl;i=?DjL(;q9m-L2)x5A@2O{H^$@uE_=;vxbSZ-h+{-I~}%IW(LSh*9% zq1}{BG}0uQl~`S(wBQasEq_m4j8Tsg@XwS1TP(Ho&YeLc^5ODZ{}A+FAhVy zxP}p@(w5|Q7NFEz1)iJUoFo(1u$YtNfW)$u5hp{XCvTaQ7pF|BpOhD;PO6`j7X!5u zc)0v7xi|^9VY58RpbMG=M~r4ZqM|K3>_E9?2aT1WLP_9fmWrQn@_$yu=>R-?Mqn8w zPP;#&$sM^lVh!x0HKpS1T(5RMQmC@*1|=V7X_AMfoVCf=Qmc{p?+OPkqV&Ei@K%QTkrI8Dr0?q`s4oFGcA z*+Veq?c5X8XQK(Rs9P?B)C|&h9de+cqADd!ZXFh zp(aV@P4r>b8Kg(g?-FQub4f(GCX~u%gpMScFE#2C-S8siX5RmxA4SelH1!l2aEYS5 z8KK?mQ$L>+wws#0(fTz}VEs;fhj5fFNw$t0hrR(!;-FnmRf)7RL7A$YR`Zh0KKp{n zV=_U8O>|vk3}g}|yr^uxFIfubP>gj1*6F)wX-~bqStS>%m8zK%ky@EOQ`%6MBWpDB zl&S-XTGdNl{GgOT@0+%nn|Z4vg4IgLOv#6dTE}m^(tn#Hn_bS!i}+}UF>ry_Ve`_x zLI(=A@B`yKYlB@#+dY9aM=w1vkQu>8M={ZrN^?xOzbJs?B%j zfar6*xYjP-t`Tq1`)#E`CYawX6IV0hEi&KT!~^^#+vO|*-(_UVgyOzze}7GM{e@Y# zs(;^5x$D~nN1iJv`Svd>e*EaKWp6*;spIt%|7FE6ef;ne$@c7$0nt8vl#>V;sF5{O z?Yb9)YEoZAcr+0}fWj$dBCagz)6)IjDgXc=K?%8SyAtIauAL^L2zH}Ye7&VDtb%yO zsv)EV-H1s+7ETW`@HNR6B>+H;q@N5bFJDk(cP*Aem<0YbdXdw&?2(r=%@)HXnAeu4 zdl485JF#Qk`qZm_ms|t{d+0gTr!lGgx&Z@#urwb!mOuoxasU{I$@{DH7$FdBfC_dX zugc`5V?QOv_q5NfLqP2W!PgVs)@B}pQ2;MHso{lFXm*tGftF2EB?|%+lZeUlUJc+d z9r&;Vy=IA2$yn;4hMo0ke}RN$9aOM08tdZ;Fn)y}Rtjf|t*-W{rGNoy*co-r5d0L0 zDUknDB!gbmPm$aOB+j28xe{ZRHUuvP7Qi1X%7AP|iK-(zV3H_vE^5p}Kbdt;Ug%F} zt)An<5`)ySGcv8aEaDT8HBJI$-FD>=@Bl`E4-TaKaLX>iESwHS6ZlYik<<42yQ*Zu z(~$8Gs7>~Vg#+P)0&#mj&6KL`iv)>Zwvxq-e3g(y(vZL9%@JyrKm!#tg0cv`|2Y3) zTBt`NaA=zJ6M5c+7ldLB+Zo{qDIwC=2L2wMjpRvy$Z>=*JN(;FZ~_LZh=5TL-Rzbp zBzY)(o`%4O=Y)x@{Y&Q48gD8W$~caOAcbGOBMJfvfdP{co{V__;p?CLHy~^PHDo_5 z!XrP-6a0K4uM5m-`T+_z%VS@DVCWOw49o>po&9jkX_r?4w{@O6jyd}x_$t8pGswA$ z@&_Qln9G0G!$!owvGG8O6Q+YpmHn{%dHrwF8WD~O7OQWTDk1!kh3FwQXhak z@@vx)8&8GH4L>qgG!+X*9$?Yb-;2Vv-cA_@=izzX#~LX@dMY{T9Jwxv0LZGJK<@sl z9a-e-spMF6JM75^Ccb&kzhlwk;SVzNizfba53uM+&1e&EmH>5+#<5tP-1idy0KEqy z^_*SdnE6(%-M>wSfp`W1Ib}QNJHIZ7XNNLQlw;GohN|i|C){h1@?xXC}EC8uSRNKdqCgL z{8PVF`18@%c8EWoO(;8b1vK#vahjsgHV3dq9U%SC}50_&c+5PZI&|Roq7prt*tL!jfPRP$*R07tr^!l52>- z$$>$t7co5|Qs**sn0pJQwEnz^J`pyM@?CXIiSR@tOWXzG$`v`rbS zbM*py_(i*9nVo2rlz$27OW@@)f<&?>mp@%W*&p!_N;hFYMN@i0lMcHyWg42Ywl*zk z4aym6T1id)B_#?<*0u&VrD{(HHZ9b;0)rN5hXR8ZYpLKSz1Bat$)HsRHx+6Vf}4u8 z+Tf;Q?SX4>wT0={Y2n~8w zTNWDhYwfPkAcuBus7)`Rm9eiyO)v{GOnsfhF}Z>S1iX!_=l&-2WOa`u5x7JyQO3K_uYveU;n`Z4eS`l65US|?f4jhaoMto z9yjVG+VL?GeMQoV9>WdNi5_d7mH1Ckb!%VHM30&etk7_Zo5!E8zNY(B8ph6}X2q{k z^FKJp&10nVN$8>ACg__ZPo+;k`-_D;vOCQot0Duw9{KRBrDxHT-`-W8ey(8gGxeGq z)0_-%X+nP8bPeriN)vpgy1MnrJj}|91x%9nl)s$Fza~_CTKP~!&_{{ny8yza&gow7yT5daro*(3x4KeNXy6Z$FX$UDFSF zS63&N`nD#@rQ*$|Li@ReE5t`W{pxq&_3HHJw7GdnLZ4%o3if5ZzW%_ffl;p&!Fykq zm{%4iN`#Akm2o9gUK{YDHg=?J{-LD@jR_l@>RwuzUUp_~$^N{7Kb5wR zI1B<$lrZ5vL*XSs)FP`cxxTwGoq_8faZU49DFmpw&~c(P9YW|4AGC&-sDvz-IjoIL;MAOLsj3q1u7abhrHZyF`u%-x+kA&qeibS|2#k;B`XATexF|%YA?5~YM|X0Q zE*XyUP2KS@=)Jmy7)$JyzF%gkS`SBTb8RUEJuI~lQ?gqWAFT2F5@N*=z_U=>(mdIB_AU*Xsg!po&dnTf{z{ziC^Xiqr?lrr{M+%2v$an8btYf%*p-T3)^*zfFbv~(uN^248`uo^WK(S&6XWN4;;7Q zKCaoc=_bV0oxY#u`hKm7c3siJHBbl~w?6>_vK0^)U3AVIR^Of6$VTQnTq%9sFvqn1 z>~mWoxThCy1qQCSDE`t5_ga2`cmL!Pp#s9=Dqpy0_}VS{oV~diQ|X2vj0U$MqZCWI zA?xbL-N_)V_U`yW4EU#7@zx-9K=&}&MHBLhfRO6QY+7fPD!i)I*>tq2@!-MB8FpE0 zaaw{p{aKsx<>o1;a{mkVtMx|lZfCoTN$#yvy|0?GbnE;*TZ6haGQM0d&kE0MP4m*p z`n$A$ED}9`X6FI({O4+e0u|@9(X$RrU$pwL%6Vlg=)xZ~GCb49#l`C?tBvt%s*5(2 zR99Bi7Hq31tBottrEbb8t2J&~lfNQSy=g_p@_E|46}rkTRh1RSirQ2fN;DSB%8k`@ zQe46UI=`~AJe4+7Zz(D_R6Is+Tu@y6P|AFj>K8CXMs?YCV|7iuZpE6VYvMDE+slez z$b9$%>{;`7?u=hrQB=OI*ce|^yCqRwGGF!3&Yf|kOLCX4D#+heWy~;^EH{>w7i?d! z2?oahbUE6UOE#sKRcwlj+f>GN-c(atTvkyVR|>>$gG@qFLG8ARnzF|#jKy?KX=QaS zR3;bHdTNSF4b=#isGbA{Wlt4+=~Cm@)*#*6wk_CP6|b$T$}rR#;&UpC4CV3K>MilP zhN8!7;(;8`%ofBw6sH=W<(k~_S#1KY6l^inmd2Hqlvf(q5!nn54+KpRi6pI9m64gZ zDt|*Rq-%0BGc)pX*XCyAEzQVVm64aeCND2Xw>(dql?UxhbF&MwP-wY^3S)V}np#6` zSy4gyw%XdtikeB1jh`&p|4?80AU+OJ74Qw{s(=w{U;tx5ItaR|+PJ-dEkaUVTTohA zUR+RHw#8^DsWnz(X-8%C;{|0E1zU_2+bRrOj5xKT^0K1Gvkeu+pfm{M$!6uPTA9yH zVTCR?QKd>QNGQmxFcg*>i!)d1kRR#-?K(qwhOy97E~qK5+yPj|i=NhhGlxoG#+Efj z)s^Mt*gkoc$cyo+jC`PO zyr9cj&Xr2as%vVYwjA*2${*({wM9inARHuBSpjVzu*YkbRxGUmwrw|*gFc|vvdRjr zEOS>F4K>@UjrqXc|Hu5wDvFIe{~s_O?I_R|)xw(0*kPzHq1S+SDE?zfh4xOK_)#m4|FVJaomZs-v^D~Rfs*ONTWd+u*$;{7Rx^l@H zL&Yw-suDP2tezx=^tJi7LNi!zL zF|lLPhKU1{Q<$`4at@OYOgb@fV$y?2A13`h5}w{ST0&AA=}u||Bw9>Nn7A;ZR$?6{ zCQMwIP^+*G6B8ybOsMr(hlvRj7besOti!~Fi3=0zQLMwngoz6iY9rQRV#36Q3AG99 zFfn1`!i4$-)?s48#DxjBc|^}63`9@y)889(c8H3r6DJ11uFjT3 z%zmfofB-h{vkB+RJ1KWmZB?X}5NCN#LRb~)u!grSfry4GY)yYwIEhn>KlAr=Rf?JY z_ox@J>zbvO{r%8&L^<8l)BI58u{I9JBAcuv;k3fR}^m}^dyMzNe#{!)^2tbYI zaUwR40MHG$hltamPmqr6NR5a7R-ix5 z>4o$+O;vR!0Of+;--*=hM`pm$s%WZqbBE*!Irse}esAf>eo}&@b^@xIGiSz#s@VD5 z6_LiZg5M2A#g@SLn?q4wZ-wu-hNA8!K|LV#d2OpgTs=%vWl;Y2ZAR)v6j>ffJ6Zm@t@J6EE|+E?nu zkz{zHcD|m3J2QOr2}6`OvkUP!)5IE)pPUF)tNH6!?i`_*NUR1rG$895QcRm52biY5 zw#1M6?+Hw~5(aA*?6FKEe`jkG!`%sypKgu(+!gs{!fO6isC05eWcUo^zw57SrKaf- zcg|IE;L|X5Q0OQnBH*;a$n&4C?9VNAisi%(y#QYSu9kymV9J3DG>5;<^4TMLlA#F1 ztr5Ykup|z_dJe&hmDfE8C>BB0yCx3;F(H2ns*eLOoo!n5>U1Pw8VticjX4(EiqFDQ zfBv z724SXvzcwNb6{m`p_v2Y3O25#bnJcU2hT9sR%@Ttmc6&RZ?8RjpQCSId!I%)3O69R z6l22aGgC$Jh13a_&3_8&Vdo-?_RJ-kRuDo*bCUs9MT_FQtPM}lP=TCmbnTyiVki>C zMO1&JY+41)AOn3Ao!02kq&f^dLZ>28{8!e712hq_osPTqYffy9)DvJhHk(E90ei7I zmg9EWXw;$7K?%b&%`JTEr8vvN5MeFQ|@AJ`|mL zCR(39)2KGfq7&2sGhmGp6|W1v9s`oFuqmod6w%T5#GnLDw}LYDrl?cB5gn404$z10 z8C{#*ru|LkCjijgKC@g6oH0$)XebzP-L(28k)(n}!!0=ytw)sos=IZuV_nkj_{O^U zeig%?b+1dxPj2ERL%}?Y>4w7b^yGe-^iQ)yD+B|0Imv!*YEANcJ)k%3qL)C4NSXVB zR6Dz0W$${)3Y}U;_X38rC!>55rBC08xuNRL6>$Y87U(9mD*V5x(O#P|A1Q)j7uaj(a)>li{crIIIFkqrhPkIGO~GW`X0lz+o3S+5`@V zpyian(VpQrCur#qv~&tuoPw4fK}(;YrC-o8AZWQNXc-i=To<&s1uesZmJvbAZ9&VZ zpyh6cV=TjQFT=rPI_fhW)=WpEu*D{HG-W!PGabh>9d@CkEz{u;I!*~&+J%mD!j=wU zOQ*2KDQxKxI{Jhy{X)lp&~a7h7!J( z%)VsiVluNYj`2=mF2*s-QkZ>^NnyNGnPsWWzEtL7D&xJ7S+nF|Ras-Vo?8 z14lrIVK&EEjx75{zwEblAo`Zubq+h<(av|A-|i}g0F3}7v&aSBKZ}jXR9r6wQad}9c!FJ+pr$e~3g1kkgIm}@UFAe^h*}o3cEGIwK$xm_c z7hCJIJ%56Ts#ImbJ^f`0p1uA2&%GyXfPx2s;$Rr)RTza1xaahHRU|EBBMjC;y3@vhML z4r|2WD{Opt4iA45WK>RIV>2m`whKM2ecAfDYD3Z4$7_^<3u_c7!)DkHG!72lzP9Pw zCw*TGUfk3_*!97!tOWP;mBYm=N7`2AvA|2C=hVC$HlzKp(oB(@;y%5E{3ut;1@6TS z(gungRGLjB9jarAzd|jS>NB94??h;7B~<5f#!SWS^EKFJ zl<+;p8=e~NfJZ>Vq%YT@kmG5hHATE;#l^uJ0{)OPlKp z!LYA2ep3!rsW1q)3*L)01BQV{bINfYJ`}a_$DfOK^F$ccgBQdQlY<`zjKIq;gKMkr z%>fZaL*ex<&~OPlmf zWQ(E%&PMG)--GWGXFKJJUQVF#3+Kob(jOp!KB-$*G-&~H zAavoT?|CFffVH~cLhTe9I^Wiap;jR%?6vE~3kE}oyh6@XHz&jnQa;}ZNofu-bSSf( z?gss1Qt$1mb$n8yuq&HYM8dNw%u$ikpP`tc2KRiYz6-jR=JKU+vhBTgt3^E;LYj^A zOKd$8sRER@Ts#G_Cwet1%siqI0j8#OtfsyVAx<~nq%fa|bl51yz>iu{N5L4`{Cb7C zEAj(PF`>;Gp!S=Ay;9;X6)1tVgZvmZQC-&vO0b}t3583ea#y3);J37okU#9zL5FXd z15&a{B~lrXecJ*g&U#8!s7agUCE86#CyMqw96Oy)YGX{ml@W86npCCBuvQPX-9A*9 z74WtCRca796V4Vc0P-QPVnD9+7e({QS-}N_foi9fx&wk9aUFohdjm(LIOdZ9QV^NT zZ{r0*{?5|$KeT8DpC~ltUBt;qd!FgFk60d#RbavS3~(`tzBgUY05hij<`6RD#;SZB zDUo_VGq4PJ=5#4T1?*76hU#XU9wjtR}gvu0cJ%_|43g<^c~7E9~CgLocxG& zU09bIMGJm}x-6+TJ;TNLIi~~f)4QN6j@XkV()ZeBUXKIhQTMTg*sK%K;#{7jf$C(h zi%>ntj2+gKnNm{6*Fe|YF6l}UQA>InwWfgU7r-&-mf3+rrht#3Ft_`x0CaBI^qG88 zKie1BdrHs%?4=@60_7UVGMWU6COCEsI5xMnO-f`Z1--zofJZM9r7e_mF?=h&8 zVBP1EDA~Ot5OqQ<(^KZeZua6Ek;%iOCH`G1WYj^DBK}!#_GcQ1T z*SQi@;ke^FA(FGv3#I1V`&t3^bRKzF0zIQ*PCN}gd8NJf0k75qqJeRLC4rU8DL zz5l37%3J)g`kdAcy&jURFS$gEwU^2jw`l#bd3z!RaXQ<@qXs{O8AF(c#gcU;msH*q zz${Y&O!q9o(`SrTdz`D@l>QeYGz8Zj{a8Ja?o@Bww^6dG0Y>M?Bg_~JUDRa`EbR<3Yz>Qd8p>$jEqk(-oS+p+V*hjD*^}&85ucxN=>2jm*%D?D4H`8X( zXEmg%-|!k%+WqSq{TW(v<8N})2QSq8aDXkm$L&dVFkIAQh;*(9mDg6cfAT;VMMUucy;eOW^2fHn!j;jvoJr$L!2ysm@tn;@w z?jOyB-8Q#3GrfC|Cbv)Tm67ME-Wj}$r|S0i3-LtZAm3yXCeufMXLb9Q zL57@uch`jxshqgs6a)CPXO>hC6_*Pr4a5-vped(+gagn+d0VhEW%ZMuU0_Q6#XR?&3Ftv{kbO zua(cTlC_e&kMnZ0uglub7~6SkKUTMCowGNJ7D&c_{B58Kd7QOhD_HvlE+i2+DDNOz zHJwgZ2I*e2y-fJtG8OvNtPMk-nzLbgKaZP@W{q{T6V;rXtz9(mhxxKufD8TUN8p>a zT>%)WBc$c)@_%Eq)ZAs=PcGin1(R>y)CJtt+k^H_UEncz*Z(n*$Xzc%>_F%8Xs2}3|>7^grw{TufD!McS^Z9oj{0fxJ+>h$Unh4E%6FxunbXp(iPw*zJ;(UJ7 zFWb=Pr}3a*mV@4rfp{|RlQPr5L0KRE1CQiKqfcr*|7pC(CtaTpwM_)PBW3V5cRaCa z(NLUT&u2YwC2dxi)8}4sf+uj)4G{B``d=l) zUaXV;AOt_Weg-&(4PnhfDJ<5r?dc*iLVo^hkOp?rZjB3B%u`tYDom(+AfsQ?l*ED0qF>kP0& zrQHZ%T|&0@+BZ}?CK)ZTbV+Qbr}_eq4t zt|Fg&Y!nS*V^kX3ILJ5-)8O%W6Ah52mVopUB5N4+bbhVC6!WTob1&<@x|gCWd46XP zbGE^wJA+`%3TX^f&2@q6JJMSPePk^JEZ|UBDFcN~di21r%SJ?@Fu;4K zMY907mR}(O`tO8^F0w=nH{fY>=(K1yHtH&|(La)HEZ10Vds1CLh+RqQl%`?_#Nv$z6TpGWpp+B?# zb=HA(!}$VO-_Z=-ZHZSi2qmr#bof^))^#Ot3q zxJs(ubel4xp;7P~zq;!rM>ahi>_{pP-b;gwU6I~f&?O)qmq4%t_L+9e!BANL`eZnh z?vzc_!K&{RAAzdtvc61Ig>gGmOR;)`#>4M5NEV|4*&tppj|XRe;gj;;Qe+k`cgF9v zBv|fR37$Kejmh=EcesgNfD4u2LUqUW;6k+nk{^d&1djj?k8plq{FTW5Z+DjCDgRj$ ztdUzc+kJ>Vi0T1jaNhTNUkEI%jwyJwge4pW0J;4g2cD!Fe5_O7+D}6{PudOT5OT{PfB24Jwobyo?)$O+10 zqkt@UGf2b9wvm(VTVk_;OhFMn+Z;lWKI%8dp%cJK7g5I+Z3Fq8JarSOoe79MUCuumLV+>cFL2Y0T+ zQ`}MCDQA99ao5B3QZkZe(=^Bw>VOv(tG*G!Rt3SU@48{D`r$^@56Z^nf~PNsgbY1* z2V7+xQ3uv#js}Ob;z-oTy+r6Rjd_84z6~#y~79-nFK;Ca}qpw8G1NBG@-2QR_ zr>QJyqeS2$z9Z2w7!~=T?yn=DiB2nMzngiu`UD#X;T+!4!rRbO+=AVm zuu=$t)AP8)+bRDZ>RsqNi#e^YM=k%T9|r-g4_VEFE@OVGF^HTc#74qtelhbLm^5;5 z2uxa!(~%n>)eJ3?!EPmCtBzvqBqM``rwPD!ErR*_`;Q4pEttoHOaY;35#fWbZ4~Ii z*5x{5AdepdO~^aY5GXLprogQu#&ZR+&0o#&^A1X%74)4}3$7&RRGOLuDHrV;?-aWr zJCEPvIR=v@BPF4I7}90+z6riry$|l0i*uoP$AjH<2%ud#XS!4w5}jr|?jzk)cj zresskN(cn266304E}n|A!@)R^>wXWrm-l9BjL`j|n^CQG(Ea#U|J6&O`v)C;4u~Vu z5Jz4Mq_ro;U76jzul2F(x3<9H`NGKl{pb32!fkJyfA*`vn|d;P`GncJSEK&^MpS%Z zG$CLV4h@Bb9Q047@ci7E199!fPB3|4-YwrM+P%U~f1LYUyc=z4aIbR&BxJ(T{fMM+?*xI5aphWqScI7UE9n+0kdMJa2bwx)$tNRwk0zq7r|RT-V9w9pw9l(j%nuetgtohlh0to zsilkyT#rjK8=kN1RxO;b$~A+MQgoeKqkJzA*y3UPYqz9_%|u7%gvZf=ABl*BPsm?0 zfTQDyrCY=M!Ci^W-`tEolP!zeDBtH}5dKvcduDIPrQ3}sKj=vQM}pz*QNz`S3-@=) z9B?>gJZ@pzl&~<}-Pt~e!FoI^#$=?YZ}pazxEWjRf}F z=I6ShV2>EzC6m$7&GtCD-gvUdT@rg+BbOc+-z5*jF8M6COF{?FF8OBw#$A$a9N#7H z*gU%=z#SzJo5fucspJgUx}qqEb5=#n@PMBh1-)QNLzXxm~ z%wN|l>>I_O#eV}fzt4Rd&iB9bT~%5SF7&_~{OO@jCm2ZWf6ER)UFD3s)eynLctx~`)7Q?+I3}NU?Ao9M?DVIkDvt}`44ZWscXj@( z$vsQ~xM?l6b-{Wn)Mvn)s|KQ<@`qrGapvvbixa5Rx>(fcD)=GlrekNq=J4TK!f&&H zy$YG=I|Qqvn2ZrgNhC%>)6<-7sbq z%PjuXX9q^5i4#KO_^F!dI0&-X8qDF!64dF5xTr4lI*N-^(W=NqoLL9@Kcn8LqBgw1 zNP{EYvog4#0K{&a365}tz;%izc0ld!M=cq}g8+Xn(A@3g3bO$-R?l2}39i%_nBT?W zYz0Xk^4ZyIR~=TBD#%C!^{4IJp{z~|&Xsp!iWwq_74kE0JOsR1FpK{@1nYb}Im4SCN_QMOTa5=YzKoI1_ z%FttoUz5Qd%-UZE07u|5B&s2~*}MUW`l8LZ7>J4mqF{!DfhdkIGJ>G4L@{?B76f~N zUz>2R=~~&u;&XfOIe!EA+7DNGv>#*I~aR|!hd>aPOn6;H?U7QZEY zkz%F@;KW~|cvB_8J&1opyHqt`-T->=L-F)-5qaAn-;<}YAPRR^31RA-;LTUyMm%=7 z8SsNZu9#SCUOs?rgD6gl!Jk#d-7KTmWbfGq6#h{BFyM+Mz-Y!v(d@1D*x?_z{<}GW zHb75kz9E!>smNVg#RkKsQMhFu9r_xBM)_&SQO!3|!JokVBvThVK{!MPbc2|4I5A7i z@i1Px@GX|x!6oqfGpYCL1oQFx-0nhdd#^qQb$v2l7hL0EqQv(G+1 z0LWW0>Yk_I{|a_tmNhicaUx#| zSe3j{0_P3=kqQ{(s8-rbPdXBP)VAaXSeR#;_hJk%`~Ky?N5vTa|IsiLOzUpNc^Iuw zDBXF#eXs{DlLh~k&1t3R0+9Qz2tUc>hWcmhEYd7cXs=$FX7dpfP+;#~?Uj(bS5>ti z4oYke%j)ectdf=wsQ;0Qx$o}qliy+wrMT;gk56KYThKiYOQg3c0SH2| z8t-$*oh`0oD|WOT2j&nxK3rg6vq&t&yM>3O-!pKFeZEl-7Y}Ep%P_*17zM5g+%XvD zP!~LR3|hjT{osxvoeNa$BWvFb%HrZ5&0NeQ1LGgBa{2X;S6rbr5a)^z6rVK?nC<0n zN?(Z^y4LKcZ%S#v&L)-+45%47qVs_44-Y2T)`&YB4~PL z0Gc37roO9Vk~VrjBUy>2d_+XJ4`Jb(Ujr z?P`XNZQ2=?{NlXK^@38o819K77jz1hd5m$E(nl9-> zOdppk#-bovr+Yj*jSoD)kAWs+6?~7!bi5!BakxWtZ|;&;7r?6{mHH|rGH8Tf$p*W} zFHL08?D-ZCy6pK@lp%*KiGcqmoo|2oE0~;By5-P5ez!3e5cn-GnZ4WC4biZvCb-&s z5(1FZqc9ZP|7Uns1LqE>=kCvh_eWnF;T=vcC4;^EskQK^CZtFBM|sfQPj64bcOXk> zeD5&V1Wv@jpWC5#xkg^iI=N46gGW1X4W$ghW6@xU9MC|A=}~O?`k&k4;n9pp__Pqf zs05%9UubR=&;<|^WA$TJ0~^;=2f08b&tf1m+dXGv!*mV%uitV!gizN654l+8o^;^h zq+2GvOq5Uix!}eYV`6ZlJkC4(w*ZI{{`-%-TL~{zvI|ydSFY9O0Gx-1{?`KzU*~c6 zL*qQORsavVw;3w$A@Hk5jshnK=IKd1|!`mo^@$Po$etP3yxbBbIq5J8LWfR@U z3Hfgz7RAJ_mn!%kUoRK~4T|kz z@?r&tu95`LGYIBjtFKDJpKY@^;rborjSGZZD*|?{ghTm1$~!kNb6mzd{SWV?xOIX- zdt4`y-^*_XXbUP_nYUiS`+wQ!B>^=EF-|rHu8eO6E(oh!Ahym7mIDI+Nzbxg&W!{M z*~uUiekXNpI1VyPh_A4ZiyR)`9XX`W^*+a5#C2)_!}!^4#~pOmb%T)j{SD7`h~3BZ zi)LWHHvSR}J6A_zDB<^VXqkm?-s~Yo1%wGgzxfNJ?>9oS`&HY)H>>JPz%dI+z~^vD zG*5{h?d~%3Q?SMI4AAntfxWI+|5X&+QF%Y{`CWXBW1V_|jevh?yb{8OunTz5fdOt# z$T3KxibJzmuipfB7m)Hc3}J5t+50?JQ4L{iR<5{s|JQQW=I4*g^(p_uPkH2e&m-5q_uy_8SBVhP_zuZWpc&i<3wRK$XEDYEGyr7f9|ZwKD&Dv4nCqi z?|I~_eY6eaJM?el`zAiF-1FXK`R-ybK+LRs*^5>NMyk>=A@~CD-la3JNH59QYpnPN z&>nBR!1&=j2$2@ydn}uQ50TxMW|a=li)w{P_CA|GR$zo1J#~p9(G8$8!N2l8xQhcV zpB^`}3xnPVSx{*xoDi)!p`)yUcBv*TdSj!Lwdk6sS&M$5mYuhtag1H*GZVR$y;aSv zY>eA_?6;oN2j_%7s5pJ7irXlP(L6s-7u0s?sKNV}#!DKZ17(UI15L;a@I9^@GRT8& z6vj#>=|;1q_1T=q;kKi=*uj@}G2X+&1_ z{4BhV7yNL>kUaI_%N8%5Y9@|XjF;P|)lDEfk?jQtgo$7!*(o)M>PQ)^F_nycANj0A z>RJpkIl33t>#JcBjN7g69bnh$v+M;T!9oK4Qj@^Ey7W2l{f$md0R*NR-19zxMZZ(= z5CGU|6??qshMTBZ;U^M1!qKo~$Gy4tXbf%*VW7o=_r(NozjG z7#(%BAFDUHPL?a$C+#V}0r><9a@cz{)We|I`n*^Xr#HA)aC*}t#wTe1QD@R0neYv4 z0f%ir^RKMg3f8_9x7D-yl9vaO{E?85lvyR~{Kxk1ZwpF1Df#An<}a7Q7y{N0vtH%= zu#Ry*3_a>7bO6ACinfp^+1{SWmCJ4yb0_bOEVq?HujGeJBh8yd4Lv_sgu_>hXlB`|$D3)IN_C8i(f-P)o zUJK~YT=QzY_FwvY6Fw>NbbkUn%n<*;POHiV(>lM@R0q!*Szihw>47+{n6=&jaaP)k zFV$ksPfUw)g@LhN=8uhnOBkZ!EHw>}Q8Je${K#Uhr9d$=mz6c;;t1o4tY4*`8CJ&ep91ogEAb**XW_dtIG| zXN9H@IUPOa(NQgO-{{fNA5XJ7dVK069UVn!JnGR=!{tCMP1aF{)zQ*PI%@xLvW_-_ zj+)kabTl{E0CKQTovfp|td82(PSR2Phxc_<@Hh}y#_1?3HK$~EQnexW&u|@}TOeuowkB1kW6k&n$|`atnb*_!wwH zo`UZ{ftIy1oX<1y!WW;yafcKW{KACM%5#5m{Obqp;Or4>Xa9ToYHQNReV$GJhc|il zFgUM_pHnnVpt7xVU_Qj30foQ4>{WP@<=Qw3d)1sn;DNML1_8xhtKUDexaJ&wmpigF zgMZ_iW0>T>;yO@ye+KLvy{KT%DsnjLXlFYb@L13p^|Ry4@(F$v3JV>)#;G|Mj}pR!``~+X08) z{@J>>{sN3hG5WiPM=r8nXs+i?kAywMA8AEtFq@PT(MenAvaT^$6I&nY2FSTCR#oUn z;K|19wyYDXhd~jBKZL`lYPkwn460z7X77c{+ebM0$+xjiWq~J5u$quW`A(Kak8*sTwp0aOr0?~E|3m90iOTNE@rU)p8?wb<6fSZ1^+6@Bbj*s zGj1Of0|Mv&j8EC2oPEqV0QRvRUS(#1QReJo+vT6yMfUJsRt#DNd$-3fp7OtM7c&Y# z>&oYYf7Kr#g{>&e>)!Zpoixp`M5!e@c2`jq=4Qhp_;qgRd|+bVL0}?;PtJ#P;S(Xy z`*S-4RqV$=6M`|Iar*=A4S}Z<#H_M|AAQSk-;d_>DFE6zefnR-m-5%H%mnyxTT|nI zxMs4gX=C>a`~H`~woqtWOmX|!73rF$mBIGe!?w3AhhPw_+R-I4ydHf!ja#Kd>?Y!v z;t4m@Z$yUvJ+vfo9uxg#0Pq}4^yG6Yx3|{A-WsIi^6<_X z&a5E=Bph;BhCPgmLjzGN9IkdAc$+9g7>Wk2e>}7m#6I58dO};uXgh1G zwyXd)fZsN_4ptxQw@vH~QXRyyM9~n->c&`>3u0NzmBJxBgJ`F8885Zt-r&Z#R_)}y zA(_>&|5M$y$46CM|Jg?#o9}S9vt@;bfr$$TLgoi{$jespGr69D%iWVqXd{lqmGjnJ6W=RzL-|v2s zJ$KHWJNMqXGv}N+=gf@I3#ea)Pu9zsKMXT}q+Y=J{EzCFS*C(QlqdV;;~`rgSNF?_ zG$!pyBsuT3nrs^HOyhk{9}*xYvIX$omHCb$UE8|2z`RKr@?T6;q@zmzY3ISG>x}46 z=@-C{C#d;xGk;GJzev0)d~$2PaX}#BO?K{Ft{P; z+`Dc=(3bfwL(rvOvgWCb0_KPMiWQ^4lW>(ibRMZjE%_4fex`FvS9@Cp{F>2f8=&93 z1eXo4?1KJOSPpErfN<@34~;2JyK@_CAzTXFf^bn{^HdH2uIWJo?wy*~LooA(bj9~dzt zANW!JpY$A)N|y<_61w$@yRK#g?9xu10&xNxHrY5=xcR|Prz`w`+c+!J6n^kip{?l^ ze(ooy*U~ zn3L-n2e*?R(sLX1kdRWLhinmg$N}$z-CWz~UAl`)sjEI*+lVh!6wss*iZ+2Y2Jn0X zbS=^+26;yl=%fzn=4%JJZa_B4A=~&Zi*lCaW=h_@<(HvhkGj8^@Y&^x_O0I~+V{}{ zSNlGx*pB45oa>({1IOj(RJNlGYTvU-P;>qBP@YHoK2tDQ`)(v99_>4G>Giem99|dd z@vlMMzDwe~Z^s~LZa_U2RjL^{2y%^o-M%|L=M%->SBGY-0+?&ENclH9Z0l%ud*F50 z_Tcc*tp%E&Z$UA_#@|$mL8xmU!((jZ+)~Twr4SHM6vmiHQ8*Tu6-Cu`3mU^FWl*y% z3yK5l#+3;0>*ZoH^-maAx_zCE?+agN=608_Q`Lq#c|U2TnCL9X&DLnO+)Tr>$u>mh zgzJ`#w_0+gY+N21w!)+RWXFp3bMP0U{UqeM+Rx>|_~Y*f^2cvf{83Ns=d_zY&h%(M zro6%0&-Seff1GuF?I)GjgJ?g(S3X1Ce#&aRmy7n(VH(vy?FaM&{k_+rlP}C%Mgu=t zZ_d!LdXIYZog>=JUKG!gxLrT*Vcupg!1!cik6jpnHuKyuuo<+Oh#t{qyr|8bFI2Ue zcG+fVYlRlA^yVJhQSwf-?L-t;$2W%O>c z-(1$ruJ-CR#xR%K^%2yr<3X?mkgh(Wm{JdtQArtNh$)9XMVHNQkymsTe)zN@G^%zD z+?swLP9eqR*~ad+_1$A0tTXi4ve(NTc+V!9Km<>Nn8359oLm!<#D6f7yUVdOh>K+7@3gPbL3|ZWK}I~-hNMuR=>Q|7CsSjNxCJBzUGtR0xc z;dh1nz?gV~X>G>gE7GAB`dta(D4OY39DWjka!bd@!S&Sta8#pz7ar+PhXX;tLl7}n zq!a5q+`J=&;vbie&!*BvTsBL(hvKq*$sMH$M6ukzRptBbeu^6VVj0C!MYc~wc_$8| z^z0~l#jhixb!=WifA;c1QxUq=XVJ7+2?lT-v>wU3HvhN9$Oyv4O zb4R^uBD{z=@&U_FC*&?8 zEZM*>G1sp{Ah>I;TQSw-V0Ezc@I`R!$-0w{w2|iJel_t&{&sr!x}wnKi)$PqBU_j2 zci_A5FQmMjF?K0&EIyMYiejS!v}jzN51vJ9R!0BbH2VM43Exq)_iONowEMjfMP|xR zeK|@vNyx~xHz-2*MfV-L9o*{qv)&*OF36JNrLgoq-91{9M0Dqd6P4o7(}P;c64K(y@s`T)eNKQX0tUCeG4gF*eDLl7BH z@gWw94{3Njv4&!r8!0Ce@$ufXBNF_I`b)uOoHna#-9hn%Noah-<3 z`t+0i{jg0=ct%vXE$x9VJH6ZDg@dGLld>R=MJ|YQV!&b&!cu11WH~V7qbX@yWRM7r zEs6Jn-CsZNrLlw6;?jq%hJ<~o=tEdHfGafF|50KCt?W>$_De0;c?mH^do$aH71%6WhiN-8U9AJt z)zW^Al^T|SYj%RbjxeN?Z|F6>R6mlbokt5g5=@41m{+^*rxx_DvlMtYpape~?!iop zBf(@{S6a~Nk;T-4+9?#cn71I5QPe#W9JlWw?%k0sjni!-I?>WVhnBXDCeUAb3D)AA zlyB}Q&)$_%3!p$0keJeOcDjR^!=*Et%(?uk5IpEpnF6GDVj*H(FjrUABy$*eP&m-RlgQ> ze)1s<2h+HP5OY*kiHU!46r6QuDw*Na7B*_KEj|Y(8}?XGH~)SLb#pn#Hfcwcw`nC1 z-$whmKavuTFacfnyVK;TY-^&0)^?iLQh{fvKm^)Um`6F%8ey)zn7SJJt++YY)vl^_ zwVn)A>UWRmDAGrFcEN(UY_H3Fb%^_5G)MRUK%ab+#cxJ%`MN)$@`Onc6aNyhX8da% zEQ-EP`G%Cmo0Bbh(CB=lD43|XV^5g35^XWsPy#zAEGOp8{JCn6j;iW=4i6e-uI0~V z=6~WKrCv_jpaf(u|>N_*u-+X$8XWz*V7L#9iz?kSeiWadn_!!C> zUxJ_ewqw4MmZ8hNkR6QvbLnFQ1xjtBSkT#tu0zsceH2R^ExvN!cJ!}LyuYBvWL9@J z-M?oNcW5G`wGU0op-w601&DS(BL0StLMSrk&QuJNT|OKvRgN|=EuG}zq5p`b9mSF} zm-g_wrFoyhucFN`ii8|~L4!~J?HKMB6?FgNbm^S#cajbflYSI2*&loi?Cvjd<0)96 z{~aCxB6yl*{BtNd^*nvWK7W#)Xpwe9J4S)`hNF|5ns9mIyyi>9f(}!N9-~M)tOCgw zx>JJUy2hJC^qE|9j>j>>-t9N3o$TG=OO4!~lk_a?Im_c>Z*PtBJ?&U%^X_?tW(dq| zp_s^31`2-+)H>hK-)pjPyZK3D#4o1n#^^62f}o{i#cu=Z3@6^i6cNmG$UkA~<209y z#TcTD)9-%Kae^=GagU)BlMuqSYiXuIqhJ0XE2or1@y7^w$#~_>hnq)=tkeEKgAa`k zn-S~3dCJ_~O)qw&O$kpog_}dXw?&9WQ00P6VmiW%1Vs#Qc7>>5T~sAbq1QLLGWu8A z@JP8T-G3`{T{ei25usa0D7F_VbA1C~vw{u6-YR5ne)`}tw@7$-U&TDq0$(DElUy+dzu-&;%;Q)Z5>K{r=Fyr*&ZJSZ zu^5Cbz3?oR?$brro|B~qXrZq3wm%8Uar?oIS2H^(zBFJNNlY#gP8vFuRfJlIdnQao z{|(ryk7(4_$8FMv_{04lmA-*Xr}7D-N(^n_JzLVbbQ<6QkH&4zae0IV*btdwn!25{ z<5^VT<(wUpo?h&oLE?J$asGYj=ItgU6oav~V4-4-pW^U5u;#uUvq)QskE5vE!t7vD zV4T@N^*^#dH$OVC{TL8yR&sj?S9VS`8e3@A+J>?DDC+KK8n?c;ROgk9vd7hu@J2AU zO&ObZYNCXgoO_%B_VYlaYZ%24`|Hd3;!9caIKY^8Vm6 zTud@@osTwDaOr&}{TIA`?wT;V)^Lcliu5%ut>S>U{t-R-!N0MPTQo8Ai9YYKIdwoZ zE*^_VurOb6ZpEE$rsZIZsm7p!o@^aUDZQ|JBZM5>H9~kt5B{>9%T(KOky#Ztj&8AO zv2e8P?coC1l=Y~H^l^|iRH~EPgh>1iT1o0&DH0EVqQSkAoGQ_|UC?p%-X-Y{1e(^; z%B_pjb0D0Z2gZ?BwK!cRsWo@=m1RzIsWT0QQjcplrQu^l@~^XTW!Nd-8mL3!HBY1UqV5&g(afC*$;y zgm7XWz3s$Sl;J>}5Q*nVBz8)XSk&YPy1qV@=u8uI{Y2L-=`bAlR}(l+W?(#GjgJLB zOS4ci1IMZ)^%w8*x}}9Hg8zU*NutBFhH;Imf=&MizMW9r zw*DKD#wi;H!)F2@`Q)Gqu%-B?gJ2o{`^hkhV-6tsobmx904EU=hs>vQqNn4}D461% zB*gdrPBW3QE5OsW5)$5b8e@Sv#tgtqDDh(zyo4N&a;5`MHdhHHekSk~cO@a=8OHnh zz>~jFLQaqSt^}TZuM!fTo^w6$^sW+0{1d>FtwTbIe;#q_l;!*dcxoRK5}p|u`wDn^UkPP7UV6rU0=$H>oT3Ak3mAhQ~4GJ zFQLR&0*`Dap~Pv6M?#684?Mk>gc9!np4y>=gs1lA1fJTzgcARtf|pR@cPn@aC4N8f^nE3i_`d*8 z{X#;CzYILJHwh*FTcsQcB|Z|a0eW8vB|aH=>PHevd=~J;A0(9cTY#s2A)&_qyo3@z33%cs5^_A=D-n3QuY{6*A@I}=B_up`=v4|{LWzGs!AmIdyA`~I z5`P$Yia(N&@YD`JRPYjVJf8EPzz2X{LP`HM@HBr*LP-ylOU_KfIpHOaeA=5?`s%ODOS;O8HKY z^4k>r-5&UM1>fj_->%?WJ@A{5CjKL#yzes#UP6iQ1|A}YNhtA$fG52|LWu{8EyoMr zLHW9E#Wx~7$a02*?0|cHRLUN)KS0bkomGXo$t?y{+vLU!vX$)*U*WMe?hjv}-dgvE zsolHs{xGfF$(ObwmOBxV8HgzIq4bJy0vvq$Ld^c*So03flaUpx1vD&x5@mI(+Lzd~x1!8JJ5b}^*pWJ>Caz*8$J?;w_4M0wPr6W1nD(7S-C^yn1;+LC@?D*Io6=TrdL+iIZTz61uo`By{6V- zueIGZ@P4#S%@B`5S?+QNFe>6`gurGv^m6r%s?xPen)bor>|nJ6+lQ~^j6nQUBqqqd zTUcFP>8z-ck3yH`XYuKV%3E*@ZKz)Bv{H%030#}x4Jsui0 zj}POjbP|Y#wYI9d)fG+`x997f$0x+Z#l)3YIE{`ON%k2@NePJwwh~)PLR?aONnCtl za!S0tbXI~R&YoCSl2Vf7NWeZut4r3TI95-`ZuZlws;eB+9k!AR^#)00wbj@KsU9CJ zrZgspOF8iyucQ(bn5e)c1tu$S2I*{?5Y115!n|}r2Jg`rA8C`AE@(kmYQSv&IZ(fK z!E8Yo6!sASx*&n`3e))ei&O7FPECoKp!S)FJv!mAl~7;JS+!-6bTMKNI^kG))?T;PFtB(6$MR1DP;R z;a{V>O&1HOpG_DX+7Vt8wSJ_-vzI9u!1Z}?9;o5?*9jk_b)N_8_<0}U4SF{|#MgZu zI*gxxL^NTd{BP(yd<@6)^WjFO-wz}mnwu~*r<&qGtI>Z*NgSFqj`7j^evZ_zEBG<+ zIUt}V6A1J5(P{9?{u=(0!8q0EZr6|EwSe=P{Bmzi0FC1rJ+scrPj3T-u9%-z(y5J~ zQYGk0_$j@&u9WE=vZz_SC@*bLgM!hI)pC?xFG}ZE{DWHzu+tT;PW7eA<`1nGzao=A zMk2qT-hMMC4$snO7v)qq9YqC&xrqryxfu(mquA>laVcXd24&dE>Z|H1 z%At=NOQA+H+C2@Msxc(0svMOJlELXqpS(Ob}@Vi;9ru=-2a2`WFR=1L>3D?kuazvmW+Oe|AYMWF1 z@^?nQdoV}Te7Hs@a)9+i&nw=ljxJFT@my(TXh%;(|MIRx>l)`NQ@sWs!VU5O1p|g_ zjD%`FTSQ+3fX{x+XO<_{L@Wgy6`fS`bxzmpno(P^hKdq|8ICm-rHxQN+8M@6M|O>z;+rGKd4dGm|R z6;(wsF+~-k#G*Q<9n~ANlAc?|A67CjAXU1Q(%>)F)i&o!P(yCkIaenplqJQbG&BG~ zCb?^l)hdjhUF3GrUaBO1aLy(ZfFhmZ!icoRBVFc^HhYw}%_IMRJ<=qt#39N*Ad|}d z-}Xpf_DFy0k@okvKcAe+X+-%mJo0yWT>pqi`u85`Pd(D7Jkkb_@`rn*$-XNN@jRP6 z(v2SJtsd!J9_ddN$t1s5zeoNT9_en6^s63evd^lYkL6bjxuX?2Sd!&0k(nmbfeIDueJklR{q!Cdw z;CW?A=g~!Vu#S^nshuS>UoE;c*=4op$z;FQLfs{MuU7FBk}{h_X;gD6K^UzU5`?|@ zR)WwhO9?{5t|kZ-{ig(>f2}8o!NFF7V57ex2o>lz1mR5EM-VrszNm%P{|3Qu#@-_c zC(}uSc&L989Ld-h1YzSRy&XGQvv7iV*wF;x#EK?32L2I(aK_Feh0KX1u&C}^d3NYcuRD##uhl9piG0M)RO(WudBD*;@)n&9;x zcY{=?BA@&s6mL};RmTrB7m`h4j0H$-P<=?j;{Z}#L-}d=x9_AgaiF>JgJ{%rJ3;fR zl1D)1%~PEde#O&_y@2|bhonRI;eIlSFYaWFWE`45_kYmyk$(rDpO=l#&X0iIcz;y| zPYTD42VJPl bROL0}TvZLM^Eqr9j2jT?9Q@P!QeFQa3g`x? diff --git a/STM32/MDK-ARM/WOLF-Lite/functions.d b/STM32/MDK-ARM/WOLF-Lite/functions.d deleted file mode 100644 index 581366a..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/functions.d +++ /dev/null @@ -1,65 +0,0 @@ -wolf-lite/functions.o: ..\Core\Src\functions.c ..\Core\Src\functions.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \ - ..\Core\Inc\stm32f4xx_hal_conf.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \ - ..\Drivers\CMSIS\Include\core_cm4.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \ - ..\Drivers\CMSIS\Include\cmsis_version.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - ..\Drivers\CMSIS\Include\cmsis_armclang.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \ - ..\Drivers\CMSIS\Include\mpu_armv7.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdio.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdbool.h \ - ..\Core\Src\profiler.h \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdlib.h ..\Core\Src\fpga.h \ - ..\Core\Src\fft.h ..\Core\Src\wm8731.h ..\Core\Src\audio_processor.h \ - ..\Core\Src\settings.h ..\Core\Src\bands.h ..\Core\Src\screen_layout.h \ - ..\Core\Src\lcd_driver.h ..\Core\Src\images.h \ - ..\Core\Src\lcd_driver_ILI9481.h ..\Core\Src\color_themes.h \ - ..\Core\Src\fonts.h ..\Core\Src\trx_manager.h \ - ..\Core\Src\usbd_debug_if.h ..\Core\Src\usbd_ua3reo.h \ - ..\Core\USBDevice\usbd_ioreq.h ..\Core\USBDevice\usbd_def.h \ - ..\Core\USBDevice\usbd_conf.h ..\Core\Inc\main.h \ - ..\Core\USBDevice\usbd_core.h ..\Core\USBDevice\usbd_ioreq.h \ - ..\Core\USBDevice\usbd_ctlreq.h ..\Core\Src\usbd_cat_if.h \ - ..\Core\Src\lcd.h diff --git a/STM32/MDK-ARM/WOLF-Lite/functions.o b/STM32/MDK-ARM/WOLF-Lite/functions.o deleted file mode 100644 index a76ff8aab45102509d1812f07be158ad6a002972..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 58136 zcmeFadwkPH);~VEG)ZqsN-qR@;nQ-l+|!mzDIiJHV!?vtDmNve7g{VOv;_*fk~D>u zTGybURdF}PsTvFo?8tl!GAJ}FkLENT^W(TCOV`%IEHEx7wUe>}hE z_lJ4mr}LT5d}hv^bLKr~&YbzUJ3Vv0NF-w3pNPe?fjh?3-TaB)H2x=^1rK`4SgOJK z(eyCJ{P283kao*)RZcIg6{ zI&s$HqKcrgeu@z>(nmH}qSD2wj|Zmqs1w7I6`H#P5{s)c%%@{VrwyyDQR^QU=d>$M zgb9ZERl+->#NrCgg!l>egohf|?HAr|N4@(5#`fcHVqCkwkh4en#OV48Tt2R-vxg1K z{AEpGMNg*sYHN@)N~DPzvnXV2=ABQyHTE~#eymXF1hvo{B}%P$w|@NLig&)u{Cwj} zRjl@pXJQVI4#<&;tvW%s_oVmP@W`A^Cn|fZ#0kh(EBIrrSodh$n6Sp=MbE@7tiAao zs!&<;R#injSoO`!asoB#sT_ZTm`=#b`=#Ir_Di(te)R;WW#h81ws1nmddiB#&+&The75?J zUBa?Q=BC{hmhaCAq^fczq;5#7|1*F0$K5l+e^lr1-ILF2m>au9WE*ePzVLXeUXUg} zuX`zVOv-cY1(`Tt|El9FPfzgEhbW}&D-`ABYGGBvu;&(oWgkX~;t#~?CuSWF+n0KW z+OaldnBUohu}hqtuPChIZx-4e>qiXRBlZj2A0HMlZIZ}ZotvSaQ93F8uuf4Jzk07U z&+u;I7;)sLCu2ojohKDmmR`xKwa*QEU9&GWHml42deEL!YePC?8Ea+CVYrw$CP4Ic zgh(xz$1@9Law%?%IBg0zbvaCN(Jj*2>fZm@pn5;VZ=r{Qod>40znJAblJG1${)kSq z3elwE>+L>%d}iNBh&=o@8xZZuz=;i7H5nQcl$$!mS`9|706g*@1z?);wQ3K zZ7q}Uv{x2uN3Tg6$$NiQ5U5#6RWtRxiXX~QQT7%EwS{KF2qt2OPTJ%v}bx{{A zF)gvtU3I~2AjTSfS)`>JcE-ysTKP^z<#x1dsoaqv-{BxKoY5aOM}uIzc-a(lfyMOH zMYY97Uq(GdHt3IHB?|d=(9Ei~Xt(yW%Fww(if1Jf=zqg$FmKyZgB=7IwR#B_=r z@|{OOfMQ1(v7MO4W$}x6IYhH_kO+G9F;~D4P6pB~q8L|f^u_L|AyrlFMC+Q$ZTZA} zVg+PP+?H%TA}`T`GrXZrG}_06-q@&~Zg4y1f)PB4v5(nE{kHijMT47P9+kZ-7)R4(O2nFOlMup1w9e@ zk(I>yfinihdWCw7#}8uJm-=$(!pwRcDNg7WU@c4Tq| zXwk=9XpZT#47v4?N>X++N~9X-k?)J{J|YMGbw*vVMxzzHb=5-6b=1W`$vrG}cv)H( zOpSs7A-c~IO$kf%M;INBU{C_~g3nhmLQy9X8GW`p`XUl6ro|L>+8T2XX&utU(#!m< z%cAHOJvB$1?@yS#IVLU(>L5w&vWH+w_j)sXx%fOaSV@lTaLh%F*=CI2+o*cgE{wQ* z+s-n13F(!5dl?~&GYWWuv1tje?jxv@2%@koxo%XU`b0O~%Qr-Qq~}A}8q*a!3cdKK zF6JU>6ks9hTr;{x&0UU->9GzTp58J3Q?MiF$bhDMz(A@Y`n~4puG?h-i_SKK+c7{q zpb(hg8G1;Ylszn?65v^Ew3XrtKoBZRYdttXfRF)Tv26vs0I~*Pp#uZC4u}`*>W&7s z^nwf#ki<-wKmbw;Ort@!H3LBBM$1aG(9f>_5-9CZ$akQg0i=~%z)1pg0wrV2x$dZo zby4kg!Pn7x_rCxrfR#dCW~nSSSC)7{yT7W-R?@K#&=EaGO$-KUFHIA{r|V)mpb20a z8q$wCD{@LK;D)`jBpy?YZL6hn7i&XFydoL}e)jr;k~8WoCYL&r`(@E88{XR9P&pfX z$d}JpB`L0zmlAkZ&`C^G4eYwY-GaJVS#@Dz{qX$;kAiD}B=PSw8tbX?coIzE4^X zYWwSPr*~@PGr$ddlv7iYb8{b01OA^l{*B=q!}5QoG*XSDH(E0-9dRSWzH!1 zoPi$szUb~F&qO7fwQ{H+EFQK+rlWt4CCvUG-rrJhDXVX4!$9n8mEStj3#@}LCl z1)m!#i_NH$9_@&S0#l+)QMpwu-<3gWOwh#ATK-n8yi~!N#yL;jq(tRFf+(jBlGJKF z1XJ4K&2(__d0v#KK#r_xm$?%9S>hQcZlj`KKd+eh=ZJ#2Tk-CL74S0WPs$J;c2B|H8k_5jWJA5 zn6oTyAe!OECD>iS5C!-T_?7HZRF)bmXJ}wS!36Tb6HI(j7tk(?`3Tm9mB?VQ)?k)c z@M105BxKZS2;5K)qz3wn2LSB?-l_|R(e)k&NpztN(tiQmp~zaErnr-`-w112fAJ`3_)_P&tEU&&pDyVV+gdLcrH%@LFg;X$6V6p@QYu zPRs?UA)~Scv%u{#VH({Y`hW(Q^_Y9<*X3Rb0_9?(FUP`sB{QivHtHM}o<=eku^{aS za7Br|(wt9-R8|K2M2;2NP6qP^h7Bu^26AHA#UYfIlcFZQP;*wj^YFcNv+vXf-P41M;?lDBRx6VFqn{7ADvKu1phLkQt3et_ub57glbQ&US zC370}vnwQX9TKCm+o`;krDS^LCobieg6ea%@@l8-GE;5tRCeoBz066~&N*P4)5UA3 zZ=Ka7iM(B=4ox(H3nBXzV^>~NE8&*- zq*HcXt^CZX+^i*Hu4+}5S*W4IFpE{pYBwY|qFvFPQ-+i#$y|`ZzUWha0fL*ApJ-Lr zI%R!=>JzQ9fL%mQ+=JK0z-%lq}9qkruxjK{B&ql z9g?{ft;xp>#QalW1!PU!j;u1wt+mYpXQ(0Dod+ZqIn%4U1=Xin%Ilr7kD2NlM(TlA zBzHpYog!VQA-TPE)&a>}h~@xfWB|43RD%p}eQs3k$WlW3g$=SEt*Xx{djS1{KMo0t z@*g_oXGRqsp^x8mDldV^K4lNY+93ND#RT~^Mo%fNmG|H+PYo(Cwp6FwYUBkvW!+iI zYfjl_L3x?i4AG+!kZMMzH@(#-UF?%C@ky8Zq__E`L2Z9MJ9zqQt+Ei@5LDkW)%89~ z_h{u*txox+P6aLcQb(Dj0BYseoyu=5@*%g>9hB!%b~CEXr$@do5)*h;=@j+bIprA(m=Y^p;lDt5#C)jKul=1hJ0ilyJ)NmRnM8f+?+|Ub_?C{&Lwtj!gZH zi^l9_s0U}lU{ybl5od_(Xq{cl^{Szj5Qbd_o?vXULhU%wD$6FDKEx?r9$EDpcG_s$ zx7rPH53`KZ$rzPTLJZFa!<~&*jQ6=s5~wJ~{;YZ%jaI7MFb8Vvi!J^2tD!#_K@c1; zWDt2&Ex@GRHka3sa>zEjL3Eo;CdD9{P2j+>VgMvDT3cc3xPi+l`;6ll027$gEhw*o z8#-0DonZ50bQW+rfIqdiITeQ4XxG^~yWTdt6J$sLvn~Qaym$?u!7%)l9|H_MTm|YX z2LO=32QSvP&KcC}>w@YVVBpW-^E3E(p{5_Teg+@wtXsh66_&vskd*uD#8}f=+r`cc z#*G&UeN+}{*3aN`TkuIE$Z8bLKF~_`Sfh2oo5S!%1?AEm=JwgDksfNc*O;S zRuavmFaqZsGRz`F+5pbXJ#QlmnLXe(8_aSTqMyT9(qSSXTL|+n%m5^M%_0lEhv|!H`jU|hDkMHeB_Nggq}4uY!6&Wt zN$Y&lSw3msI?X&^P<>2Bh4O2=s!yl-5^0^Xgjd_sr@W3S3^+`t1sT8|(`d-8*WU0o zlltw$K`*-d=r)jy%Fmr-+u*J3oZ8D-D$KARmUens>TVP*+LdLUcty6^iM5Q^YQily zqKZ%_(wKbvlwTmhRNY$TC7tRsq@g*SrPugd*DT6z-aF!ae}c1)a@>|J-g28#9)h`< z)xjrhKsRL*q{BW7zVfFj{5^UDO%uPY#m}7F&2CAG1$0#ug)6>;t7YSm1B!x>ngr| zSq67pr6-_5UAaq(JsSB=@({`q=K#ejhm$|%y*ljl1-FS}u86pc5+1yVu&?Cs-7b`1 zbI0tcL=)KiGxDwQ=9n)W6kUL*gLacUsDSUMTY^7e(O+0;YZ#BP?{K9q`ZS2_rhOUY zxc9rUTkT1^ce=St#~q^J-q)rAj^Gw-K+x8CR0nqIvGHxhE>9Flb@`+(`J{V%(wBYG zv}x{r_li&2x6UtkevgUv^xO0?Ut_Din*sycvBiu>mMHA5!jp+;3S^QS(;H(jdf9z~Ck%u&Vun5IL!WA3UrjP24r8G2$SZa)+w~R5Ju~F1JcW{U|L9BBJ z9{9!>n0Ty+J7U4=4ODOkjd;uVLXIK<`*H9acVIJ%Gr<$5?rN(-^S|FncXY9bF#UAcLSFKAwd3)p983V4xsuufC}T+6NuGJ1JIN1=INgUsDPIL zn*mg1m;XuF#neA941dZi_4C5;=Y?V4&kMuzK;{k>&e(8?K$)RS^j9gEcBywrw8ioO2}rj>E9}vM?d4Au6rn4ld0Kz z=~->i>6s=?m9|!EuFzx($*Dor{^FUkQ0XhRg88)6I@;#1ACaj^PKX~DbVyU%EVYK( z{3UnDa*a-`r;)!MCfsY6w zrPw~iKT$|lhMtiE_A55xa3Uoyz zzG@$LJcJpM#!sO(R-TttB%Mzmh9f%2kSZDs)e_0bt8qBIKre`;U9o?&#s=@)kLvJ` z*YLg5A^SrHj^W@RZ{m65D#Q9QIPZghIt!a!zg+9+p7J<7WlYdnqR&m#oO29cfTze% z3r^+B5kdLRN59FdsJb^j!K7ML}Mfwd}ESe^*Tt1_vUNoLMAQBjU zA`3H2NU(OHZPe!ZiS{lpKG!4~FLfeApiCwOD<4>{}fEBS^Cv4-ll7whF)At z`sXd34J}W#hM_r&PcMS?&kyaz*_y-iK%T;vylr=_8E;QzG#LBItA3$3EJMVGp=3XK z`$7)}=y3AP`$I2Yd+F0#iXA-A7*NlcKa*OhB=1Gh!cBhYg&z~^kmc@0f!}lD@r+5B zNI;fb7N@FLq8Ap(Wk432(vPDT4ZgD2^xGHjMK4zQ_TrQKS4{$wr};8DF`+WTT@|$e z&Kon*vu`c7A?Zv6IveHN*$GfaQZD(kbHDh8<)l5H+sfZF_u?+Qgl`a)$1=7{#NW;=&T^}QM`bU)c2vYgG9Dx zOVyO28YO-+eK>kC# zP&rQC8tst{U<;G@u;rjeRxu0x%^4)zR%5lFfS0^KjG#|U&SU6^MaDz|Wpi;X4XWKP z>|sD6URWSC2!BL>T?zR{GG?KI?y;6&xr8oTBtC*WI7fER9E=V#3V{_M0BRrbj_Vou zQU!uYNb(*YCXYxiWKs)m-9HlX$)J(J}ViqEA#jj=Wj3V-MJWof^cXqk0XDE5!{6_!vQ1V*-B78TL zygd)tZw@8z{Pc`l$h$Uj%q`?S9Q^n#AYJ62oyghY%ZOeE^>VzXL6B<${ zHnmK2T2h1J+bu0Y@tu~`;P@^}T>KlCCce+aH6f14Z+-e7LCk+XJ7NrMe9iCv55*@6 zt*hVAO#zAe{GqtH&}w=^m$24VJK5e5u_`Zc+DX4(eJFmv&|2_@ zZu(l+Gn4Iqis;GSuoQ*4YbJz7L2DJc0501bCCAutc5ij>-!Y&8;#dSG3loBH|Wjt7^9fVUy-_ z9?O*!l#1h6@X<%*Y5jOHTO@+95a5MP#E!BWguOnfkRxE%jKEnlj&|UPQRRq^5am_7 z61z%8TGhvW*hf?*sZU3L6dTnQ8;v7mdD?x5HKCnI1eRfcks{vu!nPab59Z5fQY5Z? zriIS=&;f&Z`Su%rsCI{?ay@nhH2fezt#uN1Prq>Sa3ZZ_16=Aj=L2^wt`|*o@`plx zO|lQ{w7cov<3xO3GUC1XF6>k^db51?dCBaC)}XfwD$T19RctH8&WEwG6z3Bhl_TC; zruu@1r(oOiW5l@dV3#Bz9@{6h5_`Qb77R=%w=;;(Ip!BTzha)%G9J`q%ivMNF}m6rBaueoH{(ndtl4XvRY zM>62F?GtgzP4mxx*qRJsHMCAeR3-L|s|+dVb*JG@wo_m%1~^$9lOY(Yf=2ikCuRtv ztu_1izn|t%$LGvRNKE~uJ@)y0mCNx5VBo#E@*SPa!*`5XP%c-N$mf?&D%1)c*5tNH zW6o%j+Y{7#O+uSKEd6oszolX6)^&clOO|~ysr{f@s-O1wxr||kAlnkng3vi3->TE5 zg`Y_XlO|5WS`NBBWy(~;mg3y0%ZjttgvgM1C64xzC zyL+;J=_13H&E_pdxkaTZ0`3I5Ehx+_7G_LIm@X{evZXLZFcojkE;JQw6xL49$(cE8 znpS%sT1YD{*q&QlGL_dhe_C1D`V4*MdUx*B1x4BGN=i2;CFaqCd{g22oXw^w`P+(0 z3N{wy<_OvOrea=vk$(OB6`7gqmoL>XUN%2{sW%gU=l5rN`?F$MYFh60g6!O>+e$X% ztk22Ko03m?>+iXn|4Ci3Y&B1$FG^p;6Z7>8GXMLuZZsEcnUa53)`EN1XDwK~erfu$ z^yU3`NdFgVoI0fUL@M>|p1bLqzI5q5ysJbpWh`H?DE*!l%kR!DC|tjN`Z`F0{xf9i z7vHrmwV-I-lqu^9in0s0<>Z2`IR!Ql_pbA<=EyHhq(Ms@`l)GnJaAW^Tzg6;9O`Z=RZE%6_0^DhQZrShQ@x zvZ>Rj%$%b2q|=sVk#spJP>l7PO{Mu$^79I}m^djs;lm$y1>P63p_S{iH%|lgNTua& z*tT)~@}1_~w0oEHzn=c!k74=JOv7q}VexW9)&gA9S5bOdW_o%S{$?&)f$RKxGSl!U z%dl*z;hsfV+C}1kSemO#%Eyw4K6&l2caB0Wp=K1=jI zOQdH>^eoByEGaDmZKWBO@=My%wDd(tXDwL2VOz;guaaWWP4yXc>r*j?3|T8O@6o5N zUsjl#Yc43-xV&IHepCo$h!e`)3xQ_j7_X8LczVnf;vQ*oZK5~>0K;nETo6y&@T~sq^|gQwi7Uf-Rei3d~zd z0a_eLmKAI^7v>6vsfLLYh2^PwePQAD%~J}uY%x#SR#d#Duy9IFK?${5l3SFse2ZJ{ zN=l1~d?I*Ac43ikMp5pL!U8}R$|cYxaeYA%Wt46<^C6X2oV&H42uN6(n^T-yQn+Pf zf;Nwnx@z@&7-282a2wFta-IA;ZMoXnsz_CpHCvn?pw{N}YIA+DR7|UHa(4hT)>7i$E7GU37HGqKlC(X1ZADVyBCP zE)8^X(xsCwF1qy5g;7K5(9ug;rJZ{_M6682ETb@);K%dkcfg}EjIrx-p64vgG(|XA zef#rqddB$O^SC>DY(9)nZRE0AzwvDRxd}%@(EX0Ku)HM!x@zayO;SvMClmIpt`*zk zUU_Y#_tlqJy~5|!32gkZi4%r-UfqvZbrZ$7t4RwEb;g~-f(FFZa5{M9btsWMnMwrZ z`qixEuAWU-WtKP;v4Fbe=k~|_mep5D$Awx%!iET@8^^ROLk^`^XSLC@9yCARxnMjN zb8&ehRxD=O;rcc8iV2!!3+}2uB(cXevO8X9Mpjkp$2$F4=Gf@*)JGE3EJ#(u+`IzP37X|qIXG3zK{IavaXXM@IJWFM<#@lh>7 zsq5O$WE{}3EqWDOCxBaijDC2}g7=grODZq#P*C|vr;{s00W}E{)9@YE;X4zCjaBRJ zJ0)Yov2@!7-r|R*rimFf;o?oqK@;n5@FpJ82k<6t_BR243U5M6dy`X}6o2&nk*|s(Pnsf|Vk6&b9vM1a7mdn}anewD(TGmjpFWdru~2c) zG%ZgTIsK*3Q>Ye83d-21i=5%kh<9h?>mp~mGm_mI1-i&&cSeRgW0Nj&mOEpWJL3Ue zWQsc@pJ&ukYg_Oi^{TT$wE60x#df)dxkNmpQM9@5(BeLsh6!Sx(Inb@-JM}{XPgpk zzTwVrAY+=}R=A!z)b(#?9H7PhF}%qfF;qYCR40))epQlkBF4xp`2GztLgkI3?J3QX z$bcgc8KdQmA)bt2o{=PPjP+!|Z-Ej9d1JzMcO7U88O!92dQSVOQ9@r1n5>L~#y4luG)|lSrn9sXoz7&o6Dt6R0{ittDqyCWqnLVV7Op3hfBh_E%BByyWAbH6B z(NUfA|0J8uSpc5~n!W~VPd0O|yC9dKw1<1&ez@=LQfor4mgRPjS|*cdBYvQp4g5y! zzA^HfzEJ+;PJYwrzM0cKDrwA*qPW<&xY4O`V>iUzk(ec_C}-?i6dO?`7Aqk8EP~w$ zAOJ3`aOVUd1BS&hXWS)b=YyFvbYer*RQs92ehq!a8TUtB#L)o_ebpJ~a%Xrnw80tI z?am+#m2}5V6^~9xY_+IP*i}hE-wT1?XsWoUbU(!qq z(b29s|2-!6+t3$^?h6qqiO+55Ok;jA(yHcrGDifld-~ebg8M){S2+ksZF%fQ`=*XG zh4V4iI<{rCE44b~&SA`H-e-<@(;oNuW9Z_#xI=rU)K@i*l&}tM#lG5_cQCa7nYyV@ z>=;R$&>93~Qc$;X+&{Ig=5ZU(fwCMk-Pp$!oKyWdq)j88mtfE;iH`>)VgY<45*q!X z_1p#UEVchE+D~0mYpAxDKPyF@>N`LW-FLe0wRa>*otYKvyuVhWZDkF6tML~575j6T zl!mdvamVN-si?1WoL0h|zoM$4IhC5&4C!5pVeMaqCMk~AMJ9!aU3WNxZ1IN{%lZBU&>mU%jQ#XqWER;5 zf&b%)e4fs?$o};|qbZ`#sQT9ZkEx6zRiPMH zB?jl9%!>%+b|@W8^&(Hh`nh6MU2z6{YZ-P$7#45!{JUb|3BCN#Vuy6$kbidng-sQA zH%a4HmFnaJ|D38)W55-i{9AWDqJaKKPpl;8F4gnZ2TiN zU0qi%th?}0`<1S<>pHqx-n%?MAtz^ke*OHyp7~38;RT~7mOLLh*112{CSo_U+l>m# zkD5eHjP9JLJwfu5a8xDZugENM zz7*e=Kn&`t&KeuePEy1>0{%U5@2z4Pd2Z+kd3SVMEaFb1+D*+{l^^5G8sgh=E{U=C z0r50t$~$l-arPmE{o}j<;^Ym<_Ewt9Oafzf;%}(bKyFG#d0|IH>?^I?)f`%(oHkBz zUw)=q{5~GB(K0zJH#%is*}nwFNIt|x^%5@gIy7O-9Kp03%uGAABiz5R+#1=CUZBt?WM{2vS z5UJG?Mu@#u>YPMIcxze(A zpL*i&>~YUL22h+5vcYfo(zI(KBGxuG^;lnOb^g%==4?uah|IOB%k-@A z_Rl_{XAQT1)C?}fQ|t|JVF)9@`X0@S4vn(V@ zwx?3|nwZTM#z1GgHzPS(_O>4ll7EDA;#i2Qf{cOKXi@#2N+&yUA$uw*D0t)oZwT2y3LqI1Br1?ewgOp0#rp zn)=z>*UH(u+4ot{OsR{>Acq$me#hC#AioeA%3C8E#p_0cyaZVR$m^7(h9Nt{A%n4bHGj@!s~t9;+UX+M+WYykamhqr$5yMLX=u)HQFhip za50eVRH3qgXI=D6H|UvJvQ23uWe8YvK0y1fS>uMSB@=Zj#Yzavu9tGL@aD6IeNAF< zQS)5cxmeJ=ZiDquLj18A$&aiNEmic?=uKZWuSR_vEM@3cQQz>USZNn>{oSN;m}_3& zGk?}94s+??xb*EBz10uTEx^b;HAaKQXG z^WZQdEX>V;e+K>|cCuQxa%qKX@9K16;`m~D>#?{a2g6K~?3E#>7gnFQYL6;5j7`6w zt6d>I`FLEB_SoaU3^Rob>7By5bIi%q34zQwoZ)FBJ?)qvUb|rbOwCGl)7Mk_TE?BM zW-FKWC{ek6;`nl^9KhObGx;@7{3>p0Vd7E6_Oa>T8&~OXoITYU`0S)j7Fh4PnNI3Z zR~9?|)x@JGMn0>+n%cN7?)=#)^@@>=^BG{XZlyUkz0-BCl;HIBmca4lGB?Z~A()-E zDp*YLd%SUB^%4EkFaoE9Y&x@IX-@E2DFa;VCc5l#MOowED7jm@`l~%*Cv1r#h9;Z= z$@T5g2M`|g@v!a{&e-%D9GM+e?>5=*ezW%7HywAsb>!W*j*YHKP(QpO+Emlr7n-6P zV~YRySv@K}jDhhO=Ko1I>-B_-6{U2#;`>*{|UGqXKbbC=l5CYStJtIgTnx#3u2c0UjVV6oX!(#HuroSUP4tYkC7cy=)@T8 zNDR{h9U81lCNi^Kjj1BCLUf!=6_EvSBg$~m?BgV)v3-t<3JA(NIXW_$$t=<-oO+{g z%dNrsG(hd1$WvBSHOZ#)qnn^cG0PYufP!^;WeU-u_(UfId`+@Vlj2Ww)nW}`;xyQk z71G|OjX14KcLinZgO*F_#!Jz{n?z9-O%FD@aEi_kP~@n1m2$5W^T@*Eb1MTK{#OJL zA63(QoaydRtV6Gz*6kCSP86G|VI!m)TA0)LJL?FWSlQ)T$z0XjEtF2{UdbGx6TL)MdQm60m%C@^^Sx%FWeh@PQ~Kn!T9%@>*oYv>=PQ{-oQj-81!Q4qj=bn3`U^q7d442MA4a;< zKQ)@{nyB+&DD$g;TyxY_{t{-J)x^2D7`<_cvoS{|+rc+Wb`PU|_3c4=vHDv9q`HI% zv>z{2_)7$v4r0)_n*E5QS|vETQOY?w5nQ}(>68DNs5lKlHi$wL5@K-kHjq@%Hw?v2 zi%JKETwla!bah_eO+u%hD;3BeQ}pTFstFBHj`V;@@-nQ(6~>bc#M>50_~QWa_Y}#|2q}#0e%$NdYrC zz2ni9b;hgIkz!(J%>>TQKX7)oOyul5-7=V+l9f$d1KNn4HAZ5mnOGR3&NDqjRG=-* z3_)z-+-(9Guc+EL3{{l*t(VbPc@r+9ULzgYG@wNDM}La!%HPtna~LsPi7aUCd&i_4 zQqcr7(PRuawy<8QXm~lPYU7ZB@LRKlnAZSZaE^GDQMw8p0SkZ6S(qhR->&}S(*^Ux%+=X(wXvPq(kJLNLRU`t$A}jnK?Q_6CCMwYl-EAR;fnaP5PLw z0Z4JpzkoujdR*o;(O_oKm*Iik=%yRi0C?*y%>b@6@!=_N?_O1nov-{MqPboUNICH*`? zrTy}k9N?<;M3O8$rrr7qb54FuMClvluaNGIB_n^QIuI@U>R#Fp`c&~q zo+GZ$mObeiFDW5gi4-ecI(X}2YC(KV0b zqMLT#;5l>pRzO91OaqB4{8KKjDz~_1b8%(Khls2A5}=}bPO=}w#i8PBof6_I+NvV? zIEN#hqE|dZN@H7vmVJ@e6-rRi)12Jvfr|a|SD-Rj=&c4+q{pbymKQ4a%bx;CORhtk z_QdG$z-vKG|C+2DTZ59+-N)28pK$~EDq_LB8{W~n@o$y=>OR~h$E5b0a3uY61U6bf>K6zTkevFOl%x3^(gpwsO>ex^_ zLs)bL`MYZ!q?<-Rwm5iY8Xsa3l3A}9YPVQ@Gmhk?`}1H4bq=Swu}2h15{ezo85NIo zX}NYJc)DM{4f66e(u8T8ZMJ2E6;2pVajG7mgG+M`^JJXrA^p8%u$_m&Rz0T*Iy1;% zdvPmgRgDdj9?^`I=uTGvBt2JXY@0?@)B#5ebW8Zzd(3+Xw=QB0VOyf<4Vvz|F%jn!$%254??~fw^xGAKcNJ# z_$tBgZ?6QUAO8gMDIWU?C0OMOhSUZrK~;E%>b(ta*kT9vd}IONFDbtl074$KQ@2uk zr|uDtE=-BoE`cm&YoHfV# z!j)kccsqbJ4<^~xewa}=*$X$Z_W*ACYze(IQ<&z0UoEP}`v*(>3c<~uQ4Mk2=^T!9ioW474A(x} zDzxkiH`-AIH#eG-uY2I8TmDKX!A<$YfSWy|)M(2KH{J4kLDG_!#c+{~(&2#@Zmyh@ z{g#dNV9lWyCcwPy!#Ao2^zYc+k)5geAfEM2U}pW%c6jyV+0>4I%WfEvdq(9C>C;Vj zpNuy2X`<1O`lqJ;EmmiYfp~8^sE59GcvU;CY#ufTpd!AqxnYe$pDq?pr2bi_B3)YU zh{FKwmY+b&z5~>iHia{1E11JqHZ7`kbr_U#>F}qiWdUt@S2iuGS3rhAvK~u@ODYG_ z-pOb8ceZVgM?G`w;^2pKVfo(KZUdfpRblsclF}o*#Qj*rD28J}bJG~a#Z$YVD3BZ< zY&2MFXy|m~J2fyG{N9A&*eyTI*(UxaXWMxwiSJTI68R?RYEdl)`R=96JUg*)?FXEN zeQp*$#91gr3}NBbc3R3jtW|=Ad?|CoIuUHUZV|>|Dbp=agY>;inH$Z20Z9*Or-HjT zMdt^WGD6acK2@(5YrYfx9z-|e!@mP1v`HtNs?VqdJn~MzZ{kS)HRuR5eB&^p!12xC z<%{+STE5)01cTrP=Wq?(=)ySPj8AaMr3_JP$2h|18_nZEKVQFenm~p!;*u1AG0%du z*ZS$mi^?mX=Nb39)%H*YsJt*UEef(i zYM&8i!%-tFEx)R64c@(U29;@Q*3o)Ix5#JVAf(*vi%5pY*>~2o_FlEEbpgNllIjlo zqtI8gR)+Q4b3)fb)O3rB#<7y-ON(R%tw}Ex^7ImlU1#W-$CluH|^sR2b`%`=s zc(spm+V9*wIMJRO+H)^in ziLX;m-|ohhmk5Pv`MYOSI~h2~#qV&8aU*i-+1nzryD!||%Wd3Ehc%Sey;=KA$tw2viXQl@32%UrPo-RhKN3|NVd-Ir76=H+_y(W~%3 zm>#{ReS#Do_M*4p?>A0A-PF$gQaDQpyXUfQ2V_3e^HL-^D|eA+KB zBsW3ZyF7iE)_?vje*$mPW6on0I%u|fhC~skTCDfX_|*t!fX$JePXljGAFV+bt9Ok< zcfS@Q(7x|z>Fpr(-KkY#MZstM9_vJ`WUgD(Gce=tmseoX;hph&nqL^0!JzV9YFS|_)oIj{N# zJ=eC|@$L}yO$>{<88T{!52syScJr=>yz09pbsiwQr&)u3tm{mI8wn2W8GK##%wWz* zPP#eQrK5TNpPY+n;3BZ)4PZ<4oFm}lptabh67C2%gvGzpuZ`AX0xkXDZY0dv=_0Sq zb3*$f^tner3GMs3V9TBjBoP>+AcFT4+e!5IlG8!dT=XKzPXRfZBynmPX@%`>AEm3g zY?$w!%zaQc@xrf%fB2L~>GJ#!c$G2n>qj+P4^lpS=I=!#l zbYJcMd*NR3s&7Z{%$%MTR0>F+T%wB|@V#fma`o+c7KGm7d$)e%p1Zj3UG@>ADw4Z> z@8Vvl@2$Rf$sb7wV&yHq_wNany}tL%JHWeJeeX5zk^0`o_wGo!z3*M?F(2%AT{Ddv zI<@O#-nr}P{;9n+c*pYwU(=w#M|~aizKKi&+Nb%vRSEqX?5mA=Q~u29jv?y%;PO%V zheyME=~43LnD^g)y4Pz={8lx046%szdz^kRO1MA2A(VPJ)SqAWoR2?W7){La`16lE zM`E!J_2+A6lRfNgzQv#aITw+~pZ^6H(JlV`i-f*n<*okwhd18dpYPS8b^ht!Rt%l# z&0`bKg}z28Yas`tC7=qiLJwivc&*g3@{ORi=5cFfTE%-g?;2&zPZy5(K*)GvvMoxw z@wMD0n=A8;pkJsDz4N3#tbE#siS?;e_+Bck3#7uuu_!D_ro!=+RQT^ySQJBrFWO2c zuHE|-FC0pROXHHCnB01gwBWVehDWIIgCQs!JFPtF!^GP8_Hk?Xen5qVNGfa|jlza4 zR9JY73V%k0yXR8j&bz4aKdCT_qr#bEsOD-aY!4~8_l=;GVO01oDtur8(LCM%T+X}d zJE-tgDlGXm(X2QqEP5m82ob+qiGeJ}JuK zHD{vm-h=)`Mw0%S!V$a1QQ6 zU*?4$rotERp~62bWv_lLacXA<0TeW6nQoFLp&Y(;2=cpCondl$Yi(ypnY0~hy>20^`@;^ML_ z`i15CQ8&_{+x6v9NBmfhgXx& z$d@{zSHkIi0V%$}-oWGdc+3jEDvP~{dF_0&k9J%5hF(GQ1ZS0ABtvw{FZx1TF#84+ zJ0gyW2YR&1Pm`c+5ymzfRj11d*OzO~m#=``{jnHscw3p)?-^7!YB4U{+bK+5=krGD zNcS5pD;T^&=Gaw%Oi?9r^8noP{7eGQc_Mu~9#9}=KMxkmt*_(W8zhuqci>W%`wQx$eLYMeu+;T@*}UIE9OKhwT6(2J*bNy4}X1&BjQzYFP7SY`lC#z z3v%m&Wd&Hxe5R-yfJnXD8a z7x!*e=OZrDrbAq&+VX7EzGo4XsiUAw*M!gPaW2<55k>jjyQDHqB%g_o1Fef|T6nZx zk=*nS{>R0#H7|#+eOd@}B&WM8ajp_a+H5Tmv#=?r^?SBs1fH z%y^tFQ(YmM@%R^?XI!34b(NlV(Aaf9qba_C80#6kECR!skKI>&jPx7oIdCJe@GK$MHa8i@yqJ7bWX7Nr>ZH;cF5cj zzyk#PaS72}*gFQRPT5EuvO?^B4P^DOrh)bFDR*qtHInoJTqp*qMx)+ff83ZAFbf#u2ED4oZpxY29ai#cmLoK1Rfm{HUZ2IM?#@=OlRT2UKaiFa zWe^ZoeP*`e6)|DtX#>b}V@@L2mWshLJq?2?E!N_ zPN#kqfcj#rFcX*)?x(lvgkQn~98>()9V-=z`5Uy3gqP?mefeb7OpR?lNmK0wMn zYCR~dBNRUA9ksWh@Df=(P}tEwYG1{u)ntR9Ecxb;K)8TTsDQ{8XptxZ{JI@3{{s29 z%0KI%NB$pd^O1iX%DUx$TB<_Y7q{~fOX`*V!|kEFhsa)$F(CVK{sXfA%t!Va^L%9g z!~ch}|LVUh`yc;nvKNo}-<185VgF9{*4xVd_G2HxvL4x2_~Go~AlbV=qA)P_pQs8y z;E_M#t=waO;ehvnL0648iXL(wK(?jbsZ9W3P8#&IN-Ljz9HnjJq~ayiyPRt;K2T2 z{|X00+$bM}1LL>;mvA6;?7!0m`~M&geD!a2;iu+-VV-&5fDaD*J6%Xh?AL{m+Ny)K zLv*1%b3hmV;L(M@4AuqdxRnFCp!Ly({l2)dgp%Bte5&Ia71o zyW5?mx+X}u%LWs*clqNjUG=Bcdeqt~l;3E{t^xyhYpU>Ff9q;^b=t%f;9-SyAd}xL}e$RkT z$O1+8imuLLiRN&r){-7#mVK0h?+79mHE?*78 z45zQ{z$4Q6@tj*Q<3wo7zpx#eY2oKyM0jn7*<1O>$t|{n$g^$Wu^r0qsOjH%9k3k` zUe|9sm~V?2Zi|1g?NH@o@rRJbKh$u`I!X9Dv|!L>I@z1bKCDy z`TIlccj!vO41QT(>Rt1la2!10(GfH0i1lcF#_9nbSsZ9x$#o=Ou88;ONRLSE)sY;o zBU;iCGjt^6gq`b1Iq68%9=DFHgN{^8;yPkD-gWkPrds=Nbi}<9;!A=4d4;U*e*2Q2 z3E-pn79G(?l>ZAId4Iq;;MI|wt=!x+ST<<|8D)Pts#ehk4{@{J+$O4Zr{{dh8v z+ZgO`gXfi;$)yAH$^$<0NMz0>-@ht>ZI|{^H3?_div#?zJCLg!>();IDh`NaG)*ScUmxV{YlvRayDP z_$n10cbt#UOKr<7%HFx2J~V~$q0&n+-oxFyzq-RAa(|QvMIK$?XZE6J}9+N1(9>#C99!}k4U zg*sltAE{q&;KB`FitS97{yA6_i!L17+76}+3j(3KGcOLe#^SI>p;`@p4Uf+9Hag~g zUJ*YU{T5Z^)5w^*uaP5phZ^xd8?Q!uZPlZ_m_@rR#zzGO0^`D7oROW?zV{ojNi_Bc zgq9SZhW!IWNmL=Mx*?xjORnzrwrc}|u?!Qaty*&9x`-iOUW}0DwK-}Z?LqZVS`cej zp`S^6pA^b814euPXhi2>+l0ZbM&CSuPwqbE>yz8S_1FE~2ih;07CpM*fsx;y348T{ zYxJox^2Pb=Y2Y(Qd^{|_-FWcYQ-8hj#9uvlnd4cLD~dGaXuBAjUTB!<-o*GCn;4P! zdJgQS{P41jkdG`-;Tb`%iYK1HX;WQxxi;_xQNR4YBQz1DOP5p1h+gakVo1ed3?>~;l?dM5BW&B4DLdj<5Gl_e`Y5jN#keA z$yv5@^M);jCH>#xqjgYeaS6{Y-I89EbF$j9a%ugXiq+}riQ_lKynQUrelTpoVvZs7soUWi(&5XqBSvRb=}IA^mP%_Eq|Ht@`*spCNzpoCJ8p8PK3`~LN1hxq_I;bf+ObfVQt1 z#j5dfF%NOc*M@J;2>Hf^8F)t2n};XVKPXC%x0#R=|%Y2dpi zG@5a=%sZOD$s%jm4Qxk|@*U0J=;)c-5WnS_mRr%kLjlz-&jflV;m;;{>WrSJc+(yT z@t2|dXuVB?v%lw?*EFU2fZeG*ba>FcVbcI$qkYM$xAhdnWU-4B<@yQOI1Wsk#1Uuj z2*((Wt2~pI_6ad!IwqmtN3s>ZmDWtq7l*m~uX?4to5l9-vsG3ERkh0uPvw)w3UmlX1d1m~g*m z$@~0$+R*mCUqhrux2I30v(CHJCkME_-O4%2Hx&2@Hq>xsKPc$;ZRhHHTgn3g!Btih zb*9M@nwFHEbxfYXNpo=0deZje#jAS$q9z}tSpsL*!R?X$pu06UcSZNPTCn%g^ft{0 z8?p}JUHvHM8S3d>smQvh&Y&-d*zZcm&AVDPXB20obw6+06}kd#J3VZ1ckAMrDamN) zAt{)Mvwr*o3xu0%rAEYp97vnER(8+fa2hx6J&)J$*Sl_|J$b2S^pP#!r8S#sj6Z&8 z_p>F4`^IGti)vGBANInZ;pis34dm~TVR&Cbrkb6v71+xOdbT{foE>YEij0r?i#t8v zXVDd+Y*L6`t9st6^IFw^(xg~JQ-%8rETr?BMQlVEg18z~bv)8QBT-9jDH)Hfp!q07 zB2nlY`c(HB_aSz*>9H57h>IU@cEsGk;k4aqI+P^p!G#}hz90q;{xU(g059Ed-e<&2 z^F?cZVE-w;2KmD%Iw}K%>^9>4A89AT@&@z9+)5tQ?RlI^tiokC9r&%4eD!NYo7sFS zX>wPRNtNXLRKkLW^`Y!_-?C13*~L`WJgBUU>iyQYY`eQ`7L_d#n$pV;2TMfEx^+|cPn zS?g$&^{dkIvfdH$|JwWZu%@nb@0AM}M+9Te*>?4wLLvf z$ByUsuDw?hQm6CG`RDv|_5=IP`mM`e_w}xKy=$$D`KaL?%V0l<>aR%2UrUSXs8jOz zH22l0@@|?d)8o+L9BWWfpUDmCbKM7zO&~QCUU#*i(z=aWMfJU=%IJ0YoU4R9E_UMh zIEAG502T!YXS~>A-nHkHTg?WO8+#&@45OP!%KtY|Qp|5qQi8|)mV6zOV%eF>Wt`#K zHXP%3^otm%yHO%mq+rIw6LCA)hHzhDtMcOi9HG5i+|sLC;pwgZXf^tAB_K5 z|FkBs^+sXbC4my=X`!Pi|5+o#!%Cb(!15Bl;EI?!S0N1vmiKcpict^a|S)Z?89 zC_nr_=S2!Fk3`bB5uabV1ixb*$7|}ncuD*rG@OQXid)!%u(&Jen`|ooCO<^tcZQx!^DX_6K~UwN~Q(cQOdOcZAW5B;fI)j zKxO*hcJx2cjf4Mf`yE%Wuoy$W%U<^TC9uw=`c|C|=u`L{nKl z7Gb6*k3}OY;&Zon+|Bh)&LjBct#?cuKPAwP_?rHyN4Wd|$7FeHa+K`6_SoBMMX(O* zb$(pYFy|EpsL#L*fuRpD+_6I2@emAmwn0A7m)CU*nkv(lyoeJq)i+8>J|y!Grg5Y$ z|0gS*jznz4m1z($O1#kR_=uN~qIh)?moJii0v(%%i2#kaCXvRt4!&mj@L?@$s&m!) z%AH$%#m-uqqT|v44i)o5_33PW9Kuf0L3K&TN*sTFNO@4xd-!u?w@V|_WQiw@PP!!d2_j;b$k&WnX%RvLS>5AVkI z!AM+t329T38RY51I9JqAWaZQHu1Oubq=P3aa*uSMCsnUB70tXAm~{4@rs=gc_QuJ< z@RKHsDQc5dXp?;{2^qK+$r-RK(t%poXB06Gk+`qzceE8;OGkX|zYBZg_(3b!UsX<) z#&cYn)vBeVa`tD#dN_RKa-;%-;Gxs2uqSItE_M*NrZpP^xu}y4A-wt*e5!?BT$+gd zNVLM+Km@#qblKPpkMDZKYmI-#%R?cDg^K^WoIU{xmbf zq%B>PqJctK6zZ}NKraIf0AVI?%F71^Bv2 z)f)>40Djv|ilCD37?83VacRT zIxT!-(K#JCm_!?1TKL8yFYOg_#E|35t>xG&w3r-g$I`x=f@E?$iyYT=(jJzdljGwP zxMSLdw0)iCHzc^imwJaVlu~ zINUQgu=OXqE@{~K?F)_XMqElxf4KjV;-{3m@|3m@lCGV~p0RZYne7I1lw1L*x8n$h2b~X6|>+ldl=gNEm z*X1ESji<4uHnh@qaJe)tR?Wb;*wvHpTD)|s=*K%V`KhAcjGWh<;fE;qN&5O&ee=9D ziB{j#t6e<_{qetNtg^jv_7Pfpz@$OQApY9IC#8HY@(PtCN}22BU)1JRp|Xsez78hi zok}{ZI(EkPAPtSh=T2~6ej&_dIJ~H{kP7y>ohtNW?^rePwSxO<98P!?hRri}qobH( z&V;1)rj77M7C{j)D zOf|K~Yt%S55X%qH9WjNs?1!YSW#pj~tarL0d=~3xs>%~M4f?GbPzC^m) z%Nv8`J`eEvA?-7gF1$%O341lgk!5I5`O~XHLd>tS=tkscorHyfs~U2k)7V}u>wpTSol zguiZeA6Asg*QlUkmCELU3)wXl17e?n7}VBTNAcP2Fz#gH7_31jC`sICoqh)dGzQ%% zlpxloeoiusvz?FA+En?MWK&6NSElhXL5GM<<;PPVA+e&uKmCVWS{<}mTBOxMp|1^H zANg_U7|X|R!Q1mS^nrq!o3~n(YIVne=`y4vZ{Pav*3`a7Tr77eTX#q0q@ZTh2WX@?z+J=vK%quXCNSP02n zw)zvajM-&qTw%Y4f;o=&iH!ocZYt>e2wPWX7n8;R8$<^`sBoxDXlR|R4a2c_AW-_SA59&G-25$Zy6TdwtstEGQIYngo^lVrK&-@516E(u z`$z4E;~N9{fG{bo$@&eLpuA_PMDza88;&T?P-9f@Dw+uKhIPFf+V;u%RY+Kb0!%0P zz4dApQr*pM^0-adtHiGkDq0hdGq~xXU{~lXX-4EWUA7pI8J5>-5b|)i+MZ6lRc{d% zLcw~oWKHm?AKt+XC-YQHPPPH z)Ycc=6Zh&U$E3WY^-HnWCMfT&^@g`lcf#vUAn!WVF~CILt#)j3u51kCS@YreErC4a z^TTDYV>HQ5d$SW-R4Va34$Q$6T7_X={fo_b_$dtr*p{sAi2y zd(5mGXP$C`L7C~yuyu!l9lulkrRm%L7ze`$d8#FuI)k2p2z~RRq z2+hY|VLa_yX-loy6Fq!?+ING=hsRWWx+6PzXZFmU)FjP^aG!}gscL%3vZ`V2Y*$qr zW2uR)sGT40ruySHB^)PC=+DYuA|H8$?9Z_ALRi6b>uMyNnYP4|mhzj+qD{D+o60e8o@@9JvcfMS7a*rAb=|ix^}5({ zlb_80UH)q{65d58^MAb?Dgcc;aTuB9R1H5|r!|+KwfQG)G)?r~rd%FRI^PS1n_&wm z4x5csJx|DG37v}^&C&kJkO6EZ835md_|DZO7zx`da1?hReMgLW zl3qpoF$@oZH5PCxjron>b>BJCiu{OHg4Nf55DES98FXOqkv&r&OCd4qkv(wAH+@k* zNqfFP_~Xz?a*)w!OX6w6T~MNKDyc8Pje@yieW-Wa_$PzYap*W5$_|-5_H6B1aB$g~ zL8L?8vsGJ*+&izso-Ik|T74j}3?`*Z8q0&%j=J-8*q8X^&LB;W%Zf`#{f=#TO)bVt zXmV7J^s#(xRMnLqVM}3K?eoxSj|z_>rJ7GRjc2D?sDiwkiV8wU+h$KgeZ*?8ln*VV z@<9zSUcEd>7cD$xuW6$8dEGrNIrB`NvFut@Pw(-hb!f?7X`cqmBDLYah^yEEh`V)2rDiM6_=qJ=5O69 z->b@R{dCrrlonWQrR8g^c$Zl%mI9k~rM19TSYRtHu;rK8a0zIUEpLg<)9ka;?pap@ zC)IQ@rn$^+Ewz;sDO_IXao5%N536EQD1RJ7~ro<5;ta`l;s6^W)lwzb^*%I-I@ zQNAwmnHc4!FZIv^KYCDeJ^^yK4YpJ_G-&$tGY{mvbtt8GU&5NnBzG&?b>v-KEO2eQ z<9Jw?PL3J-DCxB)qC;k?ij_VoT1n~=`tGO`9yTo z5W4ARy+7$^UrxGtebTI#sJ35Cti&~Fzc`SQxmQnj*+B>AKzLoH#a@%Xeyb{q!529= zd>|uBa*&SA3ImEsYu-zobWh?X@bK8diZ8x<=xR~)KSk2@c<7~Z?jSTuOK^vaY3p#{og@vlqs`{3PV8&{_)9_rDiDdHlRD=E zqy8(SAwJ7!&dPb&q1~7D>5k}qfB%LqchVoO6o2a&PSodqFm8c4F88arg{t_4W%}Is z_=VHr7gj?XBtF+1pIa86TOGgAi$A@qEq?O$XA0wcu=zvY?A@~SEp^@$=q$BBXUQ>} zls2(g+Ln{18G#H{0~xCJwLsr>(?Aj=6|I2=Zf5Dz`{yA;_EWVL+4T zC`02mlU>*C?Hb9h9L^O*DT{0U8k@Mn(F~w zC!Mqxhvtz1g;Od-x9P^oSd1MfFU+|{&VN1WU~1AaRiUXg1HsizlQN3StXoFr@QkhW z%V^byK|sdxh(9}!4t_hIwNql>=jc7 zmgMW7*oF;4s*z}0T-1lhQ%%D!j9`tu>#30q0sW!WtB|!llthc#4w{7W<>zxy3ypXT ztKbdl2WX4Y#}vXR$5ZoFuOHfs5%#mR*Ao58M23ik@HcfCBy|s6CUG6DD zzIN-_54GJC(N6-pnakULLti4b%&9-di;w80^-z&@tat%#JyGx-+g?pyz2um#F9Oeb zy>{R}t_=rw)LJ$NyTjZvCrKrGr^(!)Ko7D?*HI)=B5c7-O_4 z9TOi;KmXpIHr>wINo{Ymr~c*6iWBd&r(L{jtMX!d`rC^Js=jTX^StT2ZBmDRApb_& z!VbeP3z9xs+hKfjNpAdu9rJb<)_wJC$AXWFPBmTVxck>7SDXH|WAW)y%_|c-^DeAN zKUdLd?pallx~+4`?^kz~@9Hf4ykg*$XFH1y+xq$ zjWNN9-^KVxpUW!nPY+QK{vog6_+q#tewD^wqBN(b=REAiL+=t5O^$bK}9%U$>9hp@Nkq3<&U5OpCIFc z3Oo%sg)gYUp?uBfhJq5VK|EK;?gbU@tAHmU96`BzayWtt{HTlzN;uWSlfa`8 zj-bN*d%(fsGeHIZ32=&!pgbJp&sV^yd<7Nm6>2GbK?NQSoWd7W;3>fI#{?C48bGRV zf)Y;kX8~}Ezo5dsMaBi??o-k3fKxpeRNz&>sa*&va4&FbcY+GM6*%R;paSm&PWd1x z#}Uuxfm6N-D)5(qQ#}_{g!2Y)`mUga?@YzL)p9t33j6|aN|&GlzXqK0O;CY<2b|I? zsKBE!JkgLTsKC>JQ+fpz_}#!s?k1?fm&mxF0xy+uK?PnR)Dy{5}~MRNzfA zE~vmClyN}?-YMgP3j7fn7gXT;fKz!1D)4^bRPKTb{1?Ef90e8lo4~1`7gXTy%ebHd z|3nU7P=S99ocawx1%69*@29Y!`a_T47l@L3YMb<%!Vy&9RCh?WDJbC@xUWYiO#Sd& z{KLq@p^m%>Acc{Mf3hd{gg+qT^F#1<8NWLO-!0?j5d3i&FATw-lJU|I{5csX0TF)s z|Rj9sln|9PW_&s0{sr(Z8;tF20bYM&*X0-e`oP`Hh<6K?;QS~&)>P_whBA`3Rl>h?sqhjsoZZe=M@*` z+w#gSVzr}*%f^CCUf*hucT+i}X96TM6v%=hzP4m08JyEAoFOEyk6V1trR{dGKymt&^mI_$>VE8x5}9(YUjrhNBe558W~vylWffQeeqn zxzsNXwJ#}LQVJB;kcPN(j{+K;_q$yU&JZ`o5OY?rnV$l8o3Gg|TbvEPreLT1uri)H z)TAb-lv=$jYgG6+(JItWW=<$F+6#oExxp^@nHC|yXdm*n_P@28na9zK8dRgN&B=zV z+wSoU>^VfvqHfUJ;d6U7W*TbkwT>nq_C#-OtmT2#I;xuVwOQC|AngH>sa5Phkf}9D z2XvI0B;s&8y^vtF0&l&&2?A(*L{xfOWEQ_iExPh3IlT4yI%Jh_AuTNm6D^M2cA+<=mG+M64slXZkczr|?SANE$^&?A1h#E%;Bqgnj$M<4N# z(&3MgLm+N{(6x?0t!szxiY@~m?r`n$jek{lv0txbk`YQsszZ$)LRi;sI z&>J?od^%@Nc1=!pwlT}-sB+9V8nQF144GN;=4aMaFEBa{HCeS)^Q*F*MqgcXebtuv z&ic8iU2_{e4bHhvN0ke!F=mg~nNjAg&ZtFR`dprdCVjQOn0L0)J5x3pWtt_^Y?;oJ zX^u?i%QRP}3wWidclsQ(5<_E~B33ayCO%9RqYa-7z5xdlt6~b{7e_6Oyc6t{Y62^a zSH(yMb-W@nQu-Md&7F}U7tX@{&M@STNrl~_$VlLtdGL<$RtglZVLjGwfP+Z2qA*?r z8kG)@cYxW99>=}K$lrsfasKax(f71z+(E1YzA-E(!!#doJbgJbauI&AQq1S@hX;D! zcxa9lcreLlY!?0%>KN5L8CO=RwaRtGG_d>duiU^H2+kQP?P`t_m!z!J1b#cBSojw5 zfk!b#NgC};tyXmr#iKHDWDKq7G4>Sx6>6=f50v9d1+gNG%<2`=Z~B6|IOzBCpx@S@ z-z7o6OKF`&4rg5CI3!pR=95y)-wNq-8iiyR{@Y+X{#(i4DkeD?QxNPx1~oH+!BM`V zz4)YxzDtj4kqQS0NIw@#>6bG`6a5q{!v9&ejo23!qm6hp3`Ne^6@U?shw;gVWY`cJgZ9QhPmYD|ElH$Z2DA&fT3TN z9WWeFk-YHH>$$Tk?(v!H%$T~NQq%nR2QW3*dWR$h{2Tvt+1G#8=zd23eeanvx* zf=F+SVLrCnyu6%=0Y--ooe{glt;)!%tZ=#6uLk7fi`_DV&g}V!q2EqonuCo2uGxrj7(l1Mir9}F*B(JcTaYh2m>2{l?%u*gOl7YU40Ek#@wo>UsJS2k3 z3rj4eE0Mv-QydHth-p)u$17!ZW)AQgPji*q$qJU4%WSN`Y?Iyv_-!dM+fYxu&H`su z^F}+Z&NFklt(ckDn5lfs)URb)^z$i_&Rh9w+v)l7*w!Ag=vch{UcG5nu+qs`i?kCglC)4jIliM4)z0q%P z^xGS`eHORR^4n*zg4N|xN~pex>h|Al4S7q@)EqS4GX?F1GJ}0sz{0AL!OpF7>$9=X zaZHJZMJUIH$FNvMoH9Wyrzpc&20^X_ZR$ZKpYz|fyj?17F&MxM7m!|JHs)Rck1GR!4q zg=HD@^z-!w`8TGxLIEVW9aGySbAcDkD1zoqcuDrwt#+zL5=#F3eyGMex45dE!M>!= z!I>K>+{hI0=JgI=oxYCZ9q1|QXl<#;>2lk*%v*iTRrBEiFLp86n6&Az-v#!snS_`|zZVE~oPBwvyq$y_RovOO|NekA(=VU+C~ zLd@+U=4V69&xM$`g_xT{%snAy!Rd(jzZ7EsW{7zt#QZ^s`O^^dcOmAuko=n&V#ZN< z@+0NX$`JFW5cARyb8CosXNdXn5c4x3X2IKt{CG9QemKPZc8K|0i1|+;W`#T~i}3lv zD^IbM-Y-Mk!C)`pmAT~KGFh)zd3h$5fch|WMdh^8YQ zL}y~&MRXQ!$|5>jTI*NNVeHprp34}uX{8?VCW=h_j;H}sVT7r~LPH`^BlMz)W+9$L zvl&}N6tmMJqB+o`Ac_G3yKtE@7i)S%7clk^Q54D^qIWX(BvCLI&l9~HYjZ>wAzz7N zf5f{)O^o4G9;VD=?B9syV-1F=89W2(g0cYX2t+MV115^XUPyE)V-}*zpyxp}jp2}& z8qV%Hs;VIDVtQ~gKBlks)YoIO!1Nfe^$s7lFjqDEa1Dm+7=je0_d4CO(bZ7vVfqG- zO!eC1ql)YY4WQ?ofbg&nzDB@Cd#-I}1^WF3x7X&073cCGl0g_;nsXtj+mb+kG);l;ufF(9?59h(r| zv^d7rc9xE;NAqJC3&Z;Ym0`-t4R3DQ`pt<4;=88g3h7}iNyV6FB4ZJfBql+b@%U3XCm%F* z$(G5r>6uRpwW_IM$~c|usRBoAo+Rh#h@4JsdQ65g_P+4+g2sG%XVT={sD>u3>1jz( zm-1MQ-n_I?|F)1`P#ZfVWrjQLiMCA#^{2alcdwo?1Mca`jbrphb+YKmt+n{MP}|~; ziCWRr6j9r`Lfhs`5Mo=I{h4`rhYVj?XFUJpQ`x!q#-xaiR^~TPc3e`rXU$A~`ZtYH z(>9-Y^{p=+KKO+;T`OG`n`b(sG>(m`J>+!cOi}qQYZ{C6;kzy=jm~}PQx4|-CBbB= z4NrPWb;_t+S1+5MKhsboi*frDajFEjbb>-NpEADCDH#lcO*SP}Cdt<|9fLa!H z)~%X+aGo|T%u-Xk8cbRhi?&D^iyxeI>swrcyRo8LvD4j98LQZ3ZFr(Yy0f}rmt9er zqNps9R^}^q=Of*)pt+&it=Lr}-R@{uP|{%cD5_X@QbMmy_l}_NYDwtpPaJ4T>~JQY z_a+2dBF`r!3<`;?8fcpvD&30R979!x;&H3=J2IIP)jbX}fZ5uxz@VruaqUnlb{Uaw z$aG8fiSM)|yyJ{~AHOXLtR~@oo36u@(A$!5-l6MAO6+ZkJPl%;iI)TeVc40Xa2OOj zl?^*kucP7de8uA)BEy^bkuMPh1Mrefcb@46S`tntC0+s^A{+E4uK= zN5UmR*K4JAee6{u(bKQ%N=m#;uXH^vy7ML?@*^j8>tDS(6dSPW0-^LJM*^Tk43~Wg z0jIhvNqw0YIImB5$ENG`M0Wb93=*e-#Fh{cMx~QRrA?#Kwoz&4sC3Jyv~N_ppQlZ_ z)6Ph6V<2f#z^VHPX|&Erue$mZ2SIs%Viz*`0O&C3&iiyjj=LU%q*5=7j1n{>EgB6d zwh=jKuQ%bmGZD1_>av5X>u%9ukOx`n39+;#k}3rO`otkmA|)J&A7OTQB0&k*3qD`Q z3D0ZCv=M1y=_L+zNl5H4QFX-m;e;)eW8-~64WwSX6eO6^ zgQ3hp-hDv~PU=VYysi&()`$7~9Yeiw7iL_EYiG4$JB=&Fj%t!H-UP@K%uPpRy_Q8- zwp!{*U`=9UJdQ=|YpbhqEIlvLUKmne(`zmo)YrZ0uX^OyhSXmM)B_gvK#zRDt-j%= z^j3rBs$O%wM}CQEw)Uv|jhaE$Y|d!&XC0Cn-R8`8|Kcw5;#%qA6V6PpIsSw>qer@k z4G~(+MZM+*$MC6LeZ?#Pj!dRS6<0t8FniTot?Hp3`R7{obqA%l>lMaDhs>FWr17oz zHD@weW~)D|)|Js_&O9Mx*P0i#nd6&4jC66g)Jhn>u&9|$eNC(W9Q88IKi%ppMk2$p z_@rww2nJxcKkI~$)n(3XGB4@|9wHm`XR=FL^<~h^w4i4z0IljT?r3$7E9)F+s9k*0 z9N%uvXa}CrO}?(ze2Loo)&J~~f8kbt5>Q_>s<(Qm_J0~QqnpOEyUf`j2CPFXy383~ zX;!Oi@!?_a1yQAGu4~m7+NdGun(G$z1*7Jldd*h7`b(?&k_AkoioQmrIws4mb!8nk zXZC<0(yVh*gBm3Patr)~z%^<5^_ovCYU)P|b>f%2gS-;~b;6b1XUaTbTHNNF==NuM zrSV6pT?xHH{83?1pER@8m5o>E)^p~?jUdv$82yf%URS2qmC!BC3a0%DZb11&4B5@n ztR5k=6SEElO!2kgyfprVGozVfKHSp04VVOW!jyqA+$+`H^+?S@dEUz+qXMH^ zG#XHBBXZE*X7l1UYAKkLNYpwr&q)`zfmb575KF~MQDduAcLE@$_%{FIMgW=?_kz8q zc=XF57mdRs8YQ7rvs8W&1z5AzE8vH!nl z`cBdGIpk80{IW&;34~RT9MUx?6YQE#jU+Z%f+(OMPn!Mzvw-@{qWK*1+z7Ft2DUGT zdyNYv=i*xGcX4V!HfDRIM$I)#NH!WYB+t2;fbfB6`k&<_WM(bqxOq{#pCrs3sJ=k$ z2K7IBNH6>oQre)tPKrSOu|;#qs)pFa(E1eelLZ8055~41iXa z48od6;c<;h`+3@x)kL!%g6kfyH2WmdXq}T#x&4cKAORqyk$H#gy6Z7WD!4H$0EY$m z9e`pRMWx`(JOOFO#b&QSlr)>Op?`xcHN^*6YK|v?2?9)u0;a{35TJrdAd^_5e3EkQ zz)3_dKIC8APGz!c{TYqY>{iM|It%5yIaIfFQ7va0aeg?#Stkm#(Xy*MNU*4bfNDe; z0ioD;=tq{D4JBUdf@$$xL;Xt_1JJNT>PviFT^=I6>Bxks1G9m}H%?=}i>&>EgqBpl zRj(5=T#>RE_6RK=mtn0q4)^FeM{bZBRtk|kT7 zLn7sDt#iY^F}a?3r)nL|hK#zjB>ObwpXb`_hYE7oO69&W&UBmIQ_vAe(E?{GR(HEf z6cud^i&GkQl(_6}{7SJ1d>m`G6fBk+s(K9ykp8)ceuq2?7*e|oipn1OZ`|tY9{HzM zMU_Xf!>HJ5)l}#e)jYjRtEhA+wrbV8Sg`OF4sx6dUw3Ge;)=TR$Bs2lu6?_7pz@9S zZ9Bi3|LlRyr62Up|0qd#C#g+hV1gcPmW3NyS4Y*wFgk*hTpZ2PJIQXu@V3kgHHE zc670~?={O?wQ$*h5L_JFzx|~muPBGH7-NCU*nfW{bIj5*GcwY3%?WX1SGb$TOuP5A z%q$7lj*rr|B)sEMF1N}&=a0F*nl$71qULW~e)cOQKl^1Sk~z;J`NOX=ki5}A1?Ez+ z{zsJi@IoXPRZy~h0g~s7k^J4Y`AF{BfaGV1luTbqxiRyQ{N-XwZk&sxIt|GmK9`Q< zYm+JWy)-1tCm=cFLj#i2#!!J+N}m75i2~7+ko@sKko@c(9g;bJN3t=+>!|S*$UMp| zEM>+;M{=x?Qd^VK^l{3898JoX4oQnI#;q@uIn=pNzuH><+rH10KjkCi7>3Mq;K^L2 zBcZcDVM~mV-Du9J^*7}>u*_F%Z>~^bsUj{S=BjeAbV~elOTrdI7%%3E@0ODJ-6YNK zu5PNbD|Ta5p5I`%D|XqXw0hDv#C4BVUv{he4VrR;28w3LqMobmO#BFblB7f|_qG`J z(rTgy3R;?Vk`&zH?xC0+R>kfT#ljLS#}o@44VaX6E4&tp9k;@O_HjqUW@SS~EO~Wy zHallwiE$;M{?dyThqM4*Ah=Vy`EQ>s;hiL`E)(Ce$^Qxq8S4~SU-)hJXB;v^HbPX+ zL8Z5A7L!%!SDh(su&-~Zw7aTG6xG&-s@R4qPea_F*J!R_)c_ssCJRB-@^kemiG4o! ze{}CBCGCRErtX;ydsi3<3UtcnUSaD7AE z-%i7yq4_*;$8xejIT!`?EO`_FR^)sVm`w=F&QfjJ{_=j$gsn+_g)FV~|@DauMq z&-t-C>4l8&>Y+z54p$W`s(Uh4_~rhV+=DfP!gjs7a@M}NHd2+<&>6hN=@BVT3%T8SWz+RDk?3nN-aSXA44KzbJenR^XBib%vd-#Z4@8oa6a6f zQ9@;N^VTgh=dH;#ZMHnHdhMw8nOCk^wq{Ol@s83$@N(@)d#RCB`J7-w4GXKQQ%iU= z*6y?y=N50_*p}T-6s0ZLTs7SI?IqhPtJawB<5ltdvim{V=Bnz=wxXiSV6xz`EhDMT zrA5`Fp0uV=hZOFWTkO_4O@?=`88T+hcYK7e6sRj zN|QeK1r$AqjJ1?89T~qh)G#Z`FQ{>}A-@*+r*uuZk5O1*1HNW+HRw z7@H~DA%^%hmnG6Z|I->HV_wTTug4FgMtjnq#cmJ~%!{T6WpCOwFY3knZ}lstCf*Fl zz1dAU8{86ypno}nxyLiZy0Od0=T=UPAAqvo$LE;=bN2X<|q3|9VZ zRi7)7-L%MyZE~h?bfq%m!_2$({R+*Ktj`~RC@cXBzU0>#J0h?(%g$uiO6;1{RpaLf zR@Qh~!rJ7Fh|!08vj_S0%yn zqsp09Y+$b$HFITOqPls!x*x-So$vTEAdO?MhQOzA@MT|n%q*3I=SB|UepyPkA&MKN z{nDRA+-7n-d9xHo`8ZhuRk-TvkixNq%HXMI#jT!;9ZQU4P#RWA=Z(Lo`c<#S${ZLx zK(W2w|C5MsnGg^QTW>M>t9p%+X&76vMhoN4s}hzq<&FW{9a)|@#-;{40I1^bsWqiG z%YG)@5`jx@=|$ijjCF5kMcyO_TXBxPTdyf}M@)CJ5(Tj3FLC7NH+JS9mMuThNkmVI zWeO0s{^2Z##2ZCaTJethfh)e-~8yXGQq6}lB)6=5ot%_dI5yCZm|;`$ zbxA^>fBFd-!wbnAuP?hb2h1|~t>YhYj-M;5GWZROA07kp%C_+@8T=N-qx8`C(9sUn zs)V*vGfsrfY|EQ@qHgBVQ!|f-%{rPltG#Ykx8Y>iMwIYx#B2U=fJMg!{T4Z?)uj_li2b&5Qmc8An1O!lwhW$ncqni4ty3!3q@$;1bhmX1 zB}XMCZIY4`l9F~wNpFYBT;e!AquWp`FKOcsOVJcOw0GF|TGZR@nr(*h0z*qK+7&mo zipK@?X-(QS2g`2u=kfkdw<2ATFVQ+-}O%4;L7CeJZ3c#(CRgTRGr9fU(vb7tFOidFj@=*F)!5h_Y)R;{`6|>G z;4{RA4t5F{dk^Z1M5&@Xi#8pwpn!kRm4(HH+fVb{rf2N;xJS$Ku=*5Z2xKt}gmF`i zpKV%dz@~*4yWosnH8AYsLQl&7=8f!IpVqgue4zf%(lJHKgGrN}_nRCO*r9OA>#SL? z|GmM)cGzmzP=`!l4Pzu)f}1Q?uo`QRL-Hm(D4H_-EV=b>{2B@^%rS=KIp{Ngb6SeUG!(`>E>r@j z{?;k6S?zk6)nH>yu?b9BV|pPx>O+8Q2Jy3`J2r-SBbebnBT_Hwhq%^D5mX()3SZJ+ zLopWz_%sC21Aqc!R+3U)>IK+u^$sbsTEh)s#o?MEdTD2Rr95(G<86T0ZxV*D@uQh5 z4`bI+#X^}TmIL0Fuddx!Y^M8aN%|G!o%#c2<#R{@oYtZ?Ivj(k?043;WBVti#oNbhOCcL7`85+M) zp6qyPwtsBrsppc$3>2L0k!?FxY?rk6rdvMRR2FA=altF94cZAC<+I%=_Q`vvp0lZ@ z{0$g_V;6%sT9(hpE{$`HUDIC6DoM<^@E5no`2y;HlGYc;Z!zx4_jb8g$z@U)IZQN) zx7nj*#-Hoi-(*5yn$oz?#7=I=)9P;8BRY;ID-Y~G5@v(Vb|$;0#_*D|${quZH#P)H_v4=|xkn&r~`T)^%eYXR^Bp_Vfi^ zk!gL}p@uz!XO1S{+P}M*FgKsc?yhMoFlzoCIItlv5P#E1_2wVg?FqA;YSaC@f70=N zo`qLh#@BAh^XhI|B0Bc@vW>FbE#ri3eg4_X$U^m^lJN-zFp(p#umuhR~^>};vJEu@|fAm>vF5v6aQd@x@k&B77FZy zY8_j^*1v$!O2hu$lr9?VhAa3n(>@X(_O%LjqA=rYk7kQ%-}kj0QkD{n0p9cDq_3ow zW&=yni^DyO5KPw=(oj5vA8{~eHY(h8C+5me#VH5$I&Y&>aOz`M{D(uv=lE5U41coz z1EpQP_|aU#4-Mo;3W_u0ij1YU7)-Hw?k-c7!zNAE$|16h(x(zS zrGpmCFF1tz72d8}N9*8gzaCR+#dID*2?17X*1ke%a_gZIU( zvTKl)OFF?3k(JfVJIe&px@xcs4M8#}GsuF1q$h{Ohvo|c=o$?GK>`-H`XETUYK%Gv zl9?n(k|H{QEhtF-LreWFeS>4b4;Lg!?4`=l<2$G}-2>^{@2BmduP$+MY z_?W6a_YFWT_!Fi3TLKu>DXp=(<$rB5rA2gLtjS+yQjCOI%1iW;>!d&pC;SFspaH;J ziqY=1o7H(&2;NC-kj~kTy9Uae=B05C%8?M8e+`m_O2~kaNIK1$JC@O3ML()v}-| z&ebu#c8IZ##x$;$)XQspes#{!O45?NNw;d^1_-5h7$sJk$7N@r=^`X(8JHJiPYW{d zi-=1^!({^K83uS`gn1t|nN~&Q><05*Bj)AJR$d-vp2PJjKs2`r!z}_vXE7sZoN7p*G+p(-j}_mNzL@=r>V<%_>$(1zDOgmBmrc*uQd+Ib z?&rEofo#%U2Ul_3_27Ji5JPFV!>9_g<~imNu;3LmX1-C7J>0q8L+ zq-}?_hBf+RLab|y#3+XX$-2^?00jc|GNkq&O&;36+ui`>bLdRA+XdD1&IR3RQfn70 zH2wPS88z416?W}9co=%+cFk)&lU`?{hoM=?2D9A8dTm^K)cFarE-7HUF6g`j`$>f+ zpg$V>zBJELv~Fw@yc2}^+y!``*Qd2L>^stRG+BFKcdP>So;Z`;)#*Jzal4SB77 z|L#EDbBr{k8yZrYA!P(ywU4QWt|8d9mrXh)c*s3EJNA=}NgGEE)3N2=DTZ~7 zP?Yp}lWD9XC$m{$D6kr#mH#q31!@Q)lT`G|)Mlc^LfffQN`aQVgcTR9I%7Cl(v^;9 zT|3zi5`Mfor7q5uqi(GsWvu`WHsGD1_=3Hbq5loM4)Rk8`2h2_31bg;Fo15i zi=a=h$p>i7Fz9LlS8B zIoo8i-O+~BtkaMw3KMUZXsyiL)K8-C1R5b~NKzG~AssHLIx@9KG^DrVchpv{sz~Jw z0|YgsX)b{|NJDZ{TTnw|g*4=`w$A|JC%6$)o2m$!+76eUJF@lMYWrkL259hb|psvrumdG^ipkL8uOxxpxPa)uGs}%x(txr z00dU)IL8L1G%cidJ89&2xZMC8;&^VP@hqy{O&A;*$}7Wa_k#Y!@L)e4ag3@xN`#N7 zU9>c)b^-V~sa^S4455UI@F&hFEkLng#Kb8L zyc-)cpzl;Lt2crcjBXdSnIXuLj9%i1mK!3(Oe1Heg{xt);8{y7hKEU=gEzq%FNl^e zx4gLeyeP1NNZ68whCgE1EGi&+19Id}mM^V++Uj`KMYhN#H=2Nz=o1W9N?oQB?~kig zQ4kz3j_i#~gS`~FXpm@XBbr=b4KtDrc7e=@utf>5bAp4RRr*Ji5Y31LTIX`?%0~%% z-Xgqo`f#JAnA41m$&eL15p@33Fo+&>tEmT1`rX4l_^t@(C2pBRfOfN9 zL57rA@T`3n2)L^DZ)0pH`6~uYDKO}NJj#UEC&`XrV0A~$10%DkXQbnv%`gPqWx#UI zY|$~TRyqx~#73C|c1$j(ZdBvmrV~_hucTX?_Ar* z$i_`Iu4-{F`pH-o_SInqbKg`2?xJlZ3wsx7zP2wZ-BPuA+hbKbY-Q0hj|wZ!I4H`w zP?RO&di(|%E+;EA-;mMsQM+P~cFQ>Dwm#P~vM{jdo>I?kCViA>GYvJ^Xp3emyJ^?_ z&Z%K?+M@C9fk_*5gtdHOGG?*JDCrvl5Pw{u`L_Y4%NOm6Cu7&C2H%_XuQcvL$SuIz zPb)OZX-8xKdSS`G3%QfvP$!7$y`WoOus*H*-p1Olef`JX7k&xt=(b zzZYiARV?(|8^ncv)0wS({_HLnW4qN3)orG7NU+MkAcWuaXujmDd@b29Pl`574%sk` zxuOl@g$>g(g>0CLy+iSKyJp&Z@Jg3uTyouW`NBOzlb2jCG&|xiYNY*G6?P+0ZiFst1-x5fj#m*CH@16K^P|IV7YX` za`C`&almphEG38ju95ljTL;XS zyovb$Bl9JTR{X8LJXBv*k#8}LgSj%_-R0zd21^}TKIZ}=Xv<vV4X?k8sQ9QC=%N z0}#?i`7!q_%>H;KVGlYyP6lF8VZ4lIiT=Ab$1zfY0n%pNAqp*MgvJY|Gz{QhOwq$1^rBf&3NZK=-}=s73A#_74-Bx z(onY)?=EDHDWsvkb<3elnj_4#!2Z@4frsh{UnD&Q!tpR+9=xBo%`>1pptRbxP%EdF zG}WMy@;oY}S8!d_K=x3(-$u*DB`RdKQax<15A~#rUX?TOC-gM>KOwg)bs1xsheD&| zFN+=IE%(#^`?xG7a7(5g@u;3V7;+gWsUE;+NOuG0Ot^} z1Se9Hf8upwJ9P>ptKvQjQpsuJVtm(Fl|MM62HMET@xO&14Gd+0f#o5sNsIAYei)k$ zA7703Yg{8%>O~GHCI63x4=8R^`S@a7EGQauVlT>wyRn?R`cXcsUYGW;INQhx6}iZ3 z;`m^DbM<{(hSaJkDQp;Hm~moz?)8Yr|bYoi1)f&L;d>gddX&_$vtise6nlcwDt?^z4+@#Gej! z{}%>6_uiqFy+>Rh)H56zugGMW~X(PWE~J~3=GUFU$(vjq5l5D+GHA{k9$!C8JM z8BNPnK#3{Ynrqxwuq;9m&HBdi$6Hqm63myw#m3X@>krWWhQQqNRgHuQgT~_ z-jja@{sFc~GsT^hTi+!6tD)lQuE5q{9r|8Y>mG_)#F@>##F1ux1r1%>-!i}*GtkX3|x3@)l zo^m}C{yTtOcM=!`qi-Iq%O`E3>L)bZJ8nH%HYEKtp-dXPKrdNGpdFe&a8T)q@IL_b zb_i6MNn-LUuhjq_1c^yQL_Wl`qs9*GaI42vb1wC$!ey_wlnt7C=Z7@ zVr(CpqoJ)X?%^=1|0GPA3J(XF2z_$G4mtHq#`+NxAu{s>F>r`X1V5#MCW1X)^l-pL z@Nb2QFl)UdXd<*n4tqFYA~gIBnsw7LeS~Nt*yG7WC<~bge}Y2x7CFa@CPM!L+KTXm zOau;EbleJ1G7&`3o{))vT0y8r01(*mZ5;PGmwZ(}hf<2zxwDZR{OPQ>b#y8?g zXwNPI>jj!8lW8JFoy2C`7@CT*3fi;7(qOHrq%7_aG!HizCT^^st=~V}H37x?Bgp=j z)7u`s7MT-en9^34Lp;+i&aYU$mp6KTW?<@`^C{Q*DZ(uV-G8Mcr=O zl-zeZzR$n1DUWZIEm+#GE6>r%Sg-!FeA^1GdtI|W<_`u_tm~m>eUmU7Re$FkK9ut& z^)&Z|Hz6f@G`0>)$6q_RSmlL6ZnnvCK6!$mvjDVY7}Sv&kkb~I%Yq4G#U$+uZF||q zgsmR6?M1u1|2bPFq?3=Xgzr)9Yu0uP3qyXp>gB%ChVT8@FgPAz_G0qZ(za1EgoRxi z+VtiU;E&!O8n!(n62Lto0nFtg3Gn4;32?iH>*;yah>(AaB*3d95@3KNzy`h(1qsm5 zcZUS%A_?FR|9wybyh;+lG(RW-Q2m64-;R4YC;=)-0@QpzBmoFiIuL#=C;>RAZ&(5h z@Ht8nz&b1eUJXfr7D(4$9pUEhgSX>wpJsQan0-3)*Cq?oFuTQq4w=%6V;F zriHM!C(J$v`|F@Q3Si`@{4i)4qWT{sv^~@g;gN&&MGqGqBv>nnh)>uHKUi$m%(eq$>CQJT0Z_TwU;bB zTxPvNg)ZWU*49Cp6$DMC+~Li3QD)i3)UCQ(X0^nHt+`8PJ&>w0ahX-UyaoH}cgn2B z`vt5xhxZytX8k3?-1C1UvyKINxzhdL$gF>LjIvs4rEgKw7EmL+_z77pcgw8Ox^<$} z@?A3PLiis?%Pij=GV7CZ1$W4-^>@fDS;Xz7_EVBk` z9weDHvbz3mnN_9!{)o(aMm?Dr(L*weTcQ7h%zA135C3PG^^@6scgU>2-yyR$cHJSf zD({e4ajBQ@kXf((cbO$*{5P5PmqqqbGRvl_?1#+i49ToCF0*EdGONfF@po)xj1S7J zJ}$EgMVXc2`oS%dS+jyN%gbd}7s;&Hv<#hA=31Jt31jO?JF$lxGR!++ht%^ZxmBhs z-)xI#8#*z5%(MYt&VcmIkvEaa3vg)xf*n}4i!8qV_g>n zlcA*B7g+$TPwFB3*V$Osb>c1XRB z1S@~&M>9h#NGu>09N{eZDOlj4cjd~zG8HE98toq2LdS-Bqmn=D!h*;CHh^bwjY<50T0f|&#~e9Q6Nq?SaK~v!IDckRt(UB!ec^cDoEO(8XPbuP z@Sim2B~Y3vpx2vEB_fSQLNqoSUeP>GE9EUoJS!#!S^uSZ9P{?@ss4CGNh;=SMZb12jq`LDx14?6(Q z{LA{Qr=PQpr@}pOw$qCDVOq=5Wz#eEE!T}=OhPE0E-A)59lEi2bU*^x3cqNWIJy2epv$LwW0Do9Z*VbsJDf1@51w0Qf;2(-U z`CK!c;})Xkc8#Wtt*K^%y9Md``oDvHU>9*KlXh z+oV+0FBDN-+GO+6od2wIRI_!eOTJ0Bj}>Gb`1c^<>kNqNn{awxQugf&ODMh}fcS=2 zR>l8Gu=5iXjY?LvIhRN69XXRdP!D(go(sAhqUdv?=xFRmuH1ZtH{3d%-B=HL0~d6; z=Jjb^4SSorKL5jAVDp8jq^$A_y03E(d=LNpTZl2x zP84Gdh7g6Pc#r(^3u3;sO}gov^wlv_d)S9Z)@TED*DNpU+afNu+{n>qv9O7fegV** zU6tRo##8)ud#>d`U%TROZiT=WDD_dv@sf{@^k|17z4e}j%jd~1r#{Ic42jM1OEBDtcBs2U3bSzm~$Gw&)tZWxU8wB4dzZwF7!a-Q+2e#oD9|M zj}#R0Xt{TmxrerGH^uVJV7|mTEPC;`1HmYBVa_21V$;Ud(Gq95X{hd6aEWsO=EB`e z9GDAEmYFcVrQE) z9HM^@DU4ktIM;HVi-OC4GCID9$gxwC8hw0Wbj{P*04H(mJ_5C$tdE^b0{ z@T;T2;mI2xi~*)rs5(eK{Z>y+l8$2!@Lh5qX)&8`MGkRGAn1n(V?!tgcWyLA#=%W@ zFuW$?kisF%B7Yvm_mV@K2WspW!5M9GNU7PJaRlydch69Q87z&q zCD-!4EEyGs{GXXhUp%_wU$L)k=mn==PjS)COn91&ZSs5w|KNlQ_yVyES%KB;#7qYT zQS{dFEQw6t_cbr74ROp=zky%FYfQWE_seys?;x>N^BThFI2iV4nY;Yam$O4 z(G&_2?bC{T@VlK(+JjG6hH5U?>B$-H0S{Y}Zj;#OoBdKX4~!e45fi|lxJ5#{4>4FY z_dcb`VtOeQ_P#f~Kwm$ZRMV48k`5bSOWf0w!G#++S`CXm!lH8LG>vsh85Dh2(uXLf zpna@K(C`x0DP>=qJkB|8YVh?_ad5_4EVW~#LA^I|dn0w8@OOeLAiekHdd=`V3%%?A zh`%G#!tlF8GU>aC=k%!DZnhlw64prg%&9(ajbc8sDlb@hmYTr|$W;6aaFcRLBd4H4 z7$QTBIb7xUMr0st8cLf%G0PMC(DhI1N&WhOgc-A#^*N!m)){WK>e!l$Kd0T^JJ41$!60{3Zcl)>*E zlcSBV-BT9#V^ZnM7&P;=M)HAoVb?tz`rHs^KUUmz5^LeA)jP}LyxtcOwHTLw3E_w? zJrw&EElMUu*8H#DbgzlUZ>(RWv=*LqD57?i#of}o_s{lEuTFlsczxL0@O%GW>xGki zTupCpdRNW8*v#MP{#djEY zwLb>c9$F78{>!rezeap;(B$QP(nn*D@6%&#cS|uzv-$Z#iR9gLjW+_yfJu(+#4IyVuS4@yr8ny-`Ir)<#(X{tq*C+T=!bR1`X=WlRz85SyN{)-dXijd#XDz#zN$9dQuT z(I32T)SdEo?PA}oF18hICbJ8HqLre{0{E1dTQ#XjHF2 z0W}IFqQD{)*nt99P$1wtn%sL}ccTU|3y05SI~rjG^<2=cE`o_PSfNQthtYPuT@f9J zuHMyW-ittrK-Lx0JqSMS(cNsDgg}at1G}N}c@Sve48YG{&|N76_%{`ri)jG=s=eVF zVmWa?T_?=9+xH%+edlO$7ot-&!0hPCZfa;N&>mbB z_w0r|$E2GMsyFuFZsP&lDNFLNSIXD1S4wVUTydWaU=7u&G;k0U}_E#*n&#UEr8jltScy9H}>S2>_Or}<$&&b z;rg`8T}Qi@-#o*1GZ3@8&%X!6c<#MoTLNMZoLl~T5ObrwA&PpZnR;iYJnhwIHzLgT z9~GKu=)0PVf|72m=E6GfJ^P4d_^ffgJEgK_*X@BZCP z^B51n9i$^F)nWi{(h>yVo_=8}H;!+?ICkhn8?vveexORIFh%vq=sfs;f6~1g#aU)p8WmI8F7OPlK@iEb*JjS=(Un|01yLmxFKra%kDFZoOTvU${I= zc1_xr)U$u0M!1b=-W@OW6b9~LWQ<>rZPR#RjPJ{qeXE3p^(f-VdM8(Y4n^?wl!MOe zDAN7H>LQknSxte%b#wwpKLN8hbOPs7%w7ZzTiX1xmJ-ldrX#kmLnh=ug^-@{ z(Y0x9bz>yP3DGi7DqtVR;^GZ}JOPle3xZKVs|#@uNPed;@{SGBJEN9oTqg@BKxcCN zWLj|3?(*=~{WIaWVRbAyL9gsk3g7x+;uY}F+?6x5kCK`=jNESxqjpAyv^R#&5A{#K z!zsy4=9wv(fEeDxWX8-P@%&I;g7TtwQfRO2b?>P2LvOH;0b~9%el(W}8sfjg@T~Mn z@%&JiuugrO&JV3lqPU(+_dCud!{>+G(w7o$(fOex9drn2yPm*seyEKf0_yfX$U*bh zwGil{5NHWEc?JVB6#vFnVam}ViY7|TCj#M&KhZ%>x5pfWDzx8sfAViga99rt(pDkfnc#m_gOwCYHA0Pwazl zh8ur9hto(q^Gj%;(a7#equ`LN@UIbm5L~#@k)Xe*b1VwJa20U=YTjFR`5uBI-td;u zw-;xbuyFO9ad1|2g{8#=dF=4>YMvwjYRxb}C{!KpGS3+!Sm8@0RycM35mxlK5i6ue z@S`DE&I+ocPus*}Y6jDql(hDum>OQWv76T3U*ZD?tTD7?#CF|*ETupvSA#^k%})`^ z-w;ts3TYYXc(b}p`x#!k_#i?Q?<^r5_T3q)*vE>^p@tn2alAk{5KR`w`bOe)8qqZe zb^g5=t^pdZdAn58B%#WiMZi`9r0qKb#45am$LsKd$5p2(Ugy&^db5^2Z&QVWkOu=? zI=o2K!&5D=8zbRV#h|$DjE6XxL7epI%=?B1)u$ZL#Q|}6ivuDEc_OWZ#e%b3HJBe^ zyH!AW^N0@-U;ps+D408z_I2%=19{BR;edm>Zg-pT6!1F7;&6lY2AyTA+pV7^A&<@U zS_Ce*O=Ec$LaiT>EgnAZ9Ics8E$$`{zz|x;+7S8kU;ij(Iq>@>Oc)0Y5GXYGapoA9zdGx101PJND!==T7XWc0v;60t0P>KTXhKDGX{(&gP z`Gg>)JH6pFOFPe0=$O%Fz=V>pKSNEZMop;gQ8_~tZRPXf1Aho97_~usS*q&;pT2dY z0}wHpCc$*3P2l62YCE|P%nQ&imf58=ob|3ag;NoePVZsH_npC0soKdQtunnuF%m`c z?(!kxjFm9BfZ?z&7pQqSjT7;Ioywk5J{&;OVea5aYSPkSl|n~S3uJ>I+ZDIm(3liu ziYlNN99%C@XkimJkcae>ZeX!v!QOL$jP`ebM0-;?k*Sr9V?9rqb%SP_x;T}J(xi|y z6o5jfQmK97snkZ<^9qbVM3IcNVfIx;a6hI~X{;t*cHC2cgR$3w9OF@zoweE~iVzjM z^4Yd^T<`hvv39u?k5 z$2s<8Nau-+jlAzLsS*ptbGqp40UIJGn~|R;!IxU(b$e8cBrNu@jG`jHP8(2$ykCEb zdW0%$roq?7={?CM-XBTg0V-1hzhhJkhr%1JjwDXtKub1r42?cfd{2_>5-F+a^nQ@P zU*QywD{vQS`ql6^QN~LfiogLE&uVtL;r;bZ+V$c7dLg=k`m2lj%RzIJwe$Wu$s<1ZsIsZQ4pM)8lZH2~v-5-DE9rbP zBNpz^_}8=}{_>-BWI1cdskvkjeM7M;T}pQW1@n>g>4NkTCX|0XO_V;SJEcz%80C)0 zI7p0w^wEpb$4`uc^l_2&sY?3Z4){?>`V?{L^NW!5aYOp}QwMV&KxsM?FG`>2kn|A= zUXC;IjbRgqz-EeIfoK)kyk_HVV)F@;QJpT)rqZa>9~3Yu%Y&n?pU$~gB+0(Qs0_}{ zx#R!gA3`YRlX}d@?21R6dfen(@!H-^E?R=EVYM~RR*A^rq_;v zvWlj&J%_pw*J=ryJ)UjUPvBTB$X%9=uk3TitF$NaV`n4Pi1Q^>$Zho9Azs^`q z*y!NsI<049krVll*ZdaI@*ASXL9THhtW-~z2W^0HJN`vWP;Rz{{ff$cOJn3PcqXua zjL6MPfNt(GPJ?B;f#jww+21@YH-G5k<~EP*IzrWWQH|Z~Rg=PO?lPc>XDu5rLI8y~ zSRu^#FZ+Jch3+5!BdV~LwjMl?Heu|@h|p`r-itHY|5FIPN4U`2r`j?s^iJe)dXoXT zgbr&848hy5eHL?}m+bl}nrhw=29*0Kth+XX?>ZD2HB7%rFMbUogG|WHO6M{R>MAw8 zU&r5X556ZExPQ}07pnMH(?%^bX10!TU(p8-ueOc}&D@esvp85tr%#^vJhh25^S4?G z(8S4A_q5@YtLC3!YzKU^`!KfM(iaonr?D-uF-()pws(?NBC6}^(0DtBXt#5%x>Q0A z!c7$Qk*4@Qs_!{v(gku-Q5s70$kKw5Qi;K?T7`*W8IWtQcvJYY&0KR}pq!RaKOIbV{S5si zeGdHuD7<-{mZ?vvU>yo+TN(L2jZ5I~rkL-k6!RT}KrSc6d|N5z+e=~GPki*NvbfX6 zYX@fgCswz-TwE=kp8JZbiq508s3|z?vUA~#jrB|1`9)_LkMquR-QHYz_Mq zh5s1%#XH#bo-*zO#CcN$`9_K$ABu>2sw}S4xAEE8uFU*7FBj)GkSF~!@}xTxYyQ-m ze!@(S_}$7Jc+xdagrHqdibdqv5?Lz__BW8HUOyI_@4hJ~*L{$Fq&9c*#`;2`uCHSaQ!|c zdmgdrPgxP@wr#8%Zs63D8$L)Ms2c$0w}=ewL?UD9WRPJlB{GcriHsMCjQ;s2ANnA@ zyFO;xM)`Rn!xB$q?C`(->}>zG{GykOwVFBKe?@gE=@)N5*PlgXc%RHXV^M zFd1Y>e?(-o9UwAp5gEQECx7rkdSl}W3e)FTg&uo%NTsW_$+f}(ZAl>BsrWpm+46H| z_y>vY+Hahyvq`=B4K?a#rr)yviu>@3@$H{Fz(h$8rQnk^j4~tPuTIB(1^$p^>+wey z-Qme&N)E}`61=3Nf$MM|i#yd#SLi*ZsXSfu4t~7hJ55w!3Vi6u)8a35r+nos>@6UV zaE9(YALS`FnPL<}_fUDFmExg8_fUQT^60xlp*!VMy+1@=1pY$zP(B=>oSx8~<3V}i zQ6&CC_fYw#kw@(c-9!05M;_4`x`*mf*{yyg*s$7Q~xuEl%a1J59A4N;B@vM|29$ z74dGf(>?eVjbV(mV*-S{NazqLJ@9Dr;l$`57i@hE=Z}PiQr2D3FqFkZVS*6Dn=`|e z)8|x&+sgOT($Z56sfI^NtMtVS<`pfNH!po|x~;&rFx@aOt-z2rcmBe(qQcDdVnfl~ zEd>h;<`t(`l{~h!V8_DZt+Vkh*xBXyrts`yTS4jFAKNYD%ooNAQ`OO7QngkdkA9QP zV^a09=q0K}5sNWwrQ_MMXsKF!kVOj-5n?hdk{6?g3>1@VPz>Lh8!jD&$q+1!w}=05xiJGtK^7sMAIz6dkyT44f*i)6&6qTS@wA07CCWzfb;^pSU&qd z`>6GQ|6(@#&QE5Kk`!!B@PpBee}tJ0f8rS*A7-IXGBfcz%q;jJX2!q7%VtcOOF82XPr3=zLXs*f$vR^O40|XM?Z$XIL-Jcr#TD6PiBkc4S&Xa%XwM=Dk-*%(Qqidf1N z;Z=9xOTFtk^R8$7zZk(wg#UBsF^F&bUC#&Zdd5y}@DkylxC>uv=yed^k-MIc-t~O! zuIE?odal3gdFNfvuif=bHjsFU^j!!&2I(PjJNiAHbsPP>>8|IH?(vK*r#pg=9;ACBW5hFwjeSJUC)@4 z?n#Wf>7I=LKZNcvjJ42xGAe@wiEry~wiOgq7VluGkXuzOwQ$?kt@s2!ONCTQrLX9h z7Ccr}yggKSdsQV%tt>7J!j|H@{4BK`U(HXw_x=ZG!`Li*G*q;#w7i(5k_lH(7?cRG zMk}jq1uS*@&aHI+e|*urKo<^Qb_89!hMyoEgJ}|{l%~F?i`Fcouh1wdMrH_x-W%z! zoKoT?YH_j5=yDE}`BSJ6?xA_)|&*uTZ(&c*am4zJ^fFiVS)`4S&>L;`RRpcVB^W diff --git a/STM32/MDK-ARM/WOLF-Lite/lcd.d b/STM32/MDK-ARM/WOLF-Lite/lcd.d deleted file mode 100644 index 3611567..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/lcd.d +++ /dev/null @@ -1,65 +0,0 @@ -wolf-lite/lcd.o: ..\Core\Src\lcd.c ..\Core\Inc\main.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \ - ..\Core\Inc\stm32f4xx_hal_conf.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \ - ..\Drivers\CMSIS\Include\core_cm4.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \ - ..\Drivers\CMSIS\Include\cmsis_version.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - ..\Drivers\CMSIS\Include\cmsis_armclang.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \ - ..\Drivers\CMSIS\Include\mpu_armv7.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h \ - ..\Core\Src\lcd.h ..\Core\Src\trx_manager.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdbool.h \ - ..\Core\Src\settings.h C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdio.h \ - ..\Core\Src\functions.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h ..\Core\Src\profiler.h \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h ..\Core\Src\bands.h \ - ..\Core\Src\lcd_driver.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdlib.h ..\Core\Src\images.h \ - ..\Core\Src\lcd_driver_ILI9481.h ..\Core\Src\screen_layout.h \ - ..\Core\Src\color_themes.h ..\Core\Src\fonts.h ..\Core\Src\agc.h \ - ..\Core\Src\audio_processor.h ..\Core\Src\system_menu.h \ - ..\Core\Src\wm8731.h ..\Core\Src\audio_filters.h ..\Core\Src\fpga.h \ - ..\Core\Src\fft.h ..\Core\Src\usbd_ua3reo.h \ - ..\Core\USBDevice\usbd_ioreq.h ..\Core\USBDevice\usbd_def.h \ - ..\Core\USBDevice\usbd_conf.h ..\Core\USBDevice\usbd_core.h \ - ..\Core\USBDevice\usbd_ioreq.h ..\Core\USBDevice\usbd_ctlreq.h \ - ..\Core\Src\front_unit.h diff --git a/STM32/MDK-ARM/WOLF-Lite/lcd.o b/STM32/MDK-ARM/WOLF-Lite/lcd.o deleted file mode 100644 index 5e730bf88e812fe7ad71ad6db46831507abde208..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 134956 zcmdqJ3qTa*`aeF)zz%X-R8Ul4hcKh^vMA{ymz@8O<~K_2T^t!XDLV*j7#-Ccw(=k`6{-~a#nFYe4c z&phvQf1mex-&($vWsjfV4nG+Z2a?TX`u2K&%0zXXO5#|8Jg%W)IZ)N{9l0Ri_H z1Q~|je%HYz%YN8DZAjzLiJH-VTuczh6|dyDK%W?nC=I|@D2{#1+~|9&Hgt624$Y>Z z>;3!(Mf;X6kRvDgOxO`Pp*?hTn9)Dtwt&$qx2ESGiHV)qucR#0yu)WvqyN4zF>Ufz z@omlMm75}Nio2;ietqq{$Haz4(0xSYxZmSE`ntwG;-byIzlp8cghSh=%JQ&&Qy(o0 z+|)ibw4**!GeQ2K=EkZ`Z-0H@>rt=fA#j0Vbc${zjlA;%lCX_yP@&AnH(1p zq*jx2e7Rz;oHFO{ZMx4)Mxgj;q@FkA>rN?0Qy59>{Ji0(SBX}3`?;rLZ|{5k)YOin zn?IPk+dmt?yZiY|PbO}Z$KJ{*cMRq({Kk(9*v3^@Cf5AGRGIeHtf}>`(x;YW6mQAy z+V;C)e=-N4+i;Fce|F-q@w-eu@{FsqDrbdoyC3)A)-7($;p7E{??!UUT|U0MpXkHA zvCBtZDcm}9VxQkM?^^!IeV>?Os`h0M&;4y6_r>*j8hOK@A2`J_*f%$OQ(xIODWdO% z>`m`)*}-czM~wLGZ3~{r2|HcB>QOGN$*@ryH)qFmS&9n_@C&;Ao%jH9&X(sUMU1#G zXVY}~rR%d#yc$$2$!{Eu=u6%^xGTbsD-GWK$n=fzkCny;_zoxze)6{3nAJBvSncVaUjynLS4Y@G9 z!-bEgeCvt=p|HGUWrVQKQnEgmFDxiomoKc06ISN(E7OIC($Ov%yR)RATv(UOuaZl~ z=9c6u!fNh#OyudD=q8QWSQ+_oYgBt>)ZyZ&vudQHGWcvvR{*j*OIDT(4>1a> zjlxanyN@fhZKl39>;b^e`@RH9na@P zpXH+4DHISLxx(2;+c~BroE)Nw}C?#vlOUBlftQH}gz=d#! zqs~gid0rEJ+CqALs)nF(qBXiPCh8*Hiat>p zeb!7uo+~D|eyBzRt=$ss@V3v(k?7^7aM2#=C=O|i3AxA+&We#uInk$;;3IazLE{*R zVV>Gzv!#R;hila}WEul>& zJ!hILY|lFI6n8m-9{^~Mu?QhVBv#`>gF)}->Z z#PYNuDR!Mh(Q;BSHg*Ev!qfk?ySjP|fC7=Wrbr2&Bl#})sC#q>f_NPIf@n|1M z`uIFEymC5z#)Y<*hg=Q~L7aYeLVG1N0^37AGw3d#&^krkXNHi^4LZ<)*pR=S+nHvph0MGJdG?#f z*I5&f_tIL@eUqt!3?Q}45z^`i0i7NypRgvMBJN2C(uN#Q8~>Cwse(`3Uz`f=2kMi1 zs>WRrb>Bjm){w8Eg{W)gbk6dSuku5@*7J}$0<#;->c>M7xaLG!Vi})uzzR~vQ`W?t zX;70GT>#SpE)>@ICO_meNe6>CGj*yFnCRkwJ^3ikH)WGG`Kh!-@?#ndm2!$Xh`S%X zPK5kDUw|FHRJHd@A(uH_UM=ah!Xlt?AzufZ|DA5>E@bMy6iLXhrI616vFL!d(x7vC z+pDAy^m0@9yCuXa3GK+y3}IO)Sw8QC_EQVtpwR{*5S8oE4(-tvd$bKb+ER~pW{-AH zn)wVa>e^H-tnp2`&ME1>Mq3J5#mKfhL#}YTZ=50R07A|a+E&D{MOW~XOQsG)B`>=T zCHK&%XGgb=fIweM$d_t}yVn{f?fFdIMPy&MNl$o9>ShX#r5g`^unewa{=QBX~x)Cq5^pV7MVa9I&#u;t}~6x!&ZUY8Uu( z@u!tgN;M{~pQL$^yJFW?g#su?xPi;KbV;8lKFT?)!M@jV?`W?Zgu7Cluc#febr*NU zVzv9KK3PMi?zu`GG)`W+?iflVb2MhC3&m02R0dXOD3%*?RIg}j5BnF2P4z-Gm zjytJPp-L~JWHse>5*zZb>|OkbQ6JkQf4%t0FUimU2L;dhn5g$q&J{LS2n=F_eT{3%>(WE3A@*#GOKcr(KdLqLs2U~{3!1h*6u9HM>*{_f@gWZW9YJG52Wy@}mz(7=r=@&tKJwdF+KWX?c3VxgMA!98@WCwEoYS7#oSXMZXP^* zNX0Gw@)&=gq3Qf$>tU^ro2im#JWIl)TP^ji3^>@A<8H;ecPv)@{Wn@Bh*Lv1hH5)V`x}^%Po5o1iJ`)D-w)^G;pSB#9Unw2}wYdHxv07f2E3Bw3 zNs233@maIN)U}cj+N2em7wpeEnR-Vv4Lo>SebBnNA5l{hO#$6#| zG*f$BsIXENP)w}jJjh?ME-wSQwkk)!C|Z+mT@xo@SxBove_@xf$^Y$`w8=LAK7(VX z-VyuTzumI_op)Y*c75l9?QieB6w*iH>?1t8K%yi^^{e7G&gC?rq=Y1MNkc#H>-!q- zBht0ZY1X9YNSa;&>bjk$4Y&({uYYaYO^^78<}Jil7425}_@0hA zbHvyG)p!IF{&55su%+!&aC zOM|z(6%5PJhv=NVnErJBt37dU(pLv-PxRz<^82Yj!TILt&-=eOmRx`j;}zdN&-$LW zo&lJJKQAd87cpmCI&koh;Vj-iuf7*fpGQTB`3T)en0|4yRv6ls8^{ItkgxB1WRA7? z0jt>!p@6Bl<78ye8$*KJI!JJL&BL}2Ef+qrcoZdX?hET}z^WUogSYfjYR4T>%M4qW zmxC9vBVLEU@V6E(w+?mNlz45LJtZRO!Jf{ld2Re*OKmS_o%wTLZT%?sqND=e*DsyyY)F?Ytu|>H&k=OQe;zJuX3%et9#mT(W)s!f_C?^;UAOETGBu1IVc|cS#(Ue4N4@95Ch^>oCHXl+AO(1D&x4b2RC zG$~?TF9ZH5VrVJH@l0lk@?>_*|*z2mr`t?eb%-P%BrlOQ%eY{E+514Ai?N&wK1U;TUg z`@uOq#U9!c6elrNfg;jGuwFZ5+}341W&UQ&ZcamE2Ol<0M-Gns#PDiQfuDO{;e21~ zIc<#}94R{n^q5d?oNDDmKgL?Pd+w`Tnt#A9_VWI^4;%&7oHacaU-T#YB`yDD&#-@X znic8gY){?$J*#aP67*_M)oNx1KRPaAc`uK=G&u4BgQM4=UU`f0jpDqX9DVn~N{f4u zS{3~0azn4sef@svM|=Lko|8+zGnP44d#`++^|CoUfd5_#JJ5%ma_chwzTho~uFX^2 z_alb}ZN3uhTaxvsA>tw_0F$G{j3jZQTK>g@d`VDx4CjmfG%fgZz7aFNU7q>4&yBt+ zu0?z~jm)LpbT#;?nc`+P1_@c@LM`cjhl_Uv)KH4`l>x)qC+OKMqnxerm)#yEsAfyn z_(;RgST~hfZwgP>M8Ib=71 zAd3W;n{{Vm?Tq3u9}BK&Mw2;7jtReA7&NGSf;%qQ4Sz$;eScF{_|2ff>LURLvJi4z zlw}|1tAe%rLt38+*?SkXmH+`KH|xjG*=GgTgmS1}WWe04rR4PGU@hUgoAoT4Fnc(s z$p_5+A%i#Y$DlPPB325zwSJ+{*$%B`h#?Xt(>g`>#NA*`)Vf*E`l9%KVjb9%_3V`0 z8^BtI;N7gl>x3*Lr#V5?*axg_=lIQ$KlSz1hm@WCjlMEcn@EU^b%n0qYOpTAbvNtq z%bIVAH8Q(Qh|JebsdcBo8ushKx<%-p1cOfm61gjsgTdGR=$IkQ@Fy=wfZ+xVeqNt` zND9!XxTcC#qJRu=S>K6*kV63=Pq3(XOR2R(NVS)cw}eH`=6n?3xIg6U=zu4aIgNoj zvJVK}G{A3jwCuU26XN!j(!*(5iP{8kOE_an%yqnoD-y*Ujn(Dl8m|L9DyPp#Z)7;6 zn@5omHzqG)mU1&@HvD5)}gW@s|BdnDLr^W}Dqdr3NZ3!a}x?;Jj;@6__LKEtLQ z^G)-qkd}rW^_TjFO}zEg#KjdBDZV0YP{o9puv_msb=S7A$&ZB1DGawriL)$HaaP*m zKhGZ&KBclEvoI@t#N&6|_;}W{VRyd&XG!yTj%3f8ajD|&C&Q=jdVF?Fc=qcR)8ZeW zYyR`>ZI92{_xP=sgs|K0&YC!)V(Md$&%bNdq?A*ck32r_`mot&!e;q}FO|N1e2Mh% zsmX(ciZ#+J;di`{HMOrcY>^b6b?3Jg3#BM+*u2M2O?yA<_NdStUq$=Hhl-EcRy$f* zKPKS0t)uv+r&lOn%om!9l;lL-w##3*sq=$Of8I7u*~159D_ozZor)4@`-^fR*mhYd*V#fVPxZIWu9Su}y5$wk#9;?PVgBfCC)D+OWE(2agQPjO%E#NLeqLBs87HSWon;* zCzQrM0k0?*0s}r!_6G-aD5|che`Q7Arl`t<{;xqe8-86isyb_2MqRbToH4g1?)Ij{UBl-F41T8b-hl6(IsTyS)e;|*7MxarnmOwebDrm7s@`%SiLvv%Yf_`)gPMX8en_gRuQiZ zjp1!?j#k>o@J**+(Mf#3M+r*YoxE-QSVdgMH_aQT9C?_x4KymdH}g%88u z^LpF7s`WPpd{E^aVH;F^BECsqz55p1{_47vrm;0ax7t3bDVrHkvCDCH)3|3MmNxzN znb7+)&OOudKvVLcatm#%c4z*^cIDZvF9hs-zTjxUz!z#;Y;Ww%`?Be!7l#VaBVOr@ z;WJuBD_2tZj6WwRtMBGBemhoK{UD#w*Qi`6<(oDnDu!oy+l(Y7x1P5}q$urBXKjjd z`V6d*qU3+VXPiw@4tx&fOv)ABuc<3jX&>U(^zaNNa-3gAe3o)L&CfPymQsGVpKa%C zCAZKoV0N}*dE74`d5+?E*)O1LuHtydFQDLV#nR?y`}Q8?DVJZy>-oy5K|)5+O2vPK z&~#>{QX4O1R3+GUA8Fd_%rGbseA5_3;xiJIY`)2;)bdRxMf3~EQY?M}bCf-P8S`LN z+dWFQU@K5+g^V3adLP?w6{Szp@08LmBR8o2{sR zw)qMtH03K(g{F0iv5)OZrK6AS6{RlF_P%mD*w&&*x{SXodvpQ%O1ZzyRLKo&T2pyq zV89cVrw29tu~Hq%dp8FQY<6YC#vfbZ7)^lCuO`<9g&jp=jyx^+u3UW45h=CsrU!l?$s&|K486)&SyNk zDYz*?Q8Ts=h~+-Z)K1FuIl5H(eP4O7n5)rNJRm*tP*~lB$HFsTUlLMLRV~Gb6;140 z##Kl$VH0!0(ksKJwuT$cmHjKmoA>pvNHW{|hozWX`-hp#ngJCSbKe0KIp&xF6${Mq z11c7p%>ybHncp4|w#?i*pklfC(twHw%$k7}`R2X@D^{3e23D*z#}BMnWi}72SZ$s@ zu;M{;&cLv>=Hh{2h33kEVGo(@11r{>-yayZ!F*|8m}2fbIIPNiBz8uP7# z!*-eP8XWeFdFkM=KbZ>$hwU~$GB|9~im+Svh27p7Hmz^?jQH@Z>EW|iglAWV&$Wl& zeJMOgiqVEGl+4<&#Zrzo>|Uu@8@5!c)P~(J*|lNIq*iU%1CmAvTOq{=73Lc&(r&Go zv@~qBlp}-{NR>j^dZ|?iQ>2)Huo@{RAZ)i(84$KdY7NM=?2d(v^iTAgn~}FWHu#i+ z^Nsr|A2pU+$7H*kiHbGB(=>6L*Hbh8qkc=}WzQz4uPz#FnL9qXc0{H2^b<4qE#t>; zpX2Uj2<7j;ao?^Z0DXN81lj~TECub~b>xYDL%t~Bjf%Cqxtld(?f%@L{E(3w`);oo zdSirr>#ZX&5)OVEFze$90P(|L%RV|{4muwG#x@HaL4J5$w%^Tp03Guwb%PcTdl4Wa-#7!H z!@#N@Uh4rJ_hPjTCoH0M!V8KW;q93FX~1l7+$W`tc=f{35m}c)5XFZ9`;8&cMQ_J8fZVj4 zhiz_m#EyDCeKI3oaMB}0+NeDqTI+mD-9juJgFIxPD6R6*1ueS-rum)H`o@lk*@M5}_fg-$E)$xc`X4Ob*;Cu%O(H0L6 z4JWz8Hrp%*b&MVTyao0G`JtBsW`pBCY2j!u`8b{lu}`WV&0+#r!&e2)K0#P*gvI1C zSWEAL5MN2LW4s-)88Ta~B3fgfw;a7`4muh^o`I^z)TV2P6DWBmuo$f|-k~711ha|{8!G->5;TI%p zLZkl{!@Qi(gp8MimmjYOs6OHS4nG57-7zz8{?PgX7bO0cBX!!b%l8E)%&0HB-%<}+ zX}6p#KW5GYX!Zxeize~|zmsC)UUY6AojW}!A-k<~F0lN~)wjI3+YOo*yKQw`_24(9 zwp-rm*leJVmfw`l_pb)6*s-4gG!LLfA8ecNUmp%p$2NLExn5B9SZ~KX213WSZczTq zW#~w>#=RnM8GX-V!3nEp23q3U!p}$x$2Cfm6Y^H*5(+*Do)1y`n5)Nq0#H6c>&HC0 z+P`hUIjL=2z>^IV=CuYV$d|Xx=h7fVtg#)I$c0>+E^k|zUk|K>Mi^%tfwrbSI-j$G zW3{od!!LzEWqjGn3c_kLp7&st&xGxnj)~2gf-wtN75zb;IxbB75IR!Fo!0I1^V*13 zB9SLUKNY*(Lo3lMgc7@bJtH4Gp0Mr%R!#qHD>)_?fl`S4?u8)_<}76z}9>%-rZ7BUc#Z+zKZQTo`g8HaNB&D9|*Zp_ILQj6V_>~ZYAvX zo>ZN3RxB|rZw*TL*h{`G<(+g00g%H>J~s7rQ5Z{D?PEeba-|+rOGanCADVFCa!?Ke z;EYtA`iZPHW+8Ge#_DqOs}VVEsqc6|PQ;g-22iZ&EI{*sb)~J;Jrow2>gpzsBzJT$ z5ZTMrc41P&^43r`8D>|r$?%nq$s?EN1SO205VT-_{eWXqTHHGw+R<46ZRz)D$?;Fa zL(TQ3R&Mgd{1qVyU1=efEA<2ZD)G{Oaq_4p^6WlKUnK-!wJ2anW; zACs(7bAdJ?A94j`1ukj-bbwQ;mk!o#PM8#WaAb&1XHJNH11fH&~OY?}-me zNboJY>$uN=dTC+WDgR@`^Hu~U7`>qCG!|N}k>uLk ziqZ$#tH|~YL}8LQv2R{(efVFcw#g^z{6;^g2~5bZ3CvDsew^a9*J>~Mg;Tub3%sD} zDPFbLdqHj8Sh5!so9?yOrfz$sdpmCRVpXSm?N#OlwWWLQRo-o{TfO$G=(g9bUVH8A zw%4s*dp*@n>sGJ56fYdqDx4kl6KfNVk9wKM=>e6MQRz!3)17!iUCn4l+gj~3} z?YjLZ{6@@~u1nZk6EGW7Cg$4fUsX|c^hI%+6*&6lNVIq-SNGNo{s$O zURp~gctEkYKeyX&|6-QWn&hZ6(mg5&p+wHtKSOUpX+`3sXq zlMo;KdTNul+r5@>T=A4XZE@ZTCU=IXHi^B1L?z?2qa%t_D0GJx>q0whPoU~M_FE>6 zAo3SM%U#p}*-Z-|w+Xp#J)*{DqEuvM zlESA3}A&#@&v%b>0X5*W|hu4RR!BuPxtyU(u>tL-Ai7a1*Z{K>40RNwdcg7(ep5n=X@40=hWfwKTGwqm=HO-g!E4X?mR)Dwpp(478|Lkp|CwzTIMO<8uc#d{ z53Apme=faeXc|@lX?MP*V7Ud`uknJcckXFCdec0}wdQ2VQcD^Xs=o6`qhCCUy8ad0 zywG}p+U`8wxOo(*UB07iUI$r%&wi`XZwz(ZxT|#DP{K;fKGHa8Bw=kqM}}q1-V3z} z%jQ=)j~TlDhPOeUKxId5?v8CY9BJG=^%*TKf4s>^Puzm+D14&O!Y5QJ1Gc9%-(gt7-7#)&BUaMjcw}?n3WP604p>-81JwAL zZ64wt9-4PB8^%G$<40|th59@%`Hd%8Fd+c$W-$S*laoqkA?~pmakp2l4<8I%03m$L zR)E|>oc*(B*<63OcO`vp7uMTV6ioNL(YSdet&u+k$19B1J>H>k{_pG_vzF^gkSF}lg zEcW~Bu=|78?B`40oBrY8i=zL4KR&C)xb zTbIVa`@#~#h-Y30;?acHUb63xdws$A=LZ~qe(%I*PV{^F*sHhgT>iHa)2kw%J#yuy zKi`;hpx=WT>7|qZ(9e86^G_4s4j*&#^y_|`QISy;)aANV{MOgzenHmjTsQb{F_*jg z`_+pvsd4)sO4b%TM}}Vb_P!;KVkuudZ7wsH6_*vC=2me&1-@VT9`iru|5a!~WRSXZ zjE_A&TAR0N-(Q0k9DC%)8>XJ#`Q#(-P8K7!9b2+w(ea(!ZJik!%a$U`mO*=3i~DY! zAo_2{&_-v0Fgx|A7`fx6dCe@*FMEc0_`Jc)fjawo^ zzQKT3WPCWm5gZxh6Qnsle$B!^#y=Y0uyf(@*d=40{5n{J=dKPpS`FqLdQKeU6Ca}; zv|&>p^>~n+(-pr&tDYXLEh-C^FGc&PElyL=7$0+?50?|f$?p%=#vgXL2&Vu-q-cSo zRsY!Pvga5gg0$ajitQFdw9{2gpC8$=Sg(yzk89;Y+{UYdyiBW}kB$}@>B11s^`qc! z!oRNK;0S|7-6-gyQ|{o3_uU(DEMnt|q7ciL_+vrp=?K|PjvZb0BA*VE`a|I9mSf)x z`(4VJPq#-Fe#+UeMsIxop^*5tlqFj(oeNQ~Mn?Mx@e%SFA63sewemP0!yr|@r0L2z z9j%pHTh43b9K7%+Ykr1yMwP$Qgmw*zlFtOmXCl0fAh~sef%>NSIHEX5;ozVmt}7U> zCB0z)G>XY;P|a-|A4|QX_{?CRm}3!#{VD#)Zt6xp=Wu-M&gsXeP8en>k3X|BE;lS8 zBt)ylD-ZT#5tfbddXBs^#>bFT7Nx54vLHm{XrFxm2YLQ=fhBR2!8u6Fbrpa2QQm7G z<=Okh__IImqh+{!&7Px9e55u_<0{^k)A^~T*na-CAwk<8lF@tYQ_G@5w1~BuPH!O!qN));KBum^@E(pwxk&3Yh7vZ(C6KP18tT|1<4Srp#=uzda~0V zTo}<{DATMzR$Oc^4B67~4XDbCH)*0;sZzj}Oa>Xlv79{$_H`9FO89exBp zZNug2`9EGc(jw1i zmL#?3=pOD!6SdQ~rVU-tcU!^4p~I#>a@(Q>{r}K0F>dm7+sv8;;V%?S8op$D>D=}O z1K;YHWY{vjY~j#_gWfHeobb%_ZTBx)IOLO#$;M;TA6-=w+chET%YrG%=cjLfsC}XS z$BrqIc1F2%=%Se5!t{y5W;|B9Xi;p`)%5hqGj{x@X3_N{3U8gdWX5lP-@fREl&iO9 zZkbV0J#_Jnw-;t)Ju~C+=NB!$`R=P3*~ex)@p8@L5z7j1n|FT3Z{KWRJnG@Aw^_6^ zch(PG62Gl*>Y`yYpL}Q0k}-d{IyHCl%-?-*WWKWGmKO?d&s#F{_aC({8TZ!J+w-@~ ze5!5ey@~G@-m&_bnSc1(qI;7*xq3&zv6+9oTyt;Amxa^TpP%{k5AFAwe!MzO)@CXE z^||KYb(x!nWmN_)&P|K@K6C5jtg8OIawm;gH@$30R`rn2a;KzxKfQcQR!!{nOK-h> z-HeK7vUc6Pc|uHdi%0pJU0*7eqht$$o_*Ec8VrxQQ<9CCHNdGEQ`?>!eU zaGa{rU6ZQ;N!MxW)c0t7ZBKHh9?+e${#A{)BpZcu8obol!HcSn(@R{J#;EcR9mmb* zQhS0qfSokDy>WNJYn6aPtH{gl}qRC0vvWJL41vbZZF(SgRg7w6*lXnsk&~Ulf zkJ_4vB)}jdGa|{V#t9;BYz@FlPq2;=`3YE*0p{=`j}fo*KnqnPqLGY--oU9XCQfZO zrK$}O+wL+Isiv>>j+R!ji#K*Qiw7IH11+4hg*TkD8#{UK(0s0=A=WTWO77B-(I8G8 z#A)FSDsPOIWEan=Q;bGcHR*_~sH%tD^d;40GN|=*)nZDfD~@Y68C82F8l5_$JcTo< zoKq0FbI^fTbwyl<-6ZN%Q>VsJV&Xd0lvp5YMT}UA*j?23cZ~n>C#f*JX8e zn&5Y)L^61oAOo0@=Dn1bDL^;_pwVdH%`Enb22AAODYck78PFL9O65pS^nfbR6gqZ6 z+GGlpWEfS}%X$^D!#gwuumnu1#~%lngP<0!lQT*jVMcSZjyVoQ5DDOJ0xyt9SJ+SD zHh?6YAvorP3Q90tEjg;$)X76C)l|gX)odd2l4^30*ed5>9z_$H5I|5ha51b1!{K^^ zYD(oGJ&XY&8fOD8C9YG`r58DQ8s~EJ*TIW`@=hWK?vPgHNm>I&0AvD*Xf74fg1n$| zMvw<4Gox;nK_VVQ=R&#BS#l#l^YO6cgIDCbc=H&ZIUN59n2UY9}-@b%CYc zMT60$F{)MIuuv+9Xf-m$KX+)c4-ihMUEne>xPaNmn){T*;ORE>@Jg9 z1mmbW=XU51i$Nwf;9ZC2ySlDksun`v0D(hBBFjVh^;`%3oErQgvhYWq5LBH^e~3Yu z!jAq(jGzCpdu}||10HAnVLbd1Rd}$2D*&Hl@QVf^oh--#F)rw4?Pf~^a6c6R+llb# zAc+Kr8;BT2ban7f0_Zu^nY}O30rwQ@Pj}GM-6SHQdJiZ%c}0K#oisvH5mNxe#u|~E zCgpcrz6>kka|tN{!zW+GK`*6t*2jtmn>B{y2KE*sLX@N6M1;VwG<^OYfa-AtW7K^> zX8NBH_4?OUUvI@n(5JHhVS$ln69!rcg=k7k0tO2WkZ96chYLi4+XQr5{`qa-G7IV0 zZMtB+L5h0Q#ZPX-O20zN%Lzjr;Y`_^GITRiX*0!ZhsmLH3LQG9rc21jP&3Fa2=nF` zqgroBR_(@ARZ4{#7{&6_7zxctBn_iP3_^oy2OW$<3?U3iJqC;p43O1vlfz9BHw|7qd;LCD2xGxM5C%EGZqqeNY8ODohZ8mgW94&wnwn&6o?1`^$yQWmXASZ zU|Q58#o+&sK@QAEgzFLhyi?Q3VHB#a@2)v{JrWC2np5-NYG7o8DXED3rq;uT_;x_7 zBDKh2!k^HovoIx^V{ktiiSiJTTaf%nWi=TD$QEQt9x)*_kWMXz#Q$e0u*4Te;eO9mGgbZLg$>f@7Di2z>Cqzk4m5=jNn z9RN6!`6y?53JGBG7~Ig(tp(8C_F(;4rUwCJR&*3qdx}w#D5P5SaKa5>izwv|Vj^(~ z1*)qU)sBN|280axB^lw83~ppn5ezsZ^kkewKtNn((z;~;9?3!01UCe+q^6?dc5ou^ zNy(`k<`uBT0MQu1vJ19ortw(|!=R+2sY6As#3YCMA=Mpy4ot+ITrym2LX-*?R+H*} zF)J~Dz`JAu<~*41nz%Htus}iN{2T>{^r3WzNeUKdahW<{1x!yaj0=~kR*$=a2(_Ut z(PDP+hKLuyaxBB@Fj=H7lL;}ytl8zJ(`o9^J9!tTL>C2t5py~Di%%w^!h0)NZKy1L5 z1!EG%H1we`p`c`0vW3P20+Z4x4H9snk%K>qNem~1smZ9eQ1NUMIS%OE_n5K~QvD7{1I&^t6;dXZ){wFNnX<*6iN?^w~Jf3IKy zaR{VBVo5$kis>z?>jp$iJZ3d4M^R%GrFLOu=dzm)f>$S!j(gI8KiF*Ib`&Pw19G^@_1jMQyCsO5mt3au=nHk?2;#&gNz&1Drx` zX4*nfi8UySnBI1#mOFE@86NT!41Gx*ujI4)LM2n=P{PC+F#)>iuMX^98bZ2VxW*;KNQ9Q6~Doe{ADu(kfI*1#FPr2R6sUBo zA=ZgtC` zmQ)jv=VMb1YXL`n5vD+t%c{xMV#-Iq?XG&05xP)a1$N1t7RF%)gk@kN_n@J2l|B-) zD7)eIH<_M&R6PJb=z*}7;av%KyF^2dIT7~=K+fy-Dn_Zt-85k621;DwAfm}LOo{^4 z&H!*T4KWr41faAJW{Ctq_072}Q<{?zk4T9e>;eqgg(*vg9|1seM4VzD2%H=`QG$s8 z;9*5XGI>XXRRmOaXp&)P0$|OAsDK~gDHsXvKs7m5CB6-cF{ezP}4*VAS!79I6x|4T|odnnwTUBa09AA40kj&sAFg{ zgbRtMlVosG)^>ocdy@mj29KG63O96(#UMes0;vJAV{wAX@8=+3A#1=ktfK+rhiI9w z`2mga#k7k9abzGY0aO=}Z(+1X!OBFQr9GAwS+>@bz%>1`B#lJJQC5Q@05L2q6=525 ztfv~>b(vHkj%=Y#Y+W85F@rz@3MD%=5jMbYpsAYV65$D$95b^XK1)X7LDojB8&wW# z8knP#mQGk}QZz6-cj`ff>`ZEloN6XJBPb}=(F09{1dcSrArh#GyoGz{*L_cc3*!KbQ-L{iWQ z*EG1pfR?Br!>j>+z?yV~XtardIRUp?Tqab~Fcgq5yOT|+(m#Y4RP99~F!Xge@LhVa zW#(YQG{?edsMIKIP+m(!+O0whG*z)nKtml;W1qn7d$<;+A}6zX3^>$6Iv^Y%(%=OS z>3zY=7j!A5ks3dun&@&{{`~B zMTJBElMh*&qMYKL;?pgd9v9G^1vcsbub?29+}a|(NMp<_Xdrz4Eh_)1EEshh#|EH_ zG(qJ6U0RSF5E-nRXUAq20*@v*eTRx**L7e%!_hm)(5|K4%@__iQf5F|k0H8U+RniG1f^b*1FE*%fJwgi5N$-5QuWLlsbm?{ zC(U>|fwe15&$K3R2LT(3|Hlp#Bft1L1Z$}O$HchCsO1->`4u2i3gvp57BDHW>Q)dr z4xxp>z`XIld=p8Q(kVPhxs=i?ECvYHwWz)hVP%klmAKBybs-7HV_|?K=wzz|Z1162rA6`>x&$b!Pa z<4|l;Ed_i$1w>>zaNwOdXb_#)L8Gm&E}8Q7ZC$&58>TmwpXutu$m-6@pExWj>zUeSXmp5xM-4|dm-X%V5!6eE<;q_Wwh zTkGa=(3&?wc2M}g?u$|V%O4urD3oX#qxp~X)CSm5LmOKjI3gzCYa~oK8%G$(PF_*f zAIL50kFIf;uDe`Gs6M+~DWHVS2~^50dLYTo+YvZwGyDcZZLU}(omN*oeCRB~j-0u} zl#ICOFdf7W1|H_)5lGi|@cW#jhu%ex zq_!8)vnYhP3lHs0N(oRWP^A`C;;p|TS(N!6>1 z?zra|x`VwMLWI3wK{6n=msD30O+Kia)S`m`>RqtZb`&gX1DoWZ@{1A$e4u9LA|0BhNkIs;nMn zTe_H*AQ5gfqt=Ax@NqIJimVSk|2IHX9l@*~OZ<<* zi7o5?#c*=F8m0s1|3)~;FgYo4Ei(T}IMrhp9Ir;yBKnaFDV*RGgcBS~D?8*d3MU=L zy-_VT(LcI2bz#&|I32<@{6}NlbO&t`E zw3v3eP=2~x1`PWmnhV)R0rm=^39A5TS?b;(pnVnhegTaVi8Dc6Rt3{;0!&DVY;&O@ z9*7M@af$&H^%P(9WC{mj?I70JUI%~1nx^4tgviq-0(TIsWyZQi@KUTNig>I;J37QV zl`Ks%%5K(#h}W4gF0LW|R7Pw`z&1cc`l20Sj1}-iiWXr^s**6#;Q;#x$g55PJ9eE& z&6+OYvk_05>7*BWrJ*)ZIc&|qZ;*ncB{Gs3r3<1?Te>v*a0e9`4s0>&ev1YCU|HCX z7_zJjdT0U**CZ7Y(9^ZuO@K&K+HsSnoQ9P*1|#j0AcyI3pzx)~7|@4S=Xg4b4Gf4! zivdJf7nI?Vg&cY|uxUAr#0;h^XwZSuI>$vrgn#rQek2mAKJcWC5EX`pTqGQ(aF_(L zQ<;m;|Luq}6krp?{|^qR&uC1!4;ejG%6uJS%+puiWC~8R5a09CNm0j*7rE4O6*z(#a(()WoPKGqpFRa$u**^ zhY2t}xX*$C51E-|y=P=U*c6s_(HYELc>kc2FF>E}GYn1F4xHD+D|GJUI=jz!yA=-u z@W!BkHKF&+-uuEe&K>Nn1-u*vf1m_sXV2!P83N&Yx;2ji1xnr?x;!Rz zga&aV#)txtfZPy=hh!u-rYT(M9d6O}2qvdnA*LJIfo*zg5KoBscu=CygM@51$wjQB zLv(CNB*9x^VKumv?ASqgdItz~KN&%HJj73yzzul>yupxcMF9MxITH&KQRNF{bb~0M zrl&emW>T=~3^7UxL#QLJp1m~)C&^3kCbND<0HvnMLS z|G$9>{eJc1eh5Q~V(~2?Mbu71)(~6%yBD4k>WqH4a|f07>u`cqgymz!~&h3-3r1@dB`3ZQw1c(J1Tms(lQ?4f(>$#Oq^| zgPsU?Vd8M&=_jX0e(S(X^K5qj#UYAg2ddIk_yo)KE?pM}HlB|nD`4=_tJ8u3qrMCB z!fsRnA_btqMm7dLb|cW+^Dd#Q2vp)bp2pUVfZ9_;uAm76JL>e>DP{*f5)U@<@Dv@{ z4%>AtF;s!;)ndHQtrj_5Mf5`u*E9^beJ-qV^mur!*}xe&Oa-hWWikjN8~r2;-F-Fiw?D3_>e7jctb*7P7?GGLWKGoygj-8d}Y#=yF-V}}W^8%^oNc26fB!6&!4 zOb43rV}S;gU97*1mwf55x7q@tFeSbn*sST)xP&fjbmHYld{G0?%Ty?pAS3Dn_GKnP z2vHchbK@_Z*?m1TAA8@CBqSOw^!5qGg z^=`M|A>({Jj+lnPxYyxwJ%pWyq7Ij{2tNSPW0RW4QjC>KE)ol-M}YsB|N(@r>3eL6i29og@Cn6jLS|zlfqUVm0k*Y#ErZt5u0t!*~-@bHD;WXM| zLa*O{;mmb5d;gK9o)6w^z6Spf+nUZ6*PAZam@aw%^Q+0{pWj0bMdc(F<5X%QD`3_z zu@^X8=uIUt{ZNPsRVwSLq;=tWCkipRl)lJQh&~ry33kQ6&0MOC*^BkZhC1dkz2~a~ zU80Za4C^>IPYw8DT}WREOB57!CQkkZGyW0?6!1jB*n%y4)1hWeRxVdVg9+8*<-eMM z|KnN*w%)qHe*=V6F&(xbCMgD02vl#f;D}iSW0%5%ez1g@R-|yj{HUk*zGzbr1xpD; z!#n~b|G(1#Y>QC~KJBV+g`Ul(4N$Q&siWo1QOMUu*=4e*upovNWMuQj|5C_%1`{lT zVgSYz@kO#Wv5hWz2u`o(c4B?R-a1BdqN)QgJ>eZlB&HNRnPCYVdFLO`Bs~zYU}K5N zo`^Ro@!m3$HCAR|;hry%uDg>p{qV+)=y4}&kY?3f$TAGcM5gSORT{A`J_dap9g_j5U<&#!Ng$fXemnV z#Dv+U?=-pePAet{Obi&7m>8NN6ip2D9x7}P`=g&8-+#&e4N#2&iY5knsBK4(xhDp_ zXJUwT*fhQLU&ET3sDQU5Ak8zjm4&D2eHv%2XN2 z0}r$@ZT!0p7z}m2dI*CaU)TyUgSbJ(yB=|b+6zAhBbOl+;b&QJ00Mx%Y! z027O+B#=OjDsT7uugG>tU>w<)*_tdyucbFraYw?3s^)_P=S|;8_t~n^t?3 z5S{{oUiEW-@MdA}BIDmFA+X!1?-KA+U%Wvea5OQxCq?8o%6KJ^ngoU%*cJPq--LCj zVyEQ@n$5J!X;NB{tA4!fI@H`!l-!8{;4tBLr+97x-t5Qa>b$q>H>up)abshFcyXxbeI=MwM0w9P7v9Q(D zHBS-Ivq7xWW2@8v5^09cW(^{|1yz*XG7Y}~gYXKN+EFaWiW~+Q?6n=g;>2_`PQ{8- zO>x%aT>(AS(a6g5eBDk{9n^6Y!^ZS1SfptZ83D>rMC&p8L2W#2N1J0gnoQPAc2qF5 zU~2(SzwuIYC&mUWD!1^s9gEn(O9tI(Tn|#-tw4B10#O*1>VvW&#aq``BHVVh|D6dU~+|6$4ot8=`PD4O@5$8_zODh#oFuKh$+g zj#n69-~vLCTQFZu17AEOgKvojrt?E=`2{8vA1Hq5EqzEXG090aw)+V)YG5T&4c09f1gzorK`N~682*MHu?7;HCAatS>E8uIzmplO?l(VTkanX~yHsl~&q6bmXyE~%9 z>TcroCUm1bgsALpiezZFA3e<;UC<;>po!ILcNYlh$tKrM$U(H0(Ye<$v`%s2$IJA4 zX1z>5ccvdY{|w+wRWt;#NcZ&( zed>XZOKnqh(a9VI7=RDucj`diz2O)7ZmZkV#EWno#x0iHt?%gP|xDk^*hJ6ekXk+-ws)9iR? zAwgW|C1XKC$1XZzR}Duvl#Wh1Vvf9aRH=>FymlnMGKBhcX?@4t@iYO((yeI|Qi;`0l-K?|N_RUGG|ZWn&c}J+~Dytha6etRy+3_g-3n zOppWZh;W`{JE%7UBr_2Vx~F0j!Z(fVEzN7!ic;HUy2x=>!x#u!HVljRai;|u#89S}}h!oVyABgj&@ zfJ$Qk-OZT7utFevCoPk9RHPgYc(y^-z|24aK;?Q=XihA7hGt|uXskDt=*8xDO{L7* zta6DPy2z5C`%1U0M8wvVAZqY#4Lm8@fPo?-J0L5>E}CfV)HrIe$?I^}yI{i2?Jx?r z-P+AM?bZ&NL$-nWco*MR_ranC;P@ap7kVWRy>7yV5e)}Js)blJJujwkXuu{OZh}QB zxid+x-k|asKtXT8@VuA9^G*(6(V&|lEdKV(Gtd#bg4dSbl+mlHgr2&dad&?lwbVI! z0wuse)nJX#GFs3JH8>{+VO*>PUQ_~|c9r~{oBYiNH?3|Njd+0gj>_Y#q^n*fSmJ!} zhjL-jKqit!!k{n`M7h9#RU~`uT?jSY^?`o>OD#EC#^;6h#GqFW6wOq7wSjR<55TPW zYv~S94}*k}@!HQ zqbWt9a7Q6>!IK^4PA%74#F_;-V*s?-7CKfcE3u08IMdLDw`n+)eQGb0~fEs zk+`>rmBhB5u?>;rG`T529+0AldLrFI0-jqLa`6rea`AYkKu?u13KUjj?IwCvIN)l9!a7)~UaT~c!bGtYq7wv+MUD@? zjL0N{hzdc{o&nMYAy#4@g~S8up#q;U4PaEd)kK17uuqjs$pMM=@Ix?(`s_|wH=Y~0 z@Z{F!wz|lIYCxMb#bc<5@Ylo>$3%UQD2QW$TMS_&fzE(Ml$3H~t4Xx5dX<3CACUk=Ag8{Gd6FwJ2IOdccQNXTb|}6E zc88aGfSWF|gL?RTYKwMgL*gVSASV=P0nB8#mB+2D-fD#|V3yWyJT&OSPd?$MYY+|8 z<2Pa;hA8lgl?dZaL5u-N!95MCb%I!vi4whN$DCAe?7~_T!+|KmG%hwn?s{TNKyy%w z$qDlnv{HhiP7;4mhu#1~0HZjwLdHO&w`QimG@4!npzS*t+@1O^`vq%fO}(`f3ze(Z zj^>$Yizx}+iDej<>qz4UKIAt*>reLBzeq_8;L243gCX#az$`{D7HfDA0D{GOP*x8u zO9bEcZM~F5^SVmllJH(I&LLqE6E37L58WhsPi;>*3aH#;*VO)Y0g2kv0;pI|RgS}x zLt4CDhnouILc#^pins!rs!7E09=IS^vy8^0%MN8# zTCtH!VnE1g$} z$%Pu+;RCufE|94LB~W`2)Sk(>o~W}KJ)LPv#a^A+(QkdGXoDB7FMx5p}{8qHlVo4vkz4PkK8F5tXga2{pAHxk85u(x*QUm+seZYm3h#K-Qi9L4b;m7;ETdpFOvd-$m(9K4NoErQ0`F zLP~)(tdfU#Jp>RTULvS-A_D*Q^dD&qY%+M7C-i)#BvN5W64Sh`hg%hwFSloO#FRuB z%`KJI4l-TL9=Kp$)WA74&$KYB9^;oJN1Xu?Y+`2b(ZGkAL6m_IjUY`_cU(O429B-K zFzjUxw}%jsaOdaF@x>h=jZ@AqASfi;fRP|1K+wylH*Ovn{s^qdgCkTW)se}{iFTBL zOBw)p<|HpgGt4xkKO{8{gub-Xgw0+{H|v7%x`PaQAjU&Cm80HW)=?wtv_md3%R8bQ zfk?9o+XR|UyQu>YFE_B#cVwl&Qllc=owhX{V9v^hydy*W=(5kG5W7Syprc0n-`fz5+V+tX__p4Q~xIbYTsP z!+|xd8&=zmHB^VSQ^V>pl<-tm?y7hH9dg3#+6Awoa%tT4R;)2>c)^c>79IFkX@nqP zHk~khe7eC3l9)CHTr?0ME- zs6y)Rfn0?MaFFAHoESJYkUB! zUwGYyWW(4i>IETei0C$id|?V+ z-`osyC%6Hy1}f6L!}kr|v0DYEi6k3W(qC%E$`*!;H7x}Lb~tL_ewScPJq@RN@K8zt z9nLo(Q`IR##js^7#6UXsD2xfh#Smcxp&qdwvcl>dGUO|Dz%Gj67(eYR zE770|toCQCV-Ex>a#QdtcT*%8)J>~feYYONcSAQ(`(qbi&DEPA%rti%vz zeT&yWb_9J+VFR(f0Sj2LO)e2#St<4h8-TP_hHEGwNP!Y`31Xl^qFvlT(1!IhsuU5d znMtr2MhI)t0Lr`cfEZtZZpV`wK(DO`eIgD59UYZUKt~M*-Ue0=wbLrgZN}o8xx|FVMcxex5%mHtkQ|H}gNlMF zco?`b7pcLjNNS*2>O$k80wqu-4Si1=gGdvPz`Y?5cGI4uo{*Evz!M&tu+%}OMw~V7Dfv%G{;H|P%aRp#S>%>;I+OP{GLmu zcXhkTii)&MgDRRq23ZDRGl%HR0P-DxGCEL=$bukz86X%Y4!OzdxvgV+uY|@Wrg1)W z=>}VekPlTCcy)*ZoVv&zT3r~_a12&%hropp1Qt~JIT28Ug#be=KurAu+T{Yu{x?|V za{McYT#)=u0#?4KVC|}>?Qpf zKaoD-J%OaJ>8bAWNFM^$yJ#A+b`bV|D1F#E_LB|&GFIgRQ2j$?#i5Wsa5(%z!-8?@ z1nB~DKr1{DUEW10h3kA}NDN^@!9|X_ghsKR7CY#RdqjqJPZKZraMUO^P=f4{E-*y8 zNKRg)s-EKp^#e?3W-j%27$Qn$cY#_6hUEaB7k2hHY1Be6I=knHc12* z>W+sBe0VuXt{OZ}gwDFDg%Zn9nr^{NrM)N8)k_3)frp}b^uDrVc9SmY2O2C zLn!5t!@LVPHJIZCtWQ7%UuhV`8J+bQ2W8l3!xoeYn$nEcTJP?5Cw4bu z!nAg}Z(`bXx!VEp2m(jf@W2g+A)!eSh)2(0MZ=vf{2SB+Vt5FTcpkI^f_94S4din{ zJG_>L!xy(ykB{TRvNw(5L!meCHHeOi->|*6Q17J-7u+y$2L9$oR@QMtk9P|PB--^8C0yX_F z2WtNhRfn&|=br{@rEw4*KJ;uJA4xyB*7M;(rf=Z+ZmU4W7&g!=_hDRNc0QV*Vs{xK z5Np0Ig)zaiFX+Oe6l+I%Oo5^14(h6Rx!ndlxTv}6c4y=9Mdg#-HS2I<$Zo}cLq`x? zybIr;F45{jpo|3<<`9@9#mO*GTs3fWp6iUeuHJm0VQ61=N=iv|1oW(JcVl+y=flfnz~+g7|eB z3Al@(p0+X&O(Qsm4FF=&2c#JvULBAoeS#Y9^Yl=rK#OuZi68*db|sFjpdTF3;*f$Y zY`+q29))6|4=2`4a|5H@O+Zu!r0R%3P+i)_!4nSnJQ?{bcF z;&ERJ$Pd2&a-YYAgh!Of$8I>_&-gs#Hd7f1k6NKB?Fmt~cbdZ0fF7}+A}XXkdf)<$ z#E=H`(Z+;c0G*(ocZ9%*UvYkwG6`uxKyMh`FlhgVN~XuouE7W=loJZ)DCnzR{c6lq_h(ZyFbUaK!Fk~$y0nzG#O9s!f5ifx>Y@gAx4ML!YT%O<& zEE;eR7KsHaq|5)YLJdbw$A6@c6!G(MEg(mP{<%$oa&8y(q*LT09OS~)V*(mfwF zApIvX(>+EpUu2U+GzL_H!{b-DMSg|)=nCc}rlDPFMB^cqfNW?|8u2(A$ED%fc}Mrq z3stBzaPdG`L?xs}ksN(!r;a}FNDMM*7Z0{MQ=n|IGFuX_jRHNS#S)GX4LKqx+=IX~ zCOzALK#(Z#29-vsp%`}h5u*X0K1>3+gIAFrQ0d3b{Ljgy)$ab&|Ofd#}L5zU`B|w@rh%{h_aP*`$ zh@H$1LxdY%C$5qqqTd?#RT?XFu2H&zG@j;aLj^rfKx4pKffYU;IAI9_4%FZ=0OI~` zYbTEXI)VT(T)SuqE$en$O5uau^nk(brhU|AH!b&@`8=e#1Cn{*V6Ozhwx*l5qVNL^ z4j}~GlXJuS=zvX+Lxk`E-Jk{Mp+JiaqZbGGaRfl$p`j=2FiLO_3hCgV)j+2UIOP~u z^gz!5NQkICAaLD=uudBKFhoE|lb}Elv;cyN9tae;$A40_1kno#i$jeB4U`ik5LA&- zc%ZaxEF=4ZRym|yZ6M-MKZEXP#1o7}d$KOctNru{um-H~Ax2f`miXa>0TQ2_Y=Rt% zN}wDMG|)YnW)H+I?*EIByrzg*0}y8?u}s6^7b zCO!MAF%Tx{SvWas#Hr-C@%&amFD`?E*ns=28_hYB*_( z77SDieN}?zi;)a8G`vs+kh?e@x1j~F^hOOdsDfkipofl~dnr-5&=_cl70;u5>X9|0 z3Ls(O%LNagI9-N{y&neJt*CN`IZBbRhD#CQ4@&if{fdnH9(<=OODLfl~>4B>r)Yz?X zsSvCkCjjVoXSmW+UAz(m?>H7bM;isP##oA@fH>PBAlfz3vl7h3rV@x1hFJnlgLs<# zxSeC><7b?)E)y$P0ST^jY?4mq(eYe5|IDz#SW_TS1MI}%TC<>|29IaO%Ixm?B52tL zw~Sx|*4ON&4(JWP{0VJ6_5nzXH4dvM)Ux7N3FJOEbdxb)cr}xGvgYO*3OmL6dJsVk zjyw7DU%T5S4N|Mi<8C_i)B)mpz7oV!UB2&xy>Pje^5tF9M2s1Sry)SS0R>zaRDpCs zS7{Z426TR%v0%#Uy_vz?pl2In6>8wQIMt)Ss3WakBTrYXc~Vx4SlFOHBuiS91|X+`J5mp1_Xd4 zRR{EjT@Y$Sw0pjC?i;`xnB=TN*pLI&;wxc~Oz>CGfKG*N8?dqlq`_=Rgk(g3$Oiq8 zhj#;aNIcz(_67Wc!*rD5XJv5X=K8}4K|X}*1*vt1MnE0#L)hh5z2O3#QwR$I^Ya%V z4NdW1RDo#?goqp-;|e?nt$1rs^_stz5)yLS)P1wG*rJe|=aTtBM2Wl*zY;Vch0}b< zg|JAuTsydM4WKR!G907(SnpLP!k6TMict^7Or?|Ko_nlZXgCq zHe2}x(HByjQ@W_66nqi?a2aze`9ELCJblK43<}loysnupSgPP69r&aR9^By~(Mjy0 zy5~Z}f_S*&LJ~&iofnBeJ_(^rMq=u@kS>tA^aAJPK180&ZT_7X4E)jtXev*RE zE$QNRb9K1gv`c~ytRKq3vs$`HdnR-zbgTXqUr%4~b{fg=;sF#zp+8OY9}e+H_fq+P zCrvznb{ty$SA4lh2p@xpp$6!Z{E5{-hkX(9;jtZlFT@Zi;J|&2++`Q=gKzxEOC>bZ z(ZpuC3#Tk)IQoX!w+4~MI)Q#pQBNfP^kX&PkYabG&{bpT#P12ozVML(b||0jJ&;BR zd9&M)1_M28xDC1ckRo?a{LU>NQ$9WtbZh9I=43$bsO}wY#Yok>W48+Tj<#Z9Bfy-b zLk_)<`e`H+f`LiXHQ(CBY=7@)DgN`Pm+ceE8Yq35HC_9ZA3 z68Sc7rPXD}+SYpSXzNecFaI#--qBVA_tBESrVS#Pl(fL3l|FrVNR2f;-Ak)HIC%r5 zJVl<(55xlknI_Exv;_c^wAi=uJbEy3?`SKef_)gqk9pA(K&;vYg7=QL{-aPq4wL%d zh%EjmSC@9Y{>oj|w|6-#&u@_K9c>lyj0pn=KgXwK*}bE!7##PGw%$A13Mc8^E%ol8 z{^WY^Xe)+_gU(N3mj_3X1&kfIS$b?mD^J8J*dpVnBKQUoiji^eXzRVBtuTZ7dq-QP zhoE+W)&bZ`rehSefqL&~D+F@yXsbXwRrijz-aFcQ?`SLeMZP8C*(IS(@OwvF?;ULw zaBhYU!C=0ilQIlvX>eXf#?Qym`59~j@h=^fF<@(rHqg)l$8LBFI$v?`Xe*@GeD7#0 zmN55@w(>({BF4$Rqpc7DZL{Ay+DcC(=rAHbF-D#hbFb&z>%F6`kOd|nfnV-E9Lh8A zJk#n)d7kdjB!uR7UNApV<{g*2&$PlY=>x^!gW>u!mpjk2dQ$(79c_hXT=dslY;Mtt zR}taJwF{D`gQ)Z;7yiKwelvhyY~cOx^ouyu#0yz*1|02XAkg@Stt5m9EL1K$kV0SG zHf(RWRCsanU5_1pE@`D7eYij<+TgvJ7+#!G^eD<*jCcKWH(&uh;*ctSK;pt*_r=d4 z@TiculhYs4`KQf^Qh5j7ql7mcfKc>~2WRwqgi=Jr_h zjK3Q}&;B%O=)tcay101r>s1qGpAC4?_}b9)6{lvMeg5dS)2)AQ{Q9APuM2N{FL>$M z=aYk%vlrC*6y8{7WC>X4}36UZPgjy-<=upW50iA-cPqJZ^y9IUtik1VboS_)EA8p z3=Ztq_)xoC#sWh^L$sF|^K(Rp4viFQYiotZ3E_ipw5LBiYkcz1*%O|tePx@re1^81 zHMQ8HlKiTIvzHtSh&lAEW5gHHLx<{K8lXKCW)eHsJR6g>NtU>!gZb&cXnk&#J51N* z;~Q9OlW*zBPIY|0=Fp&)n^B6WijYGrEA`NKsfS)_`Rj>8noFDdwfL%JvXz3P#nuTK zE0t4gW#3yi={7M6J1ax{BF!((u1QL}|CJ-MNIanni}Y7iBo6KLwL8R{0lvQYukyWF zwy9hulgZ_kU7cGh?f6t~x#?B~4CydA%K1$bZe(Q%!j53SQl+wdhRsJVm%H&F(B0lg zTkfa*;-wbfJ|R)2J>h);e^AeypslwBM}uwYA49lpAk$hXi{*)H!`Be=Ik| zY;H}^?n#YPvx13g90qN$AL~}C z{epFZL+n1gXpt?XkC^0hbl7vV;%7dP##mR?bz|v(Owl)_G&1JUkd~YM0>Xl4$P|79 zcVBfK+H(1ZrCU+J%&$~cRb3x4J?_Lo)n=E1*HuT&u-Sk6TeO_JQZu{134UP9;VfO) zmZa-z4yj{Af(7gbpw-|j>l!jRF7=??*YEmsh95>o`i~WVIcr!;D ztNYFDXW#xZY?G-frBC`STUEd83U!}ggLpG5EOCf<`k}ec&F#LrW?JSfgu`qGn@E<23-urTOd-7`_uQ57+wUzR#sogMbB?y1AteoxB` z)p=Vcr}*g9j5)j9PPvor{$l^p>FldBh>F`lUK-h|aUPh?4n3)67p5!3YjKLABUi0V z8}E*N#sq}Vm`dX>YRa#MGnO5xV9pC`!WcUg*@d4U#J6e6_rxgJp{vtbmV$j3$+C{4 zDKe(0S$08KMa(AM2Vq@5-ohKHP^~-uuku4-ti-x~8WUqtD^l?V)sK(7800+R%RY#_ zB>0Cbuo(AO;_DtVCA4 zeeJKZL;V$5A6$JBf9g!lobvc3G8U;2FIs) z+qr`MAwXQsgjh;UVBaZ3J#&S3eSS+GiI)r8kIP!e2%zi7R#K z@pF;x*ddNMR>1I=c!IzUm<+z$i&O?ykRI%a?bw+h`fha(`UP$wQ|PXt+}xg4TzP>^nr!@|A=k6i9|0+_R1gDIST z&!ra%=%4O5{R^uJ$G?Ce`ly1+tqiY4|GVN(ddJ0ph$jU{eb9HsVzV!#bV~v1^&R@i zFrP4a8_Q#n5G4MXjRTRwIo5_FI@zwPr5$)ldYAqO`Q89_P0g-Fb~Rt?kryPW{wEj| zg3q-JS4+EsF3Nq_k3sI(Ajcr+q{-JY9$L7aqD;qh(GC8Gh!fc$O!D|k7f{;}{O@EX z)4CjP3OFK|82)o#S#PmJ(q0|>X*t_f*-^ie_FrCHX5X8gmxU5cQ!JkDE z>8}}y&SF;n$zVm+lVOglCWZ5vSas|NI#-x;yGfD#N_d57ox1$$1a{~aM#OXlhChRu zwu|T~<4-2eZp$k;XyKmLR z9_os8RmQ@!i@M@tePUy)HO;-H5_5!sJx2+53EqKcZ z_fdz!I^w_8mtW9xxAMie@!i!iR&tS7;qh+CS_ zSjpN*>yek_>{eXYV7ugWk5BkkV|QL7r^12Lf%pA6k2AHG4^f`2rmoe8!koGS^`XyW zDyAH&i&bQ2V<5Smdh5Y57*lqKt|Tm89jOTPQQ7S+PM4`eC&Yh#&L-8a{ErB3!D@CZ($RcPAL~O7K>icDeVEK+)3dgPD&ReWH zr|aGEG%0MC-|p0T>&BI9%>Juz@#PpayQs)osW^l!hiOcqa&F3Y#_X;8s5LKZLiV$J z9yu4E8yO#{_EF01Z)JzYDx5_M_Qh(oBhpzHF7BPE{-QdjdWslRpcb!2GLfjcFa%=n6_3-SYgLyj+^(_TGi}SaKMR; z^NV*z|JzrL?ZL$L9HP!xKQXekJ#uA#+4QQ!xC&==f~Z zCk#2%GWdfXkt=n+yqZ0tO+Ni-;`D0y^tO`fVvFiYKh^qKl@^QY35)#kl1huVGQ2G$ z@Jel9yDlhS7j&T`up>1vHn?^0XHk*oq6Qx_4Oyw%Jnf);+KI#|`{eNl?eP`zX>A?- z9~V?l=Bg&=Ru%%{MT)hR7D4s+NY&$=zCgQ9tjzSQToYDVSXa5e&NljQ9f5yy1>SH3 zUeU?3j_!!OxFfQS!}F%dKSxEj+6MpGr2McpamvxeDRmqkaK>#*jH{AQ+vXgHPP@vd zosmy#a;o1oS6Y@-7Fz7ZxvCPOvN)`=xS=xqgY=-kv7ql#125JFUN8i;YXh%<3+>3k z=UavxG7dQv6?xt^0)?OWrg0#$1uz$KO8pBxFiA_RToQg&#AF6n|UX@kB`4a^S?yr2t2 z@|sKel`HUKYG9`>vHjzy!C&r(?2vKFO(|zSa>hYfP0n%W5~sE$POVCu3a(Ei&S2}c zjNR(PSmBUa+Qce#c%Q=Yv$WB+>aAN(CN%_)ToE5LKH-_#sGW5~ibMYH!`Q+c)wvd> z{ENtdn7*@3ms^HvtF>x{DeQ7OH54bUHTT(9M`u)MD^JCzZo5DD zeq)fr`0Sa~Q?HKfyK`h8r_MKd;j{NIR4bH6y}UeFU($^m%UadQi@O?l&tg{#Mr-&5DAxS$R2NF^O|k~iMlS@j*=B8yNW@fCn z;SFPW%NX7?W=dL?xp=k7vMO)Ag;dY4E6s&P#d)Tp;`PQAkDKzdbEG;8R<10{DPCt@ zQJkBbV_ub8T;eG%kxC?Gd-P9gUN7a72^O0znH(+b%(U(<+Z&smnSeUpdPT*B=KNLO zRFc_}XIh_LY$`0yFt5%@Da^@9H{}<_jJFg|&YDSN7xhL*wp%nd_s(hwoX4U&Gt+aj z3-VVK%`@k(EzT*LRj{_OsCNgGZ{zp2<5_p%;(2oulQLJBi#&uDuPvILzp@~~R9Kip z+-4VJK;{=`q%FxT%3PgO48zalQe3%iMW&@-T@LE4yq&{~a*B$j98+F)W?s(YIe9%d zQhwIjl`zdEnfR_qSemOi7iQt8<^YJL|EZX5~KN?QY6Xd*fMmb;k1uG1+P+ zJkGkD6;i(v@}FdTI{isL(bo%w$1-|nd90*&7Ppw6>-~0~r0v87a~Gs#X3R>Smz>_) zRI>~63JNoeb911gvAOUOixM+3dsR*_CeE3ewqVixq?83|$6R7?nF3$K}O;%Po4C6$r;IMcO){Qh1sdecjl!g8|Qd( z<}FC_7AB{qB^c)?%`>KECO+)FOHWVm-X_hSIoo@0oaenunKv^nIXPqYe8_sig1H&9 zQ!`1q-g{D9&;5e5q{O)k66d6(WMs}vGbYVWo}U2%jcFN~X+M)U^JnrB?#N4?pLADC z%DJ;095fR)Y5lq@V=vdM=tQeYvO56_yNk(}^wW=5JZHF;@f0*FjbyPcNgcRQUj zd+uCr(ljWt)@2qKnk+d_aKB*5f%7Y({G#aqjV97!7KzWNSD@?^X3)kip zuJ1i&C<@9=N>0hVt4;y}hE;_HYx7rR6j&1078e)f7u~)~<9;}y0NJZOg?A*Ra(JIL zOC z$bjq%SLd0tGS}vt9ygiuObC&vui5B}rjqpJ3~rbOtMkp4f?^P)CB*6G)t0;*ZQ{7Z z2OrdCBp8i6v@_+e*IEiNt>qLFjzTWs6$P331?x&;*2k>NEwNx0FD5eA7w41|XF)-k z+~ZM7x-;jSvWpRQN-60cmS8dSdRQ;6{ID;7R-r3reaB1!7)xLr0Ud!D0^ zB7sQ+CQmAFsypX*s4y$qukTY^w4+BMW7|1DpV2M?7YSS@aD_kzf$Ic133L$`i} ztptt|Xd`ffz!?JP2%IP2B5;wwWdc_SbP%{spp!rs0r3-n3IbIGstME**hZj^z;*%+ z1R4qKCh$6eCIb5i93XIzfP+9QfujW42%I2rhQK)j=Lxt7TqJOrz!d@=1g;b4B+x}b zJP%Mopo%~>fm#CF2-FeSPN0E6BZ1unUMJ85aAIJzpSY}An>Tt=x#9d#KcAM&=!H(j z^Q?7+!E2N$B2 zE+V~Q%Y!VxRaw|y!C2do{<+uu#faBzmlZO`_Qv>r?C{aeEHlkCGUlMBe_(A}pYKWS zMXKhq7g4|%mS3!VJk*0Iy{{PYyzNsjqH{j3lx$(L7ttTtfvsUx^F1^KjAcdFPuU0i zdV0NxUjGn(-HUk(iu-?VA40|116tlY5b}Ol_5j&?2TuC;;n#)l`=!sWjQ9q9bbmL~ z?PFn84FT*#KjLVFJy49;`oU)sA&1{NU6`$G0df9NG_;*u#1T-(6g#-7wMq_*{>0;aQ~`%l?=$T$N;`baU|t1=WPeP-(+<2+c>^hnx;)R3OkMWhDz zq%I?sds_>)z*#@Chd%LOuzM$aKN@KfeVok!{{FC$#yA|LFCGjaKCT=x6Kh=p0c9#h&m zNQ{`L_ontDHJhi@Ilgm0QKOaZ6EW~a3g{4Frx~Z(Q~n@3aB}eV0YmTvWoWLP<;xk_ z$0emJWyOt|Ilcn7k9`AK^&-VDE~KnoGj@ohW`rXdLk>gEDv|Q-G)>A2#<4d*RIF z9N!as`a%lhTl^dkjxea5A(M-%CgXO ziPio*W2dq#+LJMWXY5s$#d78%!+ zWksHhK|DjQDzkesB6voqs_Z#WMkLROR+a7aWDMpRv8uAYo(w1fNE20MPEUr0XQZpj zPJ1#uhH%dKd8bD&po}!V?!;uhq`8q$Eo>oR$n}6Fl5U@YJp%7@sz#|fxSAZtALH5CEuV5k2O>#czwVf{ju#7{gnYwmB2%JZ z$!_iB64t?|d-Qi~)WLuuXXd!Icmm1W{kCJ$`qXhh+UYH;4z;rTVompz8h6+6Vp~j( zj;#t!NLR{*Nl_D!$l(c{l*r?72VSZT{3bZ)Ms3iK!C@P>hHVK6e|~HD%OQhyZ5{MRNJKyedtEE3=?#(j=;j%B z!~Mo8EED<-!yL!f$rBiBg@?h%Vek#^3kRPb{GV{0YT4i|Nqu1dhAwe~j2Q-#hleGl z2VbGm7H{dThAS}XA)eARjN9yFs*29`@y?#~boPz>57_uGrG8OrX9rKttzexYy5&Q+ ztH&MK+I(;!W2gI9;NiY5G5DeAg&++RlupjXkrI0KFa1WG?wh}D{7!|$(>qLQkipof z)6|DJT0%DJz}7$4!Am-amA}_j9`RNiCNlI=r;aJtn9cDLto31r(YFaM z3d}E_`3DfJR*&3zYSfOj2VOh%z@D@R-#Yc+u~QR#WpH?H!Dmj*+D7AVU(lm_F~r~W z$Y`Fil}qq|SAzCUBcO}1J-Yag>td{=DaWS{6dden+s50z<83Q<`{Dh{p3+pASV1ll z&+~Cs1@jhn5{qY5oF2ly;B`D@)tFqk+OJbr#wk))Y>KT2^ZpbMA(93RlG@=ThK9^P zdc1)mIC4G5W>utr7mLVVawv)Y+8evnh=Vm8!|s%qCi5>m>l=E zgg^>_b`ES;sJIN$Bm_$<(jz&7xe|iBkokoY1-_hueaUghBm`1?bYWn7l7wKXgkV`k z`e2SAPeNb`ng6&%0Y@OfELeeOv=~C~YcEFYbU&8KTW?uj5jupou98|8h0I^iTkDj( z`xD7=U-9l~5XQC{r@nT2>YnszZ=Igjk{G+fB(?2>ry*+)#SEpxOP1oN%t+!>& z+I(i#){NONo|(NP7zO=Z&_u(}YovE7FO`2Hs-%k$u zKE^3({(TJ+omU5SQliO5iI9k!F^RglzX_WVVxWT}{8OXy&FTonL~j}Q#Kc6_mIOnP z#VYp4I1LdScA?oD9S{Ey<8<}4A`$;)$kHD|mUe|K{V`-|w`{39WU1C?Y1DXUN7wTk z+H~iSWPbIodQ40N>Nf1xyn0yk>Wv`{!-iUS4cR$ys5N&9s7^U>B_ z(boOZ*2B@(v(eT|(bgN$R^Q>)0mH4shFd2Nw ze|Y2J;f-g9TQ3c7xG}uZcSPfW5skw}G)x@Pm^`9k(FkkKh=#QztQ$vIpC4h}HNv`o zM8n|`*0UoTE{$loF`~iu0qcMVtiv9NRqwj-@Z;m1BJmch{>|E@5XzKuO7mAL^GTKY zs>=MH%6vm*-r{e*sWNZ%H~*+IKksk0`I%qzH*fSazwB?`;cwpMZ+=^4e$C(fhQE1_ zzj?pE`7Px5n_K+Nhj9-i{^n!;=9B*Bv;OAIe&&z-%{VpwslU11-+am6{FT4?8-Mdv zfAbCWf-Zo+uX$r%^X9(hEq%>f`{q91*Zg8%^UHnBJKk3({$lG3(1|!O_p5g;n;Rzm zsU3^?6d9)NrVop&LdugY6pnPwa&Lm!d9DT<0Z+rNlSmow}tvNnkq$@HP)~ z=`|)JVec5*!5i+E>V7RH#&RrIcp~|M(|tC@EFao*tl+@OCr{3U`Hy6uo(RDAGlIt= zjwSgyN@UJqKA%)nVmIPbKZ=-|0!C5wV-zv@e6p>a3W9mTmJ$@S&y(?jYThDDYO$WT zkP5c(08cjz zUVT@cXx{qaOKrMs1I@3!M~TH!VuzIY6))LC3EPL8If5I1R?Ef71rtJ}Sd?;kpe$EZ z-tpBcv-tOp%)9FRUzqfgy;3$%Fa>=t1bxpq#Em1U%MsMT{2R3QQ9e&1r;7!3Fe;L6 zJWU!x(GdAlWd!r0?kkk}7q%;FBOLar2V^51a{BJJN7VVm*OgOdt$h?=hkaI6MX#^o zp@x6@Jy0>H=XTdh2bM~pbOCYfANHgB!dzmW$ec;P>KPU&la_ZA0MQ5_T!a_p57Ie(v0rkCz{9 z{b2c#uT%PbFg$wFr2m-o%Qq+gVd|UX?~8r2Y42ML)o;D@;Gcf`;D7w-cNx6$siS{B ze>tU3$1jdzA1ip!_%I(s#U`~<7PceGZep`?j|y6`AF};jlr7gGTP90I_AIeKvilo0 zZc=aZU4m?zYzeY+#ev94Y4!zN@0 z)uDEjnhiouE8C5PNzEdV_%l0-M7vs~#0lo0Y5{C85@*;sByeth2om41%ShO81R9CO zvQA127Gse3SfWp5cQj;CrehJ;Zg>X7(e7KemQ!zTE=7RFcf9gjpsUfvOT)YP&j@WKftPMPBD zB4{{?g&Kvns`?T#i7v|7c=rZVRi(&Tq!G)U-uub7EN-WGP}T5s-KECoKmtB zwci87T153{6H)bh!>kAv7Blj<+Z6UR%U>8yCfdt`D)z}(!spr;G?`I_CVw*dotg9Y za5eMy%R1z(o-Y1H3k*MS43o63$#G}3ek;}f`dqXqKGM)>*REz-Eto?j%a$qF>NnMf z)TPTdMa8`_#vZc$!xvHjPrMCePuZf&jS`P0Ux#`uBRS6^ef@42B zp1Y=HB@RBM9X;&L-37WcQR+i!S?~X#^kg-8v-&<2Ak{wgPD^T~&Tr1fT<&bmA_LO zb=j%g7lrNSXjb3zp@dEqWuzzzeb!`sU;NuW(JDAYTF4Eu3ZFvs>6Z} z50%Tpk3NR>=gu~v{T%JwFg7(i_57j+JTeKEW6K-*)Iy)ej-|_#QQ{k8oWZRhzF_lP z@!0#RioN@DbUq({JU-I6dUbfMDZONu>DQo(tM-8|x z_uVwL!now2yeWHj1e$_h=(p_a$zfjyD@~*J>}vHCnLZbGCx%t*Y909Z08iEqWJRBD z+|~L*zX+-Ju*04rqZiS~UPOnzh}QQY>hvPo+>>?FoAu)7*gA%tJq_B2&OBPXFi?Tj z7M>m{WsFWZtSCr+97iJfffdhLzdO#5P#u2c!E=fuv|{z)#dxf>CCrtw{_Mj~_)3qp z4g|2a2g*Z>cD_A+<$#vWZ*9#C-}{vI@cGNXuXrTcl9iva^vLT&<6xth^bYzK-B)hWmw*{iDC1&zc z723AeMeMQbe&;v8ZOh{Kb3YtZ8{^=GFI8r7#QR@};f0UBU$;mx;>(mhITBqTNpvk- z4Z2*d=P$om&FR{30(m7J|FLGXgHgNI-F^(;VUjKco+Qov65v(qj zU8Xf5diIN*JajL5u6Qxx#TU`^Xxo+#=AxAY%(nPX)pHd0UGN3}ll_^H*t9ZY(_ehXxX zM7(~k+Gtwpd`jjRZHzIoRNpF~Ge0sK-38eGq!e@});2ye1uSlV0W9jWQkRVCqkK)b zSN8O=u>QLzHifaaevzR{Rz_VmzHpXz+2rX`Zl^APA$2J^`J02zgq809n4J9B@3Yva zI6if&0DcKA~`gUkL}!JuPc>a>4(n(29gJKz7hW)#!&n)$8{5 ztZ_=nBFFH1E}L(HmE(bGv@8~$+o{dwg)<^ga6$RTk4C4^!$RfNK?>+4DoAii?Po-` z@+!&Gc@^INTp|_LhOsZIjeC}USS`WW7I=7@K)gNHaGN)v8f}~)@x}{R zL|*RU4TVjzJ<7k|=8ad$wMgx+Ta*)d72}bXx49Xfaek3CLD|+XDOS00x?(Jd-pmPl zBj+P-#GPIvo(*B0TuMeapHdmle?gtCKBrZXv6i2&)Sx35P5j_zX$d;g!=b2S84HBnkIl{7|{^f$G&A z*`PEpAdbxaFBmK<$$SpyJu-zGY_msvE0#cfZM_XP^-WnF40hkdi)64XV6ZQ9M)&7@ z#TnH`NW;0w3`Q3&>&0mKN6Kt4dhWvpVzdd2R&Ykozp$S(T9xM+Uhfj4t9vt=8&QjB z#~u*tB7-<>!^F20~aX7vFZoi-79(0V9XhUjV0g9*M#2nr zpeM_cidwIC>@_}hIP3rn1_7=w1-SZ%hOlbeCxpmbrDgNmo+|21&kDM}MG+O{yElJ6 z_?!{z)JPSW{#73^&GxTp>H|gpYQRb`IXZDr14;VeBO&0hc5rYjM$amkOqLS9s6Y9l ziq&G)_~B2H_81Ax_jj8hqwR0EKw#poffW>`u6m4GoOcxgRqG=UY=_LZsT46td=y6s zFWET}uP@p1Visy~{w%U{KpV2^617epsoKn2=&8l0I>pWp`%*_QwxT2NR5lx|b@W{u z5qV`*FmLLlLaQMd>}}seBzXnW@Mg=?-<*2@`t)UF5ci!JgekS~OXVua;7?0~eW=B2 z)WYKY2&ke~{hFv)u61CD?356`M_qdncEF&23>$qLp+f^}D@+L`gl`nqh7rQI4x`11 zfW#BOI0z<>KJstGOaI_jQdI4Vk$sfS4S$qnS(PEwbP^R}E*`1cJrI%m;q8wA6$aWM z6c!Js!fpN}#4|5yhy$+>izCi2dGYLRA=-Z54o9=9AsFNf(-cwY_)PdHYOzmh{?V-7 z&DTX-JojRIujbRB!G)`$$N)}^<~lg@;%sUG9qgYJ2pznEKhH`i}#HD06di zPiD08DJUkjZ&O5Wcyt3Iy?dLR+w(9$s(bzjSuPA>v3AxGjzC>QH+!P9JdZicNm0n5d(Xxok z2#p?X*(@^{)NDE8yk!bU>znhU}6ti5CGLlpb==bWSF z{mX(oct;EU1m5o`#oNi3KYXElfX_!miyxa4($`iH@~8c~nl@_R1leg<5>j~=jwpc> zb}4vYI0)NR?DuiroIQapR5`~|=|b<{O1=B9muOC$u4vw$bD)xF9uaa8OTuBa5 z0sD1+U*^4!KsbMOc;V2a5XAz;Z}#VOY!*LwQ=LVXTSimm#$>9z-|y?Z_fHIL*}gjb zv!SVPj7eOs_~ZVZ-A|)a_2VH99KFKFq-AUsJ^5A4;rj|WDus3BwtgcC&7Z_w?mtU`^i3@7hJXQMXka-*{Hq#Sb6a7 zPZhQkTl@Gd91*H`H3Jwnph7n=bWb#DudH~!_`u03S$=;3*rCHj5L*so+*Qyq<& zJjfSIy^D1b&zECO^?2Ksxkjw1rhJ+5JK=JCtzlDe`1pQ53{Y6qSO^xjZCTNhyENDl zWB5xsdq(#0K;)nJqgKookNC99YTMvghrZ)<=(*S5GSFYKYd`&O*rk0i#@zK*?*Pt?0uZZ%xMa_ih0 zo$*{pT%ET63M{vt8c(aTaIDI{s7AGuR4ojvvW6X~<~N^KWqVMq>Jd~+8iQ)zynw}< z>`04Zhaa$|R-xL+Bfu7XkQQ%iP)#va6{<*h8Q5ArXi=Do{)%cH7F2tJI-NnCYG{oY zk7`5fQSIt+S_*yz+Nz5|+x)4h7Dv@0iMBvmF;)_`Q>a!;)m*5iEk?EA_`!37C)J~x zZvd*jx(T$IoS^MMJ7HUkYNx1L0jgCUK($YnqnZy@!{Se|qZZXt+d!MPgQ{&nwepjw zR$&HhKKnh()E&80?EtaxC~^7*s`-6{YPQwH!XH7~o=8tLajoJ~i%K;hA}V5Bs@AOu0Yn8wMa8YgwY1ubJ8m@r zL{zl6)S{vdiWZkzwAMduslLCtH(PC==l$}2dwm`?_ueye=FFKhXU?3Nb5QKO6VY}a z*lHiZNA!!k zS#h@#E08KL))>xDKg3$(SU9rNRH+nJ?tqRSw3rd}_svOVr^Sv7*+sx5Ot8*;)-b3b$B4h>@~V*cRC2~m+dyDBqWVy$?yWok@SPA%TJ9L5`uNqsok zY((2#6?{5&#%Hu#F`G=`-c_F8JW7BI!U`*!dIYc;77JQ^M6>PU_}1*K&spO@V3j-b2JB9()gx;q#MTr(vy+bHIWjySrxQ63c=?byvwQ820Oj6M5yZ<|Qt(yz|C^ zwxkcLoAH%p`eM06n8-`h2D`x5f55IcQSIUGl&HP$)xal==e$A6`n zRs<%!YFCuI#$qJ)@y?Dr@H^{3uw~8n0zbiwv*<@N9~x3Y{;e6-C5YYkf_IOmb46qx zu++NfXR1bjnLOQd{pPr6x3edRM=ZoVe%lB_dBqMWWr?~J*xkqSPAK9& zh>HDYHbnL2CXQ^5sWmg1sh8oqnnVNXMQstoXx&U5Rw@fgA@2Dg@|t@f>ga-riMFJILYT zoJ&iebWdPOVhTL9{;dxC@YTXr7%S*0@(K0T&6JnXI4esh#qdoPtv9*^VhLU9#vAVN z7Vs5OuW1Y`eP}u360@2o-@=dPO9+_f}H%!ssJ+V>Hq zJiqrIqJp3W?;(RBt@Ks*ls9Rm z|I&7)U-C?_hgSM4{;|?mh6NsDsU8%JO}I(n_tYP1=LDRH6m9g>Mtx^*!-s@fME){x zlu^&rLrHM$tiG!*zn}Y)4R_9pk}K~u&a|?k`Yu|)72#(^50({V{wV6yQ*cA^ z^R*vOCSLI$LXxr_?usUUv)Sr*Nex@rV`>Q_Rf(HV2ENP%6+ujWmY?Lr5;SJIp|x3% zb>3N;nv$6);!uDhI<*uy(>$E#2Alwatt$M@BO|aiLx>#Cp_E6OQ&bpZBantq`E%!< zT);JbtBh|R2=QTYCpIj;U?a?`d-8i@Uikh4Ry%JfV6FY`@T2IG=+S-KQ4t>|IkNse zMAFJ(?;+yLI=_!-Sx#M98vIY=oSwtyG6|tdaBY~pP~R!Og%?VGHA>mR!pF>4qIq30 z9OtyMoq-Bw70rNd%R3^mnX77-@F&t-<)&>5QEYVhNi?yC@x;<2KPWc`1=bB!+VCNX z$lrR>Q)|amx34sheuvwllFGVdkY^qOdH0ygA!(0oSaDl~7H~zBGDyid*-z?YRL_K> z%<;O+31SGdL9zLKi5X&GvA0RZAJc0m@Et;uUpKDe38R^PUI`X3P46`g009W{L}!EFUs6#Bl0Vk z-G#`Pv?{TPJR%I75n(9FD$dGaABwQr4A=+u4i;2-VsafVGN1=5M0LuFqqGZRUupVc8)? z?;&EYE_)A=Hte^E_Yl<_`1uXQZO}sVXvnsVhN^R#Gc+1XqHRWl!#mMq8V$``+m42& zS@Kyl8X9az1203n$YwNT{v}HO_HoLKPqfM9x;&_}GK-#%`$>9Lzxo$W3tPE!D@=W4 z$K=!2SuSBIwcz+x>%4j^Om*Z7u87(I0ZOj@od0Ue$t}B=f0nm=p_;^~fUQdUnKYa9 z>m9XCy~>~TSC)O-4s!%XVN5028Ibsoy^C@Sc#SRKn}EhYCP)o{v$w-Q8YTwb-E7Z1 zoVp9Swc{Um3t{-%_@w<`XxPaG+TYDGxhs}wBLYruwsd+oV}wcj$6(sHX=m2{fH@F`Ns1Sa{=!DUjBKTw}O7K~`)0slaMC8#1(? z&mfsG%?2&RZ?9lBaN4IW1HQCcU&t<^Uy{0YMPnuu!Td4XHVL|u1(=D`cA(>rX083HwL z_^-=sg}EuL;^Y~?TD4%qPtwN?FFM$a3d868$kXp5`m^%Idx(rjPQ8an`F;KHH{eVB z3X{Qe#cJ?``s|5^!BaQH*5E0ul|Leb=VO1{tqqZ5XLlGpC!5=DZKQ=OPqI{V29K(i ziRT}m(0jev;IZ;SkwN5N;|!j1%RrFS>b`%T_S!ns9o)o?2VTU?va&)_ixzN2_yRI` z9K6M^T~N>BW}8x)Z47^668UnWZlguytu=T6{Q2rGoX98Jh&o!{NH65e4)vkHwIYKSw!01{iIXT1{gxo*)X+ z)NQ9?YB)Gfxs@clrKp`j*J@d~^iY)3*9A0*{n?x_nB+IJwKz2mv*`2ATybt7EDA4* zNG9HJL!iD^|9Fda2<%+W-#Ql)Rj+5PjUT_zWaA&@=Yl@>=e9Qft!|`#;;St-zU~wQ zg<?f;bY=kz`Q+z4yO?@y%5@yi~OaB$rGB1>Cy4lESLYDT#;=ZT;30rgZ zcfeY;SaP`Z^sU{OZ3MocR{BHS`-l!rcpp*hhwmc_KX$YCIY{e8{~{=?CCyT!EzbQY zFUNi)vx8gN%IwYXMv~bW}bVcugRQ` zwlU|kpqFD@$+j8q!kSL7ZL+M!SsQVj=*?S8rxzm}fwOJAgZZ)|krU}@*fxN8BB)R0 zo1_~b&C*>Tu7`BDKVp(@LT%E{5#n1W>1K;_(p?uG*V{(A^ByXHv5{`YYV9#jx{aY2 zEuX})((P||?pg11m?0|;vWkAbf>x+d^zvVOQmH{$o>~;Whc zA1|uv-h&&*`>ZSo6~FklX;g@f>{f*r^?o(1`b6l7!!Ox0Ud>;kwfxui`sf z31==T?vaGQv6b-5Sn+dP32z85y30)E=Zlhl1)u6*z*ApxEBfm2qGJs+&asNlhCw~W z(?v~pY$RIwPP2F2Vhty^Ln0bZ zCvoP3oAdeSCJ z)vN_?%q-$8_=3K_Qz>3ov*2RUy7SFVEJuwF*UT1*c~OyyM-o>Ra%sF^+WmZ z4Qs+|Wv5?j5-nMd|5;5p3 zJ1jYCB<_*2=~mC`+q$OJoW2gS>gzRp)$T}%NpFT&Wc)3f`Ul@&GqxMi)H+vt8=lp! z(N0_iShg=Y56n1m{>uq>nXt6dp3}8QO)5JmM4hTNt88o4ynOA%t>+pnRa-qU$pYmP zi^>LD+@H5LsqD!%D!UviyNXrWcSL5DU2fG^qs3*ScULW4U(CEUtLzZItlrhEvI&B} z7uHl^<|pFz{wm!q8iX)F*bl5bB{N=}G=eigyop1csLzSWJ3GZ{fK)t^zp=5w{WkG$ z!v<&O{KBf}iDni3GT_`RsOZ8otcqUbXHwBuzmG(%6XmuldQjv$Nctkhzf^SX2L8Rp z2BsgUq90qDocXbM$r=ZKqpc8sEM7G0U#}L9_}8nOUH|p!y69i8s(!J5AAM5~8IfYu z_@FLNG(_f63fUR-#=N%NW?5UtUe&xH^?P`rt${IDJij|e+Pc2BYs+nO^^0k3`P|LM z)56=Xy>Gj=oFsn!>n!aF(JQl}whD1_L|j-)VTufFgspbfGW-i3$?px9>1?ID;F0v+ zu=igv_lB5Xaq2q!XZfLX=S0SbeQS32RrLnm$54ME{EEJ;T0Pj49?a83N`(AG3*l3f z1YWgK*V{bR?V4NsEmH;Y4a-dG`iiZ(hMZPMO2Czreip55ML#bhC9IY3jRk$N+MaJ( zWIo2oNVK;jIfjcd0)t)tgmtlO*RF8#2|NSA*#*xieK0vww)1}*4I}t3=V}rslVYAP zuABwMY!>)C5wb`dnwm9bOQotT4i$LU{~Qs6N+(HJ?+KTM!Fhi@e%Ae6E|Xs4rX+<$TP1Ac|G_U+_qP$Z zgl*c!zYOoa;y3>G{wwXGXYaq_FM9a?EA3}@-+#s5ESg88Sl3}5KdY0qKEfB|khS{Um_ADdxzq*y!Lu${kzUuqn{sXD=SeyQH3rKFcw2Pfr!Z;z%pP9|Dc|{kFx14T@iR#J4 z7x8`UPam6-$Hqj>lOWT`AWpw|C%8^QAwzwgsu42esMtEw^Q}~Mq^m=q{j3;-Ir+I$ zSdlt954kD}oQ$cLrLH`L6*aKwO8x9pk54f!*IhX-d@Cuwz41Yt^zeXrGcK#yIHhG2 zrxZP&;*{c6sZnO8+tJ$_YrEQo-5sSLAf1XpEYDE^6w8!KX)jf|6zg@yt?2efLs)vx zyTR&##^ebrUphU`K|mM(b1LJpR*Dd&uFXMw?EpKJDH~LkJR!@oSxxFtMSx~H#a5-P zr!w=JQ%ux|^cx_4h(39OU?i0Z>crblWsDoB%tI=(^Ajp_W+*XXVKXp6)?KlErsvr9 zM0_Pn*k&TEPYdGMRH{_{VeHfif-}wL%(&bTMiE)D9VmJ0DYaC&om%>Bi<@Z05@Oxa z5yZN`nhghAA`R1KdcN+UJkpi_&i>QmQ*=9tjNUCN)+;9+F>eJUWhfl#JO*cK(4Pb; za=(zA)x!Q`HbyG|@A?N`RQq>+mA*?@xHq`-bl&)uucMI!#B2X_!@I9OeW6&pVc50& zcgANQ|1q^ihgfr(52fPV(Tns-n1V*Y)%g0Cs8GfQaJjri0M|>zZ+!xq*!V543O1*wMX6IMUfITRnGbz6rS`DLNaI-O zNed^LC#EG zV%lq0)e595dH1XJ|%CWX6=RnKAMHdpuU0bQ_Y5>_kLp zogY$}J25?tVLUcDbxcl7t7|b2XOa~6A9M)GM>}*}y3ER*1>PD5E?s8SbDx@&t})FR zwP@h#C)KN8#;y6Idd*CV(@JIIw0d;n;#oq@`ZFlg$9Bn{JAVS0XAt zv!6#t#IXytMyS&!;{lX@C!pZb2JKPGDPd#J>m&!U18#7gUX;jGc}B|<+aju@f!`XX zV~aensjuR@so4@!Q34z0ZtO!0(p?U*i5BN05$B7v6<)C^YMf15Y0|DmqD?n8BIl*6 zU=^FgVa_rcND;m7lpr5*sT;V=oDBOPfqtkGr1~cu{r8tt`LM@qenn98B%`@xz{p~@ zq|B#)O~%L^+yyNT5ZEIkUoQTGVuJ&BA}?rOxunR0GG_uc0u*T3rJN0AVIvNZS+eBG zk3u$785G6ZJHaP5q-}R<6S9UV6(_GhLZ$}{X$erzE=U0_Rtl348<19TNR5fTTR1o4 zGqmeo@uU8`s9}!mEHnxV-#a~6iigB@D_ip6@i7S5>DtK9#(u&i?O$F&t=VPGASnEv z(&TU_0p{nF6`jvB$}&r-W5WJ9wkU%ngui`T4+Iei5`<*{Q8xMYms7SxUubfeClwzt&_H6YCSmx~l7Wpo*9AB$e3@&HXOTq``pv@iPqRJU8 zx|vFSDwgN$9x--3htjU+X3^DQzdQ9o#_Y=N9!VJb&1h!QbRDc=qfqI83Vm`B%{R8?Y#fD6lEMafZ;u9UlW^{(Lg zB8X%_oRiJlZr&9N-WP({; z=IunEnd4ZV9=J+Hq{~s>ly)dq${D53U*%=+3m213sa%y^&PcTb;Jz!xfpa7P6AF2h&lGJB zh37DV6dwNr-mAc?*@{;?+j|x9brw~_koM!?54wEwaOoy()r8%oxOqv^~<;C&(+e0&nT;Z*@o4gBTodKo+xorIsX81Z`YGXq zI_e)Gf`Lc=5*wh{Zuyh8}Z?=|I)O(I&Qw+GVo(faNuS2 zgO-7nS~3VrM1czJE>U2tc236wuUZBEFa7Jn%bJ53ydpu3yhvk`UO*T`263zXe0Kl(S?Huj9b{-BgC{vjX z%~e82(<{Vjn`}>!`BlF8)liY+O^<+-r5mrF46>8h8`=oVoyPCjdm}B!xP5SoU&@`* z&iD?+L;2l9k2t&a6?nfW#K|iDd{hU-6;D>*Q8x=%-<9>cWsD&gg9)44c_^?(XKE z$sh36oV>OaCb#@TjZttJKu|pLv!=D5;bKWTS$Zdw+DbLP_Ju{QpPaU*H7x1| zB*?qMqHaQh`~?`~3oCQ$OM$%igC!t&#!-0PDZ!&nh-t+Ll%3eTdxlV*M(Ix{fj0eEg!Ys z>`-#R-xw6;?~YuJ;?3S0R>6+HUzuC=2(|Wk9P=y@kl}L!=DQ_-pxJiv+G!t_=#+^Z z(fQrWi~AdcCaQM7TDY5%+)Gw(U+(?)z@<1aubbN(Vc(j<{?7%J2?W>=a7l_`UT< z{`_!3pt~p1MzZ{l4SF_)&HMQ45arl+Vsq?oeV$6GA}K7ulx}RQ$h_Nh2G#b<_6b9O zQl>LybFrj&ft(KJ9pxr$!IC25LrREPgQSDCNd4GZML8YMk<%faPYDr9WI8zaLa_n9 zC|0r>#b%?JCj1$46N->t;q;h_&8LKjGbrZZgJS+vjPgx(7Er#)Ys$ii>0KZVJ%wUh z?x9%jEEH>h2F0S05V11?2@zGg1u{j-Eh_eciZ!B`2ni7xkH15)-AIVod69}4QB2y1 zVv@I1sRU;~{=d$FK*T#k38KBd&-}RJ>|F|tN6dddmknE=@EU82FngIPV^&f?q5rz& z2G|M9*mcd$)>+64P(@3Rm=cOJDW&#lLIZN0gN0>X@$h_h6T3i}raZ!>$!i2kN-a(X zs-uoP_Ecu8qLV#L&!xfys`CP^dE{!vkaS+J!kIuH^kYDtrQurNvU(6Ehu2-E5vhWpE(nq}E-a>u zBk2BE)d_`9LrFKG!P^lw(J~3}9~T1+C*i1MC7g(4umHp3knScpvoj%!V%%y)vdX zECU;Y{3&xi+rU#J%Q^j?;EAXDc2{<>Q%70!SV#<5A*4)@%Pe8CUClY{M_R$~bjT?y zGh;{Q$j$NJVA(4RZiOPI)LLBzi9m048sq%##14a`f@DEoQ3Sa@&K-;qFdbb8(NfPi zj!$KS;)(f`%L02@`|T4XO1K5=MiEX50t7=m_O$gVihd&4BTtih2@Ur^?0_7WcN~eq z52$|d?(A{0jdO0Ew;{3V4rTBJ&#~!76N!asYUgLMy9|;BBC&!c(a1@E!2H83iPE%( z_;hp74l{|Cbem^_-}SElQ@etpBLl!tCU-8IcAQ&q8NvQI_T%K{QY112`~pPgh78_F z8PkWojoQe1elr0;6IjsAEa>^%>~a>AcZxt~2YhAW0TO+m?n^`8<~~Oe%bFn}Z=F&v zKIUB!)mNV)v;Wc?LKjBzSlPS}x@xr(xWfN{x#TkRNAm)-EKQ!gxcwSb&12BVWmnlg z?l7vB75U=3y@8@b37*UVm1)vlydBpjgAEKrnNOwiQyR+r2;7z9Ny$Tc@7}}0?6vr= zb4q91ijTOS*0P*domOnoc=Z#&+2i{yrR?tXciBveO@E3bVQi>xY0tDG45QY3d1nI-~TlCW4hA{4d2mv+IBdw7a!K`4#r-pvKc z7?$6&oau92j0cF;-!VwEc34xT-E7U9YPx$4qSfb>D~gz%*jr}07si6emkLUNX2nIYgm>lTy*N&?uUIA9->2fv{oE-~Y-Uzxt0XfP zg>AGEDQSt~z$3ugaNo&su9tE9FGx}QL#){z6!-u;{yi*vimLDwRw@?QN=06&<}!si zeD{y=d0+8FiZFZSYa8P5T*b^~>*s77X(_K#kg{NYTkl~|Q|QzqR!M2*`0eopUxv|y zU^|XW6#Jjtdjj&zBe=a!(fIq@@7s)%62sTErXt+ID7}21yQ7{k*H;qPhNA0GZb8U~ zIp8UW(~dd^IU!a}p#u05-|-fH!r4V4gII?i{Gtx&5^^og5LG8*bGB?X+(>_>^N)`U z;k>ZFI|$GO<_AtLkH5=};$M?k})95L(Xk~RN@6Hbs zC)&uLo!D{ez{Q{0s|>$F$}YO@;(7AN&g6)S3lG}C&fD2HgrD9 z$1#}{Yk+_|#3se3;okix+ph{PAX^h1YUP8z)By5-MF$|$HPteiHK3hEKQ6Y8PCHk} zlW!KCwNal9D)o$SxgtC*q^8o&$IA!jR+*^+WvrK3WwH>Q%3SC(tiMq{-4{alI}$Vr6(`K|ea>tT#z9&ibL`;) zPpW4(%(qdI$_s|Oq$15Hy7)TI`jJSx!tYBoOrl*K7MT}&So3(&nx|^E%=@gL z_gUJ}Q~6^&n9Wk%Y~=#krY#tN?4ml9Lp5&>jR&CG`>_`cukDTYSaq_i#~cr7qI#WD zC!Xa~C0MANh^AMq&|@&{V~5Si4h?vqHOZnv%D=hT7j?0NN1x5}7a(c*sq}&(twE4R z>$dGqs3o7Pa{efPuFCx^Q`3r2DUo~5@sQ1TP&CNG^8s_1r68xPHaRz_EJ^GD{4fCVB`RZ#z3;QD*31 zhf>!ZNLoLi<2)0)4WZaPXk0%sC4|v4-O4_vhgduyagg1ugcIogva~REw{jsl+F|2A z7?IVJuhy*0+Q1)N02e%Cq}?a3d^O7JS5q{s`bD}78ay^46NQCd*ni8o6nNB=QOeyP zMB-rxJ(y*oadzyiDgXNyGnV-jw!Zy0F{841D)?I{1j+gCG#v6Tbp(7;73kSDCT8aq zhS8pqyrYNdcrfpN`Q>XDhxC5QZfk-{-B~HN9ZSXxLlLPq1}b5nKXJjMCbRK-JlN5L zxhMAc)ZM+aVJbVqSd)@nZQFHpEc$Z(BhsNq?BNj7bc)`}V=@KA(yy&7jorYqRM(wY z8oR-krQwg1KUItST3Pxn0%Y8ZeoJyw5KYWQ7cli-_gS^JjhJWlA=qBbLV{*vy(5z2FudS{lrpp zllS}7d{J}A++=2hAOWp9WNlS8*D7f!wJQ4`tx`QQ{#5_M(yG87v>TVVVKii^LN^k_ z=0Hvq7$w;2_m&=R;=#Pci|XR&H+8`)PE%ruH?#;Hb0iqun>U?~uNv9;OSB?Su^Zwv z-V@@)2!};CAt7jdGmc)a zB%AR;7TK&@$;zhMi)6FT*;Y1d=ETlfwtUC?1NDSYe*90hQ!TRjEisbcH&^H9nK^CK zV8;!E9a|1{yl&EN{Jx?gj$T6?Ju_Aq92`Gr6C3C|2#-nG?7$Rn<~aAB6Hv^FbhDN^|mls08<2==WTim^D5x@#{m zMpYSB4JGlzYp*cI)qarnxzkpJ@tId#l;>PUZW!%7+ew6P5p^Kj^y9)1^H!uOA^J11 zb8El&wm@Dv1s}uL3pe8T)hVs-3z

    Y&7)My_4{Ogq1-u4+M)oh#&MA-Og@d#|SqD z$p_0+j`PLY#lq&Y!4HCI$8xSG0J6MW{fWq^a-Doru$m?N6#MWHq?To@fD!Y_7~WMz zJ-iRaFZh2_=5Uqb7o-mSmSD*BcApqcTdB%2r6(V|unV$(RtL5y>BbK^beXm{uV)h4 zb`226TBp<>?I|q#)}vKaRbvSixOE9@UenJZ5$B)m_@CU;hI&g-Nfrt{)IYE?9*%ZkdVUl*M->Cwwst8L2-{15}O^# zV4J^SQ|495o-6p7vgeqmFV#|n&3qu%8?%&91D_e{X>Ykq$%f8UtC`>ABkVzy&V4n& zHY!Q`FY-hgK_{mGVf|o=g;->O=ypq~MQFmxMJq5$7`xxt(1%5y%^wS#u$CXRRu`_HXCUxg!&G4HxwDYm2F~MGm7|svb zed~@oIeR8vtR{7)=K&q|iQ{zGNbZWTL%#c-TO0j_c0b@Sy2~;F?Lc3WIvwj;>Pb7$ zDlKhSt0Xsn+^7&!pktLnIcWmUyQ6SKN5xWvBT5NL8~ZodfoAu%cS*AEY}B-*z3qZ1 z+O8hs)PLq>oilA$$FX6bo$YrWpQ6r`;+(p}6i2FQmHy|Q;A*yTywlSemvt^g#zH^I zv98+gM25$5BI5~dgd1A74Za&(6KzDG;}Rl6=c@Q>re~ucw%E0i6hg_Ykbiev=WghuSRX=#mr&sW)%I`cG{V|ciZwm(Aw{tsZx%Q6azjtW zteKt<+UJ1@HI~Z+5~K_>4k3&!?AyE1S@JH37q$W|%AH+?e7Wt??j+}(ZJqZ?SMH6y^loI2Af2wwrF|(Y_b`4Rwcrog zlfu7rJxHf^-hW`o{^CpfTRI;YHe~N}XGy3;vQzFfKI7i?hlLyV4I41N)A&XGBBuA( zu;B=Oxwrx@m|!}B2^#h4R$R2|Zcz>M@4!{O)wx;<=&e7E)}KsEO983%r`-BeY5l3T z{?wU%KIHR9o5CGjg~Ltf?Dvz&GtKd|(hp4Ww0!6R4DYyPqT7RmoKXs;`yMKFb#{aA3RfC1hDpM$i6F*34q8LB(Oh4HG4Tt48 z%CWazJKjV*d-mgaFu$1Jr^r;Wg5Otf{aI!DSrcMQ`quiBKil;7YVYM7Z|l#@GryW(dd1yzkjd|4x(y_;+z>mktuJo7Nb)hg8fAGk z)%+^m@@l$I?BpEAUa`ql%Z8Hl@F{naOERvhJOUVnq-SXYeasUsr;iGE#F<67XL1!5h}a5Wa@6$yf%`a|`xtJbrVR_ZrZ-HZr+X-9FlPvEK1Cd$&mcpihc}F(t9_-kqQ`*ti)%hCNgX z7w0`EVZrDRr_7r&2f8I=z25#m>}H+vhh5J_?gao*8!xFS6?QSH4VW>T&|&!a2S zb!m+5H@Tf>R8qyDlrw(Sbe5+_NZ7huRGqWg`&v1wuByzPJ~=L^(DU@MyDVYBz8qnD zui|TUW$u`%AZ#Yi6D7jJ=I*{V4Y4mML{Se7X4}`%fRooW23@-g9@~C-Chjul-8k-`4~#0eBw3`vF`T z5;kN9+Vy<1_gAjL`<)sqbE^q_>EoCe1Rgmzppn3LoLt*P;5Tcsmr?E0(~=?qF6j|g zvIDi}Z1H|F0<{milG{k&dmqQVCGgR610>S{{?*B~PCbsS)FssvE(cd*gVWl^pi=@p z!xrsE+w*h0FJ432(WkE}(DrX0$1FYy@Q>&2?l&Ib`%hkL(-pPfstL^Bh?_Ccr$Z1_ zl-DC{)ZQt$SR=>#$vO_amiJ^^KbGQPh6?_$aFL*r=gnqgLVH{!v5w zM!9?(HT2`CRUb!P{y54dK5A%u)T;QX%kfbzpF~|Qi+WZT<#Il%^ZBTu=c8tvk6Lv; zYXAAD%jct>osV)UkLp|=HMBfxMtM)+->q;#prZPESb@}7It=kV`@W>GpIeCM>4+er zszO8urQAXgQRqwx`#FKIpDQ?{)t)KG0jCKM4fZ^z-%u=X35pHthp?ZHZIBGH!4;>` zUPiG8k5G*F8x{Ktu|@}$B0A^}iaqCl=xE82sO(=~x-M~#*(D-BXUM&ss9pq5BePA& zD-^gxu! z>wLOA#@=)A6lE~nDWzCS39mD7q&RQ#_N0Ya$<7I{ zrIp_1hvkeeBuoDDyfk`xTF$7`&ts*A1=)H^I(RVUCuW20N!FcF-^xq9pw4B{B z;9|Nu`RF_&1T)MvsujyLaG%T$S#yywd!|a99aF}x=1pK-W~d!ieJ-V{G$ErANHLEe zNO#C+LY7-Wu;Dvx{%VaaNYelU*%`8)K%zfy29TY$ASEu2(>Jc>#hYu9jCZ8-D_>Vm z31-v=U)GTqk^-ivOHB{U=s`nF9PqtfW33#UpED6%@`)LfaG*rMu=9{~XLt94){d7ULv1R|}V@qYnjb-LP^#q`*qFTm5-j zDIeOb#Y(wttdP8KhnlTIDWMbYbIG~V520{*pRgfRIk_o#K=hT-_dd1~Eywj!+?B3e zqwvl+DF6$CdJcdu`rfB>@}|S>MjlA%qQEvp&WG19_+qa)IeGY)zi|GhiYzgaBD+BO z1c%qsqWvj%CePt%+@d}G++R57%j~#V*QFiKmw6B_#qkegyJN*&k%hIqw2F;_5BJ`J z2Rga1?F zz(Fo~>oju-ysqteA5{D@uLx9R-Yqr&vKfoKR1nFkv5DDisof3OPKji%tzh?LjtFxD zSQ_9ij%QBs@OxGODnh7Dg0HyCvyf4P|wL)mBLhlHalHTKT z9?ZRMJbNA3p8mVH4IB7&k#|N+p$w)%?{wCItfL$(MZWf@XD7HVgusvxe&Gq$Wj0LP z_EKdfWuyO8N;&JHV|P_n3OPqnnnzZH3(j;Y30-1+>Bes-M5ktqsN-eA-?wJrrD%ucrLs)k zObdlSzvz*%i?V0-ZKYEz9Ud1OO+~WLvdtf5Zob|Bdar>ew8uZ1FKE=F`TjlrqxlJ& zw8yGkw~DfK8_<0J9&Ga;u+5L^VQzjwKjy!hua8*h@~#?$CJ~`y zSVAklV+kE=A+%`~2u*yC(7$hh(8T{Dbmunh$%Sr3qAcS^5Sqvl`i3Pmy+^F6pXww3 zLWOY;|Its4=iY(Pxacnb?x&j(#j9F$ysLCtNQB0*ggS8^>+yU-LY_xA;T!Z*O7130 zm!O|C_Iza23s>HftRjIi`DXKFg9gi#%NK~>L`~%%6J<%af!19dtwUI6afyZ2a0AmH zBj(42Bn}(`oSQI8Hpl>xSBVZ;YO@G{?q_57@xcp0ZY7Z$&ys6S*S{Yjxhwre$))Q< zY75$BgS;Ol6=;OUSs&_{A}lnWM(nUqJZktaiI$?e4_qmsFk3X8llByY4wS8|#yKgL z14tY`Ly}Y6X-^SIW;`HxS>A#YL#aLT9m3=9nkG1^}zbyJl4(I8+#dR-8@BzE~aaeBnG7E|y+ z&@2n+!O3EJ8(|u};Ekec8|mOuJ~ey!KL}rC46mbo zm}Q(KSF+Ih$$dK9BR_xRFLsSLQg(Oc@;x8#-J-~LtbUI#RIlQs%1LtUHH!$i+RC3^f>Ec zNiXSZS$!$vO7lhqJ8x90<9=h>QkHJ@)|Ipwpht8^V=_%fZ^fRhaiAT?1nve|mr!rVb9v6mQ{B$o7YTD3(OKgCH&hiyeog>5f=C-%Zf4D=1cgWkyQ zRtNgZ@Stn%O0))UkHv$@Y~M*cfCHX1!Qx~D_OxTZcdlc5x)IiXg`+4dCd&2OwN0pCmJ2|;1vOJxvj$s4zC(f&1VS;X>b z&q9e%4@T<-?g725HR za}@!5Ju(u>ARGP?RSa!##*VM5Oz*Xl%6 zAW_QR64u*c8>%pL#>%DC&7PyVY_&%js0rq7nH%st6qw94^CvidstEYfBZq3P816RJ zQgeR;SM!ko!5pf2vXr&Mdw+-u%x$i^rTL`Fsgr=c8Q|#X3TvAaGM2M#{w3Q$1Vwx1 z(GvIO@Bmbhh|CYCbWNY)PgU-r>sBf{VgZu*OUt>a#r`0yMBzZ6Z+6lmXm;;JIm%yF z36d#J`^1e(n`Y1QH9F)LCMv1fn%)lEt<4_9HM?%L$4zSX9v*8ZzX+XY)9iT1&lCas zJ+@J^>xYlDX?AdHmf@!Z1oNrcGj!DKL%lyl1?FZ;e$-5?a_TH7q^>?Qy4u?8ojF{y zf6X=!LDAkt)a=*8C$r7o#x}d+(<1lK#7_avINE3hHt0DaYs^*l=|Yp2m|Ug3%?2Yd4OOk^~FM!Bl^P7Pqf3@7pfn5 zDH$ue2)-q{ei{ARLYEKEz;Yz>uWSv%SZ&WI)Eh^S{ zsJ+naMnxNHc2nr*HqD;sxZAD$F^@88cI61(bZfI)ay)w-AfQ}Hp{{|Nt%wvATAN+; zBX3&9imrmg)a>6!XP_37wo#ntn*DdS2IaeG12dvfLG_3hsK7k(8a|EnN|>|CKo{zr z|GVWbYqQ53Vn?1PGEs)|m*vj8so9M;vc0SdXQ^*NOo1^`PR(8$d7f%9HGAw5w%PS- zJo2d71yLQT*>6L4+cbNUW7iY~Q{OD`#&51p5g1>pTLkjr`CCn7N~vp3&@^8Uk(_kzfVx zZvvLK6?{p+2Z{)o7nN%REV-kZo-z0vBLQc`&b9()n1KD;3JMAM+bRO~i@IV1EWKlx zp0VPaIs(p#)mVVd&7$vW)AxMy_W(gNPaTdN;LmW}f5_{&e}`h~>kgp>@H`%5eJ8ru zet3ZIL_ImS6I=<-nheaf=1YFECrej@5=~TS0=#*q7~mnx*Ws$b)4uxhlLeP0jSE4L zu8Q@bNs&o4z_}SFyU-VGm}b{Kt=sV_w(^lj{$!|SQ*@;1_Mw@MzaK6!eD``NFdVIl zMGcXyL&;wlm4JslUK<$4?w5uL%n?7W$M!DF^+kw@v%44G2Hs z&tM9j;O`aUM6hbZacxcYCJ(VH!YP){7nzt62qR=ZyBx^#bMNR$9vRgIJ>BDAF|^oY zg7v*)J-x((%9F@s%gS2EV}gIvk?G*sT)U2^7B(W_yE1PqJcnGg+iUq<&8&*SXiFvA zmRGGQwptN=W+c3>a0IU5^+jZ~IkGfx3L;DO;&Z;)j+ON)$yJ5qE*Pzdjt2LCSj=YW zh%OGg$WWF}U%~(AG9-&%i>{%}yRW(UT2&R?owAX_Li5p~TOD&@1R3)@@Q|dx0PB1C zQ4q>StLc{C7hjz`f?OfXsV#T@#|EGH*sVbk_!O22|D;IvKqQD_Na4LdeTcnTI^4x~@B*Y{FuX(_7@#+Ds zB{SDIv1pPBO?)(qW@`QhAyZY!DuZp;#^D2$!Of&t%2oO&f)1@EvwzE(Lv&1K1?~z9 zROmK~12QJ5{|(fsbwO?$$25Kz`f6tkN%zS`RRx$byfPJd5Xk&t;h*=~iwC(tjn&1i z`c76MaeB!JwBto(Js~}eE(t!+0jNEdNH%e{uX>@*{~%qbmdeufJxkNkYa=+C)Kl_8 zpp(2e53tp0Q=}U~&b64&Y?w5J6lGELDo2@JSfP*5@Hv9*2Y8FX)rGnoA@HXq5x+g@ zLJ?KKNJw(AF*TVzSCNfdj(aI}_=u6TW~d7&|XAG>?kU=%JbSS)3`I_rGd~obFk-zmF@1` zKhU<1x*NZkmY03L9xq}7<8qqed?LSs%XC!D%0AceF4;3Bp%v7c|C(F4-$hxb)`3A4 zJ1bF@|C$=S0urV>*8T+WKsy#k2({v_I%x=I*g{b|0f#`c>C{25D#9^8#QVXo6SbxU z;GD=Ux_QitiTzfBF}ri%ysGI;p1~jd?VApSXth0~km;7oT)jT`q}gIk7T%6aS3#kg zZ(gbC1LSYAXZK+0bNrmH=(?lo#5JKGTgmB-lReP%(7%PB~V-&cxS&k`ajJ6 zTTeQU?b^Vf2v*yzE((h9^)+umaJL2XB3^$+uh#l-Nc72i4vDT|IwLnE(n?dLnSq^6 z6dKtPg(`#VOS;j{M4PVP3YfZX6oB72(gR=Etd^2Br@*-_?i7mNR4AS(V%YPSYX{B- zLYSy@irVYEpB0|fm87ngg%Zl<8Ku^Y2IW&w{Bof10K2A76C9&mxzG0)(97HrbJ5b? zL{8H+#~Eb1yReD-pC1LHyt5<*ydiA>D_8{zYO9 zmdYQ{IsW+taeVbv54#2-d$xDf;8i^soid2L7Fo*q6y55yxBD&XKKCWmeNoNCi6V<2 zCHAFPE9e!j@Tmx4z9)coBeShY3^5HzEUq~%Ibr* z?aGH1U`D+o+roMDoaGqla4)|R4x0*B%-%&9TIT%)b1#63en!OieT-F@va#Rw#cfc)1UDhwI5<_sq zDFB(xrU|Ywic}2h#0^;%RIlRy#JRagugf4BsdD@M(G2`7T{IEMKuUsHrxe$<&X4M- zU-MK?!R*EBRG5q3uoM2vAq{w-oS_}OKfvjO^IWmL&QADMqEg)s%`#d}b>3TMp01F5 z%~^_%S{K^AyICcvSp<}4Sd=Mk$kXIE^d8NfOSW0gGi$Byt8_RO~EkQ#z>sWiXtD%&&XL&0Hzr&)dx!xB6 z5bTNoMy__Hpc9>qcmkI|TPz@5K5qz)g}Av`=aS@`e@(J&G1^{3Z8y8-bibmOokIoR zu)}zj;4Ki>HyZHBzFw^6tFU~}3kzhwUo(HVbn@S=8`Hb*scPJl0;(F>9_b!PR^7{?uenZ^?B+Qk zv*g@S+fJ74HsnwzcR%~@PJY`uhc7yLO`@lUHnAv|^2vi3-tic=O+)1k;^{lZX@|rM z4dPkX#CvM#cK2|uNgT=1-#OM*g>|z>B?`ac(bObEZ<{93cfIMmVz~A{zZaRlCk!wB z&+j_Zcg=AAxBrDd&-8ug@Mz2TG1{m^bnmkyVg>BfFt5TYFn##nG&b0UCC;nBWwgZ0 z12=}6B?IYyqbQT^x%`!)SDnOHq&Z7TkiD7#%N`EpZ|o2P%06BVDYk1&6AfyZ6xqkuBXa$ZI}mGX^qGNZK`P1ucm`?UNLkmhhoG!yw2wFsM31 zSu}7|t)C4XO17UzjwsU2pusHNXAq&Ef1l@a3YoPM9B+WZEZ@i5jD8-_H&^?uC801d zfnD}>Z<9Bo&B-*GyJmnjv(z=1se3IW>hK!FY5PugIQ2D@ ze9P|bZxQrE7J1E$uFo-fnT|v$-6EJl!|8va;?pLQaK23jJDjSQ6!qIP=CnbgTY?7F z+$e$;8!C6j+Vs#e2Evxv&+`oJ(Q7W6hE5G`ho{${;&p()-UEiRuJkJUA+uj5?;vhiwSc!RpX=eeA7ima8NIl0Z6nu)FYr4_3(b{v`LcuQTxp$%B z@80jZqf6!ePM3XWkTg-xHQg{$&*`b>?p;ZT5_TJP6-wA)b_Tj;&;Se(_q^#k`kjE^ z9!+a$8RPFDz{9{s2_kg-AJK33hPCwjBS-uWx0eBP_83nLK+F!XW%uM`5>MdoYSKz6 z3TAB-G`_fFJ9q$MruV`kNc|BX=L*CO>5c6ieSQ+=5RZ;Qm_v&9u2IqNaQdyxpGUuY zszqxpD#-tSv*g^&@Bch~{_`aFo$o#0 z`DQ&cb7s!Wp&3K>9*WRlhET+h`@DvBFmJyWAB1?U z=JD7wc7FJBbSZX!{sC)WGMlYAzm6H5p@G=Tv3Bs4ox}NdkLMVkOfH=NXXmN9$LjE= z!fwk)<}_Kw)tolRqIsQ}$NmUUis)SXZL{gIEq7NL1Wc2io7XuX6L5~V1{}d73w>i3 zP|LK5ca~D(owbYYW&$X=zeq>$y0Bn7lyDPAno+u1zde2$@O)c)OBMiPA z=f1diRS93ZDmyFT%iN0teSA4z+1UzTHs1e@uP^+oeC*nh&0%-O8uO#+d@L?1@(;*C zBVKXQ$f8w2Z_N%e5T&Z!A@D5U#@5jE?J;`5`swKKvL$%x-8}{g(PeD$TNU|(i{u1o zzJpZ6+}f^#2=@8F5%dgmY}X4A=C9jwnYvcZ<32@3bQ#H4EPuy0ij5}{Z^27kzF$T@ zvFgb6UD3$C_T;Tqr-OkYl_2!MRmVpnO~c@_kyzK3H-mo!VMETx z_;>-WYdb%hR+e?=cQr0p_bUp9tv@a4Y8+{Mc~V{(h50mJ;5N12Qy*?WKbF?4ttp@| zKOc0e;ceSMT8sDlkra%ZC>Rx+C>XcU0=M&t{G$Www&9ZpA5bt@9If)Jxem&H4YbN{ zAOFt6!G5$PFpGk5sSry7*PSKh$YIu3vB2%ReXzX3|K*oFIeh8d#eN4r+}^>L&K;ay zg~Y!c)QHp=PU(E{IwW1*DpEdu?-A^}d#zvh2ir&FQ>GlD1#W>WfDp|IVf$|$~k@8~x35KNpNV*ZVWceglevp*g z(@6QYF$lBYhm_9E54L}V)S#tvu14cWtW2b3arj;Xl)JJhoiC4}#fE4754_}wf0&m0 zJ<%WOY-yulbeB;&p9wzE@b;GLNqO1W_LnF5eNPJsiyooneqZ#z@WJ+@cdWjOV9aVp z(p?Gu3j6s^vtqele!@W%%isVg+jcT6_nR2~8Pa*(Y6Rny?Ie_khCrDW9z~`cPdEqV z*Z_oi@ni9y90+TQMg&LRW30eOG-kS{cic%!9Zx()dl`2{BRbY?t5{Q8Vv5b^rX1^HajR;?hE;@;q7KSbjCTx^Np3m zCJlgJ=Xb5X10UmXkY5jkI5xqryfX6Z^zN#&2g0TffM3QlVvVY8E`|B`<4+^ZH<1@r zdxRH<=8zW$$&2K96pSy%UwC;^r!(N-OP=Is85X@hJK!Ad$^%qrKbm_9iTP0o^7P08 zGPrWW<-L>qmQx}`?j_|Yf&2ZTdF?2){|PBW$~%wV*)aIC33ON9K_NW2_Z+h6 zdkUfJFezW+%GW}gq3pJxa>$){1gWu+lx@$G^2C8qKKdppAF3eBF`+9_?NoOl%u6QP zCSg$&4p%(3ibY-4-@lN5yJHZz{RyuaR}rK))v%>1zJ&u;SFD#h23f8+N^wktV7{z zvsxE7-CEGn(uAd1J>S>367~EQBbk3+qYA622Us?|vUSyfo*j2T*=vcyj=M%w>tm5= z{A|AsgBQB}#s=bh7o)}uYh2@8-k-jA5s#g7ue);Fbr$TLYi#&(UEKGB#J09Yo3lPT zahSHXy=6m=`?d3hKNTQs*f}@qU*TEbB+<^f_%y|Yy@4*PQO$IDYiebI~(0X_SzwQ+I!j-_7Bx z(R;hpIi{fmuUcaCZR6G08T<|D{t?SFsO4RKcwiI_ls$@_eaA&S0JlV$Yu+V~@OXHoGd)iV`Wq!*(;Lh;R zC-C-MY}o&P#*w|(?AX@dU`6JJ5btLkOahB^>gIj;iV+L_e!Q5JfiDiIJtN-Fcq-{9 zp(4J!z0--eGT*Jb(eJ`7u~(_| zejJZC?D@%X=L`zXMN+ZnvmnH;kB3U+%mAJ=ei)IgTDvU#tI+OBdixV&jCfrH&f6JDB)H-uSEgFOsg{VA2hu|C^}+U9d+{#JRnT|WliURIF#gL&n60!$>*zPbuz^8lZ|!}il~V1(&^dF zcy6LliB~Frc}uGFi})ywzt8_%rcjAIe`Knk1y(*^B0OFnDOFZKmrg2jD88L$gO5G8 zj;QTj(4SGCgAB-wugZ=QaqYJN1)(;WUc2oMqs)|ZTU)}v`3Lk%Ch~j`-{E3s;ty}3 zawk3&V5#Z6GJ~eS%u8nC<0M}Gm8{XIOR&E}_krj_-p3q#Ul)1-)TdZSpK{8hw$T;h zK));iJM+hP#-lx1OkKkB;k1o^xAhVl%*jDjsP+3;u_eQW_$|0 z6k6B5)K$Uvwa`RN4MKaeuMf2+m*df%mJYXc>D`A%vp ziW_U2Ty5T_#dS5FJ@R`@(fyx~_7m;J&D364H@x!RrU6)e+x=0{asP+=M4gBnnOB(m z?&|Hn(PkTQh}wvwXd^J4@NHA_9xF8o;}2|$VJEK! z(i8796%Kq0DLikB^ZN|*HJaJGM#VgI>@a`3(4D)%k~56oA{8*}pO&tFdTmR+Y{fqV`1m_;c6YF~v zd^Vb<`3_bLLA=$A6I+%t=l63~Lj|V;*`R|l7^_Om>;w0DH~;K=;&*`%_S3#i=iR$~ z!qtp$eK{xuPsezT1IvjbtMSIp!PDd6?rI1W*DedewfV>RI~0k5p%5^TJSLEys5gB~ zK~ir*b;WE%v=cuk6+Vhd+l6C{_@&4fItGtA9(kn+!v_a&3^RHm8i`r67Qk?W@=;H91Qj#p}nc{m)%7C=1@d^6yKz z<~}UsW+C4)*VUDo5Qs6e1>#FpUH1=uDtx2mjleZ?pW|hx&J(z#(@h_OxnFp6)7>4y zM3pe{{M=e;VxBOuZ_bm#-lx%thK$|9WN@GHnOIr2cELhna`v%~UkB1i-Jt=y z#3iGKT&RnLNW@c@eY_67mFi$i-_;+XCKP@51;GCPq7Dv*dw;?`?ye)U?|l({@0thp zZ#&&n2|t66KJa>#`uSpigl>QOIDx8H9ZgO3-nwSh2Y)Q?`jjEEPNk38P#?VCy#wO> zSyN^|qsq;D*Ize37Wc-3jAi;K@J=$!_4H|k|NaH+2L$k=3g^{9`gHs@K%7^2 z4TohEWAB2eeK8ag9|Znm@B_drRQxwmU!mezAV$>5BZi zQK90efTz!MD&%&cUjQCK>OsZN1W)O&Q1MmZsc)x{^N6n(JmtGWrN2t@3KhQ|JjGX` z;p9!AwM4{66N8zFSy$I)# zTs$8s;342CZ%e?_d9DvWO7eD%kCl9d#*dWz%^F_=nd~bh`;=efrGCAp-vXJMScOXe z5%5$GE9BvX{0w-?UxkW40-o|wq2m7lp3+C5;!|aK{)+Ry>F^I}N1@XHN%9I6-wzEq z-Cqh7KSkOfj`P0uM?&R;cvnfTwg&sQ5bY6kmmkza2c4 ze}#(Q44(2!A@SsIt_=S+oc9g?4Dk444}eEi+EaeYCBIYSD<%Jw#(N~cSK}Kce?a4J zmHcZO-!A!Xjo%>ow>ADg$-l4hXCPC3r%HKdkYe zO8#+;|3dP6H2&X`e?jAalKd+g-v^n3Q|ySwM@s&;8b4U_zt{MYlK-Q|e*~H88HGHZ zAy1I{f7kRcNm(K3)BTbz_5Y*k{{)%ZO@&H79M{nOu2Atq!BhEAsQ9VU{wR5#c&g6} zB|kyqXGuO)<0~XTS>s)j&(ZklkSYBYs_-n4`Zi5}9%M>Sg-X9w>ep!cOCeMJqfqIu zl=`ij{#wc3rt$YkzC+`GDf#sp-zE8bHGa3`w`=?hl7Cp^ABRl!xk45GSEc@5P5*$@ zSE%%lf~Wq!LdADWJ0ECvFnr1De}zi_cT)cwP5*ny)Q%`r`hSx85$JsR)=L8*%5*Ca?NS3ad|zn+dM7& zMC*!Hucx8Z)7Unv+0!Ug%+J8KdRyw6Jt1nSn*VyLu^rFf=)lH2xtv_eV*|7MwpXHbS zAN=Cm3R^s$a#v$(N@}w=%Pj}LocVRl^{y58u5OFhQP<#6G)1;?k&?xXv z6Z}7g|EBTZbpD%xRB)Ce4qVE#71)byMb5&Orbe&L)##~r<~Dh~O%3JMElu?h+8{Kx zI<3{-x@8^}w#gKq5ryqUmh*xRyGX|5sYA6#oVh)D#ic)a3v3N;3#fq+N>(pHW`K!Ma6{&>m6Cw2!kTD z86vb9BD9$zw3#BbnIaD|MIK~|oXiwCnVH!uN3@%T=aRS)l$xlKG(ABI#rpbX4T){7 zqDC%8^^Fpk--3Fh1*z{Vp}5+cye@BDQ=_R;SXW<9MYhF5H5j*5<6e|lWgNb!%sWL`$3un1%YRXqMxSQ%*sYEw5IvvzrN-LBpWTaw#Z>|un<=hf{)!n3f zqGVEZHE9<0)+D+&!vpJRsdF_hs`oL8sL60v%&M(L@O#R~GFN?@N5u>A7V)B_r^q>- zsjWOXwN3?|mTF|65^t@m@h)y|@l-3KMmc(}K>j#U3VZlwl2G|4Cnhhd^TvBJ(`z!* z(^Jz@U2a!aYI1stJ2@pSBP*q*dUC2KxhAdFo#jsVqyTxycl+q-oOU#g%DKSf8w#3O2(PBwM$`S%D z8D$eKmt>UH6lFF=*-cS~(YgrbRAm{BhGdjwG#rvqmeF`fMp;G!A{k{lRar(eB2J+p zkq%`UjfrHGP{5=rN9`guXo&L5Sqq zaP&*WKxUNm7jpj|e*?&0M&p(kvcTaFMKM-SC=NVCU?>;=7QBL)1jJJ%B5m>E`*U|0 z*NOHk2L{mCJsn1DU|)kKxEP~rjZpr?DcoTelMgpccZ8qm?(1*5BLhr#KfFh&Y)17l z-Ti}1ceIbc142xGV5sRH6lS_(!cF(!2<8*P0o5h&u-2-Ax_rM{hd(be`9TRW52ahz}v7=0P+!)h6 zB*AnK9dEjaO*GxZ6HRw~vgsa?YPv_Jo9^o}P4}p5(>);oiKKeE}a_s1t9Ee{1_~liYFf=2%=$D6k?QN z%ZP}+yeOmO4mG&T-=CiyL1%{qOq6H+Xs8f_M&jg+baGTcu{udIsxb?{lAj!7Iup2) z-$Fx8=P=VboQ6!xfB}z5%YpfUVS!V5L_Y%+IE``lSLDtSH_hM4Z51~P zcjt{d^yhcsx;GGfj`@&aa_unP?_yj!;g5 zua*fxROo^zxp_MK2L(~37a?jaoY`eWbp_pS(2yR>Mw=OQhr!49gs{r+H_zN@1erp+ z5oQV4b zm&RgK*kRP0!mo^GQ+UAen!T0x_VDbd}d-wMm#-3PKn232_Cf@ z7sW5gsHw@CoSvM#koi2FRPv`2mN%uc1Ou~`<&M&{)XLKQnUi3zXW*7$_^_#-i(>qi zJADRl8I9&FYN3ZxqX9{2 z^gR7Db0FYujl8y^@IBi5{~v9)dy zhP2}`uF&FTZFuxd$#i-dAHHU}*1Vb1?X%{T<+H+B_5$h5o#SxKDswstDjZC@i_5He zj^eomy-44y?FF-)rA0TfLUPPh4q&;%>X=jR#9)Ar)0sP6Nx4?Lv!tNV;VavVrx*1i zO02mBCFM%RUZGr$9+%K?=FU^6B%x_I5fW=jFCAxoZfS2qGvc%t_XLJpbv5Ks=k~bsi>DX&2-eabXJILuZZ9Zs6qijeXO3C3N*u*DC*^;SKsjp)v+VgSuVfae z!a|30y4{*zTu|mfaIJQS)Bbbh^q(Vhdy@ra`TE5~X_pd(7|lY7EOm;)Snd>scb=mV z<;Xd&sMt}!a_2c6cB`%6CTA{!i=;IPnXD#JSX@Fyz&Axw!W9dS>{=^f^DTJ3i0 zd}n#_O(^yAZ3Rvzzsr2*dRT3Jlglf9F*4-!Q7|We%v%um9=`#FD#ot(&>QB6_;{?T zSG^dO2;HgKWYR}Z4%znNKYs#qBR_uvyc(V5b27}$=V90)ntG9f7%yXd zpo=Z`)Gb=n zs7)WL7E8{_^@!#KBCBa?bJu$iLzZu6d3IJ@Zf7<-?$mj6z~xy>igWGET4FD?3V<}v zhAI+jeCEZ@9JpukgUcP|MB^Gbt%WeguOp5ex#X}Hlo#0N7UXBK+?lpy)CV(dDf~B; z|EBTZbpD&ce>3@S7XQuWzmxfIio94{ogywz5!a^(11Z8niZGEPY@`SyDX?O9#Z84^Yeiw5pSyWp&jUuXf-MUBJTh<;#1Nndl=TK;&3VA)D!`t9LHT zsDw}SpI2fnn_ih)*I1dDSXn3hsBHDtpwfXphN!BW>YG}e-o>5iiS zRu3cOVoi2x@?uSH)Z`VKOz)$LL)hP-MCt!FO@2g^_i6I$n*6>df2he9HTkk8hiT!9 z)nppB6^97_WKEu-$(5SCT$AtCufPQgMj*U#H2Lnw+o6^EJ6%lb369rzYQ{$q#7qlbTHLd-{g=HBF}XIDOBb z(&Y1+{FNqutI5Gy`5dOnX_{Q9$@4Y2UXwdC`5sMvM3eVv^81>6R+DM`&o@0nwEELu zlcP16-kVlaFfh8BLyt!8l+0f78x?rOA1k zez7Lg`Y>O6Q#AQo&7MU&-$#=pGqCOE*NTxhO&^_nVG})@jb2NF0CNJ0IyES>ICO@Ic&uH>~O{R5X;t-Cv zHTk?If2YY2>P1SK9z!)bS(7Jd_eYj?eu^ef)#Mya&e!B(O)k^qIhtIl$<>;?NRyXp za*HP4s>v%gd9^0rrO9_|@^(#rM3Z-G@?K4TNt0jIlP5!GU zf1$~=M%TCe{h-Mft-J(ja$ijzsL7)=S^4It^~nA3b~@ea#z9OR5u%->^+7bVNAH^( zui%|-Lez)D389=!i2H&1M+pBa2r+e1O^BBTnh0U^c0$Y}-bIL)AbvrJ*&gac z8+d1Y4B`MZR8S(^IFcrassBSfJ7MTkXk*9h^hcqsaY1{Pb!5F)Un z2(ef*oe;ZfTu(R@YnBLyVeJ7SBD07vp0QTK5sckQIFhkVgx4|lOTtl%Jw`a1vAu+2 z7<-j)EY?sHCWy6T#yG~#l02R=PE?r3}JCq$lBYtoa};Vyv97 zm@y~e46M~4oXOa&ge8no-_R&!jMfqvc=O{y!dZ+_AJDKd_9EeI#tswO8T%7qIb+`t zIgV2q&$b{9{CYi8?v8M<<*h7J^7Hf?N7cuq@;bQFcLRiPxRl+3*C+-zv zDcB2p3@s@|&=gv6X~gtU)JiL%tF&W9$XO zTN!(ea5-Zq2v;z6itsk9IU~Fs=|;E`bJw_MjXUsxY{FGoyF_>=?q9-oq!VEWV>ZIo z2nS&&V{L?M80#Qhi#33R>u_HX-o+Tc*u{+XVlBL}0pDdIc_U-*5pKeoDZ|%1a69J034e+ElyC=Q zI|zRz)`S}mAbm)F5ao&RA> z_a)&z#!eDGkG&NLUtsJa;ftt02=`-#IT-j7-pwLBfP5sp8Tm-~GQyA7bKPx=oG!P! z#j}hhVsOjL604gU8Zgty5;5MB=<;HXf}7S%C}q5#$`V^V_43*}ys66)8=J5qVS3q| zNv&QCOexiRyjRK+>E$+ewH#Zbmm|F{H%n|?(LnfLA1Kx|e$;bxVe=*wwVuNwU44P% zMv>u1xp>tt_&SCE}m1Nya}NGcH+JmS&I655T{#UdQ(qhN`{ipmX$J zEK!|!1$w@Rl7@jqIyb9xq=%}r=TLg6Hhaal?++H1qE7mpLv=#qf;~qL24uQI#kIcy JOZh=*{Xcfid=3Br diff --git a/STM32/MDK-ARM/WOLF-Lite/lcd_480x320.d b/STM32/MDK-ARM/WOLF-Lite/lcd_480x320.d deleted file mode 100644 index 340669e..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/lcd_480x320.d +++ /dev/null @@ -1,58 +0,0 @@ -wolf-lite/lcd_480x320.o: ..\Core\Src\lcd_480x320.c \ - ..\Core\Src\lcd_driver.h ..\Core\Src\settings.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \ - ..\Core\Inc\stm32f4xx_hal_conf.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \ - ..\Drivers\CMSIS\Include\core_cm4.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \ - ..\Drivers\CMSIS\Include\cmsis_version.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - ..\Drivers\CMSIS\Include\cmsis_armclang.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \ - ..\Drivers\CMSIS\Include\mpu_armv7.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdio.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdbool.h \ - ..\Core\Src\functions.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h ..\Core\Src\profiler.h \ - C:\Users\XGudr\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h ..\Core\Src\bands.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdlib.h ..\Core\Src\images.h \ - ..\Core\Src\lcd_driver_ILI9481.h ..\Core\Src\lcd.h \ - ..\Core\Src\trx_manager.h ..\Core\Src\screen_layout.h \ - ..\Core\Src\color_themes.h ..\Core\Src\fonts.h diff --git a/STM32/MDK-ARM/WOLF-Lite/lcd_480x320.o b/STM32/MDK-ARM/WOLF-Lite/lcd_480x320.o deleted file mode 100644 index a67ff04fae45da6289347dacfe4e29c0fe214c1e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2444 zcmbVOZ%kX)6~7OIp9$`>LrDXTZ9N04ysVgq?SPHV1U#3L#k6F}x=eG2pY2C*=YKpu zz|g9L*wv$|H#u3PxfLH^>n3fV$QEhY7EOQ|^^h02RW#8oLJ$Z_BNDMCt0FDZcCHC3 zsrF&okLLgN?Gb?t=nD%Wm!h~+W+^HIQarVbP$xULdaMee zGT8SjnfjjZ{INguugk9<$<;dvQyJ1L5qcXER+Q*b3B)`HOit9D@5q%Nm#a;-3q(@+ zl`=(*uJk?cxZa2ImVDeLH>(0 z6)(s?^N`{+IowW$JgPyOj2G8qYEl>{LuzUaJqf;FJn|bHd~jitiT&<-+23A${K4`^ zjh|;8|9su^=zZnZ^CyC8Lu)lc=`!3S64#*1C~FS7(y8(nUS29?f2CQTR(!)#3Ofj0 zg2*3(HY>(8i|d;HDxz(YwI;Kv&T(=yPR25W$}Ob2r=}i`Q)XqSZed<~ZAR12loi7g zNAj|^9NU(XwdLa}F-pFvAV<5UXp|g_%7)`ol$L7p2dV8ewa%!*jA~P79U zUbCXtE^xYj=3V>MguTGpF3TKO6ON?Jp5Lh&_Ki!z_&pB$Fk$H17n#~zaz9?^Unq|FaaYDPy$^V*WZ8_GK zDatEZ>qXW&DYIY9Ho~Qk&)Kib?6XL0z- zW}4b)SFO|3HdH|yv^VE<3mv*o^qQL!x|?g7&2{ZvLf2n?(mKnwP9^O5-ufqncqBkW zJaf|ZFs;HJ(7mBs<}`Qo+SPgO+k_53Sg+mTbGU z0F_E3@E!#@W*~*kgnL6xZO>7+d#MLZ-TWsVy3O^&E9=@-ctCfJeKyf{IdLSJwWe5G zKI^zLZ_8z&Mn}H;@}Po*R}De~&qy_YTcWl-s(Wkl9a?ppQQf9hpZ8K>HMPM|U_Mxr zf3`+#_EL`+cKwE4yEUWPA&M{Ox~_kkwZb23Hru$uw&vN^NwyWLF0fxmqclQ(z?7it zrrAklO}Sv|rt2nB=g)uaoK_qBjwVy*kJ9>|Wtcm}r<#?Hy08AQ9)Bl{#zrh2XHZ}2`b=Kl7puC4}GK;+%0PWPBi z?jGlfhK_Icu#r$S66V8VD-9Nn%|MVB=w@TnG5T~Q5^SY8A><2k;j{F2kNN#AHVebN z?i}HSe)=_Dhy@~HC+{6POCJySNA^4;z5!0?iv`B`n8=9%U!O4I=R}SV4~4L^&pQ+d zfl729lwdVoJj4{#2&tV=IS>r1>`*(6=9F{F zMCXfUrR!3jvB=HYg61zuHeoRgQK+P$)}eZ!qwyyjlI+f_c5Dw;P|b9Yyk z-T9S;Yg=7SwSk`={o0}C=07z5#PVxX%kNK~t3c?NFzb4_s^WlPfEgmk&O|e88;rs% zYb#{WZE2Qfp1IS3M|?}K-Noi}hFiVV)(!>O2OvCXS5;hyG8llP-Q-9HG89q?+Z5ZD zOgPdqET?d)5;;cn!h{y^xTM$InbG99`9W%C*{ZGxUIA774Zzw2>;lH;&H!Wjvc@rofsX^@ z9A02ddvUwg7xHzuO9Lj{bqjl&Oh(4YoDGOH-)!+WTP!BCiSu$TCdSg_Wtz;#TAKVm zn~7)q=6-LB*TS2`fuWFhxP=cj02&&?0LBKM^9J^TyzwcJKe_w=11{?5g7X}{01*yY zvhZFTD)U7Ik&icoEJ*$g*`q+^B?sgbI!IKORFxb;hly&UhIpPhLTHFuqMmqxP{C1{ z7ewIS4K;4)m&m%$E=7O;H!?zg5gC1vPza_C8R4`Mk3hgXB=Rw23`a!X`0^{?YJidP zeIFTv!QqhChn_Co7!w7N^CDwxG=%m4PPlB@zVL_e@YnX)dnI6v8DkF9?*AaWYb^IL z#=v^-4EDDJm*QqTA%Ja>unWiGcuY=k?C;IqeKhRt&-d28T=?AH9?lDOM6nMOj{9$Q YyaIbA#fV*T9pY$M;yl=o>%h7G17g;jxc~qF diff --git a/STM32/MDK-ARM/WOLF-Lite/lcd_driver.d b/STM32/MDK-ARM/WOLF-Lite/lcd_driver.d deleted file mode 100644 index 2b459a4..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/lcd_driver.d +++ /dev/null @@ -1,57 +0,0 @@ -wolf-lite/lcd_driver.o: ..\Core\Src\lcd_driver.c ..\Core\Src\settings.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \ - ..\Core\Inc\stm32f4xx_hal_conf.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \ - ..\Drivers\CMSIS\Include\core_cm4.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \ - ..\Drivers\CMSIS\Include\cmsis_version.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - ..\Drivers\CMSIS\Include\cmsis_armclang.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \ - ..\Drivers\CMSIS\Include\mpu_armv7.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdio.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdbool.h \ - ..\Core\Src\functions.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h ..\Core\Src\profiler.h \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h ..\Core\Src\bands.h \ - ..\Core\Src\lcd_driver.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdlib.h ..\Core\Src\images.h \ - ..\Core\Src\lcd_driver_ILI9481.h ..\Core\Inc\main.h \ - ..\Core\Src\fonts.h diff --git a/STM32/MDK-ARM/WOLF-Lite/lcd_driver.o b/STM32/MDK-ARM/WOLF-Lite/lcd_driver.o deleted file mode 100644 index d8eb2db91373a7eb80b535116420bef24f14f7ff..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 40440 zcmeFae_WH-*#~^?BqRX?3F40+5bmH-v{s|F;18?`0Y$4VTHESsXTlE)En+}auvYI7 zFle#H&UI}1tiigCu09*iuA`e<6F{WO(^2R4Fh{$9)Y8s5?A&HMpY{D-Cj`>g?(_cl zzJDyUH|M_3IoG+)bkNtDfCtX|U5!5xJdj5Y+T^&1p)%~~5*8OHx^MW*su6d?gh6%2({HApwP?&Xo z8JgNb00^aLWIF!5Ixn$NxGhNSPcx-0g7R^f5vpxzOgs=1#n$K<8v>w&;aRsn!%vXpX4%aA#P=+Q!KTDcr=i!FeA#Sx zugTEoOg!&QyeuVN^cyas+!TG)Xc%-FF8dM#P0^Q)i9`iKO z8hTK+#|*MucSV}J!se>PHBBXn?#f2DL#Nu2=1%vz9l5F<#jbK7V{=!tZa}ab&I@9< z#n9H2gmDA?Nf-S|LqJ1txOX}TVRWo+bf-(I>NIzGt{Yd5w%Z}9e2Hz&B;d@BQ?JWX z()oURa@l7%Z%-WRPa15BzHUtF0&bw51c1e^N{4D2poL9b!o&%OzW$`k=A@6Bl0dW} zV`7Ih>Ac-AMz@_+?sSLS;c!RoD8^#XT=*PX6cRW++@v^~&e80+qgVKs&}i{b62#33wA6DVW&$O6h3r2EjTSoKV+ zs{*TUbKNJpt0h(XdYadQ7C8!)t*VM#)ibEV9Gl%cbcEzik(Two7S%RMwXjiDHBxpj z6w%8SgzyXq>y=m3%NO$o>1uaHqbj{vUT)%$vGf1}R3&-(Xt_SD>s(5 zupNcd%F7;ixy=P?RCfUr_fC{W_d)_Iuc%V(!Y-;(VHUv+;PAM2w5T$_a7)vn_O!}D zLixgG#COg}$KV|C1oJhyci3pAAkJdKR=%wnn;f(nfi^vMy4hgd{cQzB02U=vsk4tN-6s{&YXHV^1V5GogdV)8pY{7TjbZa_Ok1pfge zjlPI04p&X$MLUiUSR7cZq0N{CUe^g^z;H$?KSJ1NC6gVJ3pb4fccDhRZfAr9q#&j8DuJHz!#YFJQUT<`VpW2|%2_W{w zfDl$ThLtU0WqVlJ8CGrzEBnLB{k&{3bULF!jX`5_01O{x%+5?zU62C6ydQ!rI=J(} z)i3)E!_pUSM^Gh+93+&W9h(t9G9w*>bHo$O*Oz$NnS@c$>zYKvbvGHX$wx@)4Ux1d zn)(6(F=^PFLtKqA@$6Ob2lzJ?PDn1mz*aZ(=&%+O|sB!yZM4PB*;lk_U@byY}e zv(Ruv`O$(s^u*5Bf+k3-c69_{Di4Jo4)Nt1f#9TdWXBDCIJ16Ifza}c`*Rn#1lVSk zY8%9*NwuTO4GA7nA*5(UEX!|kzI}lBRx;bK$1&t8hgY?u%MGr(6I>C(OLRk^RJP!F za%lCmZ^PAz5WufglLW5GfK^tva0t2XZ4OmXz4^jJ=uQ>T79cM;b0B#X)H=W+8|4dG zkW=*r8J8ep=U)x#EnI=Q2tH{ebqFffD8o^2gZL=MxbmthccnuGg`}E+Mf4HJBZUWC zB0x$4ala8V8WX$5I9@S&xzla#iUnMO#1ux3xr=x>X+#0WRVgv4&kud_4hz9~PM&*L zF_cd^6qs^AYaCsOAiL``CSoZ+$LGV;Cs#EQjju%yF&0Rx@FfV>=ukG|*c!k;-);&i z!y}*?RlC&g^7UM|tO6-QyjSPmWm0VeWw2XvRbYus8?H)q3WkpazCA#_-4NVfxdhhd zaia?fuQco{H-^BZZ2Z*t4cEQIh`^w_>_Ps+kDe6$JC=0aNqRe~pru3k>;RHD=}n1v z5P z8T%hn8-;)|vD1<`WTY~74w2Mmpk6jZ4`dc$%jHO@K%@)usBm^<=VB$u+?*kv7L+fc z_Cr`lLHr1E*+^Rbowj;2H+CA`J579FK~aP#95tGH&3c)rOsFql9X7dB2c=7VL8fy> z*XDzdSvp?ieC$7Z*B$jP&VrzNnf{Hc^B)%U9~KnOyZ*z1HQCb zx+8=7k6{QUbCo`-v(dIrW>4%=93QVP$g-_Yo87j+6WNxt%A~$x z9$!?0E-%6uPJA!`2VmW;KJK>Ll4We^b_L@}h_bC7DrR%U)wGAF6 zld7Ue`F&4JRgdyRo2nAVty#6jrY#rYEv51O^Dd{U@l8o*j4$lU zjg2)=t$l08)rw#4+gkm}ecwE^>Dt^+9(eHwe=d6C=`&W@6mzdqU=oNT7E4KMU)HoI zY7k<=KXVUTeBxE1c8iXI|1(yj>@^-1)~RhKM2!@9V3A+*42d%pCcTm&y22!{up{?- z!|JvS)P@=qTeQrcfV)tG*?yJO5{CfJXwQ!h0x=;Bv2TuBlLW+MOc2pAh}himE|VC+)`vsOSy?vhkug*FpfCJj zfnJU&D4EQRzQHLJ)EyB3P|gGqk2t^|{&Z!JG=T-e$DR1Z!l!{NVatC#YcVr3 z6*?JPDXw=8YuJSZQrY`SPSY^vt)GaR?x0&VY1#iB>n|R)cFOQpp3K?Y?z8nYk2H`seLr<%)AY|Fr3I&MJm}>vQASo zF}GH3uDf!GfT<8<)x{_of~^#8)o7SP<}~~6n)ynX$~>f1n`h6M@mAWjv{y=$uB-v= zz=`JOH23luGiIcwO-t$brJ}YoZN`kVa$0)E>Tw{LGizFX4Sq5VB(sR(-G1L)&39ke z|4>R+&0pqFkGs;+atG(6rFDIP+ZjW1j7Fmm&z`!j6@-4RI5bDi6lp_u1+)MKB-HAm zha_v@FQCQ1uh9|yKQH7Y6Hgw1=nk5G4YPBtZQ&D>$N;{;jt5>Vs_4VoqcfI<3 zt^2@%C^_@bu`t(>BXNJxIwhu+nzg`B-K+{|WpyAYFq954{EydCUw5*rRw2iKu9xVU zu>Zh;1GQ4XU0)0QY7YUwT9-<#taqy;WOenC4wLhGxt@m43KA7@@AEFjG&%FBw zKztnY*3VI!z0D7)MQ^>f84GY+Yxtfb;=6}__(L`3d*IN4hm8GmR$v)dECb@X%a=t) zMlJ)1vmaWnRx7mLxCyWQczJGa^A9k1v)euRQmx{xIVD}C@7H}+^!`%gS%X6V&Kx^H z&BU5X&2!Ys$Q3J;Ds^tQ*8Gsg@}nOcr+)v})26>4adz7D>1P$nS0dF4?786Yg9zET zANdDJuq>I`w6t;Rva)Nl>SRq(-YDbZqdPZn0CTfABYuOt&w| z2mqkS2V_ioN%}590rc-m2CATe@WVhT4b%`M0$=l8z%T$lx*;eZZ~>GAPz=w2a>;n)$01(8BuB$SZZU5!x&evZ;AFVYune+88G$}^Nld`Wjz1Dv|gs8w)~I^f-P$jQqsy5qZJW3G8( z`c2w0llIDR%y3@Jy}AyH-1Qoh;FjNP+Q0aWYjKZt;cN2DGp@{9`Qq;32?$?4Q>@A$ zcd;r%A`hp-hB&@z$E^r}-6^>@!F{Ty=v#H8bJq3YnCpR-bn#Gsoi(A??=Stj;^>BT=D&Zow zxhwVVO0PTq?T5A3nfBwnm_bj>6|=TqjJbwch-vUMQ<(cAJjPB#UjT8Xq>lu{X8oE4 zE!IWHT#LK&rj1Ml)@v0)qH9*&^k|6!hNsBU4PPHze|r#N0m8b5Sb zw=Tl0d#v}fYLT%$I4>23T+v#uiI1$9mn%+nHXJ_uPPSK^au06-9F%t|-`8T2Q#Du%cu~QN`>6>!OXTN-B#sKD=)Az4JD% z&VJw?%i7h}ty>&h%Zkb>7m2tN>9(Y_s6tGiJ@-Cw-PWz8i$r_HmV#1y*^}bq_Z1dq zESzsLJrOp zWhvZYFDodj3f2TM8$q1#st!J1yDI15%8DZUmQC9#?UhAeL9&9eW`#usTMLT{X{v<6 zC^2w9*2qy(RaCldXNBFdDGRGpSyWZIsbG7>wyhPLsw?c?$G}l@x5M*hym)m2KZb4>#p+FDb=?$_gw|X-WR3?Pc~I_L5S2ereIxEoCK+t(Dlh zA^~~0WQ(J;NVLwe-hH>YF3V!6sxBzlTDr9&|4D*WLTzaB*r7y_;L`HFy1ArcTV*Lo zS3R<>Pj0SSh9>s1YSFP3bSbLXS&TmwPv$e0T7`p*pQ)xt`J|~PPx7m?VwF?Xb@~Qz zLIED^o~qs=;XzGC4J$_3gYp(HU0Uek!{t(9gZP>y0T(A-{B#i#8?vM0L~R9QUVvXo zV=&g;IpMlT$heXEPaSlBx@!?K`coIij@$)=jCaQFhJ_6OP&TY6Q^LcoRz1sT>a&jA zx%6zY@M`-*#2-%2ty}C79C7;}4BZ{U-GwD|_wb5ys~d4woib^c%*N_l<*YG+2L8~i z^sT=27H@QVZqA~UX!LA_*20*tbk*UQQr4$;%&?WbhN%*)2QWr{KEoswuW{%LQnMrQS6Ld1R>Jod@sNF@D5q`LX;^fPe0EeN0 zUd6h%u|kj8C!lK5TJ2ue%Nx?u7PFb2zPMIf4UL7m)6*W2$*Aqa+C6NDKh>|Xu^JPcdmfY^KnwL@!pC)2SpY{H0uBGU+dYZP9#ry-hGh7SznWw&GO_JL0C)*L7 zdRBdGQw3U}91F~}Mn3;YW}mR&np{Sx66}p?_y_Kjihe6MuxSK=Ks@}G+#p$3!0^O$ z(5W$VP*`w8A@iZZy?QZre9GMMspaE;6VZ9Z&t9{xTotc@vEG53ll(?n#BaR(#nlJhaG zmj$qNLBcVmT4eHxK0Ov^jl2;UO7~NpZ+=8S?Cfsc&5qn7WVsJ3)H9K9$~zKehVg7r z4s{B1(y;Y??W<~8ZG>rK%8B;)zU=QxIgGVW&sya5uO57B34o)*I;F4Ur9nWrS5sh_ zR5Z7JII4_|yH|5+SlMD~7soktxcP}r^%J_O5b4IGI&HF=x^q~)i5xP4@aeAptk$u_FO4%m!8 zE!cs4wKDOiN}$HH{8CNkZU5rIQJ7F6SvTKb=trCO>$DU2DmRH*?{Lg+bOi#m?8ilI z({N0clTJLrYN*MqZ(AIQka@vluuZH{ADg27B288^n7rM2Lphsno!umLYT9R9g541f#*!K8Po2I?^OvLPNQ^P(y)f}ARi@4`Z#GErZbIzQ-=S&^0bGl89 zosZvc)3{3Tl;5BsZt8+J!yH5%f#?KCjcX0ja$N8%K(FvaWC z(^Z%vMP&Nqna%RdVR@#{wXxT=1pith*0&0S@O8zMv8dtTzku@lOncI%{ku(j8&7=N z+tRp6Nj<_B{;h9y&hWWr^f(vw2&zdR1(apxm_c()rCBxd&sJU;P@?>OZ_MAlF(2Wd zI3CwD*SUe2c2mH)*WBSv7BbqjzI(@3qStyE@+9*!j`2$jip5>FK{n|JLgn zKUwtpyvg^z-g5elHJZ~4#=Vw1?x*XYTgMw;>^k2!v@EhWzsts?7xnY>vcU9x8l@oZ zH@fVs5RXOa+jtx$rQ)$X7mxedIy^Q@-^Anb(sZmndj;!l#NTmI$gJBbsdlY*G+LKH z}jvLn%Idf5oB{w06HnC15ZjD$cwo;90MI23g=(V+Ho&95PC);1VW`qmRR4@ z;97Xhx}?^X>5SRBw}fx|mEsCEPecge=*!)}F0 zWprE~SFrTgHD)#$W%}7g#_qx25FYgW&UifhRe0<#Nly#n;iVB-Uwdj6E0pWMr9Nd> zWz2ooii~dAh{U$p};U6;Q0e<+#?@|d}l`C5cEDktmKPnb9% zfqCy$)=lhCGDSxi?v~HtejAgDQ*4|?oG}9bDK42mF&tScL{85$-#5jaGh>2+-7qos z?a;g?WplPPK2{?iqj6z{eWw+QOKC}2(No(tRd3r=h4yi^HJVg)PW&oe)V+<5D1KQU z_q4ftrmU|uGF{v}(NflzoiO3_0dq!KeoVuL9A?O9QnsH=2^>7SUY`GGZ0)(#UZ?3* z_3kUF7tQGYW_jFGCUn2i8aZG0DCECS>4^LGGsR3P)h}k!9_BllqC0f7_rQi6(U5T? z9*{H3j%xtfn~>43JbyB!_u$b+dBLNx$Ih+pan5~J-E$@NBin|#&8odO&mFKYxqW5n zqnc|%it#7??0Uc9X20ROJvlvA)?@IsX6v+zTlZgsvjWR9P_D{2{H}|!E8{Jh!-3R! z&+3_NV@myULtpj|t%5Z?pt#ZFI@)!;iAm-AMZ+_WxZmHeXQtV)9aGcG+Wr82qH}sy zRafU~uVn>T?Ce-yL3&wM^h!88%J=sq>~_RGorewG@IdXmUf}F{0ZhLAW5aN4^2c+N zZ>~xHdwKG$!^wZrO;tpi7G!zM&%e}DEjl&Ed4YChDy4u5NkUH0*doaI7Z0=B4 z9Qz|{hR5S1?-Jcd*wym?rd_@Z zm!;U!bWTnA4koIVPkWSJ+WXy~qR#sdT-s}evAVrf*LCN*Jyh3m=elR9&Ufd!-Bfqx zb9H?_U7@KUuk>6M^UadSDehICeY9T18uW=wUE`n9?EfdB|6}TSEp$kwDrUYv2ebL3 z1+(d6)AIpgcVsm|m`V_K9#*kw>pB`Nf>ME}4(o|}Kiw#KlY7x5LceZMU>{DHTaZ_4 zu^O1<)OYzBEV0UQ)nJ-R4S?Gn9u?DMD4u4@dzCr*jc9*bqlu*&AK;5k$_xx!@6SSS zzaR&n&?llzd$cn_!e~b2WIo!%gwT_ik|x7;NRQq{=5MN4p$P2ODEDF35mQ#_N7t;% zOKWE3oaq&mLTlzxGk}TrI;n#m9jX}yKjt(5@J6qn7Ea2Z5vkP9tQGVc3}3FSLDPD_ zAc^{uLb4)26nqVrAe%#-L%vgG0}#fxGV>H7C$rI1rpx1$=Hz{kce!kB%S<~r)s1PvVu;p zS#Hc^Ht%t9|G?wRG%-x!w?(hD{4Y>(@4zLw5|_P@7EDC5jsP?3G`|xkCVsw-q3%Wd z!tcbpvZ9s4jNNtxWSccnELY3CIch8@YXnvEXwBKr1(u;$MBIEAExsel2AsObi&&y5 znt%Wmw`Nu1XDtUu_Sl)W9|HsJ$3!6sB~BqWMm_)C>u-Fn&b)P$vi`eo(RMcf1s6HJ zF{C9_!Zz?3+5gbfn*^Yh_A*cjZSFI&h|l-Crexjo()MFfx}B#IVE!02k*mefd2R{( z3H_~S2p;A6^S#l6X8C!!So#aIyRhzdRW2qSQA*n-vDuF;II;&UvpA+Ajp!Q16eAYq zbv0P#s{X(aidk7qqx*N_U|sOc2f(?i&N5hRb*8jTX9)2g8Q-9Pqe&eBJWeqmn$=s3 z-x9HFanWAIB{UI_#Yk9}qG@|H)J;D6 zAL$giF48GV)c-xKn=&|HsNyu0LS*YmGM39^}i1~UGV7v(y7d< zV$$3C3D4@n)@d+He~p%hSmI~xw2OX;07|62(_1e;H?}X$lRLqM_TD0PX(xWA33ajb{30ol-UXJFzY~vzXS)H<<{J{y*a4rcyGU2x>Mr?Zgp< zYkHCyU|ZzVmau?qi4^b3kGT{Wn@eDFHx17b6lmIfE<0#0g`M6;*Pqd})#!oEiqQj$ zwXCto;kBVgUVvG8v-E~D#i6-$2=5Yr!-NDaDL>CPB8JzqcD&}r9r`*DO6$4Fq;a>| zX3c?xd9J@QX=Ea-rni_ZlvId~XJmI?P}WIf)Cdj%~lIUfO=`=W)@}u%kTI5(^HII1B6}bmTtp{T&VD z7pQ4!SX0x82zA7iN*_}TA|F5cI8etX57GX?=7%g;AQ5xEAm)T0rR-)Q#C)2qzo4#n z6L3QOi%02iz9=A-#E(*=>`O=KPdzl3AcD6E2C;GQgwGu%XL8t4vd)hP9VPk1Hqoz~ zKQfm<%wI5zrDq7tF#Zdk0-U(1%DN*j*jfhL?*{NH=>$ofD9)p%92=9=XWIEhOoRlO zPXzlSYZ0TuSc|xNlpZ1elzGkHjn3M(k;B)a%)-VS@Xgg?KQF+Wo38sj?kcoME~9g$ zr@gMzvMz5uWJ1iOu#HgdqmwLrfQ#ze)M2D)2u!0Y(@Uq)3HS_~G;m4mp<{ee&h{n9 zb~0C_-1cJA@zD+DjIw)u1ye?lXi@DVm>h`(UpR2n9AgJ=!-HXWmUGpYxrOxevK}Xzrx{ z?RC)HhoYIdScfHxRXsl@Yn)31v;rnNJni>F5R=BHBo(8gWoV3T-72lKxpU`7s8N5{g^!z9Lh=`bD3ev`&7#$~DPPKU`mF6=N# zMWcslmNVT>ba3rU`F)5ED{s?cwD849|2!S;I|Vw72TP3d!j`tBQ?4u2qA`tC%B zTj6vleteV;`9TY#XM79I;0(6wXMz90%Jn2L$6gQ3x7i;*Jhl^Bv%6@Fa%#Ps<|~mh z2fd_8sfV)VuzYbk$JrPAC!Dz1JMT=* z5yRvAHh3--T(FI^Y%GmGZ&`Wh9_!-V4^I_Uxy9M9YyKsE@7#<2Y1G)8t(*M#zN4Ot z1s8_n*6u2eZ%e6v?jHF@YU~>~W6A59CLs;N~28+M! zO6u3_>*o%2R(sFwlY5_grqzvq&-P}kaSE=Ccc$MCr2b2q&2915;3B!9`iID1qk9j+ zMqk?M7GPe>wha1L-}W!jk$GKg)s_utgXXw70p|GL7A@BA0mbF|gGbeM&%nIin9{Sa zw68X;PfljNJ^fZ7by+`B6?#24_ieqctg3ZaHK?kOxZjpX7OD>$r#3CKnA6$Bak8HX zjbdUY%<7L|R`>c9LMzN_31+nsX0Kg7`_d32zGgb^mf*cN7T}wySl@W7-`Y$J|d&Uv}7P9Ks0P&m|iLVQ+ zKFUI9i;ga?SeVp6ukn%RxPPh{;&U_B8=4HS=*iMJTEOpf$a z<$35yJtx~t5w_uK>aIfBgXxaN%;oGJw{g4k38?w8l*kEvc?iO&L5w*IZsK>lUCVb0Q_IQ572K! z6?p*0KM}z1K0#mrJWntw)&RiEIu&1gmaRK$ju4fKB#aipFm-H%VspR(G!W!tzG$0B z6@5T?SP6?&lc0NFr}mBchkQ}oT&^Xl-9v;A(LA&pPwKd#$=UiZQUArm=!>GQy!6We zO2{YE(Dn${x6gb`h$pl?za_(1k%hT7v^|!_xMt zT|^4om;JO9HqPIQ*zz{~SG{d+^|pD{)m7xP1$WLUt4XZgkRv5ztcd}4?mBqXo&ztpoQn8?U`p1D|T;mDFxKytTh7kNW`L+L}MMMpl|P zPxNo?%U&#=J|Je6wI$V0Y_A%0?}0COxOIOeT)|YEDc-I8Yv9nodL8T^4(+E7?LQoo z;gDaT1#2GOm(-`Yr_V~P*_m-YkXqbtP>?6~j8m0i8TYA)xPRbaW^3fvT2(UQ{#%Fq zt9#m(fQQVEt$KMvS5tJ-;u!_{ot45M68tg=aVKf*(gRXW(lNVmwJo4Ha0AUHdwtF$e)VUVjs{9(!+o2E^ zz$x1@KCX5EQK8V0C^mE79%r4^p(EiN<71NYWjYc8Von~;{m0Imq&!Y_eRr;FqPpHY z*O5bG>7_f@aUX9_cwNt{Lab{moCXo~#MbQK#s90of$Wv}#}I8%@&SjaIB#U<2bl&2?N!o$xz+(X7iRv5nz)=A-Y=Cx#voMAVV>}E+?eNm@3 zPJQ7#f~g9E42*D2E+!XG9@k-6#~2-KsviA& z$!Q|B;Gok`mxRZN8#kz{_*C5G&GibtK%Bn3iyV|CRB;o2^VY}THb>Zh2LHo1NFfCz(je71EpR4zt`9D;GIh3~fyr9Y;~?91p9n>z%K~TF&~JQONUS5&&&)6Fd;Xs= z|Gkg7rg&sCh-L_wm=B2PxJ{J*5oWoJK&tHA17@pfhDWYmoH<(UjIyNGyo!g#DUAo5 zQU27LALyG|u5tZ=kk4*|j*fQ!d$_o7;1Y6mg`5+fItM+yNhZD?CGHty?~S^-TR4AOQH;M}gm>w`QDou50CPgE5$69uxTnHNmuu^&{IJD?N%-1V`%cV@S1))zxi6 z-(>E}3<5`8S=!e)wYT-|CTd4b1+}~FJ<$w~mYQVQ*mPVQILb{lw2EA5oE~9AH%4rt zryRV9(r5Oup&RSIPIVluFV}6Nx=#t^FV+1A=KrVk^gc)tn}rReUC$z=(_>A5dJMmX zM}*!zQe&=Tld@*$=nk*h5A@h*|!eH*9|s{k6n< zLcUipW<9Cp9vAEcxPB=dXNhUUT+KPv5-~ikpMakTuQPv3hqGSk^^Lgw z&2A;R{rzh4wVT}$W8E{ zP*r?h&c2^eXrBb~rx-ktKC!N>l`Bl^KQ#hV=FMb*eUG)GF8Kvs$Ad!n)DD4javyJg zrXSa$W4c*EJQoW1TMwTG^%Ec{j5hQyy1t_jD9oFljAMmBwE;=Al=v z;?q+_=KbUiToUU=<5?#@25|iBBK#TLzxM#Q8Rd93Z9+~--WrK#J(6E_LY7oN2HJb@0}WhdtPyvgtw69}@=JbI;dYLTsg$+3^2^q0*XAd-G zTq;8J z%D6H9CJeoOip(}^W~VFje0%2G@+G5I+kN9@KNHfvt80z+Df+!|^X0)%^TAN_!BAtF zjpF)hlO-+NUXyp5CtrtnZ6Q}oT|dUo($eweY!8l(5Zf~=Phm#}Rq;I9|6#c-W@QW2 z4U?Mw<+@;M$(`$dME$s#^W}d3O?BK)_T@SXYXt4M(YjLeO(BIiT&@}ZoOWf0AyEc? zRj)V_J_)j1f<|7jBl+ARaeg^Ptv!kpkE7y!%zC4od^5eUT%I!dd`C@e*L{ih9&)cc z0P6uAj>^;SI|HRJ~%d{I#}Iq_CS6^XMiYH;qMk z2Oy7%BYzDKVWJTo?-5k+2DV496v?P2_F#Q=CSWGIs0_J+L-|Orr19#S)N!Arq^n0mYaZyZQwFt zlKVU`ks`~+Sei|HlYntH?)*Hk3AKp?tXzrc)dV%Eu4U?BMs`S}!vzI=!#)M59@UtO zp_?Z$2-iME0ymY@)~&4Z*F`;Mt`qbKiJV@&4%NUO)y$&V!Y3u1-+rx3Mlp&dR$^qH?M%1VW!K?l$TqigubI!zyb) zEPb(XQ#9|lXw^&7Y88u--h+VTv>|bfbb$$d#K3##lnHd81Fk zQBC{lRw(t8QlTmLllV4+ziyiJ+&%3RC}0y6$iE;(yn3n#c_qE`90H;>XKeFd*W~M3 zI$vzk$S(HIJ7MvHfoG^6EV!UkQG=@@bx%?>qZfDgc}3XJ{AU*#dmUUlPVq43-Ndkw zlL>#{gzs69jX44L#J}IgU(Ua0cP~VdeM`iLg!5`7%8ec4QgkK@FTWIStD0Lq zcS}iG75=NAjPYcJr#|m6q<$n^WffaXOJ^6BY@?T1fS2v4 zh?gjg^{x#$!w?CEwwWKcM)tC@tc;J4TA^XFJCThmNC@v3GUiUca59A*I_ez<2O<(W zFP(*pu;WVVwfyzdlQPN^e5+gP_P-Y46U2cSW}eCr{OP)q+Sdkg@_u>TRwKj-9Ecz5 zATX-?va8jLw9`Ds?0pTj_aAcpl?C04e>0)BsxP}3?nPT>S^j*_h8&Y2W6hMVlPUT` zM;AmFJ{sG6ZuLnwfbY4I`d&ML50}Rc8&8UZ?aRs(%O~9j{gFAeHjs{$=CYq7+|+pJ z6Z~s^Zgnl|Q9-oqY1d+cLn$O$h7)!;;(lJPm62%qW2@_E&%tMS0_g2!@FA9ULoi(# z-=9%p@x4zdMVG{ ze_g)xW9!ntyOw_1zVu)6?5ynUWrbE*_u1Xus`XODdg<&Fr6~$;HU=^qsvL2pb0-Vr z5B<2sb=3CUCpr?7o^x=yKHhzf`$IF~5ABuBQqx=p$san&wua^+9=hBb`8pd%bCFfU zABtr9M4C$%xm@qLE)y_>$pDw@PJDlX$aMoQ*P-Wvb7^pcT$_S(v1dB2r2Z=!^(S&R4(8aVEh{Ws_PfY^YX*%y2~w8U`5r4(R~FPbdJuSP zhD5fh;%Wns$O7_NYao#oyWl$gyR9a#5w24YT&HXt9G?>*vKPQJx($xcYlzIjGn(gt zCvP1Q;C-{g{-gQG8V5J9utQiWeLgH7Lv_h zj@K1a-N`%GJxO)Vcdpw^btmpzS44H*JJ%Ib-LX5@6;NH{o$Kx}hf?#bgXH%6mHqaZ zQ}l%J9U=sMrfDgqiEs}mQjU?1-ee*ndwbsv(5BQZ7K_j$BC68fBt0U#hD%^+IaP+t zU*!-%@r)rvdIXw>bbt~EH>{dRDIexXE9pVs&s_he&iZfa&Etfs=T+kj*Id{SyY5TdOmZBW=JH)I3dvwLya%JuoYNm=ekK<# zfZ6OrWAr+9h8=Owr)Z_laM2tQ7-!x}l~dRoR9VI@?F(fy;rl8?1HM7>h!EU?`YVbx z{sfwVc*wK>nWJVk+{DN(;7Nj><&@9VJx^@~f*v<;H}wc6%L4I~5z5s-6BbKT$?|kD zxuvDUC+c|O!@1*ROM9n*GEIg~vZX_ryUiY)E9!Wx`YY;!k?%W?M_I^U#>IJz@Z{R~XqqysKA{S2YM<&8 z>eoszd-#HaJR=)^r0%tUQ^&c;SM=kXb6A_7%g_PPzJwY# zc@}=Im*2?MjyQIX&whRwfag7hE<63oD~AniW{#R}EGpcDcA9^N{wFlC04_n^L?`$M z7WLnu4i7z7mU&UmkCRy`q3^TygBW{f1WwY;i2HzHXwRLLaSp-v2Tx`|3+CgX{ApbP zHT>Mu925^R5U4!@fjUQ^Zq?lz2I@>32gMUNBp?-z)rysH)87gQWd^9d9F+SE1=IKT z6R&|y0?wL~5eBxWjfa}f&}7Gwn>mL4<&Od^I~c?eydxL{@p7==>LIY+F|g9UaIk}I zKLm!VUNmMkCSIVh;T0uEjQ`*tX)Fbm)EiwBXo_1+Uhk2Y76R}7?+)NlzJJFbcg&1Vw-G6wuFTnft16L4fsd`?3C#YPg+6|Acj9Ir|$9Jbd~ ze>WhvA_=YEG5qlvlFhN>_^V=nwx5CM7@vk?{){?oj2qn1Y~^rxVr!T{xDoUp2kVH} zJ5<#Yt=TiPhUs0C?(tZMzmSdqOZ&7}MM^-&x!B}UIWGoZUTY?Qt_f{fm^2Z!+}GQ} z>mc4gh}uOiw%xWHFvJIGg+IP=7B8g$GR$#EujFOBVL=PX*6eock||Lq;#ox)wl_th zh@7YBp8W!~ZtA$pg}>>*xpbI55v+6lo4R)H;Qfkz|EA9RZ|Z^>(O-he=MZ$B^EnKT z@i$>f2kkH$#h|QVk>?(3AD(EZYwYt!EQ}M0&>c14WtI_`|r$6 z8_XhM(lxP`#75n8a0woiUNiY19q&GIem*wXrMeVUbkEDpqnn(&aHE^02DkVM5n?%j zb2uVG@|{z#d!K0W1D>=p7D4W_PxR1@X+%yBYxj6*N^+i#iwcq-R{{Jh;h@uW&cfVzjlP}&wrY;km6a_i0!-DmEeMNIomh& zd0Tko|9hui1KPidejtJ5Oi57Aw7;X=3^2z!2Y}0}46%&l%n!Jnd3H+cJ*m(dF4c!o zmonw1oG0q;BnKI#Hpg<=R}HH#5Q)m z*gsqLTSP5#5VhF%TXkN+1u0=IEdJonE;9B<6yp?D?%yIuIs97|{NaABZ2aYZt*C6} zI+Vv8RAZlhDZ}$%7V*;29OGvPm3u~VjQc6a*o++GrHERX;jKt+JK#_6D98*tdRj&t zJtirbSNsR$6~p?Xq~B|S)azG*@A#g^JHF+~!Mx(e=yk38{~63HZYw>}wz}_JFt2#? z6;0W|{betc%f^&&syyzK!*M*b?DqlJ(cVMH;Ie6NO8A98;g|ghZwiJ{@6~0kvzHy& ztL{)DXE@Atp&-|V3a*Q&rFa$h1cC`0$)6WGr1{)Zav)p*ef9 z@pQ5p2EyR-`^HeSg`wu)s1cJv)1T>aaEIJekf6KKizCsLT!tArG~mB=doKBr&KWTd z)BN(9*GH}D=x+?MR312mzK4$#>>g~;4J zt#Q%;`lk^!2*ch;rV-3JYa)L*YFM@iJiKs~xECd|a9vj_MSgH?D){TC*3tZ6@K*#{ zm2XD?;{P=%;kbXS(GNB7{|-~8>Jz#XWL#($HdE43P~V1X@t9&U%nDWFCGwt3Si7>u zFN?xt-buD@!D%jK$ag-wM-mVb2+b^sCw((w(CrkQ8Jn3RmXe|VdH5a5FjW&Lz2+8! z8K&f{dR$>fe(9t1dcZx%FLmj2ffjytk+EhRyg0>!V`uP)nLd5M&)}Q*%g^ABNc^On;I~??bDW=>f|qw$l@`8x#?tk^C4KVU2ywy@E8ZV!3HL32 z&rR_jzI^5P2S1T;gQ}iYe-{4Sx!cU}A)n()O47Y5$D1R(>X_x3>|fZEZOCH4yClj_ zn-BdHKH34i6cL;94;}evb%*d$gzgPEr8}qBR3T_vtKQ9{r5&#HvXty#v{W=8dTXrU z(Ne_?ypN$jOw0@4G*Bh?DfZK+Zs=PFcrjz;w7Yn;wBHHFnnM2O)@*hNpLCe~MldaQ zYoK%WaMu!^7F#tnqpWQ?<}XFB+B0-+&+ziSx6bXAa_j56>OEci+9x0&B+Yow>{+JZRdviD8EHYT}WVy%2Hwy_2fwj@y4;br>QRJVfqp; zru>bi(w+NppX+UMjD=wKyx9~$rbK>r`VB-kB~lP6*?(@z5hVS6rX2hO^qbf}}!`0_kkp6NF>XnLFln8>!>D zzM;BfF7WO0lTbF*AF zU{`k_5${oFsIoATS^0HiuZvz1zDpG15%xxqiHitx7>Sc8mE^M?PU2CPjkKeXBk7Wp z1K^?kBYYb`kqmoz(2_JrYcxCt07_;2)8sm5wPRp2QQ+5I^HJQumOD;-VyObQ$D>7HlG#tvyk*oK+cn6Tjb z>TcQ!2atf1gP1e6f?3SOib;p*eRl8hJoN+E50lz7wV_0$H9Df0<$rN;{~i~fiis~< zg*J<>E{~p8(S~T`n}yu^*kv(l7smFf^D(v{BDD!GYioQICO>=uWBuH(sim9P6Sx_9 zA$&c8!%@tnWvw+@)OlmSPjvzQ@rS^K2l<|(Gd~t#?vRh>Vm;VI+1@I8T`;+fV`GAE z^H*kyt0y?|?rJD$D^GZz8uH{-2XBZh3Wkwhv=`%yv@Lt#nYJ-IJPE(i3=HsdO?Oz$>zu-V|iH8#P!%Udv%0?ljA*-dlnjM zeLp7@ao*%z;X^40Xs^3v40BBWeGdiiYncXvY}hxQ5)zV)KsZfPL#FCmr?Jg=w#NNg zNKMeJQ)CUO&S5L3{u-Z}Fz1|_cES-ll^t2!LG%G_=6a04k2M>MS6F6oXL}3xu2D{3 zh;vq&buR$*ted4*KrIhvGs5f+Vm|z{8dfT4!Dhbazk5_v1nbRo&|RT=xY_d7=$?z$;5EEc zxBST20UJSH|H&1=kiXodgK`plr*)Yv*DX8Y3d{j_PYVG_X%5GxMZtJ~FcKvx|)F#$S9ti0i4OM=34^b<|lXa~)M#n}{L| zxc@i0>86J(@paAMH$vIycfb~W```j0n4E@8kn{=A%_#j0xo3r;uW$+YN+hW|^?1cJ zazRj=$|}vxz;jdZ`G={2L4%t0ntPUQ>FwOIO2-xpD{S1a8hqE2 zzctKR@0hW~l-$wI)qZ|F!t%kGl1Ib%yPjXJ;A`#Fc7x%b-W5CpE3_0g&I$%_vdEeRhu4FH~AR`Xcnh8$l)#yfZ8qhvz|j}CtmNsqTWSKp2T@LhP#?R@~p zH_blGg7rZ!vJvpAzS^GyIPy^1o_JxRwfcm6*GYYM!IEBUNRKuDI4duKou629ndSXl zC#j@B9eBE8rfi6b&%~NlK80~4wXpU$-XN} z>oP|rvW+Vo?VKBo?ki8ssJ_aSTu%3rdKcOsru<;mIa6{*b1A&ela0L-(Y)?Md;v2$ zLQeq-Vdle&z*wvkiJvvAQB$bOYMibE^TmfIlG2Qj%D;0CxI)s~|5D zw?`7QR~|>eF>39?LOCmoZh+`nHT^hpz|uvtQ3vmF+q%TdOtQ-dw7q6XiUSWji{;D| zFIS^w-r1(H_d^`=jX*59lcdot;-Annm{f@@u$p`XnkLo&9q)0Sn%)j(V$ztTkLFTY zq%Oiunj3^$ucWU_Bf<1Y6Yv^|Sw=m&AMX@zj&GO=5bNK^M+U_;3>y56nHOO6AC_Sr z2Ojeyi*9q|^GNo4M2$>0XO#B=1*HK_#EZp9e6Angj?P~%dIN|zryZ|FmUt8cq>z5o z?D{&*;u6im1e%Y|qF-qz<$B-|%Aeby%%()i$Bd6eAYjP+mO71aHF>a_7crPxUuil<%2i;^aV=D%uv*kX#44Ly!qNaZ`vbZDwkH) zfRXf>b{F8L?*Qd#o@Vv^%Bi9&fj%`Q$u!kvY6|2>l*s>I)M3X?x-HTcdV;pl=E!E4evc`CPhSywa6&iMCh*OB1JLZG z-yn$iSg!=luGOOHW}4Z$9c>_o?fW1{V^Q%jyb3w|&6iQ#EBWe7bS7jQzk_6Z8ex@% z&V-|Sj~vdnPnitEm6zitqlzaj$B;&DBXHvfx0WLtLDLAAs-tW{2IB&`hx zI@ED3@2Gl{ItCR)obBlPujjcKwnbOnN9b6lz9^BpyKTRZj>UKBSaiRS&#??i!%7}$ zydtpIc)IAtBD~VjI9&p=mBu!DF@g1OIF8uR6O&-?ejGue-w!MPpVqDgII8LlpS!!+ zBy6(e1ql+IO^9iUX0zGEY=Vj#Ap&D?QUnVYC+rJ|ny^A(f=ZhQ0i&r65QVX|r4lV; zaT+vM)T#tAgE6f^$0=Ic#z!4GwGOSeV0E6zX8pZ`DqdE9f)`~2tp zJ-neVMdNDNUzY+I^DbD5A~WE~#GpQj)oau62wzSX@Hbe%SL_L5_w@+3NRpnZMtr&B zdI8^7ZL^?&kJsd&_N~jk83z?Qmr>62Wc#8Wt1*@pOLwr;ey~fwVy}~>_Jap;tKOK` z&r*B%8ZTzT&Wq6%W|l2U3?GL}<()24JjN~Y8IDDc<#u@Uj1 z_anQQ$RD!s?9RVl#n@F1Qzwq{t4!s@%Wz>Bn`Q0N@q)eG!Rfo^)>~(FmwbEsf_g@Q zo>%!jZQ+NR`YZaS9FIP8gAruLOIx3 zzfP;?enScN8@i@phoHmpcJSphNf^%wDbd=*ZG%9%<@YDOL$&PTeJL3HiJ15+di$;m z7d?F_lF`lc3y(04vt#5*`n#5wg(2J!33Xn&Iuki2r_UEEJj3CMN0 z>9er$-?iKt@Xy2;6ayR}@OcTrJxLxFLoan6` zE}M>J@6s1h`acaOBa}G|<%|qPdk~={S-D~ny8-tWxgXy3G(*{nhkCQVofy8>Js*Yt zT+iHqSS3)DPn3lXFMY-?52_9Fr3GuEl&^z_7t(v?W3Qn5`8*)6rH^JC;=8p$rusLG z??FR+o>QwYmo=xi7TNm8s9#=aspqaIS#Bd7V?_SR5p^$MM91}rMsf@hZHmeXri|!e zLqvT^Y^z>chNR`K%0CQU8;b)UYBeXl@~pMe9_$0ChOBixz1lMpWgM+KnQnE#101a>^_v zPMw|LP0R<iywx{XZmjSe&*raYu^u-AMWXYLoPpC zng@?AIWUH83)aE?(^`TNc`L(6^TgFHr$<`OjMy|aysp3nxSjh@aXPRtY{9~?tr0bA z0yXPcVwG#HM}7=h%GwMcL)D+CT#UGKfM2-XRDYs&DaNwT=+;+{F?HQ&KR0-i?D!aS zUf{==@e^EbHIRnublMkWe@2d83qNS*xmUpQI4eWyG0f}4b1XGY<+Dfi|cj^5sp_FnEe z|7RT;uN3ADKhT-=@+H;f>$-B@^{sg8WY_fGd2J`=Y|HyQC+sj0>{>kmm}Tw9n!~uB{WZ8JE6GyRo%k?K9{LLh*Sa?cZrKzm_qsi9&)TL|SEQ;kTvb`=t?&kd z0iV}XSswJ1S6u2V4~J^J5l^^cP0$ytjCfnuZE6l~_C=aYp#`h71=`w5BY|L(Q6R*i zgDu2rBeYEIB4>7z)tO2q@g^h%eK{%w9avhdb>1fgY z-VKViAw797V9Edo+_V&%myNVcv#nBZ;OWhFsYTMIy~>)f`)tzQ$Z)EY z680KQIc|!Dt5wSs*)`i8ic%vfNfzgiVw9v=7D=+%#Q7-!{GW;j#g}Rt6spw}am{v8 zwVzPh|KbddQ4wqF6a>ZC#J34uo1Kt4@I;~?NCeGux1zDJcIndEm5tXezM-yh*~*5x z#>PqEY!OtXCldXEE-aM@1!~`9@~Dr`z!vLA3>4XsNLFYm6h_5?LTU~ay6QlobO(x< z@<3tG8z>MPeaG|%3Z+a@=-C8U>PQq)HKG2L$vWS!S9>)|S%58x(AZtiN5fPjl0wkD z7-=hQt`zq4t##`1>0hEicHGAJegAN`F&d=qt(Ov9C58C+@AabKLO<7};TqvLB78spz#!grbQ`%U=wP562f zF55gw)8l*0s(!-U^%!a1MOjsCtrGvSY#aK(+aVP%!j3O#V_ ziY&!?$I0%J^D7J3I1jRXOP{N;S$7c!^Cg6cwwe%=kOhR8bSx)CPq&H?-E@c$y>Sa6 z`jjn%7&x>OqKf~N5R-<72r)@GNQlUvB*c*5C}EBeuM(mfy+MdXO%Ub^!7^dVgNhcy z8Aw0g*@B5>E+HnBvkCKsC?lMO`2Zor6&4a=vUnY#3)`ZE1w!0RSSZA1!r4M>B`gx+ zPQqzI^buZ!$lPHn9c>H*gBv27g`2&IaEI16H$zZXxY2OAsa~`xxT!U=Q87b{s&H?J z;C2S-w+RYMg}Vj1M%@dqU0#Z&K6JBUrDiVS<_IqsGPWHd;YKK}fgs?PX2P#;ZUZYm zZQO)^EW}-LOtz&GW6O)zlx2bh&fAOz#X_10p5#-;4@>?oh3RuaV&pOMtpd-U1Y6wg z3KQRlp-yYZ(V5B@ZF^$RLmi5pt^$7h|yx9~o_ zTq{P!w>ZY9=sy6T(WszNyqM2Xw*Nj!nxtu)rnEo{ZAlTB@+xV06XlyoEo=}u_YmX%v ztxKAWZwomk^~qB*r+BjO_pN{2c&ZzC_Zb!y^Nc&m#1C1hL>Pu3t5nPnzrrK z?D8~LC2Mxu8}Bby?%LV7+o7q-)Krx#s|q!H3US{!r=@YHN3*+JS?z3`Q{L$CYHCSskD72zA0cFv#H(GCLQdW7_IUJ#HT5WJdQ zM?iqKb|v0q4OYhD|yGT{(frIJiEJWld zE}GU4{pkR^U{4Q9_n$da(MrT{u{|~D(syU*FLHo$#?;fr>1VxhUF`&en^QnioEj8l z_ZhN#i|oEwcJGqiAC%p<%kKO5y(RsWD-PVakTEXkO8*4+7@e7_y8F`lL3v+VHww7` zbXd~QwWkj_hkOi?O0z67N{Ek)NZwE!BT6t{f9g3`8hSyii%#mU=U_U7Jj7D3#L|Ot z)F}usrVV)0=*F4$3ADo-2TH(R@cAM%6gY{=zF#Wr=hGL$;G%hCPo?)lXWOB_!-#s*ZfIP& zd)H1)HOZA`$4**d{Ha(^pqtLPdIKx*xssVVc1|GWD_Xpi#)R_&`mc@ge>CYY`}N-k z)L#$izYXdy*z^|y>I)wIcOJUmVv7ID7=JmS{*1+M3F!OG@%^mDn(OP#->)=#tn=DB z^SZ5h^~$_f*F3*fXFH1z4e z45&Z1#eV^&ndAG6dSLq|!Z$Fj)sL%?=o8lQ{5 z+4Pv2Zw5_4z@2{v4B=$pzFwK{x6W_toHrEJH|X7@|4V?F|0P&~xh8I_KefewX4iu= z)R7mR1_g7#n%mt8ZZBwU&-GguFcJ?maniikFvDeo{%eQ+kLGwx%^xvGHc*Q}9kt{_ z0M2%%?Z@mZ#~u~t^(gaw?PD;mE>{|Eyqya?*7;|tYJu0fpw*oVB2Or3T93LG0Ia(` z-!I+w2=mZN#BkO<&#z4LSX0k(fL2TH{?2@#Wo(n1U~tn6B%Qf_WoqQ!D!X^f?mK1o zN?BX0?A|TA@8tLH{AT4?aHHFLqhGn;1nx097oqZW<^@1`XPyUzTmb6b`K|5+L1D

    ggnGj%h(W0tz)TE5MY@fwB*r^ z06EVEJSYKs!RNEkP~apY^Y(Y@J zI#Y>q8X(PDPl#Z;4@iXpK7Bwa&K>5FEn3hh4Cp`Oa&>WlRv70z ztS8V-Hg?fbZfLoZVK&e%xy`HD(cL%)TksK0t+BDn*f_`7SmT2^!B@W~+Gl0PPj~@@ z!E?GDOL{uYDwsN0X{G($$v0mZ20o6Vu(8^qnKN9W6J5X>@ifA$f-w`Z;&9)BEIJrP8Q2|Qcg4XTcprA1omOMmdtn1fTkUCdl%rqe&Yg`l4h;;RT?{5oFIi}5 z*rQ?91TE>&>?udm(){z?xt6K(*+6k+Stjhnjj{es=;uZ_6P;TMCtomQ; zXgKRAgRG-mHLN3pR%mKh=P&;UOr(d{RpwNh$De|0#?`RjmGpmDq z26+bEpR=cbYDw=Ow6=sjseiU~Fs|L{r!DC)FM;9xzEl{N!xa8=4-qyq3~6UgW+NQH zIfZy9$ET{WahF!J%}#5}Zf#?Yy>U)5+&cJWktMMM)_CeU^vlvefy?6}G8kM)_)1PR zY#~R9Jk8|B8nP{E#lzB96>+}Kq1C}Sc!KZpMHNFh1=}8LY!D_f_g-^F7YuHo>0h{A zXAY*H!-5Py6vi|B%E9ZKvR139{pahO$TU6|mk+}2`WK!cyh5#JrxPn}V|B7Q0T}q?zG@nI^hX=8mlwm%e%KZ8+S7j4>S?R zVNdCodw>4a(;2D#2gyhNOjzqis~x_^JUGP^Z#+?X5p&|XGW zaNBp6Yqo*Nof?|fwaPsJ^SF08rTZ3T6fIZR0kBW_Kao zBN9R^ZQ-q2G&NezG|qV%CfzhfZisRkAkA7!h+w++N`+oNeW8Y$`Itx6wA(>CTMhBx zOn|N-LL$lM=fz|IeAbuNBDoJ4#%JyVua)t5Vl?Hd*!{3OG zo{(hIn8#HZ|BF73W1E#ils^UWtYwI2Q9LlQ-rQnRxlI|yNve}7mtj(p%bwb;8lTX- zvCg7B<;qN~FKIC)xwG2~Rp-t7qoXpCV{(KH+$SCWnISi^?w*c;*nsNO6ywH%jAYGI zMW*!jTtgH~%nAuIm--zLOlSZYOlwTzoJ@ps8f(s)G%x_a@Y4H$R|B7Kw@FhKP#^Z_ zcLvmdwrgr&@|iVT?D5--U?AP^HfXAxnk@$XZWaQ*#G4!ku&=}6UhQeHl{e(tcAJ|# zhMdwvO&)JT$AzTW^)G%jFnz|Ghrj;nkp+_;di(3vH>O>i^s~29<3?9MT3g7J+7FCk z>`xWzvzE+S!kl6t)@XNT{5YjBZZb17CKwrBf}dHZPF%G_#~kRC8k?EP@A-V%fxSu0%baM+@D9RmyKD8>$>^O4MihyJeNaAsrPc{V zW-8)@y|(RvLk6a!MtE_;dY?X5C;*W*LfE5CtsrdLAi^I13kb8z2|GFIqs2PrmlJmH z>LZUL2rbA6`{0H3#bzA~$iYtEm72p8eqscF+1b80MZ-HcBCKjg!Fc@Vq_?D|Bouj? zqbDsrrLroPu$#P#P9HLBP9M}Nb%z&ebcYHx4||#B^ovQF(_W+I;iG47%JwEd{7mmn z3%tEb-E6N(_f-E)v%N=7zjX9;t+!tH;vwBHj8VGXUf=1zdap)rVuhKFIhl1Ml7&pO zW1r%#`!nr-Xi&s2%=9m*Q=I&nV5|n~jzKq`1U)mg&eX2H)J;jkf+lNjeP?q4oC8Ez zTDHX^A|l2rX2upEFq8J~!PHHrC=TWx+oN32ZC%i;T+p+#xyGT{gJrL<(E%IZfwia6 zVQft4Nzh;P==)6Zm8N*O+5>!gi{cJ)lnjw?|>TkCAxfJG~r@7^u0X$T}-3hKZ0Be0VM#cUQ$kwj?Fy%{S25h>AgYPmOmHLHzlt?ggtB% zLIbfkVl~*=vZCm+C!RQvelOp9GbqgbAmV}`I3sPKSiQQzo!iry=d&&VL#}q@U$Evi z;bUj>NnPWvmd0ApgY^zr|xB24p}C`>g!V7-y;GBS39g0ZUfLZh`QHYK_$ zr_gwVtNHQA-&^E0WR~V<=M+5V$#}X@Q(-89?AdLqoP!tQpp=Rb?|)Rc%~fv9WYRY1QT(WmOxN6)l@L zD>pl9qjmoJqRlmB>sPJ5d1lV~n-?vgZdrM=b?X+#*2=QVn)yb2GSbJ*6=hY%Ia%4W zjjOkAt(b2tuG+G(qPX%NKPo{l36=#`eR{8eI>dp65mX#VemKRrX@S80gmfTiUv|;s1%Pp&xEM6%U z;<_YUxV&t0#fBZT*MmU%w-#A$S-QSpbLIN1to55KH&$#fEkkdmn=5Ow$}!08xXGTg zp(fm0b@|q+8Y-QcBP+${5FoD)RpYX0b4A6@T^lxTt=L*62=8YSwYDz2yRj;%GAFV4eNo3}VB%8b?- z)~QpCs|zfaja#=2ZiorpQe0UoFRZa{ud3c!WvMDFwr#E6Tm#B?X79@0nX@Y=0#;g8 zTx;9BvkaWzjEK~%DhnY9H#S8omwCN0s)moAhQFn_rmVEKye0xn zeJm-it|=_qTqzr`RJ?|Yp#z6aP#C;Sbw+20w$7kKA~3VrsC^m*9u%bbI`MHshiKf zpgyqA7EMgMwqBqPhtt!*b?d{eGW*Gi&R-9LR<49p@ zn>#vs)w0ICqe4*s{i?*jDaDSis95uDsuzO#DYI~WDX5=XkE<`JpSDQ6<}4zDO&Vuj z|A@60M~H;jM={fygr2&*rYEyOkTQ*3a^7Np{1%ZQwvPGNF1E#7Vz-_$M;WxtWD^Vu z)>F+&ZAPYOwkCV+?7Tspnc5LsmvynDaKD+gnd8k_M#cujx$wtYX2h;$g$njfDodGW zaInPL&S$Q6j6*V%UWv2KX}HuY=|j;O77w!{*Gap+>9>N%qE!0@l#co)#>+e$hB_ZG zo2m3C-^A=I#_n|XwZ|&cOLv*9rXC~fGbLuQ?^x_lwa@x~=^m*U zSdSst8Ecu_>Ho7ky~mXQgBOji`r~(-){pnr{Yh`jRWXw_7(Wx;n{(^Q5-6%?^(s^! zDoAcq{-xf^Sm(roDNU|C-z~Y|Dk?g7MWD3e=g!V5OwFFhC7d*>{A-m zCH$}4zfHUm-v|7o?82z3jL}ts&TAa)Wjg%f9)FcVwKu4)bgJ#`zZ5e4?)gXDll@BE z;C<@a8vid{W7|T169E=;;E(D`v!=~&IUH19FzfN>^=c~lO^sO(Y!{sB3&t3+1ht=| zip7V4FZgw0s|zq2qE9zG`kNPj(@=D=r|f9ues5Av$;RXNRd3#N!}A-bR%dUF$@`D7 zClMib;@m;wu0jqx@zJ9_k5=w?rtghav$Yz`-E**WGjNU3Ax2OO?|rQU$p)B#NRpn|8h4?k{;_V1$r}cBYY*gl_HvMNv4%zfDf{B&cG?Es8Wc%ha_N>s?kHIZ_&nU3S#)qA^bYPNUo^ti_r?Fv^*Cz;d@& zaPrNEjbMRoUKGX^U_F>r!1@)*Kh-+=MqLW3`wYy#*Pk^<)#ux1U?+AFfFA%&0I+KkRY5%f(vLo&uPu-9r+sc-bdmX)Asa#w%>uiOuGoy6(h05U z+M{ak4`%yp7N=7@FO;t^tc^4OTb=)2_U}omHOjyw0P5e%&MwlLnK>+Ag~MV`KtaHk^P4IfelVL)~BuR|aD!9S*|)$8b@?&_Ni)_2eNFUr)Y3 ztBB4xbv_|>tihGOv%T4p@qsYKua4+Y33YIB@F1Txj3phqAcR(+f{I872I`=bFIZuv zbm(5D4ixD&bm01+eR8*~1N(3tn0N=hQU@Kf4hFc^4;?`(A3>Tlf`F`pkA@QS3c=Qm zlZZJZgB^CX_Q9jA+Y%XVkchc%w69SI1!+bBUK`%AH>-5Cr7JSO--7qJ~>r;REYHE8r$)}NsH{Q!5_h1Xve-u$gfPGAfkE1la)%?)m`>VsLL#wlC-@avGA`E* zS~BJiCqO2`kkBRxPzPZMDZFE-0GWAjSESw)yCSgmVQ1%$e0Ii40<>Q?oDYYp)@Ph~ zVb~TK?Cjx&?%>26m5Aw(5n~>fn11S@Zj3~XSJuJI;X3f}4h~5j6zqa8PL8hY2@ z-tq_a<|ho+p{n&YVQ?i&OHsFMY*UA0o{31zsF#@6K_o_0E8rfaTDJ}D?K1TygnJ9f zdb^I3-wx*FnSTZQ-C)?WGT5_2HR`VfTM(Y1UK#9}p|Dp7wqt43Om);6&9lyN{wB*; zhbAN!yd#VK2&HuN&*t!DAhe!M;CqtbgaQ(pUq7$2vYkK33Oh8s#e_A6~p&gXPcI zlq(u?q7H=Yw7Q1|Nk&WkAjz0aZHMmK16=$oBuCrHj-XLKi(_Oy@lUIhl*5SA;Nh~-|1cI(vR zgN@TW69zhek`aBS_#L0>s;{hE@!;8FOGn_Iq-Y}wrdD@fPmfU1RUhR4-&ADM8Hkt zE~!^?U>yP5G6;AIr?7!AzeK>6Q3M>|zSTMc_QVkI4g$8$AmA$;_)`LQr4n$9l`z*3 zuvbsO=LlGtPrxmWPCP3@uVN!(jkqSNmT+G?8uzNCQWhPh)oQzKPN&})8#T_nBs$5G zlB9?_3}20rPfWhrR9r*;F9nI%2k>hn{#tce&C0D55Z_u!Vfow*HC6Nx!QMobF@BQP znGVNm0r|KA__)sB++82%WR1E>j`^x_pzbbqT-DWVIW}j}T{2kjaIhUA*uKHA2Zn=H+%4kGm`ixg z(O!*moc2M3jaGBjYEob`%BNZ2QU!j)$H}*?#OcOFwe#KNq~{WQqL``+>0;6ub5_WQ zpD6d?2T&*X;Z^&u4U&(Zp-)_4z1k)Pf=>xD=i zcRad>uj7Vu*YI_BaJdQh=hST>xe-#NEx0wx@pekE+49x=wNt_pAWR;h1&0P)w109FB;;lHGm7wbctF85=R7s?fcY*dmf8`Q1S&?Aj1*N`(IVMGb?ez%M+J1#D(}WCc5?x(7Islv5@i469_l7l>ZW5o z`zRCZ{u%vGB6I7}@j2R0jg0ihdwteSy}GzU*ta{N(y6~w=Weu}l{fW@OO^fo@^G|r|?;H&`_16rD2`#RM(G?X7Oy4BxF9_U7Yuq0m^yr)YTI2 z_k}H}-Y737?UD-fEo4)Ca-E8ed^L74E$b7oly{E6THj9lnSnN{7FzVz(n9|-Z5!(W zws!_?56P;lXpC2}k+C0e{U7vr<>7*1^tf`IYc+FxsXbD#&i>yCwuV>zZ{Fb5ZE>|P zHIF=}X#6+ll-8(GNW20_9)g2kWj?0KQQb+O5=L4f8GsKm7 zk+jlAMqekl{1VlXI(r*oK8m-Hfz(D~soEHX&NZyo90`u{XKaGbHGH{VhO<4hpKuOv z(tw%OU5V32OxP5fkZ1cC)0^(9EBD zNvY3QzfiC)-0m6guqzhdU&G4|G?gzq7X~#w%$r`fVr?g_St6}i(A1C}>6*+Z(8vcL zVKz2lC}f7jv4}$2MQLfG!4E_@=AdB}hgH8e%&`{5DZ<(rM(xEu3={P|$wUo?C&Mmo zHbYT+IOqX8zwDjoy|QRkbHRFQOEi!w2n;x1!0Wz;PTVB?^781dx%HFu06?N{Y))`HTP`tbDU%~nY!*PMAB79JkN9et7Yz7V+9$SG7);V-+Mh@F7jjc`w zYa2Q?6HjiGOK$hmv{t_A(fXS$*#-YLwU<_?kObX>318SxhD&7HUvOYG6%@}*NUnL_ z7Nc2-z{vE~U2siqP%qv8gni(Jy6J|unk{_=i>F0U*>vTEYJgA$!xAJz^@1a2U)4}l zuPCyT-yVv}q1#NTt`Mq-`6xs6k|!qS$)Tv;sLL|GGZd9acQ2uG5C(Vmfn&A_6A zjcBGl!$*6Do%W1Y$wpL@ji`i;=quAAH~|#=RcvJJD3a`ns+)LRAQq*P&llTmPhn@w zVh;C?U8~}ga=$e`KDJ29WD(%=XJtEkwqC97iVR!7$M%Brmu z6GR%{Pw@CV+YjT#iMN$}O>^?Q6JXCDa|9=&6+cl0H{)%sUM``v6!?1Ppu z&m60}67zm$+y`rKPqz90zUY|%0vez1Rj(C%`fRV$t+@1~dmGhXD{ME;@{D$FZxEt; zZ#`;_Eev{{KkUQDIR3Gme~h!NgOj=jKIn_EWbcNP>W7os1Sgg0;iRTc(ssv$mlz@WNbwogbw=Ra(0Q?R1B zi#8hgrXy1MqLJ^mp95t)?POspdkv5BR(}^;6_(0d`ApPGwDZ50wQ{y!VpMBAYV_g01XFBFAFeqRrUn_l)v9;ZbSWt9rqZ%JlwAsb%!X$)RzFN2i43Yqk zx)8EbH)sj)n_ose+6x`r_&rYjYAL{rVa3i>-D6hcgEj>ie;211ql!2H?OEK}6JwMh zhdHN)-TC$`Pk0qoQk{7UoP!cEspX5=NSz$T+cmR{X}{v(3ODyNx+1gYi@A}&4_yat z(9pyE3k^IP&HV>emquFUw+ic%uafEglKYvui0OSobfst};}LX)H^BIA79|;=4&gv@ z4V-B|JmSi*oqJjI!}+8nQ-22v$m!@xl1%8XD2FXG*lbCFI~*BlForu=l-9oHAVGED zAQg6>)sm%d9PMBHb1}9cBl1m7WG5%Gn-ggwB3FcotPT@)wIlTmVRsVtP>62-I4RT; zh;qQNgMS|wG#aOp2QSEWTR1@+4R;7eN~1Xw%&HJ``@?&YtQxPTq@=8agxPkv#44o+jpcWKY%@-;xslrtei z{>iZRD$J$QrVm#`S{2UQG<UZo(?GRvF z#;p1%$+>KCbEdz61`G3vOc>|TuVN$g>!fa(kFn#iT?VjcYW<0bRvzf&t$t0d%%N5i z#zA#5y_|QITjfN%a#nF9WF<%SufUDAo9Zlo)qihS-(v(l*mgNRE0C%9tNw2TKLfL9 z+YKwbWxkV`#YkS=vq;&&$Z0O9{h>gBR%WLerw8uJzo;B@s681NVLyd2j*h=-P?v*q zZMK9M=1`~5LRWBW1iO&eOxR6?-8wsj-C^}{b{{f)Koe-!f~Lm{tmxO=;F)l*L1Iyx z^7m*a9$Z;Z?8BlqTphP#Oc7qSb@o!b)6}1T@?{b`j}SR-5MIiHdVZY73*c+qo#9b7 ztd*T{u5nHfbR=%pP%_Gd{4+$EzcfNweMCR)})5M%o1)2rsbRVt?c!E^Lv{k;7`x4b^xg-N<-^{fU^xU1WcnDD`BDq|2;4 zUAC5Iz$z(Em7yndG(hRHy?H#T#nWY%DP7h%t#J3TGCxmh{mS_5$)5;3snzU0GkV?L zYB8y`azjPRCp=x2U-%#$9L1~H$k+y45%0(+n{^WYMf-D+nvBv&ek%MF!|jwSl)@F+ zzu1?p5W^My3M7*1*0s3unpV)s>a2v`Ph)#6%^eoz+_o<`3TKx~l5lppdAaJfCS|SR zX@`;7ajH6MOq)Bo!78w^55MMoq|NilFJbn*0JCpB%)S$_3!ShF4Kb1VcqqtipNP70 zVZiDB66qw&0-6H87H|hf7ULYy@C~Mk#Nqn7JZe`zeBFGiPix+eQ@@C&vg`Q+o21d$AhC7cC5uIQK%HM#k07W_vv6Ge-#_?J#|PXC90Nj z-+_*T!gnyod2B{mS`nVYn!^Q{6!H`NfZ_8rrkkIoU74W;2j%lcPmIvRBGolzWscIF zrMt?is&?UngB^d^KBG6|vF1A#n?_$UF6}rv-WyZveHtD>pdqj4J=62r?>f>x>~6VD zRokbpn9wstaRLW#$7~uY+}&m!8z)WutY7S#6`J}_lx)+;_Pg8M<5D>CzWw!gEDnsm z6)&Dub47ckqrChpM8)3=oJUmr>4R@0jK0MBQ-sl*_s&5WJvr_Hgwai7 z&OM-7X_?hCrS46K=8pMmVvl8v`$p_@{pSAL$2Z~h=)ak#^z6NaPP}nnpcC`hztyT% zyXMS7CyFio0|~aMbAeCMN$bJ4&`G)VNpup}n}triaa+&{8h|R-oYo(-hdL^7oOsVc zoSmVi6 z1@gAN@6AI15?v^=dix%GR}j0{)~DY?C;6!hPfTr)ci~P<|1>-O!ix0Iwx#z!p8ol< z^o#GMUpl7GF7Rj{GfeQF|IvpTOSB{Gj(Vezws6I>6a1r{uTK6J zPWWv>_-)R4MFv(21^xmGd=?6P6aYILqwCD@+brV%un?#o0)R2w!U{_2SW}luNZuD+ zXCINMa!v%masaddAO`@O01yDck$pH|oS3M}_!07#w*mk*P07N6X1LuTv7(AJx_8h&%y6z zIt%$;_tvXkA35Kuqh2{&W)SOjQPWbKyOdnnFY}{Wd<*PA-0A z-9eZpWWYl`wln}9MpeN>IhlU5th-N5k*VZzCdq5B*GQ9eWkm<@e{9fiB+uu;Qkism zlxat3d;zIRhzhg5Mx45tk?HZce@z^ki?@ZdA~v!x?*i8VvMj6skDWU$nnE_uc3mNh z*SHuI9C#uC3VQ7UVGL?^slJF}_O#TH7B|T>!mH$cn5d7VL>L;A=rHv?4l)_oRkU=f zQ_uv)v}TTk+Llr8${48}X--bI+n^NxVNst9X{aTSXF(Y^gSo2_kwi=0MWS5{iOh}+ zwY8Iz!GlU`soNtw_AT1_U--FJk*yQ|VMJU4`pHB!4;0vMrqoCX+(+P@G?@4gNf@3- zsC)=*sJrGK)PH6yhdpAZu)0hAqLl8%=cr>&G2I)%;}XZ=<=)qUg3a(#>c@mLiO&cJ z1}M&O_1#x=Pls*p6XF@Wu13sFlRttcLnqzGqm7Cf^2sND}p{CR(FA|3F+V3u&(3 zVKu2~6*@5DJZ%-uWNa1NZi4TOD1t$)NlzlJO+8Fn`-g)%No$3|7OwuJf>v5IxXTYjAM-OtzJmxvwe zTElbeT*ND^V`STgu{dT82XyNAqbqw1dP$UD5e}I35alb-xCoMZ5y^k@Mh@MpB>xYD zk6oQrJKUL+m(TlXO5`~iqd3%^_i=Vk#xpAw<||?OcZ5gi68RN;fe-yzCp})#rwL~; zBafquUqN%^ehu!Sj2}@^k+PZ&Pg|E0uyY>)=g>iF*CYZyyNG}f5U@Xy@{0EpuyQQ{8y+Fx zQUX4hHQ_7>!>icH*gd!=suuD144p?wy#AbL2|TSHgi4OkVK8YSdr%DL^%7hEM7*H| z$P^Pc(t;Wuk@VAiIRtJn?d3_&WY1TbsPjp&-L%#^U3V?jPbyu$!-=l-3A$ z-)p$yqJ{a5(jH2OX&;g&who%Ota74xOi?1b*RZ}6vT^l^Y>ePzqg}QLnsj_1d?oes zfzZkcQaa--1+<0aPHd%^xr;2vPpQ}D5dX^e8LthcbU&Thow%`tP#N#&Eudm~C$q(5`b&f^Y_ZsFeeuc5o2C((W zs@ozR8AN_^WZp180SX5;vjsYJ?3N|qSB=}C8#D`?JRgzgAJS?GW?^w&3Q+tmu3(gG z`+0_sSrig-NVt&Dn0xWXKcLIMsstZImsPlxdTp|d#{r9qm;BnECor8~%{q&3=@=19 zONmr7t=WT`Z$dS&83U_;lGHskcg{g`_W~v?QML5Cxy!*khH~-hB-}@0p%hyaztBYr zhVA*qzCEE}7`_c8{9O8@w<@*>{r8V3k1_w{N%K0k`{$~23W|&t#PzoSS4V~z8LbHF zzf00XDiImgDrXBfI5}0v&f0pS?mE+OSMN!cP>#rG zP>0A1&V93K2#c&m+@uwe7drPHgnR`6;78~*ye4>k+`~BwR>%KY;x_`6k{mJdR3DFX z@??T6cFJ_~s0B|MU0;_=bysMuzP^roTbGB_@q;u>Ja^ecLL;{0syjfbJ$`UZ5YK=b zxe3MN|1{H5op~GedI)dV#NCRYL34Ke1_kksVe1OsrMj*1y4bmfhbVxuct*-`^ju>V zLUPSXH0t=!x`;$ySgr}sVRb$ZZTM>Wsc9#ouqKs?+Q3_xMP~7V1%|ZpdeAE4mgwnd zC5m>&EgVIOrwHk<|Cp*h{ZuM;tK7KO2qP?$#o#kB!cG1jKQP^+pfjf8@wI0H+?4*9 z>bNd(Jqy*@eoyoVIVIeDw~_)X#K} zjL!@$oETo{yp&Bx$8{D4BQ%IBG@U->(`=c{#Y4=r@XE|w?IiFjs_`tCLCucO`aFQsP+)?@js zn=D3^MD3WueJBIh4$?0!6atEl{m zucFm7xD3(vhZ6vN( z*Viq;xE6B7iqwTD%n#fUqR@y5a2*6K3qy$`(e4ph} z_V~lW*}bk-q;x!^FPZN|N+*EF%cdixbFm?>?L(wju5_f`{Rr|!FZJoSO+>!vO-Ezf z2xNpdw7J(uAAgw5>Mefhu{#zU#$58>-gR{R&bZq0$s5-sH1Ex8+mCcpVP~5CUNk?{ zr=Kw!xtot1n*BLwzPZhM6E&aRTl~!4I~F^~T=K5$Px{}`^=Qb+4*R;L-UZXkvTpWe7#%;S8tGp3e3SItvJCr+ibDGUD-CJl8F z>_{_zE~biJM5@TP@=rWfR33*^(UbFes;KVXZdcxsGxK<=Xr)yTo{Fg=`is)bzzMG~MCXfRr&;V>CHVWT>0~?9m0UPN!Y$OM4BziW^2LKzMjq~E!I5q=D z)Lj609ROVbs7LyWA-^>M0B0JKPdJyzaO{wM1Axy70|2@K;75MTE6;C@&$h6ekiKfc zxx}@|Z~2km@*=;*iqOT+aBy)}w#9HS0D@ic1CZbHAirfte#=bxt)HWd5&*ngQfEAL zQf0B@q0GRjM3sL!0M>(s*MVU>04@T<1(<^VNAMjzqe0{hq|i11AP~0s4m#-wg!R{W zix0b01Cg(aG3Z`{Ss>=eyb%L|f6tLY_bS!#{Mhw%uTUM&k6mBaN_9Lxc75G(steFI ze|_D{RM#`8uGem$*jw}JmqD~>FlDbibzeAr<;pxumZ67-sJV?uEBJ}X6X{Kg7isW3 z4aUzBnj)c%rj8e=?r+rXb#(>2-4%JAn7&#TjR&Zann}z=y2==(r*w@=h3MZ(yCC`B zhwa37_nU;CNaLHLjPG_G=ig-->j1it``>mQ=N}LHUth=hCkFkccD?3Q;*ocC74e9t zvRrZ=wNDNZXS_s+GHfZxcyvG<>s1=7A3&iUnm^Hhpn&t}hhgjLIFEQhS8B(TTT#oS zk8mF4m^;i--q`)%k1HXqpUaHX$==v218QuGC7N z`TQPe7s~56E5$VDb#=aUlD6A#T}3V>$L_HH#RrA%S*s^$uO zxuM%YLB--#57UQEBZVJ|S1rYd8h0I8!ZlXz{MRU-HsF&KHO#s~yyn@zPN;>vZ&%i3 zs`Ju3Py*o)&%LjDtAd$zb)aDW$sWo+XnB@z{xkT%$vMb7btn^B$jkfKk;X4h6-~~^ zo(x&`!KmkF3VKM(eA0x5;xtA*KU2`n>-dz3{@JJIOMn)?k^~Q9fU7*d%#D0k?jc$t z%=Ffo!&feDQ#ZL&`SaL8zV1bAPow@DQq*o*8hq&EM@}WEIFa-l1%0`c*xz{*^(Q{+ z)Fbuhup0H7z^%)Ov9$__%$*k_b7GS}auUTy#NUlH@oA*69B*>Jyvd(zychmdc_7ln zF8RO65WM4@z@6b!(#p7WQfxU!N79%BIGjm> z+vw+Thh%U*8Jsx`7X)1Pns+0zF615HD?HbGp1MWdkA-BM_{d)KNd(R!gL6x8p`lsw zymfqNgHp9e0)&%NuCa4lEXnm?Wc!+BTX687&UjalLiQ%BWP6?8`a1FFM!YTLXD(%& z@pryKbqjf2M3aR4qlzA?_&paeZWOTi=M6lJG>3X_vhdi+`o)O!ACHYmMAzFUB5OWj zJd`;5&bKhaX_i?yW%1+SXy({tR@0=6Op$fn)5*!2M_nVGz_;!LDIQu3OBTNo*~SAR zTpq#Igy0St(Tl*m0{f*Fx^cnST`zbZGhzVKEI5tLJH_ehHq|PNa-^cjlrYOC1Qn~) zfe>fgZ{+7Pcvwuy(}nUGj;FnMAwOKs(}m$iCumB&-04jr6-&hcdp=N(VIF}JitE!r z(4W+TY*GFPKc69{74MOjq?BmLP0hDXtTD`wv(mR~%9;P9g+=&pDde^g_we03=LY(m z-3mO(vG}eO^deasc zNj!P?#DfB23>w-L_iRV2qPa=(lMOA4xRBJ+44jY}OB7795C!g!3H?@4>crTF*of~o zVx!}B%3z6$+p>OQr4#?xI>*XZ#7V_5#_nE*{(?)`J9OlN8Iqx%TDnO*>0p z*T%zIjuDq>-iR01n1&>!r)~>ngqG3v@gCxJfc@BbV37>(A(6@}gtwayji_{32V$i4 z?NwS5gYxxlYB3)%`nnch!{?L`_ZpI^Nz(XIz=f$<6|7k+a6E|Ue3Ag_NS*(!wS(bB~F+e083>zm-u_#AlFa==JD^e9vLZCK?c@tge~O@@_= z--Dqp*r+Y^%u_@8W&kE9jYo0Qnr|_t5VIVKoLM|B$-s5K^jsLD%^{QFZf1Vn#aEJc z@WS&G&uCb!8JR1~;#O;$lI~EBWRrgEnjOOAPaKcl#m6{p5++IK1{QJC^#Ov|Plvn0 zjf_;pzm37B!(2s2VLdqfEzUOa^`Q99w~%8!Ss+^v+HY+l+kuhND5r$D?KoutH#RRo z+B1b=j0ZkEhNbpp1D%Wrb!8mI59oI)Kt$nSB@SDj*Qcexwcit35 z>&?9>=s;q3sf9KlB_$E!UqGMS#B#W^NyGZ?jD~#FbXpKTY`8BCt$r65MTgm>#`hHS z#$+AD`=rLw;(Et^qQJpNI8C-9h>hIp7_QI?It?INCifiUd#gHzOFLgy+VAL9&Y>}g z`3rGX7_}1x3)lM~W(RDe(Cxs=Sut(l7^QbHw|sA(Mx!>Nf}UwBCKW+mz&REMr*jk; zeW69hJ8BZ4D?QHXpB5y=cdBR$c@rtdO3HK<%0sp54$!cCAMlfYgwJ6O8$xLOj`=ol zjuHX*5K08xrF}o+6`YNs{3J@3izl+)-jCzuzdxA~y)qA-krh-46Y0Zc_X(snH_M)C zsO;kg0y#nPuMJ_dYaKUmxKGkKT{btBF3wF-CNwG*HQsXPK1ia&8jK+W(evR`(1mv# zH-lo!op^?do>zH!B(`*XEmG)vk^J~BvC53!pZbY## ztAt!{noITKh;sYST$-PcX)?U+iheBph(Dz+?)0R7-|o8jmuF~?MY|Io&dz*%IRahu zD#JqxKgD%~a=(whe~DtE_ap8}xw<0!Q|@&w{^>1vh{|8Y+h|-*;%dZ|o_C;^ zjgW+11WzwI7cfG01v9P*6Mze4^xUv?mCBc)jK(HirSjWRMh~S*S1Q*6j~!(=${)T; z@Y_*F&xJ@=seC`m67erxrSfM{M*T`xsT`SBj$gV;<)={|hkxlx9G z%0}W}x=MH`)=d17u2T8P5Rav+R6Z?KE?uQ^E6R!I(p4(IJp?aZrSeLY(|DyTm20P= z0554i=}P4!A9PO-NJv)>PZgn;)aH-Cs}7ajg~A7M4;lLliQOjIZ=aNxZYkcdrEE*h zR=m*x%@vca*>^tBhh2h^8k^eYJ zq?&Tk{6|S5MHJ#6{3-PFBhoL)tg69}kVqeFc&vQM)~eN2#g)~Y%BqHGcza=_P=IM<%-M6ZOE=ETDKnMM+*C5RWL8;DP5JgMB|GMpZJCbWkC|SH-|?A_G{@%u z=|^j_maVkhjE8gZ5)y=o`ot)u-k=@}SyRknO8v6L`7wFOwJ1liWr<2XJ|L^Ent+-c zV`6X{r4p7U#>9x_>P(cU;wz{$@qoq;sLbXU_G2Iy*D(Z@@sfm(?!~1HU+{*TD}r)1 zF9IzKxv**!Q7cd)C~HI^8*#F-T9{rC$uEd7@b)`Z2#0LK$5tDwWC{j+p7Q`f39rU|M;ZoE_ei$jz65pc8 z@zF0FG5&i-3`O)SNOYxtUi1Guddm{@eO1;Rk&j z-UX5s{0rcwoaJ=?KmFhoWB)I|6GgoY_k&Kf%MSC)RUughb%S4$3{t6zeRYkluhAQS z(J_lje;)Gr{E*Mz z4*4v7REjz(eFV#5_&%dZ5Y=CS^?>$K1yqp^B`NTM_A3R}8nOcv(DWH}1smqj70KMi zbcIo|oUWLfm2|}#bPrvzrVy_b*yMN76$_Y?t`M_F=xSi>3A*a=mQ_kW&b6Vqq@=2B z2g`!k*03!6TGkf)lorc^P-fB3aBVKxUQ<>r0b{pgSyg5DA`;$qGk(~MWmV$Wx3ZSr za@%zLq}9gzB+v@_sj4hm&r3EAFIQQ>R#RNUvZ{A&q3i$ss}7*zQYyA7Z4}2PVIZBM z7ikyW^92*vS@@T~_zH-EGW<(zsNRhKeCj0h0^9hDVB~Q31LlrU9bKfl1+sf8{{V15 zqnpr6f}4lWWFJbe-o1=9;R9_A5CJYdl)ulyKeaL8pI()2#{Mqe@^`AEf3nr&aQD@V zwkih-)lvPj(7jatG~nbff}vMB{=sJl|A04wTO)nIRe~cPNcU9zJ>YzF6M9K?q_+yG U5Z9v(7!xX~p6pu{{)yNB2N`2KF#rGn diff --git a/STM32/MDK-ARM/WOLF-Lite/main.d b/STM32/MDK-ARM/WOLF-Lite/main.d deleted file mode 100644 index ba48f80..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/main.d +++ /dev/null @@ -1,66 +0,0 @@ -wolf-lite/main.o: ..\Core\Src\main.c ..\Core\Inc\main.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \ - ..\Core\Inc\stm32f4xx_hal_conf.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \ - ..\Drivers\CMSIS\Include\core_cm4.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \ - ..\Drivers\CMSIS\Include\cmsis_version.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - ..\Drivers\CMSIS\Include\cmsis_armclang.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \ - ..\Drivers\CMSIS\Include\mpu_armv7.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h \ - ..\Core\Src\lcd_driver.h ..\Core\Src\settings.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdio.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdbool.h \ - ..\Core\Src\functions.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h ..\Core\Src\profiler.h \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h ..\Core\Src\bands.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdlib.h ..\Core\Src\images.h \ - ..\Core\Src\lcd_driver_ILI9481.h ..\Core\Src\bootloader.h \ - ..\Core\Src\trx_manager.h ..\Core\Src\lcd.h \ - ..\Core\Src\screen_layout.h ..\Core\Src\color_themes.h \ - ..\Core\Src\fonts.h ..\Core\Src\front_unit.h ..\Core\Src\rf_unit.h \ - ..\Core\Src\fpga.h ..\Core\Src\fft.h ..\Core\Src\wm8731.h \ - ..\Core\Src\audio_processor.h ..\Core\USBDevice\usb_device.h \ - ..\Core\USBDevice\usbd_def.h ..\Core\USBDevice\usbd_conf.h \ - ..\Core\Src\usbd_cat_if.h ..\Core\Src\usbd_ua3reo.h \ - ..\Core\USBDevice\usbd_ioreq.h ..\Core\USBDevice\usbd_core.h \ - ..\Core\USBDevice\usbd_ioreq.h ..\Core\USBDevice\usbd_ctlreq.h \ - ..\Core\Src\usbd_debug_if.h diff --git a/STM32/MDK-ARM/WOLF-Lite/main.o b/STM32/MDK-ARM/WOLF-Lite/main.o deleted file mode 100644 index 5d6a303fdb07da2d3354838072635056f435b41e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 122644 zcmeFadq9)*|2KS%;f6TIM6>~84zZeMHqj6TZ38PTElTTa=OKn#9zax7)HXmzN;C)Hp7!%v2$9*dbf@UjPlVw>l=}o6Q8mzEWBTUBUfXY<29_z=es~d+*3J*owA6` zY;9*_=lpI{i(dc8P*#R@-!}-tL695K!N=DyY=(E6j!U!gtvb6PKQLfGer~I5?}3{7 z?K;_z}CX$9}dNh?`O}=qA&TYQO_>u@XY+% zdk(l~Y0~&C_T4Om3q4-QR{G3}8psAVTpV!0-<~`qhwo5YAqbU*F#5t~`AUsLqPM-* zFGZS1uW3SGFoauVHZQ@}`MEjEasnQDZ}g8_f7Ta<`(`VY|IM%Qsq+{M@9w@cG;07~ zw7QagnHb{-=iQ2P2DK|>>-Mt_6NLPyUKTV@zbfQE9V^VOw8z$cOnX0Z_2q7U4Udgm z(5Xf6(7$`Wv*2?@Cum1d3WA|ShaRyV&kE*;(!4bVuZIt8{osJR9Tx=G6v$dUIAidb zk7$1T5uYo5k@^0weF+%;UBa`^2^G&~3JK+%_6NRDa&l|?S$U)Yo7@&;yS21aVfN1B z>(<_S<-*mCi?1GjImU8r?5P8BWinVbzRVE^j&>x9rf=H*#QE>PnUvWD25kE*NC*k0 z_XCAM7`phS!OLb}f$R(8Fs#{|8+XctT|Yie%AHz2`-2u4>S0bcyJAl-MrqbtuSflo z?W@$|?zx>R;pR?%!FQKZ2=3jVP5P$#F0%#A1P{BBF3nF4X9n@@grko|mK|U=Jd~NR zpce2`P&2)}b$-JW-U|}LUabx5U1)af+b8obcFDrNU_AF-IP1OHefm8eXzUdwei^=8 z%S86T=xYyz414E0M@^1bm}E@eFJ(I(8rNI2R}As6*R|W`W@gUG%)I0cU`a{gW;Lqw zUCFGRr?^?sciYNY^@b-0eZ?4PXuznj3RSCpjE5=n3Uvty__uty!5|3g7b^{k>PuCX zQOq3Qn0RYnyW6gxTiU*47TGaW*Z4IgRQGzQ^@ZQxX42hMdu_?1H_~SCW#4J)-Ap#e z-~OTfXxH&)Ut_WXX3^I!d#TH1iA{O1)RoBA`ReuS4s-V|{g|N2_8s=BIp-xr)q6o; zDb8>EMQs?s-1o!Be|%3K{N-J~m__^kDVJ*`Tj0G_JMV;47{9;H@xd#i^QPyeDGmMp zHSxFdw?^f!VC;B%vO|o${t($_-%anF_VD_o@>&p^S@Ggl-x&w?x0l%tU3%AXw8kLU z8CQENd;H5wSh+AL8{;SfKnVESaZqApJ zQiLdD$6dRbwY=4N?Z)%D&e%>-Ie-8=az0O;NVg`r|WFN*8j6lnFVYecXaQg zvkn;sF%d6kmgxK?LP*gkoJnOO{8o4UtG7EHUL}o*kBZvBW%x<;-MKmWXDXj@@7_Z< zRj(P*T8Qnx&PV9qZ^{=8;9lKv=cufQ-*+j`4O+)wI)|8xcvNoQ->Kl(!zAS`zo%|J z)G^x>_FQSNf!u1I;SL3VivM0E^#6L>tKPPU#y#p~3v|87=1hNN!4p43WtQ|BXxDP| zJVEw7Y`0+T_UyVd5@e6{+TX9==f4K#@MQzk>az7Jq1_MJuXzhT`ybsVhfn_1u1aQ` zI+h`M`vFg08Owa{LCN=C_I^FbVGR@lwy?M|)zo&M+iV6ifooEO^qI4F-Y79QwXUsC zYL|B7{=dV;zGPt!co`n;_1WCnDV64Os4@krJo92qlyJ0dK#xE7J>0D#AThu72OkAJ zIDj&N7qo&PQwTyxZI>Gj_>$!a?`s|D`O3*gN2*gfvCI*f??_*+OgA{j861%Y$M_=0 zcwCRjnw+DY>~ut$l#@neP4rPtF)NF8CHBzM1v(*9x62iJ%%VRWraM&NS1!{Zc7~SN z^%XK3Ej!t$oLt0Kh| zatg>0j#h;pC4xhDCF)NX_*Gi;yApN#6ZKe!$PUw$$xMzEE75Elfmx17t7BXg-H8MX zTIG~U%E=?LGUAovK$}o07_i`BL+DYL4y$=E?o>`*PBf%XazrLLri_5l)E_R^RTlVxfErn-FeTpsYHbh*#7;tEx~OoBgKFkCQGrc=vOo`k z5E-}*(^bm!`(2@XnlCk9ImO43I>bT3p9E1r*Cg#ojbn;QnFh(wM#^bMrQk1Q>Psy8 zJq5a&0>AAt{W%#k5709edUwigPDe_<15>6zHAd*j0cydN)eo}{yYzKV9khSQ>Q|Pi zueAI94!*+FwKl)sh0r>?Zof;9x4`4Mg3xUsvMRI;YPI^+x^$Hm^=TQsOV^3&GR(5_ z74#5njZJr=m<@3Hl@#bs+x-rkb>(bjs2+=e)JmJ5`}%~(^+}KG(;n9~9@pnQu0gGP zojP`XGEsNP>9^gYzXVFELUCP|sHSCYx?^@dtmv4X29N<5bSDc!Yi0h;UJ^SP&$P@1 zRqw%(=R^_$TMGP^7la-HruE4>{xlDzwZM91!&W|U(V z*Ayq1uI*~^rk$xz8eaoF$_(dRf z6?%W36e>YLXtRG+zPh$TQ4L~>)t80&SfMm#uG~C7D<(TG8v7QPMla2Zt^gVGO7+D` zH3-JU%O?NPg8!uib#=b_GS(ro)m3J-tyi`@8Z-;p(VOE!FmZlqOcSoJ82qn-hAQ>N z3PqhpU59l%S?*I7*9W_AD2-m{idkCPYeQVG{J4-B>|TmxJy?^Qu{%i*q5~I~H0pZ6 z|7@lDj9Yr*QsweK*`-mdz(`iiW_h2vrBM~7(PeQVIdOgFXF+Z;v{6>yxpJFQus|&S zXA;y`AP~X-s*sD3m?G~Bc~;2G6>(8zrO+(taW0e?hb?-Q%Zn74b;0VN4mnh)M-?ef zn*8gF74L#CjdCI`Z0hS~^;xSw-hx`MR;W*a$a*#Ojyu;d%%Hq1(7SYPQl7*taRvX= zg8z84I@QVsR4A$-`C`RrgZeaEd9;ZZ0jVjtDP5;}T#xg(PV=}P?{PiB;~Lbu*GXsB zmrUwWkcPqkZ^8dcJzbwPDQQ`=`l8vt-r#@HOgBO2Xbsu$HJh^8OJWD(nU=YrCV6n= zIgy0GmIA-0D`1dpmQ_jATGdyJfxek`O-aZ#^PR~yt<^646uLn1Z#(=3yJjYdt}SxNHl3lbf>lg22=EUqa|FkPF8 zYknc|%X9}l3Yx2x>dPASY0*t2?-uRz0Nf4uP#Qg-*;P>~d9E8AMi~@>Cd+U9Q@06h zg!uo9HuprEeuPo$WYKd=34hkv8{Pb9T?e?exS-s{12`QTN1|$lT1kDvVU5fgY*&l9OpWt6i!3a|- z5oP3|f_I$!@AV1*`Y#y$Mi<%Vwllkgv z^p<~3g8u~r5eZL65P6roh6g}#r)Welb0dPmJx`g)^-fp`#BfoKML??Hajo&VHh5f{ zJg&_i*9jiio@=JC`v(7Na%A*NC?D>N;Eq{#7RR3A6uMT*GphNH4Qlmu>1Q#vOr=`+|v%?(k@WSscDZf*CYP zH+g(iD zX_Y5>3++c4)UqLw#xj;K*}N0Xp~AExd%Txf6{(pe`rW(bqOk(u+XDbR8N&qH7ypEIVi(Zw2lcVY*7z7-DRmfb-6OlL`5PJ z>G7x*g?hn&>iA@pZkJt;il(waZ%ai1iz&sZua&(8AJn9iqa5R?u6K+>%DtQw?85K7 zim6hgEJhZcQUMf zr5%ME6>+D{^j29_XbtKe)TFrCq*814QY6*0YHQ@kb0QN0EroimSa%q;8>{SUZM0o^ zf&P#^R1~RG5@`Xeqd|ZnwB8vC;UBi4wIUU9c*$zIqga!O4Bdr>1}+4BNh10o`u(^z zb3oWtGb|iWCMWS?v7ur&#c3LEuSKL^iUx@s2-9e8+p&l)Z5*KO)syKduY>PKW7F!qk}x z#9oTK9W>6UOsDP*Yvy=#u>@KcU96L+k!gj{J*bZFb@RS$E(ihL03qHn&gd9t%^HvI z=-!}pHs6tgV1>dK4jYfYR#qx{Q)n)^3tK}u+`NFgSd~?w=-bqQ3>k1H1w zalqXOWyXdq*yluR*5d}pWScT_2=yDIisZN$zd<=JUYUWn(BE6`n5ZElsTYbnMandr zve$g&B=OqO%Ya!~e1#0C#wj0%M3xPR^r4q8zP+&y~^>phUJh*vnI1ylg;Qu(sm?y_XUZL z-I>oQ$1KvCgKLdlD|?GKmAxf?neIrBHuSyWvj~Ovipz!Q80*j+JLaO+Xt_P~SQxss zQo9)0R|{di<;S~#toMY&LOoDxrJr{@VYi}VE1NgLnr(zvzR)URv|&BrT6fe7+z%g+pAKQY4h1Hs3MJ4jWAr}-7jBJq3EDeRH`C%L+Q>^ zOHq|pF2h8>Oh4Zs@8TeDi)N{-$kK`3^vUd@jnpKYv6?+9%PT6&&pTL)y$t&+g*{ez zfx;(6A@s*t0G;f%$+Tt~RBgP5mLzC*WDB2ZeA;-2eU{+!{u)yom1ShR?Vp)q5_%+R z<|fC68Gk7mpk4a@0?pty-mV3P*AICYmi2fiv&gSa_6G|!quOMDvrv=LX6ESnhcpYn z>d|KAFVzqEEPUK@XiLj&k&}*kC(5&0mdqPqTHmi_){M`rqn=r7+LLWoJ)~Nw&2@R( zRlBTSYdU=p^tmi}sXcgrDe}u#v_0l)#)HPLQ_R#yPc3pp8yyq5HI0x1(bIvi=tFB9 z;}4lwjpd~8^-d)U5j3~QRVbEG`mZ>P-Ubdh%u1x^sRsB6T~E;{$JvyqoTdok;5s&C zEe02Pjmt{NpYd`^76r5$EdYdXQM#ne7_zTO9(^b-dV>W8XrF93ReRZ4`{l9OC-cL? zpUn38K=aU7b9P@1-g4^H!NGl}e_uNChj%x}dF5E^Kkg_92FTpU$Li>(Ev z1b_ex@%Ao?yVSy4!zjdSB665|cChN(2=Pdjb~#ZnLM{_j={Ej|pUBRBU3|!j9lY+-TbaPd@m$uZae5c6>j`3K{IVNWu0*Mcmh(iyVx4 zDzUr`t!^6Wt}1?|FFK9L%jwcK-&E5^=MgKaXBJQM7k4Bc4MHc!E> z^l#BbuvgkY-7VL1K*UFd0nfG2+Gq);zHiD}E9KvK?9wr)Q`24cf1pb>9q?S_QtMVt zcIo$Ui_Sh7at|Z9wA9=pajYk)ivx=c^?n{g3vQbnFn}l_qaZ{2$+t(#Wn^i@AKAe4 zFPFC{@LVL|$C#~#8?=Z4LrBF79vICR!E3h2_qmaL5xPN(?0IUTcN3`=EE$;D#Nhwj z_mIm|sxRId@v*gYFDA+LC zEa1z_Cd?e%cg6vECvO{G8t}g$GYfH@*Ul-i1qxP-BvIM~gDtG>n~68T0JBgUN5c+f zUX%IQ_;zgE%)ym!SHbfcqclp8saDiJ+e-eTk07Tx_+p!ywr9(*DONMkJ+`U-*_-x2 zuVTD(Z~D?_eHzTZGAr&8n`S{%oIh(%;d_P|%qwXdgWzM0f9ah8+Wc4nfk7Z@K!9oK ztnWK_j1Oa^5Yaw@m-fZaLb?Ym)zBClZV@}G6(=vW{0DhqJLY?L@-FryZ{@r=$fOy0 zzw|O%d}S$Yd6B$#YPXpm#JrM`=;zoX$i652{tp`V`ABo?xKA` zpMma?SXSQLG%PdF%Z9bwVrkn-@lSqBT$SChpsk6VhikheHW%PCYI#Q$C?srjQ+PJ9 z=pPzc`JyV{)LhiR&9Ve2zzcxXMl>=Rj_Q{G58<@!t(esxO3`7ETPbsP*#0T)yV}A3 zAo87uvx`N7-feSmrP*c9ze>Z^;$83*~8@v2ci? z4D8S|UXAJ=qj_J^+|sW41^?Py-7~gYmIOCv=b5OKe>i@3opzPXo8(}?MkM37l{$6A zLBY#F+_5jf$R|2w;3z>>A>9%*wrvV~$p}2F`HDdh_J-g&O8xwfL9OxNWXp%1-tHae zWwh@yeo$z9zqRc}V|M29_e+ekI~YG2YRnpE%=222v(Y$bit$6Q&UbA?SA6iy@)o_d zGNXN*F{^`Nu2)}N7iY#A9YZ@U@1Qasu)XMKJZ2lGHlDWy_!}?TBK(b4Z2SF<&)5Un z8m;#I?TnM{nL6V^d#v7g+3wZR7?+vZ(KskG^Fd=$W`~E32Qo9e8gFKn^f11ewLj80 zCF?|t@nn|Q6UNx=OZ|**XODZ@_)GSQfyS%Za|RnoOnN?4>epvt_D`6}DJE;~`s#pRv*wt2WlyyhsF@{zkJs zHqf~0#Yf6*g`L*~sEl8{*lDM2=p$PK{ER;Z7_;UWXAL#xb?7v&u+yRrotK^HybC5Z-rv>ma2TGvg6r2RL%_x*ZdjjrFo$g$3K(E|^T zy7l@*%l>im{R)>IdAlP2j{aNA$n*uP|G4&JZRUcre{1_a|HJNan#ccGXWw7fX_Jw7orF9V@b0>av_LhaI-a z2Q7-3a;d^1Z)1qg6n?YBeY~hoX3YUh(yyUUq+c?`X0_4BrPsv7F8Met`hDCl{k47c zbo{Jtzxq%p8z=wli&%Oc{uy5{?hk%HDTwcz^#{Md1V4$+(pL=8 zcKocyczP{gz<-7x4rTPk9d??h(Eba!oU`aP#w`gBi=B%5rH4a%r(aUVRv%tuVR{Hz z1iG(S!b7+`<3bikPa-*ni1gz%y}#rL)}#3$i(~0^wfMeVXNV?y2tT^WoPmAfbb8Fk z{^I?t&%Xfwn6KmOl!`yAF^<-ehtfXS|BFS@nfMtOD(?TM9(sfw;x*epbW!xAOIIwV zUwjcg5kISG9Dd66i(=_#xW0hV9e0e|Ws=@$&VXLB#Mevo1(r!Q#tw@L)ToQ2qlTTx zZ+Xr&bj0YVKG5y^a45NB@XS9(eit*~`K^B%u0;=cZqwetrN;{$~$BKbBn9hO#3^pC7;hV2IP5Z zedR`9rPkNW=!nqL% zuZntk^?>*QZ*i8&Y4ougwN9got$=ILY%4U_3M$binuT4k{_O^3^~j}by=N!ccBff; z_C2n=)u8&5WMe_OVaM{cfY%0twk=QIX}^}o{4 z9`uWBdTV`EBDJj0!0`9khAt566CQ8P{=^tx%Zh z73E75f;C2=TXbCJ5L(BNexG|`i{%hBSkutH=33~w-Ve`HXxS{2I4hG0AKy#vHdx{V zlFt`yiu`IM$VmBnYWtHQV3HnNZ?MX#lF9u-Zoh`}>duD`#g?!P@K_o#|PKO_EDLTNrAuc#R%a0KZb3ANYlb1bek*ti{0K6b)8Nh`6MFb_#7W-pFluo&d^Imj9OBC8b z4|zSd#tP>%?t(AqG-2&%!vaMV4Itm-u;4uW`y*H%NL4X1IOj{5gkVv=t5*L7i-HR5 zzpS^%2gq4OfY>YJi%)2`fozz%rSCz@kDaj~p$$W3*E_G>#CF))x^}cC&l?0oZe&Y2 z55M@sSW_!*9+2DFE-(-5D=GpiZfH=RA6lQ2XNZXw7Nhhggd%COH=@`4g^1@Rb1ly7FAC+73R3n5<2}mR@95;!=$2Phg!|F zK-V1b1xKQWC=?AFjvN(md9va!ER{l-rXf8FPG+Sgf5&d#qFf2eVPm!(sR=6)AV}uc zjn8epNDC7Cjzl331mj;nCh)NS2=SW<6YewsYz0yQStfRTa5q4EIe3vlgK)+n!F(fv z7vs_%ryv9v;f6jBGeCemnWt30j}X631ew6lDS$S}G$1o!WH=ADtP=nR>FBzQUr93GS}0?vg!Ev-hRJ+>u6CX?HSpS~hYfJNP!rd+xb z3_^wip9`0Vt8Qbdi2c<;A95?w?}Zg%0C2{D1O}e6qBH#Bj7T|H&f^v$?rz(@U!;8g zYPmZK5X+g|#o|?LQ-~3v84LnNfwv+Cg2+emzToP!{MZ@H;tr@iF%of~jKKw`>piGt zW9G(yNQ0#yx>f5Deb^LyhuJ@VL~)9nJzR+;V_2#xzI=GFwAAi2jKocn8*sRutOx;% zNR8qMMh1Xr_z{=J;){S~kOZ9P;(|0z@-2|dY35~fXJqOC0V_wZ;51XHg?$2AnT12n z3?T`Tkc`295s2q-umYse*7O#)6W6VbYH^GGff5&mBjFtc%Mgft6titHn*}^2ZV8i-joovxxkY!1k;pNX1D>w=n77o|Uaq|W978Na#2jt;4 zIqnq&*0+F+Z!aR*kW#GW>)Vnw{@tKF(~89@E`gMi1kp~AHDxa;ZcOB2xt$n+ZwZ)) z?Z!=3kU(H0z!2CQqV2cv4j48q7`v3$XS-ibH(}J$UPjq_n@s1J`BUUagW5ZYszcWAsl$F`Kyu6!}CT2 za|uW^fKGR)6;+8Hmv;%`?7;QlzZ<71DNDcG018>i76WcoJYwjTSzNP!t_Ol~gM<CuRU?~J|*0tg9P#yJ}ckfL7em00IygL2a}Wv7AmLP1dp@VD}0jD*J1 z25z@7bswYeWs1cjYl6{t;w?&ACcmK^bMXVC*DNnB&;XR=Ec@JP7&HlsA-9L`8i4F46{M3-Dt89V@_Ka7|7e_08@-5&~d3#6m1pcr!r-zbaw;So^Y(4ZVe86zdY0xn}Idg*by$nf)PmNTQJ9Lp3+#2Mlskidn>_raapia z17;EIFrC~GDN`la6cCi_`iV?sVwX_dgf32|h31kufnQP?ib@WBiYA3Ky+tZxA;1ih zfc%9cnUvtmG|Lwj^#f#!m&k`Td0-jiF$|gEvQ**%>X;OxTrx77t3%Q+Nv4BL+>R30 zBKKalLd}P7Q-lJYMNtY`Qv!<3PiT*FiCJRuxTlxE>82!2)4L>0mKAF} z_~QH;eZ%^r{)*>JA**NQDi_`QJ>Nh|&^V09q(*s`IV}%Zlx`t zdd$iWw=8?6??Krqj(CM|YPL8OR5L#GfCv<5&J3ZFhuc{7`)p;DnHRQTWdlqR#Twy* zs?m3-M#us5v4E0mEc|ss0#6B0{(9Qgwi8;~#RY>zUL@fmCO(q4j~hJSg;db3F^H25 zL#Hq>NkmIVBBl(1h#DG{*F%&bmDOu35A6Kx_t1NO!+XW&g55D%>pOmdY0-$NZQ=|yUZSKkl5o`fsQ@1F`aS#K( zQSH+xMsz>07dB!B)9ADAfcqIkY5S|M0eP6Ru+gk-2zjfp5%oQ;hq~h1df~lb>~fC}6|Hk*Uy!Bnt3+Q79oLLSiJ%(yI`xRCe%BS zBT<+2)z|PArt@4enP;{LRZI)-44jNDz%_i87?%P~?54EuIDVoN$iSA5|7(ciZCHqn z1##1okrj1^TgDArDH?020m4HG!m1aMbM;j!`^+qk>N4 z!f%KDe8$77558jEC*T0tnAUe3=%Ga@C0k-%=0lA;2s!s*FA@Scg~XE2lZXH{7FHVI zj)c{fmvob`FAQV-EyW{wA3Th36Gg3EOvK8KfdCb{xboaCER*CbSHCZ=jP^CQW5|Qh zkhg96aTz!TMp=Itov=nltq*`CgKi5NF0Dz`N3f?}->F@>f7uowA+^iwBfSFldWs5Mh zOys#Jqd5~vK4}M=<{D#}&zhFSbG;{g`>u#fVpF=H2vlL@((Y|3TZWgVM6n783({({ zJXXtJA+45!)vzy4Rgm|>oJ4`!!oTCIp*2mb!SKY@B$Rgf?T~1j+a-?ER#?VYtGxC( z)2tU%fW^%#pCQ|(Cbm`U)~T(4GIKFBEITh*uK`_p?qcZ0QIG{jaZjA`55uz`$>46n z^bX#U(TUoW1;=r1hV^F_1uI3Nx}r1FdkruFP=Hg6TYAYUsOKgI1z24P8r`Dgm#=@4 zn^0Z}(iM@mvSC4UA8A98C{{1N>jikEcbN(>1&oW%g*!zXUuJhu@3RIhEF|I;iiYVD zcd#_vMDxMQV`55)hIt$V;hZm9i-JN^4%o<&AKMb^4`Zd(lF!$JDXai|6IU=VBaeuF$~fJ;0h$@@c$O8&K*QJXBFZ4&;5JVddzy*Vw0O96{eURIIFJqIWTQ5C1$F@e z+2J4kI-!yS?A$KljXkl#k>$WlENg##9uz?cQoUKMk zPs}=ywgEZCjHsecCnmkQ%PdSyrowoL0>Z=rjcq%6$QaCXjA_h+kba2dRb0|BX2WHu z446l2f;H>}K&yn$udD*P8|A;e;T^EmI3a$hcl%ZMza z+~7vb?1y&Wn8(W~^ok*wA3JlbV9JubH7dZy!4^lu#h?LT%kprJ=kc(Lx&^d8l%BuB ztFcf*QaT}&3P6x?{gz@>-uqud;E*#*JEe9Uc!WLhkT=Uqmq)n=2(xXkXp&$Yg1wQ( zajs}oaqpJtX1c_K;3Me>kqIkjN!>yx1#57M?_>|691NchFXHr5k8uf$anv9(Eh;1W z!53OR?ud`Z^_l^EeLNvhbYecbYEn7bSe7Es1hv3wh={Xh^hMu(AOY zW@rIcSDB(irV5;d2C0RJT!;aPt;0u6X$G1d$ymUE$Tj^qid;&5I01-;P7$loV00^P z*h&>QNTeJ%Nq3hCSRVpMmj>ULd&Es3NtF?a9My@iqA`1^WGmsY&@yf_7dy^zAa-bq zP!wx^N(a6OJtFA2{m26Yi!l=ZVk<~klM9(cwiV|ykj{Bj`cNyaUyKauu2pmy2n4fo zjpMdd;7${3ClBIJO{|22N`mT|*fc6gIIbvl#$5ntB1u0sYk(&AXBH0F54vZN|;7Jbz!=2o( z3ivFlL9iDOe>gQhA?mKs=TWv492uf!4rMj2izcweqdR!!hYLq)n}4&~PJ2Pxkp?ePc`j%F;t;hhm;&@X}|OBPO*EH_ab@(muNak{~7 zN>BrEK&-LR5ezCUoWjF+cr8Yhz*Z$gMF>MJ|0%0$*a4_*iNG-dORbCkidI14gesCK z0WSH#zm`kd=atLnkB#SYz(XBO%N!i68`X4viglKDe~|Y&DBt#ig){7egNrJdy3CIF z2yx*M6Q!{vC3IgOL~2w_G(gulXvWzk7k(kiPpm@{qtn>Jk<{PAn4UVcb}@#ZiBN%G zX@qt*aCy>s&=9~E1`rF#Y%9T(urB&vpdaF;{@7ROcr$>4-VwG6kd171n<571(Z1Y< z35d|$qT?zog=3mwvzQt4;=S{;bodSm0l7@AUvtT8eMfY80aLI(rl4o#;2K@x72>?<76le-@z(JxVp1A4vx^g-NME9uc9$1H2;^ z^CzaD(^!Uz)gyuDCn0u9Cwk*l0x~D#?9dHDV|j>S9(o{_lPnb0-(4he$41fsBn&<{ z^4r2qXjRXL8bd#)p@B|XEg%4rgzwYI6dcegIuS)rbbGq)wQS`ptlNkcxZy!2xWJPb z^>_< zVh@la6e*4eH9VEaH?6$+CLWA&XJyRaw_%tr&%P4`AjL)PytI1@YG=Vn!aY-H03#NM zFyY9|#UPe37a!$vfUbwlQaO#VF%eRnln*S*L9w%o%Y+yHQqW=6%b!R=9}gmezOZ8l zm^Yl4nH-YXtDznF`Y_0cRc+x}R2hLa;%n)eb;lxUs47F!BRI{ zN)f3l!Pe;3;?Y(cAnV)F2sEU3G1q0rgH7qE$SXJwKHiOMB!71r9+Tj}i|>#yhY@Zw zkTz@*9d9fPnHQhWz>_)VmA(=PfGO}!lpE%4AGOHx3ug_X6XgKGGH>yLR4xRb1frRM zV{t?`6md8l6qB(ccL)YAEbG94_<&KXPth}w2!*$@*!jgeD64@sMYv&4*lOnVitKXM7iiSAndqhm^U010Eru z^Z-wyE=&T~PNRnDNQ(a8v{+;iWM?v`V6=(q@Z<$jf?W;m|GBB-!r?gmG>H#6Ptw61 zzIZH9e9nhC6q6w`D0CXRvT)7Bhy^J#*ZSgt6Bxs=l|{Xt!t<8Zlk>&Z5WC^mcxrk@ zmycF-VC$HmO`a7r0SY7=i2KV@wE4n}c^fTxkCyf#Ah$s^$l zo&sp&QHmkK!&c5;{qg?6o6>ONF!2aIWw8#k3|BJR*E|e|0+D!fvXYP-TAO}ciImTU zfP4J9%MYO+a>K*wG46Lq=fS!7&ADgAZr;IZwvESZ8_O~4;&ca#YqHwkW#?Y>Iu`-q ztlE8}E*-NV;iFUAJJ$#KEZ>dmqOR2kho|5^tm5c|_Qhv{iXxwMb}fa2A6AVTv?)W; z_bp`(FCS`uciA@P!uv*b@7thwU)dO$+SCSvUXW6gD^J6MBcWH&d->@z>SB4GP4jU_AX#{<;k+myR^tA%GZ zxLL$*nvUe|JxI?7-fyJs?ifsmpx8*)FW7yGKjW@w_l?^j5NXlyP}B0=P*d&jmpXG| zqK_+=k0v32FeWaM$ey@=zBSWGao6h0W361jSV6^ZGWk$l43!m+Y47a%n+K8q33m2e zm%X#lm9 ztL7pxj*DBbwB~n;jwmq<(RS7Dqi4<>oM7N~2*W5I6YA^=JG_i6sA0grXV6oL$kPA4 zzMyWdFTN&MVzZk~4a5F5*9F9`VcNV=_b<10w32?gYRI!z_he*OZaOYHCcuFl6OJRR zjhKE%fmR8bD>E3d4}omCB?<%*=C;91Nb;H{HwSak-E$w5!n2aBh{p`LBoXsaf-G$i>rxHQTqz)&A=G*lM^azE`fmAU0VrAN3A zhaC^MBKk#CTs@po%K$; zNMsfrc`*+S?mI<`fBQdb$xO2nDT0bB&X@Ah)Js|Ig~z*qEZ&NWUP-9YCSh>wA4XZ-Oa=5*Af~)gDGjVFi@)o47do zL|ijoSQg~u_8_5_+vWcaWFb|+u*yw~|I0j~S%|q`+;KMAp=G5fk;svlL&5M^IyBeD zLh~J}FIe)x9rH%r!+aKZ88CaJ?rG0;U^e$*)@?$~px84n0<%SnKlx8F3)&=HLR!TP z7^lOs+H1%$R4lCpvu*=G8hPs#cN*!D%~G1=X{i4%_yrkIE)q_j4)KI@`pa-7NCP!=fiYU%7`dQeoN_2RvR;C_syo$=~<3SWIJNEFhJrL;oF_SUkxIIZ;${D5@6OY z2~D!G#>jO)1mnrczcFl&oJx#toG>Zn{!d7N9?$|w+%~@<}biAb$e{F%8 z1VqFo&oLijx%G;ewD81|-Ra~hF#yOtzVMi&ZuM`lmxI3(PPQPcmbrRr0`neJ>@DZsK`j$qc4B z=6llLl&b$kwn>~)0^FotL*dEe@{Kx5B*1BtJZ<=l!^wzi;F=d}$j#s^|H!z=R$)RO zk-DvC7U!Ps#xxH*|4-zc7U{7QR_dZykl^ZPcU9(^h+k_t$P(?6x|d zAs}epGh=j@In9RMGd;NHO$6&=DG8y3L5iU-v76YJQ-Zk(9_|DCuVC&YEFNpyI5(3O zr=z-~I28jHViRk^z#2u!Z5pjUaYw*r!~R#opDc?R{PdT<7X9(=7z8YGQuJQ7QkMok z&O1UZ1fa=q>+fzb7z93~0c&4VuDVb_-6{l&;=>1~P6z$GE5)$|T`4H>)+;SBg|z9> zlA0Py$Odd=#Voo*u)5me4?=*HIh>&ygrV+?d)|SNSrg`aN%8}Ou^Oie?HzQqhW{Mh z{LbUZRnT?ku;%QV)}R7|#Vp>z@maPYrsz`CBQ%))GJxHJi#M;!Zf$ZmxQc#htZv{_ zEm*UK`ILz5xXDd-%Pp~dW8y-VNPVzK)(4Z(X^00&YZw29(=L$2aTQeE=?-6(v8+aR zF2Wr0P9Vexb1Q70vjE~zy*kORJVLL_PSAr2YdMXR!MrIYup`^!nDu(Gjs;@ zzeC$i)@WM3yL|YJje)EyMN-8xfqRYpi8?8qDn0D_`K0fu34tdW77rzw+Hy zYPaIRsQigje8Ib8n0P@Oi8lj%+D7qu7!og4AO{cfAg+8dQPiK0$e5-OO^$38BUv^$ zV`KUBm-)d8bxOs>32bp-3fuT6YcMlUnwhRCxPTZozL>Qo#d9d0gu}q_OP$yEa(Ozt z%Bhb^(vukHjlTby9h>Op+zSxPMDnay8HEoS6=|oGN?JSEH#G4Y5J^4W^9ArJlDxD# zZ&+b>=Hmrj*DijEdmJzm1qHK>o*a2nHjOqE^*q(^p5f!9ii;UeHP*Z!6`RXYI2{x+133Bw>OLkqt|pEQ9?~Y> zFL_!QG?hZ-46GE*VZ1AerFOVy@8xL;^SN?)3BaQjLBUqic%=kNxW9x-15z{-JD`U3 zV`2FWlbMmYMsmxkpR7N0KKQ`M;6t^+S8nQ8iLva%<90ksijABHClryc{~>{jW)eUq zKxVM^;Bd75;JFNz;knJ&zuAm^$ln9gD5Al1O`BkTf#)Jv{suZ5U0dSl=o8_%4~`mx z1@*v-F_(@)Fqc<4Ae%W0v(FTYLATiNz}Bt)u4jveH}NM>-fX(gT9${H8`s$aJY?rV zs~sE5r@p-WJhMacJM7*_7^wiedmy1GNnnd~ScA;La*LhIoQq(-(1bNAO(r%1R1-45FP)1K84ym< z)({?{aBN4KZD>&ba757}V-O%WqL%^(%D6dgk+S~ccRf$ly^6<2@vEA<-`zBgYGlMa zI^&MXlg)mucHmEa|Mm4qPka-Dat$5>t{r$5&++1~TGa%t+wk+Txtrd_GrD3ed1s4# z)4TGFK@eJ9-XFEWV*fvKlcFxquXf;7BoZ6iIuhYTX3&hJ=;S!v=DtreAg!!Bj9+hu z(Zb6(x?aVvX)GBjZhdr22)4e%o~$DUf%l)bh`bygbDZq0f}NY7*TTe zYnP%FF^iNGH1X6T{>IVmjM{-eZko3v)$n0b{UuD4b>@_C>*A*~N1qUDXQ@xZx>&z~ zwo1vQfvX=k;wm>(cX=f_HPb9_zDbQBQhk%`hg{C zOV=F`8f*p{>@o&_WQmePT;p-OE@Ogf2mXyebCjqBFcR6gzv&Gqn%^(l@X78}ibs?N zH&YfY5L=8M^IgveS-1qX_?uewmx40})nB~IS8Hfc_Lw5l39Ui7B&$ZPegEUBI&H?F zy44r1g*u4^cfNE!B7K@-)o8Kn#XN$@_CNzBSQ}_VD$6~^*%fCQtO_WfHoY%v6Imp^ z@H7DQ0K>VnbI_a6zGyv~=Pbw`cyp@@Tma%c+!j>9k0eZHfWSjA><3*zZlZuhs-X_! zcp9ZT2ZR;SX+BDl>U3CssSOMJUrl`^e$t5 zJ0A>hDo+lAn?OxvC$)GQil&2+n=wkSj6p!Hy1YN@LNKf>Nz1(nniBK>2@4b$L;dhq z6nLToHiMU#+hc(Ow&4P)_?>0A6)`xE?Bp&vJ9*RkBk7`(;sI#Ek$-?v)`i%S|B9ao3Tw+JUaE2hU6fQ_j6<#V6Wj3<4#vUa$aTNYyH82Y&zK zgdawOciYynm31(lv3viz(Og8>-9tnPtuP&oH)gOxIPSnU;2rL$N2-KtGY?u+eCz<6 zLcfEs2uhLMiWweEh-2V=CG198$c?iJewzpoIlzVWh;DE|$P;@(utWfGkKnGT=O+vR zJ(SC~7FF3yde954)@+^T_=yMG;ImLlQO~QF4o^Q$u~of;&$9orn!q#RpPXKqtS{cW zLqrm?ZHR?IDCcXkPWb~S!UY<0jGyVj4_F8QnQVh8lrRA~d6U(U3R)Uno0$-ng5K{N zbjYZfNn#@1Oous(o#m53?zXnIc?pN_k<;-{BM`Yo5JVFng zeskX)#}2$c52(Vz#4pJ~Vrau&OoO2;T3;7>ZRsDYGYvR=#(JPqLg zL%`<^ZSpDnp*CJQCP*2z>C?B-F%SXg-?65jMQDb5LKKZY z$m0T}D)v>7QlgycGkop4h%9he=;B^dB9vyq0)i4l#{WOO$qlXU&~{HGZ_LeDYSBd9 zqNO#@$=xgSi+_eT(H-zR1<3jqzQz);pEj>Qo=yTh@XrtD_gQYt%dhl>c3DhGC%mtT+<{qXH=5B~rNZwB;KlqqJWJ%4v9Y!AN7?FztkA0q@tvDydque*98@Ig%^ z0lSpeLK>A+<1m0C%Wswy$mo}k2>fsAw++>*Y6n(%Bt=akz8imJ(-!e6PYm(@-H66( z^P&xJGp|YcfpLeMh`;P6I-eRxBEp;)k7_4|Zir51@u>L3%TN~I$P>@aoOp)kJ=wA- z)e~@&GK!iY9WPs|rRuU7@0KnY*|dB^Ay$02yl(pAfOj+C{dhV^Vugb{3HO9|3-WoS zYQ@!)QTwxD-|qeG9nli*T`sxT@eE#Ew)k6oyw?H8{3dT-O%Rkqb_;d?x7r z6`d{|a&dK|Pv~*DBXvk}Z#OjG9W+ZVL=}5x28&}OoFhQatT9M#a^Kx~vz$Wl{*x+6s^yVs2PfDL z>(SBu1rL6kFtm^F zYbd8v7l`4#)Bm6#h%c1 zORqxO0w-e7GK>(4E@n^2qp?QqdOB9)jWs$jV!ulT*}ApQy0X+@gy`JoHFRjsmvTRF z%bp6OSO&Ou8-MXe;08h-f<7k_Ti}2|CcRv>yJ#z(@LWrj%xxsYi0wEH-2Oqs?GS3o zO6p@BKT;n`FiAmjJT434cRxeOjUS-bTq6TWBaObsPg3|PA=bbAJf1`aNsB)L+}R}Q zv39Y@ej0o3y|~d%uJ5HlgD!NVg5>n;68wAz?AzgSO>{&w)4`c^FeeFz{*pWi2Tz!Y zy?QY*19|+}66TB~ANYP_vjyt#I6H$?&?1dpeIgIz8_8P>*4S|g;|pQetr4bf+7#?G zo_}F|?t^!__kk5bEK)Cm*$m`a3*c(})s?lIhC6Zdem=q*zi>TvpoRkucAQOrg0-;& zkLitI2nzq(G9&|YF$1$pk3b;3|0jczEwQF0*%C~}efrEF&IE;$b>RdPLU2khDIJ_a z1=6!;^e{ss7F{NM26zIjmJh^nJPfs{Yxjn^Z*3(W>4AX={ur1<20KY1GJu?ojAIe; zgo2%blYhbRB&>$qNHVBBMQDspsv4%+rkF3+6o;ogYUnXmKZlB?r?$6aekyx_5e88wkFV~13k=?_SwtEjb@h@MY`)zsm za;u+zt~t=lVhpqk#YqqKh+$t{hHY>2S9g5LtJ}zDE3;fKm)$P2r`Yx;MaINfi&IUe zm{HqHZLJ@k7xT`^4`p)2ZKappmSWl)r4fAgMk?xBXT86}YC0aJRjGf8RNQ^SU^N%p zY*<9bmfW${ZXc^tsWEg<(nHvD>iX^`!>H|Ym4JU{g|B8(ZCQ%ob4DZF@b{^g`3tzp zwYO2Kd@315c6*lHMW3G*C5)}KYOFri-@gmFoST~4Yr8H<-)-T}sbhUWilDqAj1hze zLHMk7PRnj(_2C1AMlfH zuNP#r^qw@~#>FStE_q);;(7L}AaFdQDW0ODJzA z+!bs+h0U#PI|W6qf4zU{HItxfi1Zg!r6xg8{d1T?vDx2NzqdvxPZr9zOBWE(^(+_W z*0vTd;uFq(wce1|k0sTDZwP=cMAo=)G(w2Nzx;QNPq*!wr^x<!hP{l}fR;YSiTv6YOyBLD*U(i59lo-DE0CgDo@DD}`0x_NUuPmy_|OSnqzTyUALv zgp&L8Wn=N}Hq_qTM9$G&t|oa5>(NfJw`;qsor=rQZtb+aviC-nwP|y=%+@oq)-G$D zT29V`uTk5pLzT04RR5J?in@yhghon0R()t`)XYRxWp}kowI|IKQ#U+$Y;y8MJ{69# z`2p3eom~RGT76LB60EiMHvLzPiX1H)J*CVpAEh#NOfI#%TuB`w19h1grfBs+DQV0k zRPGhpjLMM(l#fkHK7D!=xCFVd#!<-=Cnn*Q?y1bUF*jPh{O!0STaIPv0%U%pO%?D&@H4PNRllT1}5GyTJ#TQ#X$ z_4d5>ad}-PN1WO<@3Evkt0s3pvuDv0S?Wip^fs1!lYd-tFp)_i4TogXldv57t*z|U0^Z|KvQK@RjNJq*L z$GDWN@gwj7-H!2E$9ShBXwM-3I>G-^g8F2>y4vhtWl-0G3xhHAs7qgB(eDq_9kuI^ zR_gF^?&oCsWbF%4MRC19&x$Tj=qNIR&j6pKoHYN10ax<WryfnHft`7uqyCmjhTyzdzmgfHK3r-#BpvOl!#i$%N-~M{FMqOL1 zK9!)pYzlYnx8TF%A2?JMdJF`_2SpcU^;wq{l3f~=8`rD6G-iXVS6L}|j46*_m+GSg zRjCl*5J%9F?dn>q|Ak6Ly}|#K$^VqW|5}22j7D8;Qsd?2O2yeq^~nVF4O3jz;jqxt z_~dyRqdf7c1Aml8L0Ltmj~$BZT^`pvC$2ZRu88X^OvdLjhPD%gar$^eT#hQJ<+zA= zL%2QnqmTCWb83vkV!B1d=H-Vix$(@ZkB=z@;l;O==@r_RYbsrdrd^=}J{~;qSNi_a zkjMXY_2~cGc;&AhUuqjUFx{4@Jv|Wbrkn6RXl7!i?8$+F%apqZKfX8M(E*t;A7mP5 z6&ha%eDa0BumQjJ$nE&XfH@t3z5Um8nO$xEczm}P=5&0&ut$Fk$nJpw&jvo(s&qiW zx{f}XO7+?zmn~}dcZTvcT>`=fdcUB3x|_y6u+79WMlWpNQWU8>PtVb|>1T+~>N0al z%!k?Lyb|-Sj|~f!jl{p_*A4zKH2&Fr{S<>c1{S>jbAlnex=a5|!!y4I?kX_mj~n>H zc-@Xv`WH|bn3bt}vfoRC*JuX@wuN+_?9pe|8so12hqgBXYx3Cs$KMcmg9wPCHY{q; zYN-nbPy`hvY=Q#DfQVuxA%x8kBAd8W1EGovN?p^|_QuwQw$|cKtJNSb*g`LDZA-1* z2Df6XmR4=!y|(?IGxKKA-ag;&_xC*iJY+I+=FFMTIdkUByo;l3;2KFl-;mha?g_CE zlH3jpH#!AFmB&kgGo#0*ED?P6*7F-Ti|Zat{nB~P+|Lqbj!4u8#4Q!YeZE^DRHc2k z`;jtwJ6{?cb@kCnugv!dS1W8gBrH)V3D94wU6@`Z6Vnx0}ot2}?4azUqlosS^ zR5>DLzM=%#XV1bkP9~cz!%Xzt)cN?EmY5tjdv2OTFEPofiK*jbRTTwFP$|vcD|V$W z&rvP3br+N$o0uwzPKt|5!4&1qO-Y&^Gb=6@^EBrm`tKC5OQZ@EDT4A*Ky0!kD>Y4$ z7MCT9ON~o=nk0!OD%iQKO4%u#dQY~r8NtpciJmQUG{XN9X+cOSMVc6r`ZQ8U5{J}I zvLbzwl9iT$NS`9g{u?nZBkO6pgRXW7MA!fO5R{ZDsbaFUn5PwUByoz_Nmj+|6bD## z;{PM8Qf&c+l`2hq8kQr8gJmaKVLeIV?EMsx+Vv@-&E9sp&5i7&|3^0}D=4CBfvvJ6 zF=>hEaal15l9ZIVq^w}{i2p(l`5SuZ-_R%g4Lv3)P9mf86?=JVx;c_KquNPUquMEu z!)RjdiVFLG9BZvIhZ;p16ZL8v}Ss9e?uqvb! zYmybEixt^wRidUWD^WH_ldLK#E?JhW&?xd$MX*v4Vsv?FyrNu93v)}M%3e%Ok5Q=A zge_K;U7nX*tSKlfE+GV|N|TeCPO_=XO4ACIi%EXoyx1AEK0TKFrb&{eIKYr11`HDO zX3eFA_#{bc0wu@9kl(nBv_!Ej7i`Og*m9w^+ys(?C?A}aT2`V`6w!tb1lrz#K*cx^ zs5A${UxZG4x|c9Qki=5JF_M&E2sDI7^0EvHgDX%7q>vgDN7zuuh%71>95pyAS~d$~ zKm@5J6tEBeQb~l8CYzBJGdr1(sZ2uV%m#U?8f2(SkfEw1hQtJC#nFMHc^T;k@*xg% z$WuCmJ1QSCROZ}Ni7buMNY;UBAB^CMSsYv(VTl^{I;5M~X*06oQ|&_zxWcUp2UAIe z#A$2;AD-o?F;#^yavD1f*(B0Yd}=Zsk<{44*;Y$ZBZDSX?p#oWio%?21j4dnCA2N{ zK&65Bkf*)MN}#52;Gk+a5NK}>1llR=aR@N{wao!=jSvS13W0^+=0I4Wy%8>}193LR z>EzId%~7p5siQh@P}Rs7(mL>gPzN7?yv#Oe38`6e(%>wJ_fL}&QyeKaMGzGMvtTNkPx-`GIq)a+bW|fBw z;aO!k)43jy5*p&r1j@KQ;HuH{xhy!6H46j4d6Jbv@NL}m$r8B0fUGPrRVJ0i$>z<40gxmmM$05gGN{BfS~gEAOHGxfCM3qE$O?5C}i~;;_on<6n-BwLN=ibJQfO!A=9a3;mKNlc<>#vk^76|nB{>xejZ#%fYv@E= zMhj1L98KDnK0yQ7*gF+XddV#)DJ@egO3RjUa`OOuS%D_6G%LG2H`fs)y~4-K>cnKp zjJVV+b#Y#?BSS$EY>_~-GE$$TC{yI)<}jFSgF zJb|SD!}UJwy>d&7;98Bugp}DZZDI3?a=0#%ij|9TJC45`DYZ;dra~IsSz?K+$2*QKW5~rN@BF?GTbC)E0l||oS;zWK21vw{ww9b z@x_&t6qh&(lVn3gwi0M9T8xE3lc?ba3T}d-;>j}fAT225hG(8Ea!iBbu`QT9AVL0KwbQK+TGuxo-| z=ane5Pu564mkQN9s8r3?s!9~7nZ2FWzp#y@beTq(Us9|oE-yt6(uVXH15=_vQx5bP zHFyiDh%d-3mV!H{7A#kxcVrc*ipq*1$$~;iRw>z4PyE}O(7&w-`P&-t-_`{GZOw$g ztqJ?vno0R^g_$)sH9Cv#mhjr}2`@(iH!-YSGt|Y|3N=T>dWTu?f1bGIrP)FGR>?8B zc@BMXZVTHPl@_~+lR8u)TpIy*UzCSTYmO~dRaUOWD_KHB3AO^q5gnJBq}CQ?DTw1_ zE6U1LCCjofs^vk{!?YIPYnY!1+~v;{dxDJfG`s5Du{IXPLm z5av{6m07vqHCfQENKvVUSq~(b_au;_3n^d*5Q18zA-~Gva!px|sv--5ptcx7d1*p% zX&HjSnOa(^RjG2Yp-O|-sw%BGt4Ld_&0m&PP?oDus#1${xhi$>(kyN9Qs|4aqJlK{ z7X>M~BD);4Qo+4a(3z6TOI2_OHK#xcu`CBn8;k@M1n3f&fLYjJ1`I$~488DFe14}j5K}Bj>D*hy=&YB_1fP#$vNZ}_wHE{v#v7~7k@#3t66#NAW$snVu zR8>-;$_W+Ehyjr?uzBMs6T}G|FV{LB?i_{VPVx!IMFIvtNAI-WlA(cu3xZanqw7c^ zh$$6tUpfXyMOA_^0|Qxc4v7k}1r-IQ5L{#`Ev%0iW;i}cd0DYcr7l+FfCT(OY?Ckv z!(<{R6EF$Qhr4*NeM)>1bXL}1NJwy)VA{0GXjqG-WhH5qxR78>qB#OVE3;P1Y}GVJ zs%4e1BTd5uBSKjvrX`hR8N_>u4yzzKJ<5(rnq>{Rn9T=^rldj~FVB&IZ`kYusD>QHpztP-Mk7K}h>7T5skuv>c)QWm)Z z{RB$naX^_^vV>NbfH@H|);nT`ye3Ccl7ptC*x_Pp7J$;0mr2TV3W{Mnwj@S6JBj#I zDrl%w#h0tqvE^E|suJy(ugFn`{4YZ4Q;!ayRZu=&k39jO+={X9iBhslX6MF~m*5Ts z7Cn5nf*y?vv~BZ4fZ4_vCSb9;9K9ViB0Garr2<@EQ-NUPX2+ze)O0`Lu!?fE@#Pw_ z(@?01iD>V(Zet5dmG*^~YeIOi#tskHa123dB?Wm%{YyC~PX+Mp-OE%<%2lOhrD)0E z*rke+Tv0mJl-#KkC2Deut(2u0__IpE=}TcE6ezPwmSTukK@3MCgzf@05Xws6rj5EF zJF8rys8AHBF&smJr{VESLUll5jP_b3gzhiO9*Z~~KNIZOm=C$L?zIRL!? zLO?XiTh1}hk9h+pPC5qsUVwf5z`gwa#a#n1t_ej?Q;c)q#gc}X$qNS_#$R0 zeCHGwX$rK(aQ#w79yO*G6u}f0#f*;`Ge(paEs?4* zgvq}#`41+KG5H;nKQQ?dlFqZPXXbd1a9x{RC-M&kLK_ewb#0K;U{Z@o9VQK!Y{kTY z$xcj+nC!-+5tIFxG+}ZClV(g#Vq(JNEGBK3v}4kV$t6s>Fu8(>8Iv1r375pYX<^eC zW+=nd3?a&y2yO2ah8fN<21nYj(F`-1VcH#Od&e-0FT?aW(za(ZOgh7uKqh>sykoN& z=1m^MJc3sS;3FO|F-f=S^}&p;55tUr*I4jV7wh3|R+jP@kqg6kvXnStPOGz}Yc!96 z_g$LwAOSv9Wcn=|u}WIt@$B=MpeFwS*yFC< z#2aVIVXT^jfPlly7Mp;NK|q^>fUzLJ%R#_V6fo8<;3f)qpA&$CxtRSo!Io09?GyUbpzf^+YIq(&!$%|ai7f(>qRU#o@gdV+$Zbp=)n zotpvz_Bjan2?Q880SM(DLJ0z$3u&)7Smx`CZo}|_`@YMmhQ@z{qMz?1qS43Yi&g*= znCe3Z{SEWxGfXYKmU#dw;6wcMR{$w;`@jaW$_pd~+DBnfG=N-f9|e=QRWG99oFwt>d z8=0)V$2nkV*Al-=?u-s3taQ+!^OytzO$NhAKYW7qB&Z909DRbd1wsG_Hd|TKK3}q_ z#g_-3?7&vY@E!mB88Ph+YWhChf2U`$g5QLKxT z$P`|~b7RGx3f-B2x)`BR=XKqS4Se>z``P@L(+ghtDq(9Bv#Xrd=}eKAQ&O#AUP@k$!Qj`x>V^%K zybnd?LKNwPt#Zzyuvdw&H3aPQNG@wf@*AQ2GnpUV%slO~sC(q1>j=)IIp{>d-LQdM z$$?{u9tsgk5wS$BQcm!(ZSLom?V7jz?XQ>D$M$iSFDhng2SfZ^K?fDAt`ae%buQ6U z%Mumyqp)=YdmgbA>aftnevAdR2@9Rcws+LIg611V95~dymi3KM2msZ_XjyRNJD<&1T-wVbrpt+T1Oj8ktpgAJMlM7@;+NT-0Pw@TM8-ECO^ zo^Zp_E>t6Muz;x1Ocy57_iECbaU-pFk=7!&^X|@d=L~fnwAC@%s*`IKY%15~o z5qm@gz8itRPfp;w!RXX?XKcLPwULaD@ zaVy`aF2>6!_sk_AB8;T6$4Dx7^Zl^W=&2! zJj)-3m7;#DNaa-M7W#Z3a>JIF_|KC*`|Tx_kw#K!F_Ov~{4u1@eg{b9G!vi9 zQ%Ii!?vcuwCQ>;zpdZoGIg<1_;8#*P%S|UolI|?_IU!&G(bGAR z^yxi{3}dp9REF;&l{cJckv_eHNM(wNR89@>CVDz2lRmw}NabuZsg&;`l{GH2NuPt| zq%x!Socjk%u3}WKGCo(8o13>Lw_tDX;`6yhw{nZwyd|UZO5^j&bMuz2$y>HJZ^ik% z7jNaQW(#+XDts%xa8GXGzBPq!?=5`yeBpby3g2fJ9~`y#aQxzjlS^UA?#V5`$ z{^-`?)2ya@l;(Q8=4P(u`!$-|do@3v*WA6O`H3z5c~tTJ_~M7T#lNj7#t3dP1Txdt zFib-+i7&WV%%{OVdOmnK8)$e*tTz?^9)`j4)XOwj{y~>fv0lI6y+N5D&Rul(r7TfJ z_V{#Vx!{+ca^177|ooivg$1q8kn0^cs)mDY`4}!ljZULQsi+MbO7F}wg0j=d+EU^6Q(k#lwFnRPpdF>i8px|@TiZTDpoP_8M~qZfX5`;$m< z-=Fa@xd<5|zBstV$n3X@PhV&;sCj?F!ptyKe5XzP_Jx*=`Mf_I>UwQ;UoEr1NsB3LcDYz>kZS%g3Jcz;s`t2i`} zR(Ma0dh3~KgJb@4)&{s^k;UMC*ZZF^%-|i^OO~aJBe)Sla+XEtK{-4Lp+3u!!4U=! zLPwUxz!6}PM;ZB+E{*`ZVjv{vTZEoe23(gVrFtE5W=!ra-$JBEwEwJvWmrp$z<_H_ zc(Yp>ab)%qfgA2g#W5un`8z5Kk5{O_uFyQU6qE?{opyfAW4jU_1(uBWdmWTWF0dFl z0#%~Ez|zGLs1h9o7GX1OLY43+v}AAuP@-Ml`NGw2u3qha;a|xBztGa9c}U~~9su}G zxANqdv!@DJ1{@a&?{+IcqJ%(7*x#)@MG4`Q@Lsp_G$kZZ!hvq3nG!N6;r(u9Hznj# zLQ}W$8YNUx!ohCkbxJ_HK(~jwl{YBCKnaJtl{YD&krIw{D{oOkGbJ4DR(?+jZIp1V zTiHViU6gRVTX~xjdMKf}TloVeSSaCxZsm`Z;0g{4I6mxF-k}5`C7kG1-lYWC`Xk55 zZsk2n2&aUPx|Kgs0z?9F%+aMQzh1iPx248smcKb^`R;kk_by%DxMTS{$CvN_dijCh zmcyuD_nY?B>_6As>K)*A9D$CyN2NuWKso5BCs$fBI07B@`bvv|BT(1v zsI+u(1nRmT%Phh~Dg#{?oMD+IgCoENBM|DBSqvOu6d`mhvvhHU(S+c!+#;MwWdu0dtRK|-I14qcEGG4TFafCuDW0ggiLS<;Ej8&Elj!;5ntg;w5LIstv%F@LVmQxw4 zEyCGU#wsdfwIzciR6|ffhZ#2QRw{8Aor0`2osZXU`hDy4pJBN%3aKlLt|{d=$xIHX z@h`d7l955>Zl+>J44*bCor+OWF?F?@>bFrba9;%7``K&C94e*~#I)<+XP2OPX;s_LJ{RWFL zi^`x#J2qG{I0BuO9(5K2M;Jx@qt4RB5k?b2eVs*^Ph|uVLPwn?gCm3xf=9i@z!Acz zjCxBKN0>}y)LVoFRK_$aqu!Fi5$LS+*l00ugm@}rqos=@%%n|Vb6fkns%W`RT zRUh^_xWH?uu5x3?2%F@c)m44j@j{c_OJC*Ah6+37Qhk*NJ4pyL62e}_n3ssfsWVQ# zraUlEW?h~14f-li94S~eD`pM1k5tD-i!f-N!$^5-vSe@sI#S7-EC!B1N2-34rHdob zk?PoF5ss%a=ty}OEEybuj#RS2VgLfh96D0<21^&mK}V{?U=fB>88A|HbW8BbQ(J?sc1BG*#u30K zz;S=nwDUlKO{orU2LOTp+_bMa4hTa)@P2OEEsg*I1qdUaoAztUaMc=KAY){4o7~yH zZd1#a1Pomw0U`9%ZaPN^a!Tl2x9Q@RgjW=d8`DGA*z#-2`_B?baAm2xzHSjlQj@HK z;1*grRro+|*0=Oy<-!_;XibZd%@J-@XxH$3*#cpsqG=7!k1Y~5D|*-P{MjYKHidXC zZwOm1>{4jg@`kd@ggpxJ3-Tl%chkb36=Ic`DLJ>cWorv_a?mg~mF1h#R|>Im0j(^g zl{;x=6jzx+E3;{34XtFj%GtDXDy_USkcgG160uRqv~mKiY^RkXt}=;MifQFZT4|J# zmds3A`5dj>N-LwFvfcDhVP4xZj5P{tvPJ7#0@>Zdt=aPREzh%!!rj@%^(~{>{lX*J z=JhRO*e2oGY|)06vFs7yrEK|zmT_#e5N;z?4#%5s4bM5@db$0LJK08o2{t)o?U2Jj zFehQup)|oI7@i`uds(u@14iN{!$(5hDjs7Rk38@JKREo{31MeT!Zv@b#?|*9ce15| z5igav!mVRmcwRiTp=wCoz>fnM>L!+pLF`hoEO0F&r~OrZ+}6$ORiZrPUwvo;Z)jaw z1na7!E|J`LC%Zu~V(vzslL=iy*e$G8iZ}8i*jvJ#N@!w0(&aHEm~d|POm z4woF0ZGd%gqfZDMoa8dDKWrQ|g;ay6sX)Cg)S)cIvggo-mSKiVQ&>52gLZhqIo?*P zfBQ~0<2Gv0CZ3Q}rgP`VLF_KM?6y#Zk6BPgBj_J!NSh4$a53whJK18lQQJ@-C(vhL zudq!i-o%S!AL7`&3uA*fk@i>3t~xjU{ObYJhlFo`Gp9`%@SNWt_GT`b=k~Gk;eycz>E+x%Q$$ z@WDVZ=CLsL`H`DB4c++(Y=BQ)4t9AcTX~IXwszUEze_l_dEloIx@bQ~vXzI-vdP>P zh?Lj09tG;k#{~Mc@jI>NpKvr=dBiMx-UpsgQ1hf8sM$V*Imlg$N9o6e<@OCax|!!h z!<+8tn6PxWD54y|Cs(KAAS6*`de+x>SI_z&N5?e6^;@im{NZ}_9v73fHymEEk8OBQ zW-C85%Yu=B>zdqbbH=^|FhFb~iCiRpnTOWda%eso)~IXYAQfwr%bn$l9h zGw6B%-o-FDv^Xv`8`NJq&$^of1bUT&;K#a&V~)@yUw$!R3KYOXX(x1nUO6rIhtZ71@}SQSF<@W z-b74k(4j$tIWaB-qH%+|-end>d^siT-k{#*GV34^;2{qx(YQhVIwhEa;O?2shWT8{ zF>c|_W)(iQD)DySd{*USP-(aG7O(|AV3dvz+rIMOPnJOHM|Xy2Ry4`R+OK5q4$oXp z3ET|3H$3x2N(iS-ej1)>q=W=Y=pCN<7A0g*!q3Aq_fkSWCHyix^Ib})q=fs!GY?Qg zEhRh{o_UxO43zM2c;+!mXrzQ+hi9Iogk~V{4{zsXvPC}4s^0Cqh3pcaHkEh>?*+Ep zr%Q$N@VDyCE&fLw6tz@ut{OrKFvwtvg-rKro284q}?4sThdnFiwv_%wZ~qQ&*(lTs4l0 z8AZjk0h+#449rvzGa@9==K&`MVl0TMbDzm}Q!#DSCeP|O^CGAi>f^~5L5wFA16wu_ zqXaRg7>b4uPU5wQUFOrHYTCieVqf&JsCsws^4VQJwYlO~c?IkqA49J8RhXRe7WegU zJ2$1mtRN9Ejo?{HuLjZ^Qd*7=(Jo>3TaXP}yu^D%?~bbPFDwB7-%?=WUW+}WyTT^YKY zOaHVvx5=BaiR*S>f7L1KH7YLE>lof)sw!gXtug6qJXe^mS8_ole9%KC>9cP&r8fKM zdaX10t$=z1J53Mb#WG!uF~1^1^QNF5CgTq7`T;FKT%@}$)cojq>r z_q3)w%+)bH@RSvM>8Z~Cp(z#j;;zF$Vyf41#Ko)RaG6R(d?pI78Sw);w}u8D^PDpB z4R|;yUr9|rO`pAsxg>u_q`F1pcH0KE0Fxis95e3!R!9NT-3+HYH%2 z@EfF4dhOJ4r8!sX89_9G-5iLC0Wmvch+H`xxJw}B2P!6?im3qXQ>Ym3LiZ|Ih!X?j z0AlnY#>9!C<8TAS{6fVvQZdUxOe7T(M2F&E@Onf)ipCvGFyWC;PhQhMc&pjpeJpvs z|KQcK0lu~Q;x~Eg*pa?CRNq;In{GQ?WxjYF9vcwP2G>MaUv*tMjS@H$tiGq6yi`o}Y9`^N-ac(1 zE@|H)!G<`%{*9a7dnKhipE<+BmuS#nKU##ZQJK}SzQE1;AiUAp^j1s4R+t`4ufGL+ z$3euAjhl|XlJYPgE>4B81hk7@&)2;vSl7!zLuKpt792D z@6zww_$=; zfqCYt`t`Hl%h54rSZV;(#gtXA_t9o!1MrYbV6LcFNgwDhA8yXteJ!=nSH%1qs`dT% zwisBI@6H0<-j-L%{fa4%1_>f@zQ6|!diTaNLmrSBML{Ruz40|ipqtxzAPl7(+?>06 zW5+N`7(iv*yYcn&lmMZiU4Ep%ytm~wR_lAVK(r6-`1{5c-#E+Du}j2w_}Zc$<>^5- zJHE7O#g|S}TlLXvi|)$PD|~f*IXl){n!lc6_;MwNRhU*_%Ft=|2KCFufEGp&;3n06 zxp3q9qWkjn<-SqO724s=>x&-B)9>R>k@Lhy&0j|v=3bOA;4TR1`|FDy(I$@xVJZmB zbwR6|+?{&&;l@>0i1vaNEUMAAarO9j^Vic1+mL{-D*>`C)9!uQFL&fSc=16uu8o^k z{H~vW4mZWzZTlauFA7kkTlerd2nU+KPB46l3nFa$fiU<#&Cf(I78mFrg_1S95DQp( zYs|g519G>$!*epynL2V|z72)a zcdFiI_xUy!Hob$_31>H~I47Bp%Ndp08gwsCpdx`3JPNw`V%oiOjsPhyaPIRZFj=-Bssn+tp2;q7M+`nDB{-{rl>9`)@i)V_<3c6HOTdbYvlNr$r+ z!J9l{o1=AaTK0jH&Q^Uidr{%b=_l+H*5iQTnatf}9O$}`{)b`55azDqI9MFU;nvN; z1rOhaaR6OHy*~8qE$n=k*NoHbt?9SE6y)2dS;rrSX9A6b@GcphW*&bUzUByYnkD~f z*zr8&pwq1WPs6;?lt8Ch$Df90#!$jwoMyF)#RqsFus3}Ti?s((`}$7J+sIZob5_di0$oI(yfl3CW7?r>T7{E^J1ln_WcMjy#sP6^?ZFy=_+itVg-q0%HUA3DSZra{ z26PRM^##bd;K z2Co+CI@n2mW_82is!!Reeh<{DqOsdFrxFEgPEQ zbxGV#w9NUIJ;mIBl>-jN+~Kpc%gj&$bbs z9j+C7pv5Iuc&9?VaQLNdzE_p<5mN=A&$(~eC4QYn(&JTEF($^$DDk`EzR0%Z=u|*3 zxod6iSN`nrs!z>x|HT>^h&pJYQs8(%o0tKuJO5woa=$x8=&O~_9&fpL#bI_Wd-gc* z6G{N=?V<-o=Huu#J)qzF4GwN|2MC8KfpeRcmySus-EeT5^Di%dTi5I0C7Qym{$Z)v!CH zTW)6+c(lmNZ3XF;yP5$p4QGg4IrY|8HeZ3apnJ$rV32wKXpt70e8|gZj0kM)ZFZlZ zQPc2Y)er2uen&KDt9{QNm-zQM*s2k1HG~qtRw!ol#UeN*lJrbh`?qG6`1Mqgp3(GR z$oc zt;Q3)d+hBAF+W@Tp3rJS(&-5?_pPL-;7n-!yP6vSv_t$@qdU<8a}R%cTv;-fmTmP` z`Z$g+g8oXlU*5EirjwxTX#fnmdx5f7pm+?7c8Z{*zh-d)~V)5@uq9el-d1kPjhkv;W{8P6K4y+oWt{O00G5E0@i@5;< zhCBQL1^%GcPKQ&9$GE!WooA^_k zou_uz%mQK~e~7_pNNv^p&Z!3d5S|S^F0xHM5`<2sF4PH#-lh1%GpXuS=f* z!n^o0buZ1Ln)d2#4X4xE=9&b+2C30eWzG&WEq_tDYfYqsp@Jw{=(<-==rxnkRs>8V z-?x*8YQk{P-i^*1Z2Ztf=K|vhW&yy-R7a~ZaX`60=jCMLz}y*gxJ;MUBzHFXa)GH&)i(KB3L-VZV%0}RH6 zU0ruBFKj#e>B93r#P_*1dPG>*iLf2VCLNl5EaaKcV@-!Ym{WJqqb)4(Ta#LU*rPKn z;idYH105sl_qW$;wu*}M0eS_K5-bc6x`_s2ftQN~f8Agx1UMC9VYCiwB>b6J2sdGY z=dPRMzQ&ymW~4~`m@&7?+vH-tu^&`+yED_Vasu;*7%D$!m~&7m*fc-VsGkZ4PdBvU)>t88n6rS_ z+j+)VU}anZzq2&RWo}8_yjtEKVU*w{soOcJ7n}s_5-1()QY+O(xwr;Obvm81iz{S= zF0MkU&gj!x%=ztBK>b2_xDt#TR(lC2F7l$v=jB}!`txO^(pNnUbI2Z?QS z8h9?_BY@4cc?&ciirLNv%(`J#_cCVvFxx;FT!$g*doC`nzL5C?Fd2|gvrgwa2!OUp zAN}XgKl_f9>C9C+*Ow9i2vN-qnVKLwa_)I(csL9+WcWAx~)EdkyalKh)O)2iLxma}V<{6m*Ceu|B%X(Nd@X_QMF z-RU~>_A^~)j>7k&XWYx3oq!zR9W#XApLRAw;R+%`f-lVx0)1_gd%5;?0D&z3T1r{g z`s|dHP*O1?=pbC%uJDFVg@zxM0^k`#dw69whR>;($GpHKD!ISgn^8lEW`eKhQ<8$s z(^Arfzy_xZ+IERZ@C|J{@H|I?{;pa{OKn^VP6ue9B-t9p@}`8S_`QO9u;xbRBRR_( z66DK8iBZbEf=$jjxyzZvDD7Us&-}fDyYRgS-#46NMtYy6<^vTjIv=EV4Gm5Uk*JC7 z)`G#4y!^;W%mJfX*>`_xXMZQu#(v=kJ3EenmA%P<{eYc)#*cP(-V|WJY32aO?yO$4C4I~b`07>=EQ;n)cn%1(X80Sw1Zz;NsY3}vT2;{fJa2QU{Mz?9g* zG&q3a*a;Ypoq(b2)Mp&PaO?yO$42Ls3Y6sKl0ES~HU^sRHhO$$i zaR9@y6EGY*0YllT&)C5qK6c#qRMsYnEY*w)^Y_unCVdHw@8quD(MzkkxHKaXp zjj*Sl2G26EzXF%HZASPnCZMOa$#DKfTD9lxD0GytC20T2yZJ-FeqCqLJhnz?e|w|- zuHc|Ql3~$PlLvU$L|&@moGnE1P0SF^Cxg9rM_!skMjF|-&81tZ87j0oZsq1*p#ajp zB4U7rSRw?k8GaVSVHoguWcZA>hB^P{`M3MQsVgF9-u)>4oM%6giTjpjY#J)>7ZWj0 zFxRI==&sD~{37j>H^p^16Tf~ip>M0$J(a;zJ1c{i1uxA{&d4Ii>*BdQwhTR=$X2wT zAylA|!l_iLcmSP5P0n8`Q$c$?CJLJ?G|NQfz&bcr36BPbDmV!X&US`6$Xn0$f-Ias z1;;c4xs)D_geOvQhp-`m>yN8U)D*&5QmUN)`*}rh)DtqwhpY4xvs56 zJo?I-PgTGHK5!gfT0s#U3r~U2V^yuCrw*{PlK<;LR(L9$l>roe;?yca|9c{wXN9;N z@lYJpoN%NzwK6@{8}fh{a6fSnDkX8&wVnlvXCtC^TsZ+w?8G9T>_yH^!~&dopH^O? zk(9t8csa4ukW_Ksf3O^gK;^d=edgJfV-A z1jQBMz$Q4Jx)hG%2Pd<$JW-}RltfQb!=u3R;DkQXDpjqhP>~bp@DwTQIdG(t_yY7@ zKnLBzIpfr9kcacYZFxBE+m?q@z-{?(lFy2U|DJ~Nu36Ffa-TDiciNo0hgRmm0Zox1 zl4ilMS43A@Hzj%9<0Xx9{mp9>uz76(wz`YJ)`n~L zB0)+MHk4z-BiQf?HY~=5;m|NEtn2GIiV}5}L z-LDG>X#xc5$C?gblLbw&Eg z?u;kX|3fF{Gf&1?l+EnmoAwV6{N6lNzuGT7@j@i~rym@m)8qFo`yW{7&Pbky-Z!Ohs;{7m$YgF+QV7&=g z&8seOU3s}%8%_}VqiOj4J;5{|uPx%==YR4&gn``<+T{Oe1EAaYK<$VfSzO4)_(8|+p zlBij=Ut2Ci$7$y>hUxI;H~TZWgIW?nnkP`S6l24uGZ`QE&IDORiSPL!~D*vAV~%lPF@15 z6oOTBd(mUQ0IN(cCm!7u4j!E_=9tGNH#6h4YYZd)4NjdJ%W5MS7@*fdY4f`<<)7v}CzCTi0;I?1(PfhrXh zFg}L?YS&?ay0aXhHUd=94uJaL8d&WE@Fg!lfYNpoeC1CJ1ewrPUBaPk-H-C`_^bN; z3Wq`L?k{9qngVKnlzRs-B}16&19&_56FguylyIys42l z_IHn8@AlJa@zyINUpTzBwBa;rA?zuK=gG~n%=c0C_k!D6b>B0LaEI$@|HjWE+2z7s ze+D46P)PmwZ}740gAyaWW6-NG!Hbf0vz!-sUg{aDpXN>hH+@nC?;M!d^56}GrtYpE zb?@s>c|M+O`H?xI@AMoDFJ7K*Idx7FF5uoAd6Uh*ruwc&w3EM`&u8E@qzrh+<4^WK z6@qU6CU`Bx2=IXC-;=r~MY?{kyJ>=3#_<1l!V7R9=OHHto`5&)65iDv(SPolG$s75 zoIYM|o)FZ(Jwo~x1iyN!*A1fApZH&Zs=d;tWB?~~rUf2~9|>47@`}f*K1=!9Ggn31 zwUe5msP3~=55=gR+DXFau6PteZONIdMkt#0dA$Dqk&50~2Zre2;rA_gH102WZ^+Kn z#e$womnD;rRv1&>8?qmYCH_Y$Oz=?r2t4+_2aklO6bTni$-isf5$68>5VM9!@muq? zr*=~99*@?=0(jW``I_#deCWEMwf8bSaPB^$sJzQ88f!%Z63un z58Owao4=sVwFNN`{^lvV^`XAs8ZS@HB+DL;A$^X5A|DOW0oofkzLi8gst{k0EEZU9 ze9H@ZR1t;wTbC}kL>#Tqr5yL$4f>Y*n>9x0`a91BQ$Rv%F(B7L*T(^QHX#2NkRLyy zupsix%i_m?Tmr~f0rIGwVeY3vaWQGGoz%F;cWv`c=s2U>hTl0WQj#*A6 z2Yf^&H~foAPWXvR-o2Dc{+vi2JW;1Q)ABJpf_H_!!wa+NANm0>Nu#z|!1IM^OrXCj z1JhyuK+juKv;q@2G1tjjlTNUnU@Kr(Zu}0pj zgP>s#e}!j;r#ox}7&*MqG1k9*DdYC5qq{B$H~b_TbSFX{A!balrpI}7HfzP-Nyc=Q zn(!aV!qT?E*SM@(eu_W8>3ew|-JnnT)VdES|;<{jEe49wqbn9ji2iJaq$g!#}B1Kv0RIcNFpeVX&kRnvCOB-ef4 zdfW>~zl9*M&x5}a9P;t!Yj_s`hN+-ccO76HJEAZljEu`-GY3Np!qL^khhEWWFSG!^ z{#%bT9RG2MOHq>pyJ1~8xb7zg<2-B{`rzu|@ghVwwb$9H!uv3M84>=*9J9AB5r_=N z|CGrlY<0!`W7t&4W8}A5AU2kTM_m*ROPY+%1|N*Et-P7+AM($M-@;Qi822@6`CHxN z&+H~%1aB%sm`&~{2=v)qlSsTMoQ%8gFi&ZSw$B#g-VM~frvnDD_oyKljpguC6ZB|; z9_7!`9;5h-&O`93pkQd@vZhfnFKla!`Sg;J132n-$Ax6kAhkOGp zAB_-@f4qb$ANOm5*ti0sZYPAzIaK}DMj9Ixmq2|$F8%?KUq7M{J_J`>a9Lcp941sm zkuW}zs{a-s*UjGGYZ`uCbb1lL5>C>D&-sx~NdhJ8Rphhw!(J7)3Zb6A^qt1+ux=Gh zWzzGoRXBd*2Z(`wHR=5pMS}Bm_y``A4-Fz-0b(GUNkHu9t$VENc$etxa?y|Ip9=y{ zr(CCW>QM#$H|7s`{MXnRP5$qm#UqCpAhj=|&u&|M3`WFd@N(;knQ19a4?mXcWvFG`k) zQO6PIP{->7cJ0-Nz^Wm7ejaS4yF@iBME6>nV>@rl>tKInUjHQkIzO^HMWDhx-n;{7 zH;L#s;}!jqb-iCmd|)C!*#0g~WY^;y5%joQ9)3iI4|p79aAcSV@LX*#aY{N=80EB`xBHSowhS59#Sh9qE! z+PQK{I5I5x=bDUes6|JbZh6lCNlBg2DV+7 zfUPsn+qts$aGx{t?|kE|7-vyeYX>h2lVtRbd`+~QeIwcH)|pR7xh_4p%Dd(RBe1>D z^_{aK=mxMo6Ci|p57jPuAe!W2iJ|YL*F;xbJXjEzakNjgZ_{Px0FMPl)eY={FiC=b z-fJQwoI`i({>%9m8u z%!elh(6W$OYf-6-=Gbf1qo1%d}3zU3e{`Uk)VGuUO!JkrykaCu`5m`_P~Hy+j`M z?)#(Mb?*KZXBc5{)Mzhf{;SRJ%a1?4nFM6Df5myD?&YfY%OaR~_qsmLEzB^jdV6&r zzWl7&80F>uYxjBWcYpr5I`CVNE4cv4S|7br{Mb{U-(=d(EArge3wUPxkj^Q5at3rJ(L|@Xrv%sUJh8Oi(0vZShJHGOP=8kcIhuAeF_<$Rq)F@m3UyM%f0Nz0aT z-@Ffrf59MKwhfY&4ANz8 zkcvGQGm8pBmD1)!GACQv*xnF6%+cmPKHRKcvg=vlgR^x9C6__4w#0%)6AbB!I(ZiC zZrrkgv%C2?+TG|KM(pl6`AAFU@1Wb9=bm|D^yfTRV8Y2if<5=rX1Qqa#9M4A`_k+F zt8sv{z}{iOk+A=lJPFtW?Gm2fiH0BG&hVe zf1auBfD}x-(K!x6qjaPHr9OPK)1lAEthDImI4l3H*GFL36b^Q+=1r@)JlzNUZ78b` z7hLy;|3v_mgoBSBmrx}$&`wc>qCpNyzI6+gj441R_o9+e*UCBvp8OgE%B2y4@-s}c zs2F2ym}u3rfe3IF1$d{P0CaxdIR*fFJ@4-rd@jxW7@YQjSa>!<_&t*#({}mjv-{~= zcIcOQ>iY9rog`wDU~cH!5HEzm;elSx`WF+9_J@EvEbTtnM*a}Yq9C2l+;xgXmEed* z3_#0h0GcyO(jNe(jUoW$#btz779d1`vTnx+^xiQUM4*FfTj0KaxFBk8HOAwWLidkw zAg#7D`Ob1dKWULbe7@8A?k+O`=zSFu{eDRLk*K%J?LQNpy;)|@Mak=8CaCLuNFjPm z?6V%j-b4!U_dSHS+l_?e8*YM9R8c$@)~S_-qlOTO5!2k+CFmZX55lP2(<(RLl<%|! z?v}4|MmwxHh3~ll0KsLnjTV-j)ldhW&T~B&0J)xI&mUkNs9JrOtOI8gr3mmG1;_+b zfb(661?PtwP{k^;1jQV8F?MB<`W-$0Ulq>ccbp{5<-wkAC>Trz>Lmy!bp+RR5(Sb=^v= za2oVCy@a)XyqLDhv{b(pMj$?Na##Xk*7>4oHoUOCT3PM?NLH}J=kAtiN zlodDLE~_^iWm%q|W5{FJOjyDTY@ zwbMZsaTAxBc3BkHZmTSH)b18ynO&PD)H1bIFT>((IKT5~O*oivjE}q3KKyTRqtU&& zWh7_9fF@$X@jf;aQdzt$oRw{|-X^kw?Xp_CP?luN)3PQ$ElcqiSsxHtlkBqecTm#qNWp{cyu`}#e z<{UN0hdOH6HHrTs_oc84J7kX-%uT&TFnV}MY16AA7aSUa8zW?Hja z*VFL+VrU~D2S8+TM-s6NvMO=i&EvW(;|du*c#V_w-JM~_f6cC^O?6C#3iga1$L| zUHtX0-{N_vyZy78feTLm9V1XbKHlz4mNqZmqD{SrG7Mz}`2P)h@>e}=9qE8$~nKJ08P z$}G||cR3=meZeELJ>(-Jidb@h zx7`tgWkgp4Zsv{Y+ZGwop5#M3qDQkG5sm)fRm#znfsTl-=2TKdc_SP-YFT}f=jhw7 zL`43zXQC2|wk-s)6)=3Ad~y>#miK2CAXzUU=0_1hMnsfM?P$V@c{v{77V&Yh5% z>X|&BGUc)1ZkZ{!QQz}Sl_ojNbWvog`K^&MqJ{vTsjTnL%ZN57&Ep-+lhKZd!bL>$ z9&$uW()$V_LxJ0m0NnKYf}sKH?-x8cIxdoJn`@d>l_oqj_eMswVE zG5$s;u>9n30!z|-?gVcbPB6^!wF8F$oLLRTXHw`Bk z@&R{(lZF!<-^cA;HPYb(@d4d=FL=@61eOUO@rZUhN>>;51-JKE!wHU@tspb49qGtX zzksi(;%Y7`PLN7Yu=%1-#f?tzo8bh9&hkvP?s7OmP{7X=*XBzOC&)@{=9;S?>L-*1$<2r^}OP6f-_?mawquMaDwi0xtZctI-Fph(*i18&>fD_wfgh6 zviXX`39`l(@Em>OFq2!i(>$W2OE)^faP9=QOH*!if<3=FoFHm!BX@$&4JYW@i912Y zD~?Q+^x~db_l_e|JN-{l>7ssfIKiGcZl>j57*5bxbSZf&9Su0o=?Il>>m3d!SnJvX z96iCzUOZEN?>U^H#Q!p7D(jlV2{y;><0ijsIKfS#-5pAHm}!zQQ}BBZC$Ra8F6ElT z33|rv;ZAVHaDw*t^SV1`I6+bjcLLiOhrNUSd0WZ)+u;P8V@i0Aesh?~t=-Q&q7#Y} z#B(P&c`5HkC#bpZaDtkcecTEDG@QWyR_+8B3@3<*;bz+To+DFk{vo^p|Ko51i^$aS zYlaj2bDn4Fis1y;qIpCuV;oL!-j_#I^N+&`YQ}uVo#48obaj7U5G4{1K!$eoJ zKE1f&$iagB^({>q(sp#>TGXc(!EJSLrFd%r7jJW%sMp0?A%4}tmEvcfTR^e9?Nwtx zGi!gnpMxvKTZMR##ngdoQuSHE%)r*)HopPr*APrxi;eL zh&n!M%Zr-KFOQq@p0v)RvEG?chj@?EdC$2K^<3mgb|LSDdlc#-n@8pFzNE_`DRS^> z(b#*;)ZoJUy0y68Jh1-TWf={u`Fd^;LbPc8{GQW7qq+dAc!N*hy=dzn4;|(Uz56Cy zIYbL34LyZOLByH^7il)_e|xvlu77l;wcxp1z95m;9+4s|T-Winl-s-LA~El6I6)$B zv~#)I^=uj4wz<}GHmx!p_vj>YTM$t z-^5ku#?gm-$kNeEujN`yj3Yc}elp?_IPjrwTfPf=%3A-TPp{LtMOX{mc6hNY`o5!N zupdK(!OB0~)a$Dwl)cI)WcF%?QRAwa(TJVR@SvB&*E((Z_9#}l1_ns8*N-7YlR zw{i2tWvlC6ABv&xN&6m37){jeByRw7BCVp3KUB9XT{;GZ+?6N`8F`87`{#j$yjmhA zcT36o=nT56>c`!A!nj8#u4Apey?Nfwzfe1_`HSnw{?DP(C7fQqiK=P$DvjrDM#Yr- z!+(4Vtzo9HE=<+>DVb{3hEYy(NBgCxFbY=px{j;SrmgAn#rAzz zD;nfFMtJ1nW4zqw4+l!$>Qzo&^8UNkBKccfT$VkO(RkCjNtFXJF>O2iv2ONPuW_^Y z40HJD@{Qb;Lo?&_L1rfp5`2yde6(R+!0ft7A@wa*D znaIj0!D3;-qM})@0r0!SUH>7!Lm2|-*38Zg1rxyi5S!D@-01}q(XNjzrU`RaSarGI zF-N=hD|^k{u7mq7M{ACrMib^Ry{;vgL0ib%>U_s=pDhfPB3IAzuKYx<6shVolSK9u?qOSM zSMdqCy{M4p(K~urb*;MJ+qhP1u2)33+xIjfKY0ru7S^rz)wMR?agBTSTTN1=5}!Qi-k;4zuqU@fA#`6_tg(Aq(b)) z>UbBqu$I>u?pl(&_}x-Bke<)3EujVnA3YaDw*mdnD&K%2|=3xmBJ z-sbfDgC}rHJv=~WFM{{AYkdN_2caK$vfq%7xPhBAi)V-Wff#P%Pwo;ne*1^kfM@&6 zhDZL87(@x9$8Oq6En!i39^-|a+->2R<5Tmn?zqui7IGD@@091fdEU;yV4YIG?s?ov zej!X03b!~e^JenH7Cce`)6J-GdmycL6CA6ekF4B`lNMCdly0Gno9acMtWC2+2WQ(F zKH}CTQ?2@vI>&7Rt~_rrO8-gTpTa1g8Ah2zUbMMlpD>EQl{(%G&jou&zJ_X;{#}sn zk+#GCeQcsI1QTQE-^E2XU%>9u5iefj175d3n)IPP&FVv)^l*X8Ble(f$Q zLvlx6UWYrsF`l&IRuUGN6dnO5)lUZP`pN?*{cCG+!Eagi>iYN5v=$A*!S!_9-aA+M;!I=MS`o?6peuXQ$&KBPW`uvwo}pINvT`yNHfxmi$1T=1)MTQ@ z%%tk{xNJmpt%^I~p|EBdQQJ3*DWc$`ts{9v``Gu`7Lu{|)I66{haM<``W3Hzt$K`m zM$aTeE&tVs@lO$BYgi+X@%+K)sk=|Sh}yo~sbAl2_2uZ>g1Vt^E7(2l=$7?!Km8Dw zrYE&I$FypiP^$$toAwLIeR%gr~v zzCRjwP!_lx;#z3Hjl8pNnU%4TB5r=zbw~Y>o6$lphS{XOEPR3Q%xK-p4~^O#`_j+N zXc12>DwTz5;cfV8r!?IFU2%b0H2Z9qQ%%kVDD7XJy535bL2GjJrPdU=c~PaVo7u(< z(!29?t_zX(xk%>bCTX)CCwcUJJKJuE{`|C4@5fT~gE|M^CJZkwD%&>By%l}O3Ku+V z1mj?+HhT--rBdGOrSe8@zqSKE(bfIVv7d}{?cWWzb>m%YGFX@2eC^<^qn)7Z~>bhrvGXytickS0@X z5hAC@p3+5P7F^*azi*!q$+AsH&sP#zT0bn?R(~LL<-2qPdhxetNkKGV#$CV6@twKGjLMx4%=lzG_F!0-VXZpOYn2aO z!g2;S?C9EVBiKLhp{*IyI%kB}8O?R=u4|^|>iU+Ic7#Rt$6?vqIH0*MpLRlM3=Oi~ zQcfP*+S~ov+O?t~j^oW{<{l|>dGF^W($?Es7qN`V;UeiTNRf*|g!T2&MfQxI&wJ+* zi*>C}7G1AteiPIy#pgG)(tE;lD8uJp+dK2xiC576&gC?f`+dS&M+UF45%+7l&1l#Y z(ebvv_s++#XTv8UpF}3QMqGA2iQ&bM?HyV}VrZ}w{;1G8RT;l;z)2)jxm@P3<{o|- z-?)eI9E#qx(etqv@33PD`ng)2%cnHUcK!8AHrBPCld?MZlRgrA1WZdkn z-#0$%*j1N#>FVvKJq}kcc7?HBH_&Y8PYiKrS6$q=7fGZ3u+NsvqHl+0vYgfi+PTxA26jIAO=L>+1lOU4-z*%PW%8TmIp34toIi_H*q=DSZz5BsaShyE zFWAQK@88<{)A9^%*y@9x zq|N9Wb$;`?=0QVHPwT=G z&T!hv8L_MS+ppZ_fW3zM&6(NQu>G9FZ+iSXv%kr2Y{@qxR@Ya_Zzdr`esgB_CtRbY zy7fW6Ftq38UK@QX$*^t#bNLA7qK6de=Rc2J-SWy{Dbns9E^_&WV|pxsLL};y;ZnqZ z(+(Q!{pR6S9^OJ-3E!u;>gtH!F!#FpLn|r5ZMWZ9{41_z)sH>%NmCNPCboP`*TnkX zF4AT+znM|J@vkqiLCJsW*ksp{-B^B?5^URAGii&|+UBo8>zRo{tNhCzO*`(T+l{x& z9J*cRqk&I6tUQOZpB_As__m#=ynK1D zR1o-M^)`ohZThX!>|J>)yOVcqr?M95-i1eZxkgL1-^wnxV8EexBR?+wX0H zIr3h8T5$PDx6yNZZBqtP$h{DJV*%zBfLqz07c1!SHqwP>jw{>#5U$ddvV`Y3?L!`?(KMOL%)}fg8e;p154I< z&+1lR;phh1o?L6{2KHWDLLF}_bpy4Ra6mV(WL+WGz&Pl_oqTq+`-$?;w5ilintJ|C zvk2!6nNsBT(o*WV_B~Oli)`w7jZZW-Oq3$G3Xvn7=IA1ediEVgv20w_beC%$4UhKS zzu_b`zzP=^J-6*UVsCoze`FqQHxM?$U3au1+4pDks@_^5SQ?jh8| z8&nJLPxZpH=W>JJ-m8C4!M;rFsb{pOzV7P;T08g&SHCf9PzV3u9E`vHbSdrLw0%Xl z(OWH(PjHWJS))YOQ*B(;av7De=pjP{?PO4RL?;q zRlP7c2)l2W%+4Xn`-1*}oztSO6?}AV zf3RB(%rkiTG^(Zu&5G{xF}E>oA)DIu+;r11EM;#VycFkx-rq~(=1&5PsPgo6>_2wB zj_X1E&m}Dst9Vi(^LiWgo+;>Y_>NcJ^VYvN$`jXu_ULYDOfDYC_#ycyUx=!Av*Mcn zncF*mqVjCnl;NpIY)d0s(0MqXqKNcx##0nnFsaip*&4s0`}MV01%0^l-T~YIi#5za z?_M*L)+1jCIa!LA0_4W;t-rt%V4Wy|&x7A#3-%W+K|cIztqjw0*W1FL)KYDx}Du0L*cMMUtr~gU(@Cl;=A@ZbA@i^(d zj!t@@b+&fD^2!2a;&aj1t<>1vYH4INGh4JyU1}vswNP_nukEeQK`CCn=MB8aU_p-C ziGe@CI4zB}Z=zjUSO|KbVNP3JX(NCCT0bW&G#6ZroaV}!$GV*PsRe6yb>4IHeq{L8 zsvks3q8sLApiesz>K08Gjr|X!^herxSv&OBmMv;s8mTv%K9TqF_E%`Mzgjn!Uoa`I zUbYGO{*A%hXm-820V{ZCDz z0822F%xHJ%Ia)`#*PL6cyGt8#yIVJrxPsPYG%h7ub~k6(R-;jdf9@e-6dAsR3?Gz< z-W_*qytf?U`VeDqtw9pz?~};^GE--I9p;wFCC{clrh@vwH-64HeJG zKak3Fw@}RQHr|B=KRJgT5$ovF%7@3@=MW&qid-){bXvwVQ2ZOK5#e^@*m(di3ThxBF9B zGVaGi%mbnmxk^sYh@UbJM+9iIU8gNw$5l?oP%2tqUi>?_O56REigk+9)@}uz_HdQp zn|La(@#1fZ*?$WxR`p;6S**b6N2ui75)YN&E{jOz zgxhCOX^C3}mD58lq_We08&nQHSPhlEMLd-%o=S5Up32v5El{Z*ODa7^ag{S%C1_<3 zRHElP{Xq3{%M{Ak&5=lD=@qx*P}w@R0VP_miF#cmaXwrmdse5O8<6L{I-Y5$a`0cs_aFzAJm6x-zC(YJb6t5ugVF$ z_U~@Tt8z6}W$k*d(%OrB%&#w1<M% z0}gY!*H)xb-*-_VeC!VQBB-<_RFg__%}J;%YRC5RMOoupmJ@TA5^sImM@@ky4?||_&r{YRQB$4+76Y2y&Irn z8`y+YT*}-_pt527DpHwYIR%yP+g*Ull*e1CiS2S~fXa#9bx>&?xCq5xI>$X1DvjeK zpweSz4Hf@#yB4UdeY};7{EpL3sQl3TAXG92Ekf~^-s@fom9yj9(DozKEmSXmwc7`k z*2g2rV(&S<4wY-Y>!H#%D3UU^%Dn(8ixW$bN@R|O>gASzvrq|oB7*AW1E*b3N$OJt zmFiH9GPcG&3o2U^gP@XWuc6}i4`_x;-V^m?gA4rlTc}Uf+1sj zk2<{tmBv2JQ1KhuM5*j>UkH`P3G6Fatf;09Dy0E+b6~Oc8M|SzQ%+~0@Vcz8aGz&hs7>B4S`Bk-v+3(jnp1O#@gIVp|T>m9x9vW*K~u*cL8lsiGQm8 z08;tWX*5($^u0iKxm8t!zpwb$pt$<2Lk1VJ(+JcZu z=2A;%s0?X;oKo4;NM-SH9!_QHcaT(~7ojXJ!5)=Rsk^h0GPa`TW~k)0zY3Lzr(2P+ z+Rn}yP-*Gc2$klzNKy&+I0KdAcLsfjw*OGg2&l|y-#ixZq`xU8-TLcxC$sSjs zvOA?2D%xWf8&qCzzhYj4z5bc%e4yk5s06*zRZvJ)g4b2c+`2 zWg=9*Ykw9h5zj?@j#R>(cR}U&t*uaLNQ!&~sZ@H*hsy5M2oyi^Ny}HbSX^m;4Jv-m z2SH_Tyz|>oiNCD{Dz+4jR91V;gUW`q)*qqr3^($C4sE!IS3TcKsU$nU1(o@?HAAH> zwdrN3G# zPQT1m>N`+b++L!x)aLQB#NAG1iI}>GGWLZ>DO8S3s)x#=H*01fmF*qcpwjSCJ(Z=< z`6r}Oa(f$88m4IvLgj0ZD!RN*ZlhFo^Xtp`4me;30S zymFh@Gd6s;qS``Vz`*w{CI*_nTS1?IsK%!&?yPtI_ReZ2e9(cu7;p$*3OH=#@65$3 zND$wKtsC7f$t@o__2^$T3va#H8HTrBoJ3N1@5KM#`y8Tfq6da|A8eeAl?Cxsb!*r= z^q8%jl-{xWI_9F$U1(C8L2oO-pn6c&&mHK&{`d~5m?4IW6#*7P6Yq8GiR$TF={^uG zA$Z`$3LcI%fIUlx)zB2OmC;KXw1UsR#w5FBFJ`)#1vuz0Ww2E9^~*ZE&;g4SVlEn4 zu%F(5AZO}(a{tC;+jS_-;t&2tGj;Js26n#QdhE7sp}0d7^bV$l*jKRl07GG(p!-F4 z-cmb5lS^gMUo0Mr38P#pTQ%V?dL2U%-7?zn6EcHym?C*>OOv-!}1R%H~#j z40}0$7lRfk9&sHm9{e>wm!cIO*^6w^yBJvf0kTDxPCt+>#PhG8of~DcMP%Vwvc+1m z#il~C1?<#PT~%PGv^M*MV;#2RiYERP%;FA%X-V$0$OBlts|ju9l51<|$>6+j)6>4S zUp6em8bb@!ZSx@4g2|P*lh^$4a#$6cLyl+A@@BUl)^{z{3rFPae(iQFCO(ul-xH@lT=S*LrO<4Zn)CQO5AgB@5B6v8SN|B-ZCaEO*$@961* znErmGb>aKo^PxmXJ#FI@ZZ@uiYI~0pu@%!O_SRAzn({0L?Rb07kkFyUc zv(+~!<82F+^3V5=@A?L2eg1v)l&|rWGtZD~j<gieuH8(;N1wk80SN26?**&EnSQFy6ZtU;}D9Gw^}#-IkLg>q1^QEj#v}< zb~B1`8t-=C25fF30~SS*0kIB@nR|11}qV z3Gi+{9|+|0w1Yu3v(0ePEyA&HL*@ziV{usb!eiMt5~O4fS(DewcOG zT$`>!Wu)6dsGNKaw}G0^ZlBO8Ek^-KiP!X{C7_ff1xW2_3`VuIQ*G;2VZ};b9MSh zFH_%4KRXgX1*LY@HRlI{CjB@UhVR1SbCUQcxheRkA7MHEhkFXSD#&#Ny%N|Ch&L1R zUmniOAIZ~i9U`Xl64Al@qxE9!N$}mkmq>g!@SmkTfiF?Y?*yOhCXvhcXRH}~Kky|= zek=HR7b24=`M-hR8GMPHk97TDII0hclHV14ckm@jesA#UIx11}2ZN9A-!h4kAFIbN zQS$EupFRaDQSx(jd5Mx=3O=PPQSuk*@)9NgLGa1W5+(mp@X7ZiO8(Q}_XJ;}As-UZUhr0H5kzqU28kpZrsz7dByv9de+T&FZxW^aLGYwa~AoRDEZ#t zQ~o7NeoyebgD+9?BlUPBO8x|$FH!RE0-wqyQSxVlPx+K6`L*Ep24AA&Zv~(1FH!Q} z0H5qBQSuLhPxUKN@=t(I`H?93KY~womMHmGb-qN&cSd8Ob|;bZQIB{_32#RdrFNuYRpV|egnWrQ9ujqX8T?CorlMkW@+!qOnuR(c- zE>CrDmd9r^c-#`D{Cm1Q`Gi^ifX?r&@NWk_0RIvx9yg?WRF|h~kvX23ps8FErF<@U z)IUfhK1g;C{;50?rF>=8@4%=2=VkoUT#L)29@}*Oc7?wiG?hyt$y0s(q02WZ@)kr&@gG$9o;v@i!f&th zKU4UpKvTLBWxCyT`7?_A_qx19Dc?tzzo^Ln3YvUVBFU3K4ASMPQW136#{~ly%8x`D z|8QO26X(tH?R0sGQa)0b@2JS%44TFj5@mh{>+wjG@r=;<5+#4M&X*|p(cn{mB~kK| z!KXGUQS#IEcqB@G4)}E4lqmUS;M4d=qU1lQ^Ce3Db2?w57 zo?keO2gCA8%d>fCFh35l#ik_K;?v_wi;K!_nML*jd_ASSytptmyR^6fLo7eA;GKsoh=;_R>6jjwplR z&nz7FpJ#^t=b6F(c_!pP&jkPHnb7|{BTD&ixgL^NR+?EDGBCTiu!O!URFIF4@?;j= zP%gW?3ySEoLiofI_hC|^ z&mcu*qD-ljuk?g8V#=>(#ib-CrP(5rVnxvs;ZjnUnhV7Rj44GUDow{HjtUH_1g)h! zp)hlnJv}8cma<-IFN3KRi8S~gvYDM}ifER-oY+xRLuLOWABRRwN!v<`bMrC%r)))M z7UXA%PejGVrKQ_S@!_oeataboo-lk^nE3dYY)^5vvEl<_`8Xe0k&|DH=(3T;;!-4; zUTV)N&72c$o0ym!DGDmX)V@*+?Di7+(9^hz!V+_H<5dXHE3=C#9+)2%$_*MFot|8l zE&SnzwF`OH^eHNx6S&2_)=_inY`MX%DbBnU`(ADP8S#2TnFST_{n7$^ zk+gbt$z0xK!~v$4CyeSCIvD+L@W9|%`Q<_Op@VaV4jvpD7MhurIV?1Ia7b2gNZ62J zAvxJ2LhZphVYykuvIg5j%kwGXJ+N&({RznrS?IorP+h1 z0~nY+Fp>Ag;uxY+p*n`?I9SIaIu6xwxQ-)q#HB-zNXCSm>l_(Zh>R>m#ug%@3mGPb zWyB#e=Fs4Q=*Xz!x}hU0w3lb*;G1gv+N=3%y?p|ltv-G(T`=Ca3}#lJgn%(#;hrNg zU9jH75(2C~f^Z7ZJUvCw*_(?IL5pB6z7WGWatH@3TUmWZdwSx8yOWj>AeB7&f!`H_ zFwX$Z>La;cjwCQl_12R>(8rO4GbQ0mgEzkpT3?J6{GE9^?HrLqJU~NA7~1r}sbP@s zK$-CL9F5>jh}2o+yQ3pg&*4xC)XyN;$#KS+&U6-b5L#XO@btPmco68u6GGr-UMd>O zG-Dh|Qy@(IFqjd;RzmT^c!{yw@UOX!vj6H;Z>pnhKXD>kCy5EWO zS5x@lU>?2)kysB={umpEk(BkwC`0C>2qWAa!(3KVgin$vewGiMY?jv@0+#I}A&T7c zrjd|khnpd@)5{3I?QFbQkHe&dO2Y7ix;fl@=it=D{ zICEYp%0qtR{ID?bWD2hy!sXXc_)%f*M=AWcFgFiBAxH>_c%v{k4?mU2QtjF)1=@jf7Vq_r9sBGt9mT`%;gse&+ImP&!fiQs zqG^2q%1j!gFceRCtNoz3A+!L3*v=Je2U5#k-f~fW`2G z4=g4}I%F|9(qW6qk&akQj`X3$@3lTQt;lYN#xeYV?%`M#UH@7^3=G?c5HuMqkU+%_S zrbE~(Pmjd%jK#a&a<8dw7ntjIp}B7FGuLgkxo#Jk>$b*Rx3%WFU2Lw~C6*wgqL*5F z8N@P6KZB^V^f!q6Ex`t{+%ni89cJi%Y_W@x`rg4!hwDsIii#Em@3M`kN+2)+*!n< zxyE_5>G64HYu*u_BgJ4P29pv`tXy-?EWljAbBsP%*+)c#CN2@Q=fN{l3*usAbkAr_ zG<;96JY%#wm`ehp@|uVP{VZ)3?=;I7rq1q5b7yzb+}WKncXnTyJG-yVon5QBvpa3> z?9P}wyKl^$-C1*I_pQ0JJ7@0fzB6}r=gpnn_vX&-2Xkllqq(!YVD9XG(mOleS6wuB zc9$$RW}O3D($6!&DbUk!Nl%kYdYW9))8vw#BaK$?IofFTo@3iHuVxuL2 zFERUy7+tyPts;QhReL8?p)0=y%-mdE{Xlt%T1OuH%D93=EdP0l72SvMwXxi{ML6CR zrWJk01fM1hGCZD#sT0*)Cs-c`($8!8O>RzJ8NBTzV-D?=E&81rEXsT3h<;}T7WlpF zqE8u3;oMQQRe|yFtZN!4$X~tkc3U~|D@oeH&l+r;xz#${Aa1jY)<2uXZns9zeQj}V?r&uprz+{x04o+00?2;c%PgjF zFbkl(aK10IJ_vF8c}=pe)q~zuPJ_KCIr>iTDWd)Td$!SMdlwjews(=yXM2|zeYSULKO+z2{f%&CFmt88JYXDrikHI=^IwE{IVM{( z^q}{8r>@@fxE_gn&llz3;rld$Ut=-CORPq?PL!3)Kj6&ybp7;x$c2Zw4IUOYplhu6 z8j}qkGudFR$p-5Jnd_g(pVb+T&htB5TxGmM{?At#Qw@4CYqZpk)U`+cm$rGpoy^<2 z7;xK6;X6&?6jM0W6izdR#ae@iXA-pvkHo~(-S4=MH zTQZ8d&VUiEd34tnKc86PBtCcimy>Y56Thp?)c7UKImu`YZPNoVLDIXjPl>(qcN0NzrAoSW%1vpse;K1V# zcXIO=3kj50|G^@DlJ*~BbOruHjjq6dnDE(sh{Auk=n8mvgbTAC)#KHM^LexjzFm*s z8F1TmcL|`Jbfc>awyE(Gf6<8=mjKEbH%U4Z)#K9lzp971QyQ8jif(nYQhqGw#|nO| z^Bf;K%m-*nuB!;>V8s7|4&E_^~rTcHzga{Md~jZ|2AD{20WKJ@~OFKiCboPW8W3Z4D9nGb`N?Y`4)n8BSiI{j6HOC^$>xc|6!S#kF7rfTs(GR? z%{)<uVo8>H_oHN1=J`qex%-;87Qw*FH+j%N_UV%N<;- z)V$nLW?tbaw=Bl}Fm#t*U3i}fgC$$M-7Moxl{3LyIY}0bbt6b2Q7Uw{c=xmTniTxa z3hm6b8DOr>_U78`V6M%Z%(dCkJnakAYx4jSw{*h#rE%%S^_uSI<34Cnd3X?RB?b{m zcjAMF4h$I*lvZ3^5FUhkrP$R_G%IM@ker-hBL)Wt&tRrorqjhOQx+XQJrVbOr>CY( z2n(G)A!hslM9BEI6_!why$wa#c9xpLDvHYTXBF9Vg0QWCRp1a7nqJPLQ$p|`%2FrT z5Tau!8yS!@!AxQZgKm|1|$8Rd4ClvSFX zOR8c^5{t<%%l_XexfGo;Nyb)Tqf1d{L0Ty`10VvXGGcRP*%@xy6F4Clgn)eEG{7~nO6b@((+JidBp`eq!m?BT2`({ zDZ+BUf-Gbr>Y35TgNaFr$n?BLO=?O+97FPwzSB!*z}ax)YvqxWadT2+@1Mca}SMPnEHURYd3K|xGKNrAnJdz9QoBO@+JtBS&Y zKwEjK(NV$MN<~){G8A1^S{0c-H6IV>iC{GLHh{`Z90agC@A$K^b;lcuK= z>&DvsUk=~sr*B&11y48aT4c2KlcA#_`bl#^e{_?|314EMIdy#?5cvDXm0uym5*;Srjj&*4@E%j^*b z*YF7Nc;_igLozqI1K*X(+beH6XsnI`P&f~L3rk8(Wl@jEi$zK00~q=48kO zbw=nS&}n5?6!1-Iyyqw`m|Ij_n4ej|dkM1zXoN8wtv02K{3?wf`93uCCaP7ahmkyK z6@%xn%8e}9*c7hb*c7hO*o+f~5e=SkJ>a|>n;NlhwC(?L_~v4aqv$f7fVLEiZZtZ2 z0tQEP48;-5=%h4eOO0lcDR4zdM)Oe^4Z{!$qr+epMc4iqiiX-BE?lSthtC>y#Cte< zDX$;8!WZX=UN6b(nZlpmlvnlX?HWCA>dK-Ev)7Wq{^Z+Bcsz2 zCdH;l$44g7Hr5c{!~M&N(EmCS_FpG3qWt$Xq7!2yQ}hu7Pl@j`mt!32&2Z_1@gna^ zPfeH_o1S);EjB&f5u0=+IewrhBu~1R!E?Bm!9vI}elWO(zi^(}H;8egXOJHZW^^3F zk3;!!7(WhY$|i9(7MsPR(-Ju*z&XZ7#z)}_%*JAeGRKtEG=3f@Q`1t}Sm1=z@oa3$ z6p$%8+6d!d5pq3S+LXB9^!Ow~V(}2^V#sYTt+eNa1+%fy6nS()jDtBin8iyCJrX82 zvyUw(&cXm#AI;ma$5)1P?3L-*HCF&ZzzQv+qJtOQ%?8LA8tvw(qP^Tv28x)4Rlrw5flz-{!(*4am z>HY@K@M?qSXc7iXHVMu#yFRuhSCp_s8=4rgc_=YhK!|`)0bz`k9g?yvQL(9sjA}WP zw{rGBwXE|?Xr{uWP+A)K7IuvP?-LecsPk{9&>H_s3yt=_PKEsUDZH2BzqCUC`&8I} zpF*#}pR{06X}AW&;evvP42td!akM#_e_|4l!=fhRLSYD?H`JXZDU~$Dyjn~iCR9|> zm^$-S0&yx1&4$_V6a*i=@Ny<4r#N=A z%fj-Z1#NLxy`M`;rgVQ3)8XqTp3x>uOj}BFT5NQhepwPHZfFRU`i-t>dJ>Aw;U>0KnZa&9iRCoJzjFQ>8QXB_1A$ zJ^-7mpBfuCMZ}Ox!#Y-wiNjo}kCVArxVSN4{Xw1^nc_(v-q|FN=U`aNVN2OtBo!Co zF^9XU-%lvQvoR@m7U^C$A+rc|N{bMJ8&y#jmswGuQxnT@(nP0DqS>;L9N)zXQV;=H9 zX$=(|{*VjhGdeN}eFmO@a}X(0^!)HsDO2>ka4I!AR!CCuMx^V}qc%g*qf*AxaBze; z&snejnp_ddPy@UwqB})j64oQ#IYf=y?B0UhH<})Dl zGZ=#F2_dA%Jux*hB~9n@u$gbFs*oY+GWX;Mk(k#NiuA<8dnV67CWlKKgy_+6o^AxA zEW^{yM$k*fMWqo;RXR*0$GbINMX50f$qp0i_D8|==qI9FdW;k_>St(rOr-9wNJ@_t z>4g4|3rdb|9+Qk-DicRf)x^;gk4@8C2?dPi10M=CJ6kALI1FbaN>7ywI-E^6HZQ*^ zEyKBGo^|Io$>=5Jm8_qItAv?d1w@Kbsqv}lv9^$O8Zzl$o6edD4hQT}r{Vd|R@$3*x zQEBdq>4#Jpip8O5On6aPEJE?3V#&ZUAhAtOafBx%$6z9yOfxq?sx3Aat4OwqHXIZ1 zpN#*gR7{T(qsOC&ahT%R&@klH{{Qv%Nn{-E>1EQhXG|M~U^E_O8^N5jvCe3(8h{C# zi?{0pH|B2faPeXOT02X7O9$3b3)DJkowY7nSFNYkOY5W2ekr=iqS4-IKg|#KbF9n* zM@{<+k5_5<;ROCQ+?=6%HsS%#vO&=kQWH`K#n>zJv+>wl8vbz4CT?(5)gY|U7gS)4 z#U$)FnNEWn5e3PaZvrtk-kx8OUO8ks@ULdcKHsdRci61_J~0 zC`)DKg<+w&gM)`vRe^y#>x`Va8V_ijxE9cmA1zW#0h5agy zXt)5D9sf;_o;|-YQ7(-Y%YS2IPW^9eJa?_KF=VJH%Tl>iOd4COd`Rb(73w+3rw4B- zvnWFWp6JfukLU`TMoRjr8;duqB)?e1it1N>g(ljG{mkDPkjQVt(g}SNzC%XP!xVa) zLT4!S-N>x?3H@A2>hb@g(AUUK`A^7qggcn&(+cgQKky^-+f7ODJE^XxSFF%=3cW(1 zS1I&k3cW$0H!Jj3g??F~-%#kC3cXvQn-qG#LLXM>W`+J#p-(9E*9v`Bq3=`ZQiZ;t z)ZbO*ysL7a-aR6IqQ1K-^sNd#RG}*sdZ|KhQ0NAQrgwOl)BjANa}|2BLeslP%=!rm zeV5{o^sX(lynJ9y`tS3KKfkTeM-}>vLjR%Ao=SRs6?%k1i^s$$hoU}uD($VeLf@v) z0~I<r1yA`@rp_eN3;|je&p`TIcmlb-qLcg!j*A@Dl zLjR)B^lm!w6Xk2K(ESv8ltL#fv|XX+D)a)SzH1eFn#+y(8LQA@n6@ zN-^kh%K3>3ouSYL3N3a48}Tht&cCSS|9*vDsnCxp^hSk#Mxn(PLnHki%K1ixKCIB6 zDRiqsf2+_xE3|mF(n!CHQhs^|n)r$Os8h~wQ0NAQ-mB1GDD)+Tc2m;3S)mIRdY(c* zpwLtJ)=Q&&3q>)&5P?;SJUu}we&YP&3cW$0>78(9`K<~~Pe+>1zp2pj!AY5)ca`(+ zEA%0SrgyiQ^QK5Yl`X+_GS)uXW0R1P@ zAE?kn6?(Kn$0>A@LQhiYnF@W6LeEp^B?|q3La$Wlbqf8oLO-t5-(rRSP?7&qp}$w? zOA7s`LR*yhy%jo8p>I{_!3v$I&>0F{s?ehq|Ld>NZz=NcDD+;1KBCYcD|D+uUsCA5 z6xvzIkFP?Dr(MZKg@5)?&hJ#{RE3_U(Dy3zN`>C6(7!757Yf~~(BCQaMTH)xv}e0Q zS1a^-g?>|^KUU}q3hk;tYAfy6Q=yOPkFd(~k;?gj3Vo|WCoA+gg&wBFpRJsy=ZnQp z)bCt{UaZh-6ncw7?@;JQh5lHfTNV08g}$oL?n-&uD|9b~9;nc}722lIc7>j&&<`o} z(+d5qLRI~`l?qMI+?(q+Orh^p=tT;>RG~{1dW1s9 zDRiF>n0}B1ILZ49Ra|->ZLi_2@3(NYuS)m6ibhtv(Q_E)i z(}U4w+OE*W3SFhp^f0wq|1pK$q|h%Y^mc`AROrJB{h30aR_I?8+8NLPn)BCDp>I{_ zkqSLQq0<#wKI$y%qe3}ftI!WCG(GKX&fhkLeovu~DfABt{kuYIcz)L$zo$ZXQ0Sft zJxHNPDRhEDrz$i(g=|hgU!f}$dXYk}Qs}1?xF;m>k93~UxY#i z)9t0uw<)xETZrXYy^0z7H zuPXH43au&n9ts_x&|MX}k3tVp=-~<-rO@LPIz^$UDs+xQ7btYOLd&PVrG4s@^N%R> z28DiBp&JzXZH3;a&>txDhYJ09SnxPDO+hWVk48fYJiyJrfnjN9;qS?y*G_aNKGxv}5uzD~ z6Jp&lju7i>DTG+}nMsKCk=cZZw2~0>w;DpsYt|BCzVS36#>uY`V*I#^5Q!fk#P#_T zLR_clUOhGgu^$Q1ul!Dk_F=_6XbbG_ONjDzA;cr!eF^cAnlM7V(qarDKEytr5N|x7 zd)pQiCYvybv0}m=jNMDvld)xlx8TF=guNKsLf9MmB<#c3+k|}?+fUe!v15d{;yYM` zw=wnu;qCbT7-4_><6fv`0A6!KI1uk0A%qe85C-G>K7=87Hx*$hzW+fO20IcC#`ir4 zhcHI>fGtCjPr_laC*g3$mJ^~L9wS6OY$k-=>7Fjx{cXY#$S)x(?HJ)m=L1kvA+oKL=OSqw7}T{ z2vgygglYJm5aC4Rk8l#|n{YC|yGA$#yUz&kLjDM+!Y>J@F*cuYI_ya}gRwe7IPpV- z>G-Y`VFtbImoOWCN(lRZO$ht{NSFgZCA7m&(RM7k@KeHB@KeG(_$gsN z{FD%lWenln$TwjD{FD&&m`#X!EG9&KEFmn!cZmqmZk{4UyV*vF_VgCvEvRq8BIJ*- zn6XyE62^Wayoa$r2}|*k1{Yu%zU4*;JKsWBj&@F1!PrPbG-A3(ZkfYaDq$64>4bCP zmxS{eTSRy->_<2s_99%s@X1f$LdHHIyia`R$x@B)lM%g$u`da07&}c^%h-2>i;+*l zC5&AmT*~;R!Lkhbbp>6A@AVPh4?7aV{$Yf$KizvHyNxG=-7*Q6Ggd_S0NM{B>{CY= zjql?Tu0XpXd=TxN@F9F>iEt(IO}L7&&j=reUlKlo?=%svX6$dmHFzH*@?d!sb|id^ zv0j9aGZsR)7VVqx3FM1#9lp6pxE|kWB;0`a<`8aV>^{OL8Cya46k|^i*5iALgqzTA z2sbm4!hoe=HqdBOt5wiCi{nh4=H9}=Ry zeMPvJvF{1dK7J(}54(B-_u;*2gzw{h`-J#fz&5&oOp0;dkiI z3D4u3q=et&yQzdfV4OktBl;i03;50(;ZNwt2`{2u6JBC$4PhH&^@Km8e@OQ>85ME{MGT|S1)jNE_@+ZE-K=>D~hlJM{qqT9%-?)ww zUPpcj|3P~v#KzxPLhMVvlhC5E8H5Yz1KljXgnllg6GU?5MHV2?I6ONZ1MaBJ8ZO6NDI)eMg85 zk5>r0X-tF9SZ+qX2)iR+gh9v`VGrbsuqX0Gc#FntguOJjjIg)H9wF=l`w(KV_dH=g zjcq5yM#?6_+mH{!+cowDVSkN%OE^GdKNAkr*x!VMG)8N)mSBx_APmu155iE54IsoI zGMNw`eVa-+L}RqpY8k4rdkBYVYyshLjonX(kH9@j7_PBRgd;Wf65%L~y+wG3#@;8y zt93sn#NhN4VT8uMCydnCKZH@R589k1T4U`AV>H&8FjiwhgmD_{LpWArcM!&FERhf! zGgAo1X>1B12E8*06OnJi3CK5L67o%$jC>Q?kZ-~}k#E8j{G%? z8fztle_kNOp!zBy{M0D`2!EtCNb<+7gy?5N38!dm4B=fG%OaerF-Wo!rS5H{0v2BElHMWy*iN-!4T&l5V!ettxH7`q@#_&mQjH6(u zn}EwTb`#+P$PeKPjnP_`w5I&@_VT3E;cZ91n7ESoD#u5l0L3<%wt+9!OYcw{E z@KKFr5xnv(AZqUb?9#h*K2GE;RcO8K)4b8BH@!7dz|now12{S zjXh1c3H<=!X4s$bY4it#TTl;#&!Ar*d=~XV_#FBN!mS$ngz$Ov6NE2l>}$do(O(d5 z)7X!MFQMNcd|6|^6TX7}gRnuviy?upq8}lAO=G@GU0o;ju19#ESsx73i_7ve^jXgtnL}M=!eyFim2|v=< z4#J}vdxx-DV|xidhJO(r)7VkMPtZ>g9@p5Hgr92cG~s6&J5Ttz#x4=IXzVw_FJMo? z6KJ=Az%MoCNqACY?Fdh4tP|l^8Ve%)8g?XX)!4xQud%avsUiyF@OzG5*~dyja1pp` z)8L{-MM)4M5d=jBt;*56xTH6^je_7(qKyl2FVeofg#Uos7VYbX+P9_FwK98tRb-bIq&(+FlX-hm>D?d6<;uKh%bX(5F4~h@l`N=yV8!T*Tr?(p}0Xi6gTPL;_F~@ zBJTqW;+tSg;#=x3zM~(B?->Wh55b;^A89Y*7UPfjDcGL)Ihelj#BH`Y7I(OAahLyH z{1WWE_%+y&*bH`4+~fMhZ^3Hfcm6-|2mM^!XPg#)274?X(B4IUt73?@Ch}nLTx^Nf z5L=^diEYvL#rA03y|5!%pGdtE5&fWeB-#zJGuj=IHCE%|v1l{m@n{dl6VVn$K5i_F zIQe`cvNG$bcrL~q%r`>I{duvyM7^3$Wt9gm#ygrNY?4wO zTdlDcJ*nN#D#_5b;nVE&FnZ6W}cJ75@&W=+}3dpP81eji~Em>b8WaWBQ2-J&f}Mv=0v|Q)G(xOE=6ode%in znRHi*v=_~zD+kY=#K?V~^fKvgc?P=fb}6mm2D)yZc|Evp7DLHwO~&>9zQgl$QK0(j GUjG969aZrF diff --git a/STM32/MDK-ARM/WOLF-Lite/matrixfunctions.d b/STM32/MDK-ARM/WOLF-Lite/matrixfunctions.d deleted file mode 100644 index 48034dc..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/matrixfunctions.d +++ /dev/null @@ -1,37 +0,0 @@ -wolf-lite/matrixfunctions.o: \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\MatrixFunctions.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_add_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \ - ..\Drivers\CMSIS\Include\cmsis_armclang.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_add_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_add_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_cmplx_mult_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_cmplx_mult_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_cmplx_mult_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_init_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_init_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_init_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_inverse_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_inverse_f64.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_mult_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_mult_fast_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_mult_fast_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_mult_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_mult_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_scale_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_scale_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_scale_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_sub_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_sub_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_sub_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_trans_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_trans_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\MatrixFunctions\arm_mat_trans_q31.c diff --git a/STM32/MDK-ARM/WOLF-Lite/matrixfunctions.o b/STM32/MDK-ARM/WOLF-Lite/matrixfunctions.o deleted file mode 100644 index c6f547f0de72a8d4546d1edc66cb5eead44708c1..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 334768 zcmce<4P2B}`agURGt3M)FoU4-&H#$!Dh@9yD##3jf(3;ywOW?LYiNigqM}*OFeqr4 zW4eW9?O@bWxw}+u>z2Da1E^F~W4T2xTZ58|t+k_B>$YwEzt_2En0wUU@A*8R=ktI3 zzp%pI~Eo%5{X2d_m7B6<$O;Z*A*2=MS)oikjjPlm2zCZ-u~IF z2mnxCuFy;_S^1~xb^kebS4{up0#QaFm#E;l6upQW7m&yW05cJPW#;7lx_-%GsVZac z6QX9tlt6h@yyUTRQ|!Wk{3pickEk*tvgMI?1ZUK@78&~zlMBYTw5fDY1XT9RUyV@f z7qzP27G=~oM@~zfW=-GTy=uSubU*0sR&(5)_%|b|KS*7qeEqS%F4C(t>ik4*XTDhx zk$uFeSC>C3ZBH8ce*dDUO^eizcD;W_C0C~|k(=^6Y$szyk);=INvz{75OdiI z6FHTE-IkWAwJe$yJrHKt6OHPTN=7s8gO4@+1e`GYHOLT zku}y>Hp^w(v@&~ak2&sQM=aMA`;H^-GhO_-#Mr@(kO5KrIa^$hIo>HU5!tP&vaQ{W zLQ%^`qipuAUYgK^5p1-8^5z=xMpMh|niiu?)`0tV@m%i1j@WlPVh7c6xV|G&_b0|( zOpNU_<1u73G4?}q+&Kd=GgubSZ3Kn(mU>RU(b{6HLA&C{#+C-7Y#Z3%hKA#Yh~c<* z%Hl6}gt&C^@07)USQZaD#CBqAzev+!G!W0G8q{i;ZD^^_rZ=-8LZob4qik!9<>4Y( zJ$U0>oEikrspEzmv7jap+$P)FMLcY2Y?)o!vaJS66K|8tHh@~YPj5YOpLK)`CB_bw z#hu%d;O-w0K})}-y(s+MgU*+yuF8j?MdD&v$~Q+$su{{4>F(TzpJ0Yg;U=KWGT)FN=4XLq3LFiJ_NG zAs=&bUz=k;bi|_wGJe?+*9Rttial>< z09!~;N9;v&$T@B70HX}kg9uo4nL@_y&kOEH1osyO_oIUQF9rADc8t!~?0%#ycF-1b zN*8|>oD9d|zP~J#$eLn5GsnY=J~I=596%jA(h+xAq`cvg!~vdJnOBrsAd#>lDS?rK zyaOF^gOC)p9HGRmJ@!jDIrPI*^Qx3@6tzASDbJybEo5*wt`8M$ahIW9TL|p(j)U04 zJ^cSO2Vq=^v8Qygqlt76&mol>;;EJ)-kBIn(%DJ#V>hHa_U0nv9JP2$@eI?wIn?vg z%*^M-kHU^3^_LFnvjZKmw{rC^^thJU?JZk0%&!bBTM%Kmfy7w!=yybeS~+K0r`g4= zFs-%dU4CMB6#-gQqBtDNO}!z4QAmIR|^Qo#Oc;`k4OS`DZQjt@^oEeT-E< zZ%{uE_s1MLeU==jKBirqb4)kCS)6MV8$yTeq2H8-a=OqjT%rF|E5A~OUUo_^a>{ki z&|$4|l(Q4roP(B}K}MmockXd<%&lH((Zq4Ep$Fyp$INr>`j}&S@G}qh1Lj!nQfcTH zrJ=P}x_-f_My#RVs6t`nFPzeAs?baJ&~+MO=CVd5+EaYjxBg6piIUmHR}O(3|_l5>`Ln14ba)1}Wn2BnE|n=PO- zcfhB&;n06NrC+N;zt$+%8A9DoDHynB3gvS9^|@dG3W3^5Y0MU*`nfR8fS(Dp%B!VH zD1_L+y(-krDKEJ~|9&H>0da0~Z}w3=DgOji0b7%{V_NmO?UuRF3^im~a8PWLaXRI& zTKV_V&}&ZV1y1=bNA7_t;svm~Q>1n3v-^AJ9u()oGzVcLJ-CHX-BQ&0%Anj*8Vc*z zc1uSzO1EA55ad$HHsi(=`Y&zhC4&-0knvk*=y@>d4jqA6yQSX&rj~ufQ7PSPWFx5M zp`e6f8?>Pt3=H6u4wr_0W0$T|hhAiqVR{e&t46%^-fs}x*9-193ho;O_nQRw;C778 z7IuGC6Iu&xsFnZbl-JyJKcbNlS#9V)wMux=KehCd96%L%)fxJoNp`~{i32>dGOwsc zfkeWJqy$C^@?La?Ugm2VWW=o@^jkQ&SEcK`D&-qRtxG1^S5UrFdfAQMA%!8ngLa!Q&9!v@Tu6`ChP9HPSJHMUzRd+8%815qC3Hl~it9hJ4 zRRR}en>`wJmF~qZ85hfq*UI{`(Iw%5=$qa81;@d?zjg;qIirI>jEk|LJ+f=|08r&&KA?b>_icUtBIG>N+VmC7a+)-=*{sn!8Nt=TSQ~V2JVMBr5mx- zNCAWmAD$@MhjAynt#=+GIRYS5;fcfM?6a1+?a&O_cOJqc*T|`^p#vI2ztAeth25n} zJGlp{xG~ z(D{yvl%u7}uhhgOdi@s^;#fBk1S`IS_@bHC7tPRBG4!CDoHtNF!_5T{0jr$gUM09! z3+^?7d#&KURB$h(X=Lx!$_vz~L$6wuZmsg4xYvemWMoI&q1WI=2*T90X#nzsrW+o; z{aqNQ#^SSIMWzILgK%dE{mM?U1+_LaZZDQ9;p85bI=w388%2xO&_*Y!NY~jhm+(d{ zJhC8G2b#Et|GPuK#to+&)`XtdDlg$4p2Jl74XgEyDRh`gM}cVUhN+I;@FNRq@uAGa zFbh-Om;6`*&iPOVJ90~u7^8;`G~Xa4`1@x;E}{em&=VHsS7HqMn7VjpM|Npakf}=C zAvZ!A+(?W2wj})oE)}UslVlAuSWQ2UQX|$1O&l8iqVSe2+G= zwLHH}E?!bBe>_O?MC!YeB$c#0AWW`L@6a@x*QBV-nyhfSKC(5)oLSartI1cF$X^T+ z%}VS_k&>5Udf>?q zR)4##BxFb6u(7;7{?YW$FKGTV;o$FAJKc@BI|5&L@00GiC3mi#^~rmI4~IPS(a5!h zhx1o|wB`N zb6ULPaLut>-+4G`DF;A+7H_x!muT1Wb%v-;dL=R84JWaS6)!DvJPlG3A*nC&mx)9g zLa;wXD)wk=1gM)h6<(RRMpNR1JZVgS6)gq}Tm0|I0x4jDoWuka533Ys+$iJj!dd%CXcgMva8+tL-`qDXDG;}6 zw87yTZzwvMI@?A+;>Od}ANbQSh`=hM^cq3@^`(K;} zOzsCuyGj2quYL}VYN0Nl(%vnIzm3xhmG<&;y9Z6stw;d-#a|2Rz+0)1w=dTp&gKG8 z)$<1?xceWao1iPw1^eUX#>YtZ&HAqd- zUzAE0mIfqqC;y>b_S`QsGPx-qBrJR1m0pz>zpVLp87JS1UuNB(9yo29{&<)vDYZF@ z8{ftaa8A@!WpX3!BDGV_?X2dC=3qVPRrJ><(N?D16x%l(TOA?FZPjNt_qOG;<%W&z z8x)8*{A?*xkssefD-^NSnm`7##9(HRYyl(UKw}$LY95x$uEkUd-@n?q|r zvN+*Bhj$AZF02PLbx4wc2e z!!I_KNk6vIP|Xk6NcOUkJg_OnOf>pK)BLmI`Q5#W(`#CcE3lqrX~4RVp`{_RrNPz` z_5L#D*KE3jG57-JOl5iPiUh-Dyi{E#blWH|0iQG9jelI(6a^B5?d>=6W6 zaigrUeM#{(jDpZW{90PQLIRI`{!t|AU^p?(T_#<=(~^y8XtzEW3i+WYXGA~06_34b zCxanr%NFp1>^fCe-)>&TPuh@?expfte5i{b8J>i-gU`S~QPliyOYSjC43e%kOd@-8 zjyouMJ1fo^C_1(Qt850@2DYda_19CF&?~=k@wuq-3$+qc_Bu?>H8fH9#wESvqV(pv zMn8NGD|a2SZV}_WY|+_Id$VDz?%tV5(J=vP)z5>}PW^&`>=Rq9Em$wx5-H=hsu2?4 zXZ7(#YJICBDyTlANS$nM+rR%zflZZKnUkK8|F|{r`9bA~{a5H9mr6f%OrP$&d*xlr zUv-!?CznJNE{oMC-?n%1ZJ(I43-?DX)TtyLky3ZTxXoejX*wN-?2`*s0Zr=DiSj0m z#9@#(sUwR@)qSNAyIzeg&X-$su{uqp1hsS#y|#!&XhT15=G_~s>Nn2Zv}x8_<4m2= zSWwnbHnVtrMOp1kUH!V5rDYWlZJeoFS~62#vTX6PnX}U7q-i|&1M9!6tgqX+>W1gE3jMrQ#cLX>RxMjzl9{opq~MMjps8QK&bYp=s;*(4 z8c%9^TvJazNE6}4q`5328*RarS_Zk9%K|Nm#67uQwPZmO(W z1=_3El{M6))ofhzU|m(Ex}v769*nFm(Je1pz3gtie&MoZW6!#!g?E=MymR^Lg-e&- zwRG%t@xnU`f%bp7Z1KGdSC=ea215FxG3cGTI~U)%XzX#>;yVlPF4p--Enm27`RYZw z#l?3oU3f>;n%dQyXRQL7{_2Z$cNVV7UsJa#Ep62r5C0n*D%aFCq}4#Tn{bnvvASVX zoktWrZ%CiDx&i;D&tBcIv1WaJ!-mFXN! z*4MAATVq_`0MBDKU$%x2YW+<8&wi#}p0CrD)ooQ9*Mo_w`r6vf>(Xl1uQ#S`s;ggL zTbow7W}{aWIFFwI(716)`mFk@vdY!CZ_HS|2BO!lH>Rhh%`B*2vzdAt-;X>#II{ve z+qfFqU|yb9v)-6dU$t>lZNrv&bQd9&O-y??HJO4q1CFg zTKuzkUD<E-Da>6Pgf>ucAntzW+d|3WcknsQBrrc%SSxZFc2e_0)wqYi4{w61O= zm7yj4x13sBoS3D(d&J~%x zq%D4Xd%Rtw4hZKo2_CBMR~S|M4xWHwIfn_6cmgNl>^U;6G%z62o-GjtOEsZ7Q%`!E zUS%^yTu@0;C!eO*w`b7n*XXr9D>HU(NBDe4c7kJ95NKU3%B3|*q3R8fVB_Ns)L{^7H6i9DBTrnat@Ne;SrsTNF=zYoosTz?K zS9?K-8~=0$Vphd*-^6lJD{Nc@-Wu+%cvWV&*2dt9QIX3_ zb;Tzo11dNFq+c?zreoqd(WFepkGB8zD#S&#KWTbZ<70~kG|dH*#%C%Lhj;cV^hMi~ z()F6+v)shUAxV&^jENaO%QQhcC;c}8s&Hx%!nEVP=>gU}&+uiQ;qX~@4Ar(t1}YlR zjs&iDFI+uPAUCaMvRFluSmJ)KW5o|5E}B3fmQ`*HcTMXnsL|pX#m<9{lIB-b;T<9o zyoPjO6Gd_o(&2-~@LY+N6vcr@CA?&fiC82m)yDAi)B2j}1pot@)>AcyPc@j+^;%h` zVxuiOIlWi&>r;^TtYd9kpQ0tU`&3c4bn&r8iw88v7d>>aPhoioukav!$l9k6M<1n! z{#~_geGNNBfkSr{|2)!a|1Uj+4Ba)L8gw)?r%U_pDt<7sLfa;~68=%5bh5D&2uS!h zN6FADTtB5H#A!AD>5icXa7xxbA++{oDYJH_YU`PpKMu!ah6T7|NDr-!66Y&w(gSLQ z&(bcF&RO!?rs8I)M9Zk|jT&nHV{pd)i3X0ekf|6>jK7fntRb>@N>8!NQF8h4y%J7i z;U=w34^QO&E>b)t&+L%RIkVA-uApez+Id*R|glaJb1FWnd_EmRwX$l@k5eJ zFD)dBrhD!5{sjL%b*SKyV<*S;P6;R(b(9>AM}OAzy5g@SCS)p(I-p|MsKXeZ_%m)6 z@eHO-l!u&^(F1QW(<5GsksiL~^`IztVCeKCuG460gt`#9#E;X?`nkjpuatURg7-3x z_cBn09whz2v(6-V_3$4@!jI{_R%UIJycO2&z3;@y`^XVnxsSq5MXK}Co!)JZY!yY@MA5D0NE`0Wk;WRe^zh2|oh$oyu4>;Ib6k{q+#KWi zPc+vQ_;=}xoYIChNL%QahG1-@;L&Xlu5(HG|DMcsTjq6H=9!ve+M9A)n~S=ea=R>d zIL#-|m}8uxxlU2s*nh?bL-31s<(DAE1=p#^Ck>BpuW{O&y=N*^C9cKVW>Sws`vfS1lKvmkCZo|v=fHYTAn+Lmye;CRY{|* z(amqyxe-<_jMo)@tS)YRex{U*d`dpAM_P71=0(J+;|0$Bv*iaq*MxA~sVPm5AJ?^; zTGk-;q=hw%g!B~Tyc58gHte<~8&xS6mSDuACU#piiTh4qsMaT?ROF{rm8U$|l(ObX z$~@&{YhuB!w&tt*n&L#uAB(swx7BwV3b`pMf%5~})e&1Yy3}iirqas}NttnHP!BgQ zyjeFfMP4$uSQWjoU9I?sMi+UmtVv_gDM^`T zF96Y?FbhpQ1i;4t{1$*p00sc)0>Bglz#LGhLld6^@Mi#e0I;405BoqN9!=x|uniQx z1HhfGt2s{;0I&g2nh@T6YC(#e+X29j0Q?9FKN5w0P}m>TV@Lvph2Y^a045!R9x}i~ zWs~MC0NntXlEa(J3IW&$9ufd3%h1*I0bqR_QXB~Cv8I4R2>{Q4!W;mMpfExd0O$t5 zG!+!?N|A^B68$AvD&v|AD%nQY1*|-apTR{rh1Q@4A|p6kGN&mwa%#DBgGQE%b?dyN z|3+CUZO`>Ki+p7{RMsUda|VfjhaHKE((BS+C39Mbp*V7^txZm9tM_SKlv8o>w&e`c z|3$hUb$+N=>Ih-Le3U0eLQs3fTw+$!7$FI)}$y*!_p+q5@kus{Y{+6v~rgrs9Le-TB!vOcShP> zp>DuS_dQt1nVL-P8ZM)zuT9qx-~TwLovJ9e$sR^qU4@aCeK^5i<>9>qKk0=($OU%*-tB=i>Xz{YckpoW ztAH=u7CSG1>(Y(~bVwHCmUC^9v7Ed~7u%hG7@AS;AOl|i0k=SKCa@LwqA61vxT7*B zV9aj6R{b4--d|FMJY2kX(|CNu8N7+ew0(~<_cyo*e8d^Nk;Uf|>(ofX*5(Fq zkqTEH(fF8XH2sUw(BQqdEC1fa%=9wVUW3b+nQFD$dpP3s>NPpnfT`N6P|LyAzxaB& zXomwuwds~5E!oFG+zQP&xYTYT-{v%?P&e@{{wpqCzMYBS zd*N;$-v@cV1EL0dh+?r%cHPX&B)jS#)UJ?*B1YAs;fPEQ#JpeKDN2JBQ-%7z-t!za zdW^WY3-ujG`abN@cl0RQ+>m5&`XuH;w^N<7B(eHm)Ur_G4N3Ry!@Na&{R6c31nk>j zwn_g8tsRzs=DrD z)OS(4eJl2!#WGnIKM8xB#iaE6xI4R=U zjtE4K*A*?{2(IEO;*}BBD=F_E&k;|ttc-X(Z0enVz^(Y+h&@ZD-uWhOLv9Rr9{>4XJd7RhVFq4fE%KL%fdS2*TevY1TO?STsG&puXkcEz8h29zVp6qN%PQ(sw}=KkOBfAdH2ANRB+{Rt+P}rc>tLE%ty&lj ztV8hpB}w-PY@BzD#MAy07x87P@3FC`PZuz^n*47HB7UxEYG(TWmc;EBipx6VL4j-T z=3O)E2S!8KrN(zt?V<}SxNtAs{Ix&0%gZCWl!ZpZ|Nb(v)@nI zw+~S398Imn#DZVz3-|EKUOswL9O#z(n$U^3+*`zf%6~8#0%g0$zd_1sqv2mg zByLppep0q~h;rOb+p*Lnm8#v1-2p zOKpBW)ZfR%V$(w_>Wy_7=0j~hA3E8}`_OhbqahHhH2e=FRws#Nyp>q#9v>>>z}3Rt z!mvDhKN(W|E-uPZT)c+l!}1h9EUTnf(WbxeyPAJPb!Jc<3aT==1Q-opp zvV=OSawgF@CZI7EmJd=`b_VuD4Pl{hiYP zkPixH9_opICS$qCSX%rY^FcQA*zcKntaFm3_6TPlqLn6+)5;{KG0acmg?oH6k50bZ z=Es_#wf(}Ghht?c(dZ@`dh!%6jj@@>9-4W0Cs+@jp$@8lpMcZ>ykf#4jJAIdxR=p( zG5&0X0Kv@ixQzV6x95=^l%0DW!naDzwPDB}7BdrntBn zqcLU?&s@+dn}T_g-(1i&@e8sDo4wMkk+MNQ_qWQXQXRi;Yv1_?w4$t{rsV#^h0hS4 zDPVp=7YyMy1$3;kks*vU_0)qn%S61B5wF*?YW`k-KdZ)OtQJo-PB`=HN$6%hML6>_ zOkxv)qvSItKlyIq?9aPziuGGxWvcxvsw?01Od7qTlXt@R0#);$@NHwwhVKseX* z`uGbf^s5_ue04XeMMZN)Z9i~@(R2YHSeU_&$V|f zVSRvc2aU10|NZKtjHF+JW7@??vMFnk(9qtPezb|$@b~B=SC~itjhHzrls#QA&rW`V zpYsOKg(cgB^X$^-E98+QWHIA=p7jZDXz@6*Y8+Mbb7TWw&CLw$spjX%s&VtFj-Mmj zcAX$DA0d_12<5v)E|Wjw`c00!)=3s~lg0FN{s%{9)%+d#Ay!RIG2G&*=I_WeOeb$l zVO-H{rqxElg{IytU*x09Eha5#ZH$00@2cgeS=4ARrQB@Y$E#P*jKw*w0y^>!*)jhj zbJ(a|tzWy|>B_bImE?+kQSF$S1y453uEr=pUHpIau0NqYg}YG#l*|a!6&D3KtoQzz|zx&^XiEgF9km7BAA7Zl&iY z%4Xs$uc%xbO8b2)VD^~*k}CR06)vf7EkU&2O|5ctvBU_Lz)rkMTClKLbsdtJRmDTQ z-j9sXJb}dyZ`yM06qEF~0Op+-lQcxPj#hW}Cx>H7Hof-A$+J6r0=syDog}ad0&^jh$y_hG z=F5uRF>0#CAZo9BSSfMYxvw@4%NTN6#<*Veov(^2o=s!V64fz}!Wdjg+5gUcIjH58 za`*}{+{+YLM58RzjXus}_imz)V!_JXBze$!yj;-pgU>=%SwCthkK>Xz=(W)O>gj(Z zUC1K^$b&rcO+pU&kg0znWb3y+6&T`~*4(55lg!hZy&lmndwZ!W`w{$B>5+D^IFEgZ` zr6rI0koy_3Tp++PUhlM;ocDuQ0Iw&0^{TJEjRIXBldRrs${%OR87Bwc1DrP4E_6 z6*#QDg>io#$hh}|_QOPkF>6T`c$T|^MOa$MQyvj$!!BbHe}w3@_V@w` zm+%t3I*7|p>fmrGEVbPcP19ImI(CKkS4Q=DkEOUjG?Q~C^SPLP(r?g}{By_w-c?!t zb`UFnY^WF+&(>USP9U!>tR-^7L~O8yIWBRYXY&$(<{;^y^^Pf66g(nzcrqGViFjHP6DUZy9n5KRwb!zrhFy@?CoZb1XaR z|K##I!&nC6Sv$2!9|b>t3nteJnW<^wmv_Ri-3^|!tE(g7#?=zX4(6>aRnt%R6Y&<) z=aaEBDS#TZ(4(BDgH9H%K-yzQ$)$z-sgmbV zbFmk^&G9RU{BnN4`Y!Od#E(BsayC^^f8k%j$KOKFlH;{S4}%TAWtYL$_fc0FJkQ4; zziKgsU$j%le+B*y3;8o9&!gtDF8MX*Sz~N!JOTdvw;Qa<^Ql5Mt-<+bzK4DMo%Hb6 z*0LRJOch2WchLvLpLPC?{CTG6rueJmw?h7$$+^^A@jv~V>-6Yvn-l!)_tT#{c>z@@ zz2aZN$DemMq4V@3V8buVYz<<|3=?TR%P)nvjr3<*XP3!QGW|or21FZQLxW&H6|Pj&=7Q1LDo_}f>jYypFV8LLFKwNY=lX1$?U z&BffeYo5Ha;$4%>jF;Ed*1a=&6C|&_TBX{lo&AR5MOFW)Cle{GJXAp7X9?^I zCvYDFuOzVcF#^{Tcz6bZ`xg=$ZxPr!iSbNeaSeghdkOq2MtK&2k1rz1g9I*(A+Rx- z1gs0f@MEMZ{J7*GDn?l-no4}2S2%HfP%8qIRj}#O5IRbOD34Dsc zmQM*>`V4{B5m;15U}uS%9V(==L1k)=%f{i$aLFC)j1fM~!|`W~LabG3Gg{B%`zB`k zj)vW&z{fIh#b+{b9kGv!w;I@g?95R+>Lt;cqfp6W+~UlU`v2DN9sJH5;pDgfnIpVd zy?TkRpdfvAcyqPlp2daFjL4lS$)fnFA_GU~fQN#(foTEpYYrUBuAZRVckJ!E6tC~G z7oM9uBlua__{^j+zk0!bI&t9_k$m-DJ)9Iwf%8+w?lebsU=_Gq{turNc zKYuzYevM`J;@!t^I%!psyEW$6?%O*9=>U|u@H1Pm({J6K;@)|o%)w($0Xv=%jszhQ;6`r?3 zc{qfQM}>%=8`=F_t$cH*^N6jG`^%)j+<>mLsiR@qPZwd2K1F_ee6cDbw_Tn3utrz2 zxva_Q?vZp{*%_3t4-IdY#-+&j&MQ_uy`^29^$h@*0T}I-bcEq})5h`Pk^&rW>c72M zWj)xgR;S@$(7okNPJ5rEWB2Z$fF=McQ*bD!q*%p0<2#pA&CwLRmuC1%+TLM{z;;2t zDE$djsJwnkVoWS0_RXyg(jTd;Pgv#*?;SQ{K5rf$k5zo>JZvE+tGdn^vXWRr6tM|z%@#^ZRJHh1_h429CYI;!+06Vh^|5~;i%N-I5G1*elatGQyTf(wqW=;0#MvpJQ-lHF)IgE|Ryn8)mp(-gn#l#S!!MW*K> zZJF6PbyJ@5Ts(dd8Gt(dwlK^@i`AH8<)#;{wP9TWhi_pVF3_Bgz2G@25zVYJH((8M zAVYFHnjL74#)xyR&+t1lWt3T0@WhVcXr+7G(^G2#E`@QUyG0gDHa#UNwn?~Dc`Gz> z+$^`_T#s${D}|ilNnL4El%*--gB+9@{LFDQR~;pvg0`#AyTUka;1edCGnzhVN24B_ zXd~)LH$^m|Ghf8TYm+pW_;7maK+-p1W6F%&8B5CikSeePSzcuh?=mP!9PMLolQ_ev z5GPHRyS`L-G6M6`B(3X^xW-wFM(K46XqNPFBmbUnm1fCyBo^RTjPGuuR zR7GoYWz;J)?I>FtqJZU`pBhvL(#Hsvmk~i3BY5ftf~+gG2GUwY!c`)eE$m8R7BQ#O zv|3iEQT`Kep;rkCALML78Po(bG~YzaBUHvW;WLG&dB3tJHjH8pxoupDH3;*0NfRw3 zyfnutImCUcZa8IY%xzBThp7^4cKXz4nn)Av9Yw^T!>7h0#irue`EZE8X~L@lN}Y6; z&9mHKo^hDKCX!Bk4i~mTH&Aeh%T;G@L?=HOmw`H!&VFAj+@y~I+)+anbzkAa8>>gq zO7#t`q_G}jXRZ8otd(Zg$`(&6)nly~C|;T-og-ymCx>&4wbD0IYznhjL{Pc-0RtVVKwwq|aH+%y@-i8mDK;l)VUI=s7`|q7Q3E+H_a8$xFb=9Y(m4ZU4XJbi(I*Z6sC#L>$(+#S`}Cs&-MZ zU!HXpubV~22Q{G-NETi6jf>XM&5%6r1dU1E)aBWk22}42(Pgs*^ymY$fNp7`1@yI8 z8RS-~v&J=7o1H70D$xzQYThW&NvBzVmS{BxS1wjn+5)1+OQSqvR%^=wlCuuu>yAiV z#>OnKN*dXQZ`mg(YGK10tRAll*aQVUa6xfaG8W%P%*-<$AaX^xcq6N+*+f`9VKZt3 zdktFUBP7{1lFUFCuVlIE2s%e`LK@o@G`5LwR{u*tlz*ZsY{?D?j>C8vB|@@z;#4%S zl};<`Hq8HA@jsCNIlo1uLXRFW*m((;w) zeNSUD_y&h{nT6}J*7|l2{^O)^NPip`<*#uWn^g^|2E;UhcqtuzH;|FK7>#x=8irq$ zik&C5lLGFSG>Dw1bqQ~iHwspI{>z`^V-$ZYphz1CXJW*dYiSkq6Q=tl2INT#DX*Pu zL_QRbyjB#9yjDYb?QzO$YedLtPEcN3uCk*v;zaJJ8Lp<^PrXlCZ*^9_Ygan9*GA^ZrlB-5~UH06Bk5w_CrYHRL=H|HXtg$vcIV2jaGM<_N|4@`?%<|B;+~u zVZ+I$3Hn3G1&})>EIx21_4}-(cO>2A+5@@6zF%m>FScYw?fwr-Dcr5mok5F-TKELz zV|B^x0eS2f8aX}EHTC2F>q$^%7lj`jRq{E|aoy9M1^59bZtUA0D8O(8HF!jh_F84?r!#jhTJ{lJ;IXwx0nE)68Z~@Q3DlKnjZ-vQtT;0l`f1_0UQ6#1P+ps*i+$;hG>A&WYQ zEGm6>P!rOuW+~Dv)9vK1-euEjEz65|y15mN^sn z9BRY(NJ=~&$5`aup|d$!sg>DOhiy0`eo(m8?oh}hTUp+9Q=Kl+ZmKhf>agu%U!C2N zR@B))e$tKYbn951Y^rmeqNT4+NEFpc49Af@J}Ihnwnka;iuevEvMWmNeoJuDTm zW1_t)rj?~4hxk+^U^0fC%KQ-ICEr)HpEZOak2%!nO^Vc(MTm}>X3D5em+~1^W*D6# zi;(mo^BmGjXajbjj}Dc;t5$=P^zgMfZw;LV%4j>8+746XM5Qu48?&Z zUC8F3u5+niywt&Tm_M(ZkF5md? zmN9*@37v|@EAL1$X+(sL_9~iJc#UT2VXm>3l-ZB5%BxK8>{FC_nauB^)XPFMaKZUt zQR)bl*>7DoN@Y&JGW=peMk+dda)eZ5h=7zEChnv?>V*enfjY(V?1xGRMd_u(13Hnc zPrLJV>CQNn*Og!Brmeu49(OGbo<~v)(4^PM#P%%*lm^eGvc6lFF<0sr zmN{d3T(kpyUxQ%J^hFafAbyq@$QCf5EvSGwYR%X+y|znZ!p?)!A!anM9?lIs9i5VP5Yp=4#C#v(SXN+Q*I$;T@$)Px5&&k{<=?o z1BZ^uUy4n(oqpOm%Cuv7j_NPK#cLjIA!(-L`NEee!j0|S9p|;v(@R^BtAxJu8t*$@#8M?L{@O9}+HoJJU9U+(-_ed^ zj>~>=U>IW%b9ts6(Ilbol=4_NbL26sA!AHCx*1G6-K3q~Pl*+uc8p`%X&cjyf!7W* zjY&ul+F3>$pGKi}hIs8fPrlQ6EA7}lzOxWLYp0)fj`O}l+u_Q!H)*Gy*ABkigV^q; z9UCw4VVVKk#~Ac#C(%zk-8`24(#ko8HRO+J$C1XgqoOTuJxhUo+Sxp&9pw6+o@M8? z!%X865`=bE#zQ;xLhW4Rweu2b=fthF(@)wltzy47Su*K8cvUNZILADb@ns^u__LF6 zE)KC@F-P(SjS44M79)jwU9l((jXt>KI%SR7m$e!kcJpM7kIz#Tv8*xsnzr<$FD$A< z=QDmte_EC)3d>kHWxt2Ixr})w%RIbg#!BZnWTGPr1(~QOl;PNKDSW>UVwtETjWW?h zJ`)w!BXI4kp-fafp=6Wlly>LF*j9`KIkF1Ka#|GS9Rx<%?R zqCubyL2N^GwZWIMb@Pq8r~xNq%wruk2yBQh_c&~cc=F5tcl)N=O6;48 zT5F@ev%htK_D$8VVc(Q1cti1m%GCd)Xu^s4pJLyXOS*5@&*cEC#}Rm~17Lj`fn5yz zX9DYD3EZv+Sh~sDU-_`xa;ISN2UiqpS9=toM86V5Xw={;Nt--M-6UfbAGo$^nX1^ zt-wc}8dtBwx0L)p1K|Ij<6i0P#rFU-2Y#vev3$Ci6Kf?YoOU^9xF>A1^^mK|I54d# zF8#n61^XmGb^X)2^jGBD`ig5au~%o_*S>hGl(y8uGUxt9y)wP|?Jny(!TH6-Uo5Yy zIH^hLdScqoq!~+oara8y!JwBr-&v=a#>I-**8+;7+k;j}w}-K>0))-d9OzbD&*y*r z|BxZ2JnGs>{zC!Bh~X| zg3hKx-BqQYhL!|ou6ftyY3Q}!>JOjPc^jHA&^g2Mp{JprwJ*L;`husSpKHQ@uke1) z;J1d9x~PL}XHZvYa1;+^q+$nqd-cG4$AO$Pv_`Pt%7Hx5FG|mBRht!w8I_X{z9bFG4M=?;Wc)Ts_ir>d zwc^nu{h3ABS^Q#+6)E}4@42v<@{8Yyr2DvcvMl)M!od^Li8p;}p%I^2P~lSx27GEk zH=|h9bRVCn)0Y%jlKd$?wcy657Np3-#mK{V9ReT&NwO24T5$GBboi1&lQBG8BEpvx zn(i!CH9y;~mgnFb1l!7+)IR_qe=Mj;JuzHTHXRgJg2HR~NI?w%A0y4~Iw|Q`{1_5- zWb$TwY9ar=VwD7+T9D&Y3o3kSK|O#^Ey(e)2JNJ9i6bpV-g7?yCji(Az;^Otsv zHvoxQ;GqUQoB^N{fZ~cKbtgWxkP3h;I$UDLw;1yAEe6Ra0N`5;s&W9F0AvGD8UrbE zK%o&^uA9N+KfvT*MU(T`X^GCbFQ~~K4*)*3kiQ*G{2LU$1qC-gwJ?HjF*pIRCxlBn z@<0LKVvwi~spUx-I@Rs?P(nLCwUE6(sL7fXE}?HR^y~qJi2%$5pb~%q0BisllEWp# zi&EqPkAp%S0673W3V;cpT96+IYI3FkfNwDb90rAK05$^fWo47v1wcQ(#bBEXG4U;i z{9l4X9so7~3aXma2j9Wh9Dac>N=$>I?go=DgTmba{2G7<0O$vx9RTgmpz3?TnaMGr!g z?@M%=XM>td^T5L<@bC{b@d>2(Ehv-&Fz`OC2|($5XmT?EUjy(rG;soe?EsiQfF6E{ zFKb|rzHB=H{{|0N0r)!r9|Can14)_TmqAUg1#r1X@%azz@;6@x;3@zY0XPc^#{sbB zfx-?*@e`W(34osf(AG4mTb02lyp<)evR zu!W*TwJYjrwR~2VPE}WfFRh^S+5xcYA!ZAhRF=)X=mQ1o8Hq0Sd6;_VJOH@1EOl$Vo7jkE?RZpTHb!6gsNWh?26 zCdPNQiU!|i(~tH(l(r<`l28tk{El=Xr`}1+dW}))`J^Tj{$D{5+5D7F_ze>F$rAHZ zj^EL;m8y~f%+dI!NkflX`fxWCyYK<2q1&sZ-jHIpTK9nT6ZVl4Yaj!x1;BboGIojn zsIaonrwq81+OktN=ewL@^Vrb~M-ZJ=aK7t07sj<$MZSgy`@1e@7^i+nLz{~@c9H#J zgz=P(g@VXU%t z0#(*_hG@N&hj(@2-58*k0V3 z4G<;bumK7sajftl6;=m5>7|6TJoAn+z!$MZkP9b*i+O_8e4WGhFoKQu@&wEI!hXJR zaw98juVjVhC+KLyliZ>7)U}z}P?dCAv^)E=>9p@nej3>o>2$4lU4uH(X;LGVfGzW$ zT@jDZ8E5et@lh8UBk^yz$Uea(foj9wy@#Y+ExGh|8&*wr7-{JQZI6}7{!Uf+dIIA= z>ST^_>oOH-y2IC=uwFmaGvB(brvH{Kw7fVZWMQRr${ITIwA|8HIChT7lu$r*Ybcv{ zQ1fhSSrDGCC$hcp%_vG(h06};%$Ad}k@F%xE2?&6ZDb@j8O`i0Y>(%lW%43Lp2{$+SMbV+FNh7Pewd z?b~t6X8Ma-96shHkwLjc?qf1R7cTsf1;iHbk%j%N)0#g+k?dK5vfeX(K4L)4EE5is zdQDN3&&;%qFtxaNV*nSV|KUN!p8G;lG$L+tllzxG>lK$X>usSbMq!nZg{Ts|DkK9{ zrU$rxnVxE#)h=kOw28IVNEI6d6}>j*rWBJv*`xyAfYcdv!$XMPn!l*8pa-Rf^@-D; zIDG!%%g^A@8l9`N6{wZXMVt4$@=Ber7nSZ_K#g1^a;{tGNBj%cMRiO+bm8@LWIy!t z=qv*cxOnw*56|;1r8bRJmIx;l#(CG2wN%E@bmitUyNi^^ zH|7gEmZvx|Q&`K(EyKzfmYog+f^22_jK#=*DQrGz#m}%4NPJJcM!b8Z#W3Eu z8zFvyXTyn^o`rPY2Wa*#*-9D2*x48o>luSUv)XY>h`50&I)xR#XWFXv z)7FRiEIKi5k=J;&Ws;NM*7#x1GHlBeME$xDtJPr!u_ro2&^s)@V^h0f77IuZUUwV~ zaHtD))rqU#@j5dE|a6`(ic(>2~NZ3WXcp=zH_iT?4w&gS>3@8zHSUcaY zi+oOm1b`wIy#2xq7$wW+?L~gX?clLaQV(%{cGpy5xm<|B0x{&OyBFzP;TvMLNjDF%hMetWvK6@e)Dh}YyPDJ<9Ol=#uDVGVWpt5I?!;y> zET%8=i*xVvGN1Via0y>~)PbdpR5$7rWgUk;QpxyD1%AfQd6c-QAubY?9xg@$hPi#{ zSp~kpe!E{&AF?A8Ei{oy_|B{O=}hyUu~kFD^JA<17GtX^w~v~&QL_$Tvt|0dU~r+3 zK^u=`smrhr*&)KnbHop67a&D6qow((wjzuM_C76rD*|M1u-#w zczK5zYG8){d3?S6JmYDQ8Z7nl#G9d>pW-kxIN213H$#4*aF|o)y-#M4gTPN^6UMAB z3aLbI81<9Oxo;yiLnhy0@X1wk4!yzcha40jYrIIlH}C^4-y8e~Tw9)#IAN*8u$L3Q zH~0^@{E-@#3CULY+3S5j7yVKEH?S&Wxl6f6H?#t2$b*=Ip;YTPl*;!vkd*I}lGn0$jN~rhA75zsb&-)=u1;_@kchp+usCFnU>8c$^)2^JZ4BHVa zXJaxA&G`S5v*9ldWB|?vCx|1KglYYQ5wRg=i`VXXs4*dN| zw$ZDH7w+H(W}53Yv&qMoev^+{$>)TpN(oM{KwgS5pR&{igU3%T4`BFWD`Nrv!`C8; z4g54e5mOV^?bSs$4_~nk;;xKn$>?V@{M@Q}8S7)YzEC&hm*F)`{+ue%c1XgYm%TLA zi&2=JozEPLs!0p{x^v+*;)$l5KRLWS*?VZgt!V*;BJYZUt?*n=qj%ZQ%6e-TpOmRd z%SRRnd#kJPI#JC&?_*I97wl@q};g6C;N49#+w3pV+ z_WVc*D>s>$>3ik}-WkcM&d*Vy<%M{!hHo)aWn5w!e%0G@D~&hhe&da;_g=Ic8;s>V-M|{hIxh$qA9`IA4#%~;OA7E79 z;ZlU|zQ=DUvg9#BW<|M&5DhPmg{bg94=)oAl6Spt@REh1oltaAi7)(o=%<85Q@%ir zmdq}%61rKCFtE&3%Oc7VKFK@4CO5N%qKcQTBvBn9MjwY?niqjXzx2(*!$it@A1wO6 zaGo2+lPY3Gmg5{_CFC>h59x|i+8tdsPUDyM zW0|01b99DrOkw6dqGI;!$$!nkcs>o!HYP}=d1N_J~ zVW`}f-MJ<_3z-vEIA#bkxCh=Q8=52hfrklrI|HuVC0~o)eZ$t+&*|r?J8A-d@6m== zO{Sl-MF;~5e7h-)3;!zid!E7;G?p44^QD-kpR*;HR92BirX;@|DjQ#}-sx?TUw2yW zx6`vdkO;RdF?p@}rdCr|b;`EFw|%weZsGQo*vQ0pM2vV9n5KGs6*RtL)^Y6W@EMIC zAKFz+KuzGAUO!;*|Nrpz?O{zG%foL75Q1ESh~SL`RG`)>A}C%-02M7_R8+hag94&e zjEIWXNRL`yAgtqmM%X^(Bu<7uhK$~Uub-n^S= zJwiGWM_A0W@l$+XLo0}%_Cbv9zD^;NwwP&GG!2?=GJmZ)kdSJvAbPHIT~W8 zxjHewO=es~Vl8TyItS(&7hOTF8;Z7&P+(S0I!u8t8Q7WQ7Vy#jhjHYxgN9qhPcFm- zN*igP*)F5pDP8VQIP!|#zgtOX;``#-Rvl#m%42elu%Ip*TInMvto;^XV(7YnMO00Yq4(M>RvU0>4MPihvW^@2z%y#b?So0bMZN{Y65x=Umi{+4_{ z^u9=Vk#~zMFOXCUmLfC9;1-R9>~tBUaHs3n(PkHL0oHi-;-fvnJc3M6?|85gXc{#= zuKxg?vRhVQ$4BD4w%e={ZX|>$`X_Kz`gzZV%jj)($f#2BHIDq+qU#(ohM*MxaQJdP zN)LNSnSE+8+s5^%Xk_3JS82r0gFN8hg%S4#c|f6fijCpir7iim3G;TjSD9P2D?1-d6=t{9p-R5@6f)&^A?Tzwcf9T0g{ZA+_cg zZy$@6-fmyrnA&A|0&Y)g_%U>Th~I3F&Y0bcks?iIJi6q`^yJoAarK{N4~#CLN#N$gdH7Ywgx%QPPvE0@qV!OjdCUx|4R)kD|o#RiC0Y zDU@Vu(ut~6L36wvO1MZ6U0;g=L$=#y*_n@Ngb>ks14l>)t}tTl^uLkCGevaMiYH9{ z5#kCwP@(IQXx+t|YuBvu7#Xt-X0F+Da%F7h#lJsPA>22Dh{Aw8P88UvODYkC@D%iR z^6XTzisi}A^`os)Y;42TAlMZ+e!=Km&<#q#a}J!e3HK{V7lB4jh&dgxzp5x-28J1i zDJ&UUTVOY(*lMlP1`e}G2^x)TV)1I-=$LwG#c3R;`)T8?ILWKg7CFr$IBh4c^CB82 zUByhqDNTCC0ViF>R+o(?9NpJiPu>Qj$DWjcJ;|h7FjLFzCbYiK2iWbj2CiGqO6mK& z5GMYB5{Il~WJlrE@Ljz0YckW*0&^+drmrB)b=8ljr0qZ&B3dPF0zfvtY_E6@5JI%l zs~nfcKCXCbSv-FiOt2}Qw4|pip33=T%%sg6e}+l+mOoAL$d|R?r0Nv?&dp^zXQlV! z&PpEPNy)2fSdd~Wl*WJvs67LDZ$o$TtnVH(=P+_KU70*%McYJP z4iRdv3Z?fo2F?n#L}h`$@JjL)7al}-N{cch7~ILr%;XDm!BnpIJO+m*IaiAXs}H%y zfjzsg3|tkbRN))E-^8pUe2|NA^@i~+l_7}fhptL{R2gJh%j^?@8FSjB!tl(?G{)a| z(NOgWt0ju+(7785h*I$+HGYL7>EV(gHJOMw#meqkyZV0+==6UQh~OceV-zK^r;^^d|}J4D~|I&AJ!iN*B}x zD!YZt&&LQ_hod>PIIjO(44rq2PNBgC>gJ(`U0`R;joCjFtdF1-=wCalb$S7mV+N*`Nal|?4V5P<8Y78Zk4M0tdF(I{%@3zJsbNs%5}v5G_vOZTJJ~@^VOPS$xY!HSv}L4=GX|~;j^)#) z%)8Sznd0R2Yx~X_c8i4!Y4V9jG?PlOF_FQdJr zlGAg^C^myjIgDnpt$*ACNO0mMTbCL;+(_w*ghTlzXpNVMSw9XkJJEDB|5ReIZ{ne1 z*m~$n_JR+%mce$erF>l%L9j=l6+unXq{n69%T|;H!LUu-JpRTH58&9loGC2&XFECO zfJWJO9hyz~ySRpt7fKEz)0F2{ zoTo9mS0VleUaz((56$MrC8QE3CbA=&(x7Qxd6?czU4Tt6?tO3&?U$xk-Xz?SOm=y>2MgkR=uhQ+acTvNq1o_F5X zIN6)9#x=LnaZTYLW5|3SC5I_X9G%EsPmgPA$k|${Iu4h+Xe&9AG|~_AcH$7h;cm<8 zu*SEKV=-HjkQg`bnGVD-TkZspPogHbMoNy&7~_&DneAG${VM|{AV2w8NYIdF(^brEU>qbGCJMm#PTWk<-+Wa~Hr^;;g73l&R^Y3D4PTsRlL z3>=xu`xq)aAESolTh2eZX6ZX0jL!Kni=q4PRHDzu&*G4^vlt0v7soEHVPYBAuyl^Y z(3p;Vs@&xdj5;S*w>%~;X0}?hj;r?fVQ}H2`G`~1_(dE}@c~a4mrim@McO2URg|5$ zbV@(z@SMep3**rMSlL^Q&Lcz$Zatym^*rys)TlqagrhF{Lj$AEO&w!?*U`}l8N9{d z@t}mNdpQyQ@hSk6?S0&0Sn}thkcIC`v5vCl2^K@1MTG8N**>e84$FAlVz^ZiYOXHj z*Z>ye+EdlYWO6353&}Yr^{$0hWZ6~DQ!GXjIYU_Thl%Tg)6Yqz z%Q^?n;~Ay%ZL9dN3E2A-i!rN%jKZHSTx0*sVyKE#$lUT>sSXN3RkUgHgiSCc<%8XH z+Ma^HdQI#!T1MLLUcyevU%e)D*6m`bLzns@ayGDb*J6j(^lUdZP=NBU@6+pmV>n`w z+wXu$$zKfaec*{?2uJMhgZFXRa0F=C%w{}FYj>F^FNg#ux&|)dBzu&skP1$GRM88v za4%UTygThkTLlDqlrjObCG|Ik292$M=;jm>l1?E2waBmTDX3JPgQ98;!6&5$x{`p5ZFZCwfG2u>qjGS4ZdsP zO5U}w1R`)70_%1mFtZ1reOQVQO4KKkXCKH@731)Yh%)k2MHfC*5%(4Xr|d)EcM&*< zJXO(!PgS_85jdQBs=|c80p#_G5PVP~C<9x*iLXx-S0b=+B%-l}JXP^60;|dE6KfDy zOJ1KSOhVvm2&@{5z_UUT=RXtDPG-~|K{UD$I4vJr?wWyU1iT8YQ^z52ZWsWc516{- zWJb;F2)v;e04sJO@co(C@>~Q~K99iJ&tS{LTmX%ZHxT%@LE6i&wH`*`_Sx9-7I#3S za|Qt(g4{?#;ILrQ9@7JW<;xKG*P%$@@u{kl8R`!Z zxE6sGHwYRF0JwPV9w5+?jlh=Ykia@@x#mLze$F3&1-B8ny)r%o1Q`C8CS#a?`C%@h zO(d64Ap8cogjV#59nmb;_KKg`Yx0_2Q>%JCU!NSiFvg1WSh3QlUP14Ff)8gN8V~5XKn~}42__}pbE7ZdkYIS^C zZrbp~)l1V>4p-!?8m>%R`r_K*idoZ#$4#F-b@uS6VWWqITmO!qtsFjk^}3v;8N;Wi z<>oBUo4hW2Y3}mX*=ucYhEVy4?4Ay>|6M(MX--=1(kyk(>hux#5us+=RapOx-H@|9 zH)An0kr%Oe@$BhyX2+9%6!9sTkN2VnWNhto;?-B?UG}le`Q3Sv+{MU9v|=XOpDCZ? zoPKY}hdGexC}N}Enm&-%sG@G#*gsq|M#SSCNhVH ziKm&8 zfwIu|BH}(j5p3Qwud1qgttoZ}^G;mvO-?C;2mDJAV%%g{Zw`EK0MnldhDFsMPK@Vd zU$)Q(3eCCZz$mWpp%e2QDI8I{?bC;!od`DVnK$!Lb=sxaWM;s)-bbA}zO9h>$$z|B zSi^j?VQ9RI`O~FALo~yVh-V7!|Cw0ouDW0xBJ+)Sws@{j#qRkE!?wDn&-k=XWmEgs zxgWVdnWPv_Y_`mKP(9ii-#|$}>H1z<=qDpE-IL6Bz{Y(sQ+qh!GbV zdXD>hIYZb)Pcq*{d49=alFiAfLf`Oq^|Xa-7lZs#2haJ&+Qqw_L*ANY?P6omyqWt> zGMJpIZ0gXuZEvypnzV`Ot#gv!V3RVX_Y}Q%a>z!K;z_n=z(&7$T9U`fsiM>Lw!wW7 z+eP)1)F0+ptX)jJH{?Ahe}-9UPz|1&o-sG;jkzm-n5!NgSp}-+%q_$}!=-@|~Y+D;W1wuO?^Z(6y=%P4NDL2*KWOQud0WO4sGU6D2Q-GJKH# zoqHyxcz@+}g{0fEgl?$~tQ~;F_H*5I-2bJoC8d`Q@yxynDc%RXt_0&)FhgFh4U8PH zw+M$-ko-_JVdYp%U^U9)&!fZtdon0sPuoG=I6<>C?WR~iD-;sMxx|U{oNCTK6Xq+g zcy&^R!?QH(d9ayAEbz_T=+kShvPK?yJ=^FBxg)fujkr$8d7x<+TgZg`d^4pKo? z!>co&!>cnANCg!+0dhs<0l?|=&VsuD;0vjs3LzELPDlk+b{YU)9HEfk1OVd&XF&y~ zf`V*Q$A*Wvl`e)ZAd!>o4QL^0X@REZBIE|zDR#Qo*S9caREV3~DggKv0L}uydI0DG z0KqP?Q$!%N0EwL3HUYqY0N@t@xCH>FOOO^C0CJ?z0_16OgFH=ry8$350^=A2>>eq;2QvF zyzE?6v4CV^4(w4v>b)^KEEhUWF{OHbNm+ zXB24eTyd^y1ppyrS!$mLd@umOnhKdka5hoWsb2(bo`YZ3LzUm4O$$^JK>{YDa1;FU zJN$Al15$T=26*llI|V-c%vs3Y` zXn{mXrk?=-5+TV>0>Fx81!l`tXTjHp#IawD1AxsyOBZwjd5&a|=O_#E9BFF*;as)m zh&Xm2q%lf|JV(?20T#uCPpv%m@aZ^O=A{-K&Y_|_+S zJ?wSsNAOE1p_1IMscMAkq6+ws;;KQ$A4X$}zQSK8!)1c}GCawJ_lUO&JUV6G069BI z7=f=OQ71bjMc+UGT$+FtNb;aGSfMZx__ESPxi{VfiuQ|u4)ih^g~qH}+{S0oI7p$< zu~qBQrNOR}tzA)}`|zS~v8zPv%1CgtcEufon2m?wyA_1EN^BK+^ymwjE^DUsDdY2Q zRyM4HLhoQ`EC%1jVe@WQF!G-GSW~vkGr*4QFjw3o&HiDCFYHXYUBoOk*^@l4;3HK^ zoze|%WE@R4Y2ffk0VX)-maKCXA3}Q~f9!k{ZVW&*2B6rS=7xg3khQe2*0oxWiHqOW zC@QS^)44~b3_1JYm4OJir4q=MWH{=Y;l%ueIhIU*)rs&XRPkte5xxPGq5PY2Vv$8u7KX03CcW?9(MOmeRRKTuEMqm1IAsxwuSar1K28L(G`rz+9;dyg}09QI`R4^KdTz8 zOW?rLQ8?fU@Ij}OX%`Sl98H&Pl51RGhD7iG323y|R|U#Zq&5Erz$y~8#UX-jIjOqc z`Y9BZjKhXVe4CQE+x14Q7esEY@{uQ1pvP$*9L8A{((IhzR0U!O?@v{Q$a`DgpAtve zzdvORj74O~6XgODQbJ@hW2eK@GOl?b9r%h)lz>C+*EB+76!tX>K8V1prnlhxQ{=fQ zgUAQVNLHo^_wTWL;>l(ec=6;H0HiWwF7d2-5r^Dz?K46 zF_CCL^2o~hpP^ln0+*WQ?K+~o$rC*qn2O!dP*cR9blK?0l zs8@X4X@yf|tT#LkwRiejUe(rm4?;b06ZH+rXiQSQfpX<6#edD@O1SI+0DuuN3uA{t zlI06$6PNKY56jx1H105bc)trDk69D86AiXOG8fa<#Ct{!*2}?q)LVEDJMq4x6&%$+ zj;hxy?5kprjjD7S5i#77=XzWMzQ7akMF#s(kaUXzS=uzzw`C{2EY_!y2 zRIOz<>9T|;j;a!0YPTQNgi5r2N*vJhcmmIHR0|8Y z^!vOtevkt6=j%?pVU&|22ll)s!gR+BBv6)58X3HMBK7Q-U}`n?*oo)8bvTJgif1+> zxL~Rt%NWFlE0cS>waK9IA0r^SF!#E{UgvFC^C*!Nf|a#9YeqiBR6+oOyJ#MFJ1RPSvhQccpE;~_4TY95PYq~0yW1#_yiR4AsZHo-43EI1%$ zOf-ngoMYjPR_*r8hUuE2{qbchco8kz{;e{>G{PE^yGDlxFW48+3J=1YT^_-}QJgf{ zPF(lZjf4q!Ogjeeb`!H|JWz+(u}RMGvYtb*tR1IPElMIK<<>Hi<$xYNj!sRA*qf+TTUog{T9BiL z7s&+c&nFtiazz|9v7W2P$$I{K`0)M|KFDMZ_fgmY&0qD3YC? zfxp;i6<+Ka%CTSj{AuFQp@0eTFKTG4WkiW_W%8alhqtUw*%K{*2(U_`fdf0D!dP^Q zwxogK=2Wk$;R3WK!f?A3rz_`caAt)Gxu{37MaUe&l5+7Fo@|o?*%5bm-mF%%R*=7f_M+ae^`8Y(=8)>(aoeN~k zKDLr=wvnyzjYP6fAlXFy5Nq(_EF0N^q$VVrJR+b&D2i-rnHu}z%6PKJ(qtF(0xn zuM4&oN$)U_6hJQ=@mljqUDr8X|Gs0`DV^)o)H4$FP93XG-L{3fjZ|uDN|%JgyLd@q z?(n5UdlbKf!I#fEqhALl1bX(x)_~9DE;+qlxdva-;EPoOY3FwlQ9ugAJm z;jei7EXD$V(Mqj+Qs4>H6-Ebzq3XSn=n1~TCQwX$@IgrCqpXmd&I$Fz+3_6N8p61E zQh8XbFOc3of<|M|#ISk_bfQ_<5Z)Wi2s|0sicbIt@EsWKfA*FqSlJ4Fh9DW=*U z4y{QoFqrwB@E4my3079za_3B!4u6+or>x%JpoAy!4Mwkh&DmkNZ$Bat!q&<%59YNd_Z)gzGF^Y0-Nxwox`?1<7q4NUyk4;(@QN)+s`y@+j4+5 zB~4pP590FUs)URvCz2=P7Nyt(f>Y@Vc2>V)C3DL4B`g6k-|Ns{L|4qB3;_Q-c5Nr0$D zed<4(p-}W1Lc9fAPU_VI$;EKBaB)Mt9d0vIBmXO?4Gznlo>_(xsA9;-|aurJgnIQvCTS?&EVq?F2 zq_hr4!D1Z+-oDheVrN2Hz`MATK(dU*6x-e55K2b#&zq4}AL7tAA--!V z5(=k~AO+g%m?oNpYC96LFi}7TMS|`wn@JE!C>d)dfpg_m&mwex1zlGf+=@U-iE%$tJRvGTvoL5QG8=)p9Ed zlo{EiM-qtW#z|Qc*4Rimafy(CS|{c{MM7=hn@BLqLnU;j{vu2YWxlgNluAA#aka{s74H__`v4 zJ6AU)jUs$^x-%FeS4|P)lb{LXXKSEDskKZxAI;7dMW|8kQsD2|29ROr^?Z!0bIKL$ zcq4qo81Vf>+;8H7pbve>`ltgT-i1MHiilUvhZabnl`}T~rxt9LEUVzLmd3`a4j zHyK6WQMOThwDbo2Uh*M)NS9Ef*tKgu{)9ob{8Ai6v2_&12XPd`*-?yrA4c)QKf;&u ztO|-nR>l1UAKs^|qsS4aC^ZnvfdeNT0`B-J51&8{OrYH(F-RyEw~cpgo}8zivaw7t z5aM^}bsNe$yg{n392>(g9c4ZX5jk{AAxVLCH(}VI%|f8=^i0?g;$leC8j(7Y8KJYe zox9dzeGG1>DEnO|8!@xtG+^wiZLkH@$4!cmWg&0~kc}8;ijTpSm}Rd(p>~^VriY?$ zO_tmgHek7-YG|x8zCtkHb%#6r!!26aOy~p`x}fQ+!^)hEw4qA5JFN4&kaMZFc${ek@T^+a_}xL*;A>Ro(#t zz5ZHajkv5R4g;h8AU4jp_oX&E)jrs=R4(X`{bH?6@&F~=KM5O`4x+{FcjWmjMzDDJ z$af=IuWl6LyY@mx5nihE{|SjCxi?GH6gsKZU%~I9PvJw_08;ihyC8Q!6zt>WJIIP# ziL!e>nw#lX!zCFw5q^#_fQWW$dcgEipHHoELlrP)AW;E}=79XQH=zo!R*?;i!76oF zrK|_4W-9hJS3&8tm|35}gWR9z($^Cnn({is)BPJ6cQ&P8W>DaSw;>E3&q7x>F2n)D#p`;+0!? z6Kaa)s)#_c+dhXMpw_V4%v<07+#-O>&w5O52RwuPCkaPO)>93yuO$VW7pyaBvbYVLRoCT>JS|4TBs(U5-++bHYHMSLwdEhSq6+=KfiEmA{ zao@Dif^EpL4Ru^<3z72`vtggFDMFQrAt_EWC+6Tl$C1(QbR6wnH(4?0gweR)XqJvD z7zhgdTX`ltmn5TNLQF^pB12ZqG7=I5WUBKcbI{EjFVKA9IiMc(?me>kK0pAQ@0L8> z6!IX@1oE2D6n4)UG8b<<%kDW#To-zdKnKMLYFB@>b>PaVM%eOzXL>qG34hGM-2NSq zP(lLx_Z<~!h(P^_lQQg!vp?N3$Fh&Ol7X!lWxe20SZ@Tjgb=EqhlY8;DJ~?HOHn8LJt2^Q79d z0eeI{kdI4CyUp&FMuH};<)sfPG*!OWQ{cDmdPIdY8l!?dQK32jn#r)(11?9V)Dxz# zPd^wu!H8wnT=r#TU2?o}mHRG?TcD%>j#~sA8U}<})rfI=tPVv>gh4P|VNnH3`^zx_ zlBUAqD-&|Ti6iz)MFOn8hPH9>u z^!1ruxbp@ga$j5~vhLv>ouP^6_V8YIi0)LHP;)8026MF_d|(b?eZ6a;a@U=eyjcBQ zcne@fgri9$u@i|l>jY@J#vUhPg&!q*h?LHFgjO#=;;f6V@!bT!OHq*W;pIe7!A4cA z#N(~M!XdqS>=|7>1zStO;*!>hdDuR~HlpLI$Uvda7VYI3u0l`Em~;nz&o^SBU@!_5 zvrmbhnyYiY%Q%aKuL5@ytJo=Ht8sLX*U-g9+RxgPnj$ffERntE51syymV2 zg;x}~9DeHKtb)w@uZPWGz$m{s18|Nk;M9l!@oSQIPK*EJ4B2`qZE$IhDwPbK8uR3(I|MVPa|9xjL zd}kK;Gy)crf4l-ub_s$Q2-_{mJxBGCO!{d3+OdyWlSsHV4cnaWv>K8F9~wloqqgW* z?>epfc=emv_U zBrz!DyyivFIHy4uOeP<@uz~MO2N_xYB=h6-({Ts=^z1-rJ*KoUh1E~h5IGI6xx$*? zGWT%Kn|yWny=_ zHSw~~C+>B(-*G>^8?ebJX^KB?Gu$8K5U?Cv7+d{P54QqE|7Lfx zGDY1UQ%RcGvSbLLSC9L5igzlppcek?K0lwh0j|Cv=)aT(K?f%Xcu=rf3RbuPxnT)C zX4BR!S1v;v-d?1DJqk)*5m!C?4E)Y+TxtkznkxipVS!2_I#Ym1pGTGDokeyb0}=u0 z4+dU>$!f_Br(r)N1hTNp37cywZTwe18;adTvjuQk(H-w(=U(dT#~4ExIMo-s;n+-m zDlAeESz5@+QjYO5L-s9~5`fg8~F(a4?dwm9&eX@rII7b4NrG1uB>e--$yVoitM zy=*&dq2SucX^#EcsKaj`;3iFNBN;Ty#mTTX(%iGIjg&5&0_faFz`_ii0^ls{ytbtw z7FJLb{A7MsLmK~vEPUBE^xeaoBy`SE;bu*-36VOk`XN&o8jW0S^zpT zcpZ9E^Nat{1FAGnrOZZi2B{Kh;i_=1H?#qk1jK!zf1++e=u8`$7JnQ%d5u;NW#iN<%QHA?mi5mQBK?8A#1$r|R|=U@|O| zEDp=0wjT&;?+1{iZSYjRrNOFm@7tC<^5J6;tXfOJD4io8J{AjB9>cNJ9C?T} zh%&O)$U4TWnm0lVLDiVnhj(2*g1VHbrT@H&L2%vrzNkyB1q|vdwji!wB|Lh|`J-%& zlUU>YI#NS3t%h&vC~0b4U$g<*`a)Si@}^lH&~B1QSW}4li&c{>Eh#muVj~6QSeS|} zI4xL#yZ|E0oRUCjMw|rCN$D;JA0*)Q<-9x2EXKXQe1q^ys}_-oQ0&vwe-ShKUMTj8 z$zL4i#r~5!sQ)#h6IQV|dR7&HhcjUCOW@%|!uraPmaK+|i(Y`MPelNj2%%r8i|gi2 z&*VtA0Db*v<+T8n%5|~V&PtqsJSOsVm2qo4@sxGR@8a#qVe6D@I;+`H~7W zWZh1jb$&eBSB#R{&RNztoV*+LPYiwuSLiPhUl9WN-fl~K4riC9Rkj0I^`D>EI;5Jw z;F)l%*IEC09dbtP{u5Dj{fU~t*+f`)tPEIa+ogk8K6i{#W%W0hWi5sN&5ndt&fK#= zNfPtP#*~-!0m-q8shcXIs$4p)Ld>-!TSkHyHAR@Ca+BP!A0*rEY`=WjRZH&{$F2@- zMP}Fgw@_>}SB(18rG;W+?y>2qx(OT`uS>a8G%M^^_=10!kQ0`^zoLROLI@jHe+ey3 zkA=@h<^ouZvEe00$-Ccl6H2__>7*o2@sB2K)#&~k@Yi-`BhBv224)25>J!4B*|03b zpjduDNtXkgEX!IntjuI5_*D}BU|BVUhvpYYM(coOL@qg=GAqB)`?Fa%c|$9#J&r8X z_HUgK(9ZlDv@C$0e@?&)#*bdi;lQ z_CT%8E{BiW00l8ku`g3`#ieVpRo&3$ORzb~9bJalKX-JQ^MYml#w$c5T_qJu(yVNg z^>0SnvekY^>^LO1aR_EE<{{a3B<(t{^O{0%Sua|L8(Vg(#->cKi`og)lJV3vUx1?4 zi!1M?L%LdiCWjv!|F!2s96NGb1kdc_NkT_8r{8%Cdh|Y5CFRvzZKLTiHMjhW(7IXz za{@NSIOVte6tXF9*d1UK_y+9B@KG6*vYobZFYz8lyKl+^0Jxp>A^ zZ49cpf=D>z8a+~TRfEr`*>CfyR-wAdk1qMxK{!>b9yIp9j{&3X=zS-V_3UQXeAh%z z$X#JEIc0!|h8?`kNB;Dy9yt%_vO31L=))P@)9Vfz@BrHC5Ts?mwprVvV<6_-`u@YO zb?X=i*Vqly&D&)BHZoM!I^(L?j6f4LGU|iMKHd^=*PlKzMo+Q>+ZGhejSR%qt9> zG&pX^&wbVCYAA>)7jC2GKHE;*7`^GSxewkJKf??M=X_1xHKF6kmh1#=AjxT(?Y7@Q z&3)G$o*+Z)?rNaq70lMMrFbeIP&4)W0#K1YNSlO&f|t`FXgv-tpgkAmtCLy-$`-#@?Pqt~?9((9(UATeQW_J#(bM#w? zYU7k0Xhm_;4UQQO83(6V*&Ae>H>Y{!nI;{9p?y5fRl=-J*+AaM6LjE-lkQHh2$+C_ zYM$cbnUC*#mCf`?DZkiDeh*vYk^17%Y8@tB;^tFwi=%y(=i&|u*ai`=bN5x_?jfU5&G-gipM=8S$h$vLLe^opqS3%(&A?VrgkPKUwv)pF4WSl5uActgMlM(et%2iEPaW zjR@iAtKv>Ga+K-06z3~b1?J_TX9EDqx9bN2b zP%|%~$5L`IjHGa+=6t58zbbeN&mY~_WA+0S@7bx=H@Sq)qULk?fVGO<5uE>EyCdT0 z*)?A!^oHiZ1|heA9j|^&xwX726*_S*!}d)h=!C zbT{YwALs-T0w4M#c=cu5+6qb%bARlgUEtWedBAtjlyq~!be_|~@0RaBqM;;FzTul6 zCbeK^PnAUDxR0Q%7(?knUJ{ipR~;qMJnmxzGd2=1S`zPjk+UDq-KChT_>CMAG4@>j zH_U}|^|K(Oq5T?d!zSn=KVUn_=t?Ok%Z3(hXcMZ&j2hjTGMMG8BrQZ zMu>s_j{wSTUsOcGS1hmv!e0OOcAL=w0%jj=`tj88vAZ8*){g_R^7-lQ6tmP5@d{{6 zOZ?%dGfQ~C)&C4WQfIf2F;7{1C3XhPmH7o@VHp-aMtxhOw=KgU14dE+^XBlQLNrm4 z%lLiif6XSC%)d{_piW2q>xuT?{UVH5a?dJn2bty1awkbg+b2b^yo@{Y z`EGlZtO~seCrK4ABtkMqJp6alcKA;tT^G=UWR_Lo?LO;mCLrd{a#c&}Fs!8t;me=^Ou zTq!=zDi^g=yT!W0p>f#Z=vap8Q8aP{NspQ7lmyPptx4-xl?vau$^KwDVkdYm2@DjY ziP~yAEUVvwM-cMEw$G+qn4e2Xt0$u&H>d3!EL`27&cXVO0)#)MPc#4V1sV3#YS{JQ zHX6td#2>FmtbCQw*95mP#-KxtCkNZ@PY&uHu0a&jrq3lPR+PcXLFY|tApJG2e#&eJ z%kwRWa8{4GWay^DojvQYn2(_Dk~SC2p@!wJjo50?b15(^w!>@@p;RggWjQzyLG*EJ zLAMbrF@4`fAB)-=7j)MpR^qM>%uYoppPXT=y>uD#aoXi;d{bEI>Hz5qTAXc_t_~Z* zT}N;eO4=SwvbWMl%^yucUY2}VO68ulvDLI;E9p_cM<*ar8iC%l;nX2}t@ulCv5jjg(VrDbk-hhi6 z+-lMv!|2`h!;LTi-27Arp;nPTa9RW-HHbU9yViDe7q$zEZUMr<^eqLW8F}J;I%t@K zf;5_2_HHw<3Nn{hka`CNnO=&Bh-L+mB)pjgs2~?)Ze|rEeB%^kADj-|^^sRt1$o>i zd8%TRul#_WIh2CT#P~+H=vvr*T0xTQ9^6HNyQ2YNyXziNIDfn2vMWDxP>@m0SfE>3 ziT8M)H*&_Uxh%!x`W`i`FrwHl7c zhqF!CVM+2-mMO5_50q?5-(qvbxl!!DpyW#>fuYX43;QEOx&=|q)Yuxyi{R3vxEEk- z8+|a?0vBMYs}o#ks4Q;P7ybU_FvApH6-BEaA|anieyINr29?}1xvwc3SPioM&|?p; z(e!be)wPZs9GlzwLjlDZt=HL|0L61gAGhk?{c0(F)uH^h&K-C1NX*A(UmZD>7pC^x zz|Lp9ZK6p0)jFT~3j!Tg2JKE3hDwvv5mXg*i(*hmkd}P6*`Nkq8*u~PRd%yfREIcG zK942t#rOMt3Ya(bj2DbxUBo}sh@BIu$XNfxF zks*N}1^ow&`Z}UT*BG*}c=zs~(?2U4+4JDaJ`Iyk?s+cm`-GK{LU+K{>1RBC@-_1yUZQ>#v9biHnbmOpO)?z7hvmE*U3 z9nrA|f%6f#cGmT`Aj|WWkM=(&T(I%s$qeQl)xG`dM)UKYUS4v#@8B$EG)kJ zYD@ZOwM!6AYo)f+`70@t6AsYV;dH?cjX?GCkrbCGHcY6?c3j$9IFI$lDS?4Zo zBb&50O~x>NJTPaka;OA&0_iWUIeVY!6{pxc11)Pzv-~a`I*p&XcT(Ek$!qpb(IyL8 zue_Oas&hUZR4irI4k$e{$kf0VK@`7a$*u)m`p#xt{Smnz^QHeDb4BM70;h! z8MxwJ_JtF{T|QBFKU_N3N3-?7=|v|CBztBn_I(SfbyY`0e%pT{z!X1cZpOacn~kq9 zqi4RVeY-N9Q8T4RXQs}b=@?%oFP8L)==s1|w?it3ba(9&zY14+AhiYG-L!jri^Vh)5FJ zDU%h`7rYb0U=rH#4X!KNR{SNvB&Zgj(aJsZ{skigNoaSK6&x@w$Y;B#?$tc#My!zS z;+Q_0*paRK*8ok|DO!ZAgvyoev;s>OXsGr_54J&wA%Mwu__gD`vf@X1mxu zN4aN#j?ECetG3`kb6++?Y+kirbM*}i$q;KC_^v+W%v?4JZQw?isP{i$lhAg$4XxSw z&OU1wOBB;rzQZP=9Ut6d#gZ2@tX)Ja_bmL(+C@{rfex;V!rsmCUvXW0pbt6c3Q1^@ zQSZA%U5hdR73a@{th^qQeJ@1aGc+e6GGv3b@xNv;UgfoX$AB$60*2v zL891}M(3*ft&rQatI@gs&#mGDA*7TY9{{Oi<9b8dR>&>;Ipmf-0J&u?^$Vd912nP* z8#xD!tb<1GKqHn5&Q;g8LnE4t&h_G*;(~#Fp^-dDFB{khX=Hcr6vvM22dzP#+0P-* zY^Q#7h}$cx6D5`3L$lgEX#Xy>)()*TEiEwXFF}^on@bBUb(fs$wUA*pu!&7I8#^0P z&EB2}bZvn~ERb{7s}vfMU^>^W&`3{6bs7RWTb1br<{A?;qDn8YG@6|2cS9E1z=!xt z1N@@D>|8%}5BySd8Gg9|z_pNPb~)sk{dWjtHBAa}OF9IUj)T_nFas0GtAV@m-LzHn8-nbA2C3O&eH#71~!W zE3i~wg>1Hvi}n;Gt33wEYCr!Mkf~Y^SgFQAEfWIY@nURClns{e!AhL3fDi9y&|aYF zH4d~L-%^YI0*^JO9BoM%`iIob4YlYYmhGj>%u?a+u4}@VDr@^*KN_&IXvJd)Cpqi2&g)mSW;&h;%2h1lco`EgkGBtTpGy86>`eKJu1V6v+w}+ z76~7Y10r)LQCAHzT~1Cf!j{pbfv}ER=qsci(wr$F`Qt7DSP3>J#g&%Dhe@dN0jJy$ zGy$1kCXjXl?t<(zGva}XfX;hPV(=oG;RS#=<)QEej$4ko67ceR=|f~swu%BzMDR&g z@K^+ICE#Z<$E##?^%O=Q;~EvPHT?WdNE$em3;X26wL9O1-rsdH@yM{ z%*p<^JFbC8NSDqM;DulY(yb2k%LZUZ11|@!O;K2X4ajy&#&oAlVM?nj($@uVCS`{$ zV6(JBwdkduxo{YAxfgr_a4MS{wRm7}yr&kBEzcxB%y$Az)gLwh9{Qt~LyL#P{T?R< z-Vt>ja^U49?JC%UcO6ps(vrk9YBPRQ8nRZDjI1S(#nNR8!uIh`EDIuK6X>$++9J3F z()P{+c&NlP%>(zxZylu`VO?y-u@vCiyu^SNtz*fpD!YpMvADw zb77`Fp$uxkGMuhgJ~#~4KwHLbAsJ$$WH*+h>u({v(e_wU`5NrV=o?0qE?xku9Id;^ zvvdvq#jPRNv=Ff)sGfTQssW+__-hKHQ{#YYKnX!r{=_m7DT{w%841-%l}{;4dU9Df z;-Y$TSwAczEPWi$r_~#P^+*nd$Lm2)-O|6og_POTa62n3&g0eaXc+F-o(IPiq%d+s zyS=;vd2mM*D}N76#RQ&<_MFXlL>hVL1YCqn{OtJhUvrb3PPAmgi3n@ zP!fHNc=4kMW$;6H8m`G7FEil?YjK1hFEe6U6i1T;^bG-V1x4PvzX@kA|BQ8a_6_W)vS0XAlDf9VDr-f*CN%hUhk~*gOl8@xQlVP zpAI_qaK;N^dBZ#!__J$mtx&C7v=Ba|li`D8vC~XpWS)4Wd&06JF{27Eh1mbKwP}(N zMxzxvf&To*^fcc7-S5QwjY2#q;C&1}I2&N@7u${(jaw8H@P7!f4)ucfoA$uTsG046 zf2V*ke#5m~CPt!8vPAVnqOK64YTfXxde}$LP@^YpK-Q8sso2ZYR&7Z}FZLID^j>yD z3sv zIJn`Gc;JKPqi{E0CP4_BE0b={hcO872nH+O_(DLT7>+98IeniEv&(Jg^da*t@r`f~ z`8?T$hQVVx-I)8uGS@b!X&%svkLsv;W}&A*5~gWz#*&-$;1+!x6pz{Rn`vM*1x&Jh zaKIH7*sC*sGy)Jc%6#AoRq$^OvP6d2j(Nd&n!%V=C_ms2fU3t2Zgy{qOAzKl!w-Ii2?rVc0i921 z30Sm*ptBY`!p#Q`9gu+bK_CI2+9aUiH(JQbRVV@VH{pX%3yYo(8K({$r{%~Lw~q-^ z9v;$igMzwCiZXQ-0| zxR|_}Qd=!s$3Rz7Hgh5TWj{RX36$V#LP-v~E;2kglHBl+>D%@ninF@I<%F}}BNW2( zb4%f!13r`HW1ALLc~j_giN_*zEQoVHy1*Pdnp+Y{CE&i~H=6{s&=Sya^eO3%R8YH|_A z%A&*2G@F==M5AG9krl&gw`xgG7^q)q?V3e$sl}mWdOv^Iffsu#dK%n?a@N;c8H(xBzSNvVk=QnHOjqBWhhsLAVB` z;26-@10S`|!*Mt0OZkH_#$ky#ElI|90Xl17Y?y=7aJt_j0<(h%%qCi3jN4}ee$qS~ zAtf*oR|cHtVC*r6Ecb{VgWL(4qo@v4v^p@c)zh(hinY4F24{2yJ)Za5&~BHMV^`%? z{kkd6P_!S~TWQk*?g!~QT~5S%IDmC3ZFTf`1M%;ScIS5Dg`@V&*I2P3li%eZw#jcf z%TNA-A93=l8rd7gvdGkW+_frMZgr4|slSh=-YQD}Afn{zfub~l7NxS{x1pkU7JS5{ z@Kf9?8qU^hXl9qGghG_SK%dfkSox| zxt(xJynr{WD!Pt7Y6;3>9@c5)>oi7!Xz&*NsGSA>stmDdMQlp&N*4CR&Um$L#ykB= z&3FSp;~Nk0!*r9H@oVT|YQFdmw9RJus>J0kMjk#6GM%3f1DY$MbVCaZ`8t5d=y}Na z5ExK<^V{!39MB!`(aolH1glOIw$pFC!roR#DeQYVv$;8D-nj^BN*|)gs>qEmoBK=K zHr;n7jbn$S`$U|opiSUZF|InCMo0Z! z!7Q7cWuSaZZ_!RrARexZsX@kSATVTgm%@9lhauP-NI|MuNU}Ft#zRWJgQO$&26hwi zrsOD-I+7s~W*+nOndH<@6{I=sq{DTh}z0UxEv&qHHb<;`h3^kCh;qIuI2htrmI zLLXXr!%nL_+5qd>=G53+E7x3yr(!VPz4$wenzw5WfV1yb;5$7eJOOJNGc0^cc`Lkx zw!)?4c@4Hs09S{MgyJQedz-bIcW?C{^X~00ynEZ6%DcBSU!vUG`j@Mbr?vPIM^f?; zwdOQ^FWj@$zC<}hf-MA6iKD9HA!7^SQnS4Y{c|_UI-5ZMZO$@>pd+3~FfH@CCwDIM zxDFpz;+d`S&e<|cfnZpGC06SPJhz-BHZvM*rqgf4W;QUOdYVy54l6|f99c_2FtynA zB}rh?a6CkdtaI#t*-yF8O90L$tv7SL<<2lD<3le(X}%g#saP70j)HDgXPv>~z@<|k z_Dv!$eCx+`NdNDjX)*@XsEu!i3zzDhyj$K>@4&1YM+!zjVa_u*u`u@yH7UIRW*HV* zjyR3X?9(%SI7LFAKhZs77oKcJABfjj?VEfuMrqk>>)QTwrJ(J&@R6FRXi?ejE%*~T z#UZ2eCdnt`GgSGw$b|c95OE8BY*nvfLGUzqcU6DuG?9^oo}E*WRrozXl%QUdk_>4W zl(;3?f!8wr&M8<~jdeuC2Q|a;-Kwfy@rOD&HdVE)lAez0M!rrnSLcb#crJJ)9H7_^ z-N3MN;6riMPh5fUC2Df3t9IaW3~_YFjRP%aHRH1(yNvyse0)#h`nQp+U|<>U7n^Hg zPWY^+=7eHb;X`Pstd3i`kwpq?=k-;nUF_D!TjJ4K6$Rm17`-(DV!wno5|9!(2Lejv z8#r_SceYxdI2q<+z6{e;TN%0oPxpVrGxQA2P*Wknpn+?ib+O1gn4qp9E{e&?wmSYe zD18v{&u)>c2?+%eo>9c_lIFXOQ*?=L4>dCZ1f>WH%Li-Ole`y8t2 zs8{l353F=B1jK$#%7O$utlfHS$3;N!J*SA5E57PHmoZWkBz{BV>EA?r+$XK zNmJue1!DHO1(uFQScr!FNA^OHZ?Dr@(n2AgMHnkp+p^XVrb+<3;K5Anflp zYBjV0lg~&+YAq=owJ>?d05kt?RoCT=YdO)?!B%FnazhLZksCc(m$Pz1e&x1-@*7Y; z3H!xcDdkph55+H@P$a@$#Z+9@TS#@zv10tbZei1W!A?S?ZX_=Dj}m{M(M4lMnWI0V zS>R6h%sh?(ntG2cD=o}WVHByty4Xb|XkzDLCtM)ZMAnjAN#M1a|BIoaQW6@H2Vob% zztUaICTTVlo@%a(JB@N&o3XxOFS0hX^9|*Gl{jQA@cIcWee!?xgjQoo$bum#xnHBb z{^Y?Jn-Go0G{M9%sJ)ITDTz5}QHmuCNRH~c*N|#~*Jci-+&~QZtsowdl7#$fXb;FZ z2VWe^FB8^s=21G8UtY2#*ex$Leut5S4*5_k3C3|oAs;Fo#@Lp+#!+fgU?JhgBsajD ztb3VD8V@AGjSvwX#4UoErbuiji=axtiA86=mwUxCe_FibErpC3-Gd}I=HnUgX(^LY zHcvetot3Iy?Y!)^Fq2_4Zqk~rA%iSN=9ZImE-=QM;>wFAfQYN3$~K0|XR~a6lqiukp;=1E zN9=b5=Ei9)NkJOatCPdlRzMOPr1kE^8@|?~zv2F5*_SS$9fP%mUWK1MAi;Ys0i0hv zsS0dF!(Tn=er?TL^_i{TG-RK`q2CXY4YB{He%X+HX-pQs4!11AJ4pG;qB^UPv8t-w zyDz_NlKT_XXDNnTSq|G}Zg4nn$)k5iShoSn={Dsr+`u+fE}vN21l_h2?rga~_~;@R zO@5>w!E<~)AyDi0kmESfukfwfSk`7+=8HzssZPOZnLUzk8yWbN)H>p~*s((}w>(iUq>x z8h9fDqK+f+I#aiTs(GK(6h@bGHA�>(dbd5DM0#R%`2SP=WXRiVOt4`yk-Xd91%? zpw}%*O(02vK;YFWIQf`*8B%B_8iA=-Q6pjUKZm2fDJ{x65}X*C_T%1>G)oW(f4kuGh|@oHV8@@oC^t;@Jsfj<>0uh(zfx{R0Pmi?q{W0hmuEo`S-s-mE6-=x8C ztb??^#J7P9_T#q5wwr*<>05eDl< zgh9bD<37lPqm0PXMU3zTH$4D%rsbC{SjlTXTc*0wm}t%0RQv4sG$wI$0B-i*FP4Wq z0xzdhWz_IN#`47PfeYThY5G}h7bGUk2=;*P*A&zXLm2gr6YYQsq;aAuRkD@Nl#xn% zFIO=BCU-bR8rDaZkrN_!^#q~-$1ClLn*M#kf6}LiyF!7{<)5!YFVkFCDMOYyd5m@z z27s`I_1TPNQ_rwvi4~RNGKCQM)}yHiQi}cLIuP~ZEy=C;3>d*)9s&IP*OiaJ#gIaJ z5nL_e&p?75A6H~ay+r`g$LN`uNn~!g680oH5lX*!AL^8Bkdc%PAVR}ZDj)!q5-t%! z%eN%c21AvU@D8d<)@`gJDhubTyqk2x1w_-|4w(aE5E*SCn>_>83a!QZ3>=@*dJSxt zmuIN9kuMh9@1L0F2i;_aXTkP0hgr5Ot~E+FC2-wrifi$m1=@T?csT{8X2EtVIk0zU z+yZRe`+MxAw&E)lh)q@C4URRf#j;Gq##}GIhuCD{D$`uA$D;^g0?YH7_n1%M90Jag z4nD@(Qh||C>CaUYtkb6jYPp?PvA(9)icIivX+@@4HVfeM6U2W2c^CvzHL}r5%`&po zOxSvsI)9KCf~rEaS4}0x&@3}y3x<-rG~jLYuta6{fNBJEgRa8a4Yy!gMd}VuMGgoC z-Li6knWaOo(@sx!vgADyOtg~M;Thze14Na7`XaaQdYe}4OusLoQE7ZEU!lqqE9m(O z9drfBLAF8xSAlqy7yg6-J*RpBtuZz#+7jJU;QaWD!@$6 zQNeA%CEc`fG>|*dRiW|3siBS%7|ud7-BqE9RCW(dzi;6;X=9JaS43+h4F zZTr(^`OsC)aksH*LM{0sv# zJjwt*fC!F&s9-vvscFRwBR*SWsn<$tcv4f+QK{5?m|?)sP{+i=q8gG46KhB_G_4s2 z4TWknyO+Au9g6x;Sr?7&rSj(Qz4n>IoB{27zkhsx-{0@PZsRa#?X}lhd+oK?UVH6z z1R_{$ZOzud3P;C3@x#fEj_cWQ+O3j48hFY<-L{QbBy@W-4eUpO)9#7zA%u-k*G^it z!?Uj>cvczjgV$@$P~i!|dDwg)<>4z-_#}ZsX&yaOdi88lA3c-0+Sm|`&hya44e2k^ zGyV|LSI?%hi?i}0dB!%g4L9t(MH_Vz zsrteC+$L3ktuEhHgf#s1j+Mx!S0cOL9OM5+U4BamHx;S(3S%RZ`u!B2rI%^Bga@{5 z3{>Ye8Nwx{1ufcVlst5pQClheXR02Liz?Ojo+>!ub=K~CQCjr|S z@B{`t4ZyN40=C8z@aKsDmTn^8z&!+<7zxadsRUd-gMj0L0Blbr;4Mi2HdhdE^ng*g zrtlU4n-&sq>ns9ZLcsbl1ne44WSn4RJWH6n30U(G0e8(NU<(0T(+PM{G66Rcuw_31 z*GCcX;|%yN0`6p(GcHyX#OOv68DRkc?jH6X$XJ$Cb?zC>E&_JV1Ms#f!Gw7_Vb;$a zjEe|k2-x)sVLsI#m?f2d3S1Dt|FV@Fhh%izHPGB1ihniuFJXG4WnOSG64^QKdrrdr zpT0Nn@T!LkA6hyt-Fss|_=WBUmAXZSC$s_f|3>dk;v$tKgU*SZ0q zql6?;9<54t8$Dw$6z%(L5mEVid}Q=wKlXMV@+%{PckSZv>iB@a;e4@L z-x0{cJdAx&63Xqr}|I z>crieM9e;IWW9`7{r`>*tVz4Imk!{U9v#>-sUu>_4Rl~r;`q$OTf{mLaa-rk9vx^F z=|FKmkq$g+87S6)St1=!|KHPrD5e7e@nRh?$w>$9=5^o+u@0PxCmpyWjp;zQNvs1~ zJUVbi{{Jr>5Z@ePcEEE-h_?e%I`-Ug10Cpu4jjl3>%g8VcI0|{?0{RO14&{#u+cSC ztOGic4jBIL>A+Cl4h$9Rzy+oQTX`L@igh4hB%J@I-vQl?ZEo}v=*FH zg!pq@AZ&wwP03`dJ&?O~g;kMK75u$%c_Nn-oychv4Qze5q5_bgux9wrBzy8Bl9~R` zuNjZ!uU(hld)esu5$nc+wf>9twLTH?rRPrBdAd_(+Eu&bcibt{PhU9vI_{L&6A$I= z(4-v-+?cmuecB=b?5>?PR`vmIneAC1`9QfJ*UH{XaYrW|*`b`XaQF~&-ol8|bFW)- zJDXFA((c<=82Ze8S?c6N7k6vMF(g;hCoLVI*lN8LAi4Fv0k?+k8Zh0YEcdG3U*>5F(PdZ>3C#8BKTP7Uth#&OKuo z@k`Fw)WF*h4tnDF&H+}Mjn>eQqk3e3!3V4pM+BC4s~5iJLI(fbxeeC)o-Qb z4jF>p=PxWNGcP@3NEw!xeq@Il1;BTj^8@zKpP*K^?{U+!e~+HeP4c6Aaju957Y+F0 zYtM3B>v;Y0gAeh`b@iZyul#$ClwYn_*;3wH#@~yJyHc{?*E>Gp=;~cZG%tO^)Aj-vOptr(Kxv__&1@8mh1(tH%IeaT^&I{RPl5w(zyZgt< z^UUc|9$IZIa957zee~T<+xnGSU zY@o|uSH~LMKb{)w%hA~qjVCTx_0zfGXC0;*?tGb9I!{tNt}IP)R@uG%(QRomXS9Dt znr7rxeUYxi;h(K42|8^I#(ld1xJs2eM=^L)g);P4T+vhK6san3yY4nz$om8r^6=`B zKqv0u4Xyw{I_|nH1%L|x=e7r(wnjx2OK{n4?jiux0U$R`6MY_6>nd@zPJ`QcdvP02 zb4zldcF7!t?A;1w;N!q>6#(4;`0S~m)7)U(#2O149-gDv_6e@jy$%dHxkai*+`!w9 z8+dzh15Yy%G^_%KPIS=*0Nl4zRes=~t=}DV+7%A~+^%zO0u8?b;2;3H0B{-C?}DDh z^*CI=lS~B-xOFFs+OG^9k*2lcj7kN7TljR zp7YOE;{Kct7wo=;gBw-}47UMb9WZ>KSEOtKfE@sq5mCk2cP9t>y#x#y0I&jJDsI13 z(`Cnf5EO3UWiLP%hvq2!{{k9p=^8~&evzvAyuZc@06lKteTy4-b58)nqrmV3Fth;R zXp?{T`ez}iu~GiR9t4I{xT3ch7)}AhWdL+E`Bz;5hE4!TaKX-e97H~iOMX}2g)dxM zq_W`#-pu`=0r%~y@`0fl0Q=F!xN(~3RRC}|`)e8j;2MYFUX~nq`eTem9WdnKYF#q` zDnInkRzD9mCqUGXpo_oHQ53%e8VtbDv9w5e2>`a|(FN|_Wv@;Sl>P%qeEdM+kxu#A2nned7Y5*iJhRW3gU=jcd0nnHaIb871o`k`&OvlWMPYx`K zpR17JqGae60DKP&*U*LJm7vqgSpX;p7o+AXw%r37UIPufAYs3>QbAE4^A_4K{fBlq z*o|)Mff43odTII&wdH}o^i5#1irgod^M3%JLtNafbS6zzUv}+}}u-j}Iv%Qg5b-x)1|81=y;@FGTSt50z zKQ}qM;*vfLfu<{8<1cpE4TYk>-`C5&^IlxMWTJ9J;r}Ks+1p$wA;P%Jdv_qN0&HB@ z{R1ET3!+6uFXHOynZoCpK|9Qdl*P6!e+81!+DQ>KfQRoRpn3b06h89+t?zu+7x<&$x0Aa4#H>#MsG0*m;6nlyo1K4 zlagIn77P4KVL&!>6#p}C$4B^Bd}Oe@g*#<*B_&1{wVN=;hl0j=+cb_u&KMToig&~s zH8Q^_8Fyz)Ai$6Ki(XEr2&O|JULjPJmfpL=DkXKukLEZ}hXOHGRt(j3?N)q*_j#sd z&nQp5?=u}^g0g~oo*fC@s8fK6QL+GR945pOr5y!I)a`QrY$6sE(MJ4Zh!}~DH7f$; z3^NTiLUzVa@PvNL$F@C8JCcTr0W8|i($qN}E`zk{EPix;L-j9=e-k%o8f6h0JX=+S zb0H45F!2W53cqNOP=A=mp(h-wY90pwj4ur4J6%eh2Bh+x;yP!yxPju7Ukr7M28L`d zWHNM7jd$-FwC-RkOy-Vm=CNBD_68oi1r+8h<&?w885y)DgcOLHaxXfD@cpi!ep_zg zX~#QbkN97}pzokTSLrU)oaqd4sk07*IOEjP0p>}e$GI=;@-hh;Z`VXDza|NEx5*QX zOBF_YsY609KQi6cLQ1EiGz{sNY-ErKgE)o|{H)M!re9ZMie$K|B@dWGfb9&>GX)LWPR2-eHV5$L^wHDNwmRET8^pO2`c3RXRGt3!2x+whZ`Cmu z2D&tqn3)r1-aeOeKeyI}JdiMRE(*!xI8n}L{Y55;&R$wH?}N4{Ngeq-U^>}Rd+m!c z?q5*Mtu>_U{1rA7dJKVGhh@1nR5_vN(AxYMGmwst2;KXHjt*U15y(y(pvFmp-l@*^ z9--qxH~1ZT5vfL^8*XtpR+D`-gbuQ1U{gVbtY;X8fm+!t8L}9vzc6Hfxi;HcUDZ$e z@WjOx?<|fU8Pn+2Sqhyck2jUHZF?=Q5jScp@)wokAH3+S-={r#+E>^G1%+ z<|K^9Pee2d$Hp~JlGWz#TTY^}5G5swpV?`$il509gw0LtxO+bn;nY*c%T3y~{7E|< ziT@_;_-mD@i|#kf$cA28>V0p3J^l|GKK3$u{vEHBoP!0yU<{!9J1r_*i}xhvr?&Ue zkNh@#3}q*Mf-=+(TMy;ID~(jfzxt!V>OeY1y{MXmKV*|-x2dWddSBmYC zoRlX^=nT>-kcL!8W+R%@C!L^|vr31X3sh6btvq2_`L0TrKBMeH!*gR=KOYlXK7QbV z@rPdxIdUw-8J5dM=Ar-QboJf%Cv<-32f34^13v7G{>WqSB(rL$N5v1+BdfJdr#&Kr zXPvr(=r}+mv$q_J*!k0E#jIT&wPW?V#qC7TSTer&nW(>3=l~g5VKl`3F(*a*OoWpz zR{OLQJ?o&kDsCt0ubPeUjr(I|xy9{7IJ_UAbDpg`$u z7+t})NU5TN4cdeF;NOVc%agT6j$*p>8vvN~qR4-%veche`NC*5RPacsi|Qs6Mi0Rs zSOwb^AA6Kj=w$RTI$qGePMy4m55ZMc`tj64o*x?LDhBbB>Zy z+DIRr^o^#0M`1N{Z1juk#?NZ$nVTuI&@SRfJ7#>UY!MtP*?Sy3K>L}Z2z7W zE9V>Z_;Gv)LJ=5M4xB*PjI@f<0>X~AS``f}4apt79v6L%gzELd_WQS`F zM1h4-K+{LG8Cm9#|C4Cs?|Wu*I%PD3Jz4fKQZfuv6;ZorSURE?<$Ae(xQz*zbS8WY zK6-O)890S7R#KmCa!6@fHyP)GIMXgiB3$Eu`|Ma_t~@QlAFA0#9Lu#wSC}d|Zg3ur z^_khSGIT#$G9g!I$<-5Y>n#DHAY^Qe3V$5&#|1#mE>lct5Qi0GTGpb|0rRB8wUZZ| z$~$xM8yzrAlI;fukN8Xvu){?Ir36SZ?&3wu_nFsv)}Pr8XnuBxUmWj)>gM13~!mZBPv=AX2*7Gm&jymquM_->0sS%VXJwVxc?MhmO9DC7XIP7Hgim$3{BP=5gDU zw^H@TtI^BXVsAYjyI5C1k>4QLxZ7p>Dtksu@*ulstEBmBhK92dvq|O!((pCr8qWoB zg(laTGf?;4n&IA8>RARYC2B2XSZo3(1!~0^I@0|)T8~bjz2uMY|B6=QyD%6Vvl%m| zh#9=Bf=v)-*tx|lYOqVAC6i&T%Y@v?L7A5KO+)Z6mx86k%_H?Q2HsVxxce}i7^CED z-l>M*A1?(v!}2YIc+bV~05zIF{&Cx|&(&vC^4OQd=7+AvAA)KwKT1n1+oniw?WLN_ zZR{BdL3*oK*GkVa6&a16GjuTSGAd*JRhq4xbdUBI-k+4|p5JN0lMYMal#K&b8@ROKvU0ZWXs*}k%E?LE z&qB+EKC{po`vlFxa$2eEh-xvBVN|B3VN{?s7!~^?g*Z7WxkG00PI||x%fuzCS)q*u zHOvmRDw<{F5B`L(JuR251KA&B&W?mWxQt$Jj>8o13#uinkCLr2Fj@6r$?-UYJY^Q5 z%q;~HB7q{GABG(2|k-1gM^bE%=_ zp&j;^FJh0Mjz4u8so+tS7f$U-y?i0HIlb8NY!n2f&RQpbsSQSJO=rxP>N5)bu``-~ z|DyGS8saFIIO-5M64bC`82Px;R)W|l-g!)Cjn?puuy7R7!m%~9Hoe!vp$p%%pwFZX~80FqswE%ViqCXC=mS9Jm!>t zK?Ga9%Ff%b;yjCJDC?)cPEc$pFA0pZIoADwJ;i5^8S`vtC|KLjC(y0Zr`w$cEjKMFp5wL(3VZ9uw+Pkr#8YK3I;NmA}krrhk7H>^h=x!*v%$`AX9 z*D~}liMJRZ*KMM1eZ?EOxsP~%yTJJDAU=lMTD(v0>Sr0chxBwoIj6r0vXKmfq6SP!;~W1QxVpu58{5baoMajW#YV zdB#tf>)S1rbwsp%{A9+L9)CizouRQ25#}B=9_y!0psETPj46GH$olb(I{8K-CokzV z^EXL^;m0tEb&~@jDa|vIPq6%Wkm?dBxR6m!8etG5r7v)6$vs^jqZ925lQRjEmgzvf zFc==fP1~;#{|fq$juFw2d=G2HMzCIFNGclN2WWU5KJW@<__PJ;TIp(XQm#B>${WEM zOzX*EdDfKUbq@_Ztt=L15opD=kn2pw_sev2_Tr2wB^lS`8@d9y*4xWU@gfsvn5L9> zoYk^i3Scc!o^+Oi!PPOhKL$sZ#M1VRBiGxNJ>fWsrIN(r7P=C=7F!_OGQLB!cN6Wx z@|3`8XRwHq8w1420)O4@v9?ENur{>o!dqP#zo8CtYgfn200~c4$nkw`%t(9}Oxlo z-ajDQ{Q_3TBCLq@`JFA^6|tj{Z6V(#j%>nqMWB6h0`1oLY6q7^!`?B2H9F2WLMTU) z@Q2W5N;{VB0sJh7y^ z8T`F;(I)y1My!-3OZY$0vEEvGUC`^@tTzkkfp!vv2I~kj|qvL4RR~a5XQzU;w z%4x%M^oG&5Nz(kuPd}Nj{LDK*#oJF1=9Boys1OEdiE<=e%{a-1l0^$j*a-KCx1yZ7 zWG@2K-r*6iz@{phILs&oz;zuWKb&k=x1ivb?KG%-aH*0)1_f%dg8xr3rWnz8I5RqkCx|R&KmLcjE5D6o= zpQ?af=kVWk>75W{btLI>XMSgeS5%ce@w9WwXct5kT_*YUag#kV8#~x8AMomPj92p0 zGA;|?*a*yK8{DpZ<$f>fY92N10%ucR{tnb=aXk zW3SO1lL`8@<|30Xjc0fwIui#@G?g~`o#~Ks2E@XQpyOFbuE=#AahZm@0dUvV>{dP^ z9eP9QRs@Ql#~^HuxgBS(LT`nvH!}f;vy1+8wqTQJZXgp^5Gf_ED?ReN0Rg%ZKN0~h z!pWBfAOb8zfQ;^)`V#Q?SH!N?B2WtZQB3wD>mlUScvXl7M|jf9w&RM`*D-Sg-VJSd_-j(!NPEg(Sn$7%y`H4Q-tmpd}Le`6z2wv+jw+j zuCBWTe)KM4ym@CI#y9(s>2h8X0DT$fCQtMuM`yWghgS-wmsrG}#T2D;fYIaVv;>i( zvpz6}5o^@Qn>G?)mBAZ$-(&@Qz1kF))lzuAUr4FcItD+-_j_a2wtpP7F zgjmG4x)RBdPgHF2V;jk83jC<9v3G}U^y5YZXZXQ<8JxpX1a$E3%{4;vSJcU@xx3_G z&nf#7r9F$iItwTESflhQED+*2h^~+LKLVl}meR_Ppv`8Jd{cu=I$-WYZpoZFhq^>J zXuUP6K&4B&6cBF-jm1Luh)Mo>L(hCUdJn~Fw7IC=!yHCoy>|tl!|&lw--kW~Z9kgM z;yU>QMSj@fQnECi`w>u6c}%rBmF3^8UyAt)F}y~eO28wsaGd&$HpiYMPsO#=RmsF+ zM*&6C^O~bxFGQc30E8LElKVX)gwTyL8P;%>>;i^CSP}Vt6|#o~%e&C_(jewlEK|#%3(qp`&r<39m;<#?-`9}7@jq&E4p`*)GAWjfT*DR(YEj{FT#^05iOmtfD zCIheToZ@xo-y+@7yvc%|Dw!T~wj?8nU-E=3&pHA(>4*Kn{>Y&}vgxw(AYX z?;H`oZEP5%vCW9;@NrOCF$+(%y=Z#HD?Y^-CO*SDK?6pchq(N3i>7*+4RaK61yz7N zb4Yc_EzPrU!RquXC*P!yKc)^b=xBBFmrol~9&*b`dKQ{4mA|ZzZ&HUmro&pbPA~r) z>hDU^tu{7lQd(d?d`C_{W)w-JLGqw5avo9X$Iv1UWq(j4s&Bty4$xq?NUii^#u1>M z0Uil`R4rFwg?2N_h4H9pVs<;*IH=b^Ry@VrycNbl-id2E&JII4`FaT7l|&~fTV;Ep z9>N4WUQH8QFWVr9tLK509@y{z4Oh@iyYP}ErUu0j6x*-UAEs#h;Z_v0KP0cQ(}Y{c zK>vI@I8jS@bn?wY2R4Z*h&;NkW%`3&EvtR%Jix-2x&*G8(=VBBX@-+&ddcxLCb%x$ z^68MkLd%$As;09oN@A()pDyoWQ&0|331JmO!?P2AwRCg zz^ymP$EEYZZoT}uAux^@O7`zE`d1KaV+e~Zuh$7AAY4|9H#W7sD2eUxQrgUeZO|bY z$|jPF4yLl6knQ!_Ey*c6vzIc83yXad$*GgHl0##8ky)}>&veoJ8CzmZ+gc)sCJF&5K{I6ux) z-jt^gPxayH5B73EZHis!06Ui(SOWLh$wX|sgo5n+Rw{FEU!FR7*2^;s{)8u`mWgp_ zqtK;S510!FaR@|JYz3=zoDQO++Ahkv4;Kn?u)AeisG{OL2oVrr`jFT>X zB7xPOe&kPhN@iVZN4bSA1)h@4Y@AZ7`|xDAIZrXi`|y-YSk zOQr)}1+%5IF{{X2?I9e_S6L`IZr{b|C=rpSE)4IU7kQ&_q16#*%#6d7UTKh9b#mzd z?T}W<$V(2vj5T$!X%yVnYa%+DI_ZHbq)jvtPd0yq-@=b8;)SKKbD2tHr0o3jHd=^HOsQk#4iIIwaUj@W zZmru9M_Djin4_uwKtFJmJ^WEUy-`QPVRt2sGv8ltn$>EWb%}QHu3@f{SnHWMYgU}d z{V6y=QGe-_ZdQn6ve&OI*h>$bcXWT?-D}te;ze>3WLN^{;BWbOtR$O?3(5mkPuRm_z$uy=@nIKy9y%_#C z01HiA^1~Q;`*JIGhdoAUE)&QfDpqF#2Eko%lnG?q;7OwR(pn~M=@g3~kVVNWNgyRV zWit?!@^Ij2Wz*BvZ+I`(9IZ@Jop?aIz`&JK>;jQy-=DuLFcm7{NgHxijZR#e_| z@>Mwg#I%m*)MGYaA1n~vvXc@0FQZ5(rY~6E%J7ls!V6e)RJsGo;0$y8y%@b*aXO+> zIMt9e<6QU9L4inyzh=;v1VdRbJBCsi*~5wE%f|C})b=o&J~EL`d!yw;57ORWIg!-7 zbd7Egwd|G*#USJ12Q?gy8-JF)qF?od;0oC%t|FD}FhAv4sSv1brdbgq-+7Qll_8Mn z{>#251=pOF zXEj+o1}kC688YMmCfeMcCx4VU<+`B?D_3$lG1xUSubSJ3&9;QYV_`jiIgz#>(itv+ zZ5$e~pv7Q$*z26V(A-jd7udG0v6TjM1r(Mj=aOENR}Zud)~{gE3yODPV9&(TeRF|U z&3AkIbOMRQq09yF0Q*$j!xYuQ14+&J>{S)@;mrFt(8U!xqTgNL5ds5+!)FJ z$`fUS9ZRHMrHiaWuYyt?J2K`@Q?lSES#vwfu(_V@Z)N&!+GRp9CP9qGDTftNUkQte zpy@x^isl|A8{cGjfp`asQm;LC-<8@>ED&ydG+_#5#d4fCbx!kBebJn<-iya^Es^V- zTYC8F#Y=h#4-q3APcVcISUCG^40reG%e zrZL*5yhRcHbLv+a5T|_MiexI^;Fxv5dl^gJ|C? z%dwkfquU1-3P#PN1E%iQr|x%QsQ4gtNA>=5$6#eqhtonyVMpO|slQrmNx3UP4yi zNeA*7rCD1rzmJ4|%4nY~+l_?HqVxX{rE+=(MR#W{!FM;j;6Y@3*>7@h%`*LZ`Sjgg zLI_*X_ix!@mh?V1uUVITHA_v$<~~Am{MuZ!sJ-{B`5$e_+C3z9!C3ryDRXfHTj$*;3CEWr6y>q$zUMNSt zx^Ir6>rQrN?LPC8AZFi0uD^|VRSJ9KlNx3Eods4c1Fgc?8niE2!)e;_nVm;M?@tx2 zzmDGH8$Q{UzmaU-|GIDF)RD z9gQ|k@Mxnx<1PAK;ivZw^xD-3QQ}E_E2`=v z2~!|di@I(beDu#HQL118+07aRXqZ#d9Jzjp()|HZantk+b>W_sVVYI(vbQqje-9p1D96OUXmxL)YhVBU%w zv7izKAlPYHo+1Q0Ih;vJGFj5XP4XtH73`e4x=vtNSj(ze8gcm1I*=-uK27kiBt_%k zcfpfhm(VWc09Z5K_&wv&v_s^y`6MM6hG*UhKcF$+D$3TV<&nR7LFlzP(&7-TN^n)t z!(Ai?&z!Sm9@NF2LXWQX6w~%S6}D%-Pd(RedlS6VVs4b|u;5_wn{2R+v)0|-*2*wTq1!gwC|D3TF=&FwEDASFW>W{MoZjL83hN$-jP!KxGT0@q|A*LHdpF2 z;8M^KQz%_~g^b?@pkgJB7h>@-9XNxq54!1*nrg6WJe{_Q&#+o_OflO`0!J`-kKG_- ztk*KtYml7~=xtKU-*zL??>SZTsFf*fCyl;6_P8L)o(GI%du#_tW}ct#!GI%-UD;8_ ze%Gs^BWyciRK|ZN5=tvS5Xbh2gyINegXoGq0YHn7P!xl2Diq`3U!ZZmLb+^VLizTB zNGRJ_dioQxXS`<_j(&_}ee90{$)im@XC{{|@h#Kd6|GoP8szU^5R=>QN6m(#O>ptn zP!!Jh^hpxVP}^dE5>R`@C<$jwa`8XW$QRJa3#U(d#$*=`QxS6H4^z9A*%72gGV0*l zF!H|fN8<&?r*05GF6ZUsUL#P_9N7~@ z*TkF&Sl@~<6pW~D+S)cCq=a()mr)xRf2&!l@8yLG;K1??!*w zc$(ej_3eUXbcSt?nnv4e{@Q+XdeU*qXcU~GgXxxPby?|Cqv9%wgpfJCfO-CDpQG`Y}rH^-Z%dhuqX-RjR z8E{b#zRcXX_ZIWR{%_Ug*MtS#g<7N$jcAqO_gPE2afUMXfo%~% z0M;j%3JPLYC0S4)b{7G6>`_lR7OIZ~aP}MmE}sct*?0oBB@=Kf0ZS_hxMD8>KSsdA z?k3>N3^;>;6)6NfE9>dKw>V!XV8cEFt|MTSaRqScY$9VV0UO5<@Lz`$8SfIX@mT`? znSdP+5^y^M-b}#mu>_o%Ok|uRVAXyC9u-BH^9k5qHh#nd+bRi|n?S&g)85*9i}rH@ zUjIA+TM5`vNWj&15rcnYG*2Pmf)T*1{fU4(Um)PG62Cb2jAIi4*WOLQ{~_Sc3`WLC zqB$r4!0IXjzNJ4fx0MjEOGm&%p6<+RvP~!8X`_hd6av-_fB5cWp>Ggy_A>S!6pWLoM@gj2*BC@L%`jO z3A2rWjgJxVUkTX!cLFYbmw+E-!2cxR;s*)%69RT^B;dTUB#j>l*nNtC+X&ckjeu(( zA~L!OShtmcy9ihsD5LMwR5aa;MH+$`6iV<7dIhzWnbi|8Z|Q&8N{)Lkit0QbWpy5d z@LTUXkJg0J34Us8nxDd7L;r8TF5#%w<6NQE!vC+;dz>&{Tq4#} z?{UKT?6r?P^hkE$+K1Oa^zf>6h1sieQ5$l?_@xD_vkNDTf2c5T^`kij`NXrzW)8}X zZgnKBs4zaS{)>cDgZ_v3YRHR*$JITZsIF~x9Bx5!w$d+(Gmhm{hXU|ec$KHS@RubD4VFClaoIe&0WcL7n47%O)%_TRJRw+PtL4u zJdfrLX#O&KUlW;{w5C@1?bL_<_00rSnXGI$KYK`O>Exi8Jt*>MexWS%9FP2y2Ir;NZ-O`<0_f_(_9oh5mKbyZ$QGGFe%(~dr(t9?=w|O%9eZ3(#1I0Iu3vyn0>A3;s zLzl`vL;S_`^z$w6#w2w!(_|~ z>%>3J!O{5So*L}NkCp*chm@NTx0q`s`0SHmlZ{O$U9(TMG@YtVEK9R*$^0Lae|mAFWsfd#4L8C# zdAoFyq#$~I-mTMeoc6KT(j)momTJC`<#<`+-BdNwewO=n`&xo6pEJZBWc{N`Ifu)S z3dr^w`N{GbQR-9Ew9j!nCJesluRC?Yl58BjM~Z@$PYo#zvgWj_=Wwqi%5(fi&b!YL zr9OPV=y@(>;lNgZ-HEr{$;Ny30<`=%fo^#jSOdlaYt81#GX|*t^NHqlE;%sQB9AIg zbCG?iJncU$^qy=~|2yqpwV?#nAj6}IRW1~Dj6!Kj)g;uYG~>%z)Tqqvq+g8qB??6; zRTcQ6r7t!3a)7?H;7fEd%1%b0F6B7XrBo^LCAtE?2$d?Qp;BeGjUL(PQR^9hNoXgE zRd$>K8NcNeak4EaUpY0mNFjSI4f}c2t29bhpkU*tC|qfyUp~h#nn&g+PU|NCl`$5E zvJpirqg&4c!}?rcI0Z2C7Zu84$@)18yYhCT93?K7(iarN^jD4C4R{o{92V-zEpnBj zfMhoPa+H2)B@F*04BfzBLd8qF9;Gj{btrMEvh5D?i*6;bt3=^%X&TP_AAo7Lf*yMY z>KWpTU5`qbVcSt$F>*g1?Z7YUEd25mei@8k96Rv~hhmu7)&H8vNcLgvZyK(5aR@;W?{-UpnL!@yugF-$#G#ZhgJ@+Jc5< z^aVv1IoUUaa{;kK#WOdMY)=A%64f$yzKbvJB|xPdOCoxf#-fRam3q8J4Yz=1)B8j- zif3l4P$W}@s~4HkR=#Xz=8<$X)YC6Vo6&IbQZyVp4h>O4(l!cFMR=rWgo zRn?QgX>kGP{WJl?r+%bNjK6S z@(=8}GHA2Od3T|9*=ii_XH?Spwwv8+z{~XaXh1*3tWBpxPW{kBe88`k0&bChz{aMY9AA!@nUeS^6;Ti$!O)rZ^XESyf-+ zL(1}fy?bR-94mv>Nm(&H&ph=pO~H8gF>Xk?DD}@2yj+1~<1FVdvem#}T@)qovt)eU z8YS{evQjpoevMCk%<7^67>Azvm{}=S4Pi6`qc^PKmTzH{)A(>K9g}v{qT|#poos+N z<9WCW9~ls$Gwee;g+G%q2dC!9HCEB_XGVYg(bC}v13>g*R%h}6)tOYyCbWea%-fojoP4uW4JziRs!S50QwKsldCG9(T<7bpjlhNt(tjQ=3z!CVh zslyOb`jA!goIErTly(~>^iN<3`)5!It6+(^6OPueN$5(>n0s;$O0p7u@p(#_KM0 zoHoc&Bs03yX$f(KZMH|-C4rUE{Y|owMlODn(r>v;5-3D+Dz!`p(rDD$F+8l`m1y2L zZnMyMxv094mL^X)82GCsl8$m_%vs|NsdcE?)G8woG690cz<3#1X{SWVnV#a9WepLy zcM)=1s~soGk@un?Ny?G9GFTZ5AY#pFNLGydFt2mUQe6+-;lx37Ni(&RnvfGd+QE~ zpDibID1P=NYqyEoboNlKBR zpD?f`;X^ckQp~V@*gz^*stU@2YBabxwIcK@ZfGkFHM5`^x(_HUBHLp9)^ldmTTQY~ zlHfg)SwX@G$tIsx_X{1BR!+4m@eUwC8M@j?tK^gLF_bDn3Cf^vF$O+p!dv2l_myIR zd%z{{6L=bdXW~Nu=O#YDm&F9^WI@D&<;7f;Cy7a0K~-Q;zCN1#|dOEi2i^*0G{P^<)|lh;HO7OBG92s;1ui;9Ir_ zkEnE!vdG-=Uk?xY?p9p1*?DH40p*?c2ubE`;uG z6YN~|)Gb64s~Te@VfXS6G_zQh2?e8xU8eK;2Y>#I)g13+?OLb{aXarb^Zwq?ME%hS z`?T{ub5gYepJ!g2&W~^YO#3JOnOPE+m`-nh=0EH2xtsSV{geKrG-9ejV|oa(_}y|G=->8hnJG!UymEoSs2TXIrEW zsy$lTMfs4khd>{p0Lt4MaO%jZ@uZY51g)7}Ob1&A8Yw54lyIU&RO|34uOh52D%Av` znxgL53ja{}vDbB2VZAjm#}&#)Q%%gVg?vrSHEZN5{9UXG{z9^%zu;u0U-$?0^#b+9 z4uN_(<;tjQ#5JoYs-_M_5>ZC|PS05Jr@qgAqi3w1iSW!&Jf#y3>cAG{pLuFxp5tp` zUa@1vmhm+)Kc$+O_ECH>%yueaq3!gEhaOnDHM#I&9n56{9gG_I&5kj9q^?PNrH zJkz+OdNY7+S)z1HHxJC}MsZ-MXB?9#>;1~WW^ZPn<8F2aY$sjR!UXmij%!on%dsQ4 zuE2jxGD9NDrn-^Oo3&&{9{IZ$2SJ{X)*<=RS{_B2bndKtFWriP!-;MtByyO0ND)RS zyH5TZLD0=R8fv&_|5TI1_2BwtgnlofU%v z$ZEH;k~&6egJ&*HW+dT$s9?F*BU`pd{w5(N)$48U9QV}|Wt~KsmQm(42afyN9f$CH zIL$_yXoAF+wb4Pf^UWJRV`Xj4H-5H<+G!8}ui9+^0Grq{|2+zLhE;=Gys1KBip~EZ zRG#UJQ}re_6*O6)e;kzzclzUs&>NC3kg1^xr|nPA3Q)3xTCoyFWZ-*5V$doE($R0l zHae7VvM#_dW)I$pTPa!jRS=6otHN_Cxx9EG{wcIr%5e|4YVfBokUH$S4{CB~9{RJ8 zQe>@I1S*)iR}KE$OTJ`r0}0$n0;g#s-kVAm+u1WpUNeg*wx4+lzwqTY1HI!TS5#djxdl03Ayvq6NLRWeDwJ0$k_6e5I-jHGvqXBeZ8#Edq++& zXbjURnwKiTu@FP6p(cPbwqCtZLpE}8HDAm+Y(d0d!}gqx-gjC(GrC@LX3^NG!Bgg= zKxDR3HX!e?VpOYcROrM4g9ck7Y=@r-@N#Hc(oO<#kwBz$el6ONn3god_+7X0&%DFi z5|GkKWU)CTo-Tt}vDac+RgB~#__v^Zn=EE+*nCuT8}>KslL}(iK59`kiU!rW_`e!d z%|nb%9ns>Xxnpre(>InGOG*O{I8C7jhcz1_>Q=Ajk>R}*-TPlPN-e}9ZY zjqEY+7`O&aqA_5}gKF_wiF`FBO`AILv=NgirCf862(3M{1Ps1TT3cu_xWRcHsWTU( zgtV)-JvxF@`%xvQ*Q)>_OrYXvyNGg1oy(ZhYwawSv1hDY#~cw?%UhXdGp4E8SqGd@K)-l?D;~nj4SR!^O-2BCc40SAML*7J0eqEdKAfsy@rO8U{=m z1--bc<~gSAUPgh4s})R{IaYy#M(w6tSq8IO#>KR1bHLKGwEA*2H<#`=tyIGv3Wn$P zJ!E*yCkcD2$B)2WlI7&aWe=uHnPdpi>f!MS*r2ZEG^)Px2>l_TI8;R*gOj27!h@pc z8AC5+MJ2uvd6$FN5U-407qV04%Sg>zx4rH47LdP1AZ+97Mnr`Sn|d`{2Hmsm@xJhYkgn-efE}Pm#p3g>_@JbXhPJ19D9}02Xu?UE9A+cz#Dk z(e_HRwXUM21wZBn|FVv3t+C&8b#Lz;b?M_#&FPz#%w)Tx4`T{t6Lk@?Y*$Px%wMr) znL+68?KT=9?fcXny#~q0qCLVUot2d&q3u>rBL_8dp644OHIU?X=8;7rHjXh6={W+S z@G;@#t&RIE6O*5WZV#^#x2eCtXlbH0D+F37S6(DtzX&wT@@aOQHREf&vI4DSl+jE< z&Dv>DR5|>v!xGC%Jo9?YLT%U$+<^EK3?m;IF1R7__w*tDBriyEF2m_vN=%TwiO=an z{3+JV$(m7!S!mXmcvmc|>Re8fQ1vIozsE32nG_8-B>q4j;@wx6d=#WX;xzn)M}K5zm4H)kM7hPl*4DVU#j)6yA{dcB+`+Gyd(Y-naH6lKf*LDtw6V zLNi~r`Gz%fvSyEqnt6#ge)157Z5^>L?^HHzFp|l3Gou+VOyyQZH!IJ3G#kmGH1B|q zpQ|3tGsN4-+^|X=791O}eQ4;!R=@RRN3O(2yaY?={2QG)T#1jyAE-n)Iezifmp|Fw zZ=#^RJPuZ5z$V}j*6QjH4iW!T@R4y@bk1Pm8x=DbN-i?~8(BG2j$KiX$K1XYOg6Gh zKF&K`tns--Gz$>fg%0&gIx}g5JScc81@5xceW73W|6mB zE8kB)P2?Mitatod)NL7&tOR9PWKxYX@=`}8{1U`1HVlSzYfCSBJtR9%sEf4AEf9+B zT|3o@I#a4fSpG<8K1Wr!(h@#^b@;+>BVTN*LQW?OM?!NHG6>D3IX&8nyJx0^yI;b! zS`tWQ<0syJ6hoI2W$kPV275+P7=eZl7&{LWJ7uDBmWrWl-m+m+1=H5tTh6jk{v5!= zS5TWI<|(By|Ib=_330r}73AC{%z`?U@*xe!rb^O28%4IYqk6PYZ)1g36W3yE+?-x2 zNuf(n&>)i}LiV^hxN0wR!UyT?#kg&r`DHZ%Xk#1Xd;l$9MVuRJ+bkK!&T9YY7_9j9 z>y=a6GiIx0ge2?hGR<^U|H^ikX|iN-N&f%BGGry8s3qhuj=0+8br`QbSxM|HBX%0t z&0tYP=XwMS#C$;zbs{ymCTERS@{N3JSt=8#jr2=u^EYS+wkwhzMGypUY-9-7t^G=& z*SI7Fl@z!crKP2;%|`0fCA1Niw}eRQ)%F!>-xhNW-q6 zAgc2gD6FW8r9PpMbh4Xf3;8;jEehHcm=f;s>WyhTi#fU3Y)|p%jZ0G$NhKt95E=a` zUbBYuUeGnk4t9Xb(H_e@M$k2nEixvoqh4Lqt2Km_+FQc1dd3U*HM|NR@RE9uTIIO- z-qY90v(QM$>>)kI(LaGMj`vJowRifWI&Fo7T>muEctHGHWnZs%mIVV@_S;+?dX%V%$%qxSCQz z%Znu&$|c#dv;)Btj|3kHU15|xfm_u24OF~x0~N2_K*cLJvf`E1BxMw@T<&_s0sl_5 z-13UU!<1=fCo#q1z0%3OMp2*0O4J|4P+m9o!zeP7cd60oT>}g}NEv}x`gz8NidV{m zv}Hgy;i@R7y*=;FW=9?DqN7?ADl2Bupq(?IxgDKyx*2iX z%c3DGJNFWia)?N|B#?qr@m=JXL?pVfSdKOiuBO}mOiv9Pxdak~8f7Yk8nc`o1N-6B z3Vunw7D!8KT#{{S1qnfsg8G%p1WY*;Zp`KDSK@3O=K&UWU$~0uS0+(l+TdK1Okp`f z2W#ovFEoF}!Z+`1c#c12$H{qIlB@VRCS<&cLz8Js#$8Q$kmPw<&HZT?P;-vWsta8u zaTd#9s$-cgKT(a9Os^aCm^EsJ3j3i)v*oof)8ghD_?S|=Lgg`TmJu`~GT4!TjrODB zLxc8nwInbW-mj&%qV_amUJLm_*KHJZ7i{;7EO5&QwP%4mVU^k7_X9xrw?Cy~YC}9L z$zREO{`OVmuN1h*;9W?b%cLP44QAIwZugd&@lELT<$9;kM6k16L7(Ag{+(7Q zMG|n0$i?scTeOgh=#{`rEOezK6N2|>dnK@$FKSL^KYT1C(9;3W8Clj0-wyKVCp$i_ zt7*|G2_P5bwM-e5h%bCuxg)wb*i$KRP0HdLQlyzL5>Pq{v1? zQ)^NdLbrv{t7)d$#|(vY4%0VCsYxMUWk=B9<+q^8&FOYW>~ayiHCEzCO;k#IubYY# zrX?>S>TXrzqsC` zTO0fa`VQCLEs*J%p|+IQi6%A=Tp}4Eo1A$bcz9iVw@5z^B@h#420Pk0q*1aN80%=v z1x2de!IlO#2fGAbg$aHrk~|41p$)%7q~5hVXqdt?sjKlc9P?76yr-6uLv9*fcF<$) z&4N9JmB#NPoNiK|Q)~tt%akFrD0(Vg$!ysXjbtLeR%UkU5Mygjvx zUZpaAeSi7YMEOr&2Y>%FZ9A-E)+mG5a=~x7y2)by5g{?K&mD66rt-8CL$wg4gst24EYxSR1Wa)w#_?x2MX0bd{UMy$9xd$Z!GXGGdQ6^O*< zgZX+sHq=pHO+JyWT7Zg68vkA&RxC|Svd=ELIVM&z0Yn(l+NR@Z8vf#pUJ51|LZt-Pq~ws{W;b!q95eu5 zTvFaalBIYB=^XPN$)i%U+&jC6cnx*yGbv!JW(UZ zzupy6B`~($!IgSkC%39l|1#Sqdz1@aN7Hr<`$-T$*J%=fbQvpKE6TWVHJu>t@_nn% z)-d%z{aVjCd)DDOFlPd;UtVUyjHakMGeGch=ni+wj#wBvL2fNiG0e-1LYE2&?br@m zB$d$VCs-}f!=>~GUqmy=iHvFUsT%wq{; z;AgOM-Yvm$a(Vq&d08JxDcQR>oNx`*!DJ@+UEg_sFHMT{ zPU7yUqrgKGB4f_6B+q(?u*bP*WJg>o`B9IkxHvMnEx|Du7`-9byBzVQM}_4mvXS@` zORA#zBuQ$sks{ONZ6mJoB-^+gUL;b5U#|+(9 zPyKyGA{3Nr4&X%v0n~VpT+DQ&#P0 z>~o}X+4_M6b`)&p-?4>ICVsLGEU-pCYK>e++p0pNWQk33|0R^Sr#OH|trX+fxox*& zy+Byc1Ij|g+k)1MT%ELaBoApy8CGM&g{Y9@nKu_d;uTmr12CspSZOY7!aG8KqW2+` z)Q=QFjbl*S_;N4QXsYm-bzBTJoRtQkeFux|+Z&oF<{iZXnmiC+c4$echZX7$X zEj_)V7dzeuB6e>5gel6%N^&mxVEEqwj7zeVHlfS!UKT}~T0-9=b+s8Od`9Z(-rg7z z2&l_niJ)3}s4W%&O25M%8Vaa?7KxzTJk(~Z03}#7ck?Ogq{>Ql&kSa69NFV*{=>;0 z8|Cxp56Yoexg@gRvO1nsegOe8`zVbI+aHmaY-x%UF*;>0KftUCo<}0KaZD2|nNNrT z*ClUtu`adhadZIb{=|%h0>v)pc#2)R_m<#?CJX9IirU?|Zp1+j$y!Vv`V+RN{K4t( zU5A4y&uSu->O6Djfj=H;_L_J8Vba{YVwm}#q#RN9Yw$q!?njBLYx>Gb6 zGHyN0f|MA~ArX~jROT@&V;+73J{#e?aCg`t&K_~c5$fo$1Gt4P+-JsX5F{5uJ}dM2 zJY_EH?*0@|qoqT;N!u=eQU$JDmpbo@S8e2el(flRLvKf=%gkg{x+G$(-sRFTft|BA zW^VCNiJbQ&8lK|$KLhFuL;6yBU-z)Lt9xo(zOhX{W?+3Zm;0q>lwtueasYHk81I_7 z=V-j&whKZmw7AB?JYBeZ?jdHQG1aI0t60s$3wO^4vml+l2(9a0CxR>=euipob$73{ zdFgad7y*qO=z#DO)*{2FW*Ty15MX+$`9q-lU+2tp z?OfPzEEWl$&BZ_1ixG6;V@2g!x^~h=G`y=b!Mj`6{G)+X)dmcrXov?;9Sgu4rqZ}3 zjw8Msq|Cg{%%skrR}ydoJt!TQ1Qf-q4Azz%R)L^NDbyoeP4o zm|!U|O@xtOF&{Y{aY@k|Ady;<2*1%dn2l^9ws|O7v0Rk(aUhp=#Lmf=u%G!mA6<>5Yme{_KT?b^%^ zPsAA?n(x?&|306|7MQx$%1B%mOdW*AN=8SNNwb0 zVK?`X;8`e)lW!#j>oV^W4N(nyO@TS117pdn!#iTqsy|{H_HUa&8q<>iPjZdoKyUtS zJpab2yS@DPXG&bZhsjKB`KVV~jpPS*;;W3jQ()_cSs!+uEtk0m^KAR07FX;mAmL`` zgY~=g;d%k9XCX21-RUFsBAuw`+5C+|02=pjH9dv#-sDw%W(Bz*KIfK;H&lj!$JCca zC#QCPAiL?cM9kcUPAq%!dzz2@f%Rx}bT!?ND75HmAcmVAP^+e})AY+)7CR?P;2A;H zlnFF~wNv+tM(_wvVn#V?f*MU5E!RDaN`B;z{3CzBj?DLj^R@U@QY^4LMv6`@-)6I2 zn^DQ)`EU)7_~!`y>ZZ_y%;<88`+fTp{B~VByOOH0*3dr9_tfPWLuj7C*8!#jpQG|$ zAGcTs+c082haN_r-;@dP(cecr3(H#i`=yo=52#0!Et zG)GoCJfq|IiO$y$$-gR!OI^N;H5hY&)bKO^QegLq0(I`9@K$(In}ASQ2s%Gv55~8l zq2Mt|{>jX!F_KCO_W<%FjinO7+!=A;y|{$l%kWwPML_r>X_di)Qpj_=zXQ7J6K0Wg z|39?73tW`d)<6EtMTS9;LA-z_42VcxaKsztZ3a=%P|*yp=?ns)DI%Gnsmw44;w8cB z;H`nILnkXNE4)+#qM?xo$&AWuF!GR@2R$AS8ApHLwV!#IXGY)mJ?DJ>|32r8!|d;~ z_F8MNz4qQ~?`Lg0Y0BuIDRi!K$x(l2Y(l8b_!lNmL`Yvpe?XL7*PpIq;v>j{rM5N` zIgNk&+^)TVwTK4wID!hU;g?1;8(*f>3I-uqO5;veFs+tS?>bsvHI{XrW7P*lou~0d z0?MY^(Z97Xk`bSA1kh3`Y1-qWPIcYL>$`+%62^`Mm2r3ZB*Fa9{g{~gF5e4)`3_v! zu8z(&!5(zKQ{W8u+r2DmtzEvP7vJG4`#PId_xmXV+WZMvIF;>xiO-PQ^A;2LE5l{Ne6 zsdwG&;a6rTvgvopSFI7plrq?jVth=A-#rmxO5J~I3h|>|R{K5ZZ2%Sy}xL3r*8rz;3uP zc00`YQ4jgeogd9Orh&u!rGqeZ6-%Fo6Mg=VdrKCF8kySUTn zqtwPjW^Jj4Ufqa_Qo8x{#vY`2L`=pP&|Bsw_VaNiv-m^6YIq^Clq?~wvW;yZN z-cKpqW?Y0!Uw$oL>@kd%ZumCJn!gH3(*8-{mLSPz%K~row(lKydc7Rr2tOtAp7rkx zuxSE;tK)2g=H~?}0nX@6;EXVUW!nkdQbd%K2#f@J03Vo4;GqPr3n%au0-FyI*t(Oz zS)o6l+fy=~z-P} z?DtcmUnlU2E&!WeC2&JgSikumW4r)vnMB~YCjlNmumjkzy+Pnl2y8e=VB0fHKmr#| zCvYnR#}PQC76PZ8KU zo4{@Tzy=cN5#@IX{1$^DTt{Hpexh9X0fAR_BLV+H;Fh_>#tZ^$ml7L^14$ci6WI76 zfj=N{$TtMOIgh}L2&_sc@Oc8CK0)A39~1bW1TOiJz?&8ToVSy}woC#iMH0_n61d?w zf%V-9e3QUs&k^Mc0&89-a0>(fNMO%T2>fRE7G$R4|1+pLu7@Axf?Lh<-+AI^d6{hK zC2iMBx{zHlgS*5|?lNI+mx+CLOzT}`iA&Q&1IntoDk^$&S- zC)j$YhORhsB(&LY$nB38C;GK+DlT7uEN$zm<1a_f9h_Tm=;k@EnE2Mi)6*B9(S&cf z)3?7zlw^0pT-~mM*V@i4_wL6%fpEZr(B`-vmUD04o{No=?5+ zk=pr%^@Ay!S)Jbu&Ca<)d?*iC&Z}Eq-(bLbpr<> z9h~~0a^K;CeMgl0j}`1cr94nwaG+Ls@KV9SE6PL7qYJfps=%nuQ-15bR-UkGZ(VQW zyyLk+l3jo4bJx>)|2N|#?jJ7)jFy&V^>6UgmK-|sD$;>X2#QyEjj^b^yrR(sEHvb3 zY*lhYA##D?5KOMETeznr61l4uAO+QMBN6IFzxig;A94^<2Yh2>E?)inTW&dCjy zou~rowFkQ-VG zlsR>g{<)RNC<9%0pH!?<7)GDil=< zMWHqI$mG?CBwtfUql#V7!+;^WfO!B^)XH^*#n1!np%TfwP9v*V-bu8@s3AJlIsjT> zFs;R~2c+l<2}5%upO^d?C@cYmOUTT%2__dnOaf2>fcbj>j)R8?wC45H9QBni$5A1|~lNlNDeR3BNSR{8jQT0Cl7M z_0Yo~pzu$)enzLDZI|C_-{3oI< z7SVSwrLEHA+lOa=abCsNAlX8!rx24?nyjO0$_C9T&4`IMh?&Sx3?LL^qR^FzIV)5O ztYP0-4ko&I<7!TgFlAK?Y!|=W^_jGl^vuS0*U&R^(KF==_6{+tr_S}6WjO7FdK}M) z&a>4i2DR7I;`&V1OL{!h;XS?{(K9Uy4L!r&kWkU=o*icusWF=!yy4YId0Xh!^SMKl z&RUy4oIZ(bPbt$y54~ka5Ct72Kw&9mR>`@UX*l6EIK2k9Z1wdv6e`w0wOi*0+{FEt zssJoQGRFI{fL;1GxVLP;_(Htac&6g5N(NtSQ>+8Lu%`$v^C!5DhnorB;W&dwZbLGp z^FH7Dam~4n-rA5ZNL`aDGxw5kUecs0Bpk|+Y6$V$cYd5DCE$HM@+EydeiCQjGt)*% zZPv*-q`#TTA+eCX$1GSN5-DjqXe=78P%l&A$!qV}{W#lUAFaNMi#B&fz9o(emKYoJ zv|VlZNh|L}iFT0Vihe7>Z#;mTb&Zo#_MuikFcG1rtVdp4iyx<*D1IQhTaDuZW248! zZbUI4JuOY*jchirFVj7bXPSwCC;wBV>Vj<_?v$YfP8A_1z$gB&p*<>e=NZ!{v;psv zST;GA@OQ>P6V#Is3xLc#jd|}qA&3uYG|>)3zy&6vM#9C)Sgt5m)d_8#`6Z}1 zuVYpX&Jo@EXDrY4TWi9k&EnN~=J30@KTT9mj#s|~4YCB7lWDTB!BaYeZ z1(HUVCP`eDNM!+ZSn<)aSyZMMmqCv+RcQFNTh=#V7-1v%`lSz1y<>AX717gKhmNY$&) zLE$H~+SP_Cu2~xLEldK19l}^)w}q@wpT!DG8u`Nf?yN9~3R9J($UpVtt7xaW9@jHS z`3|N0*+yn>J5EPP~xTzgp#D|`8|W!I=IfBoajzQNCm z$Co9&?c@b~?lI_Ep`wJ7)lNNY`=qt&^6^ane^hz9lG3TZ24bwlVOU0WB~0?_G$g&Y z&Qom-G++BI8Xd)3e)~20S>{Y#mZqFfN@yVx>;)#nS$Lve?Fq5Wd&-Dr$&dKRrw?j! zKeLR}X*QYnR8U#SReUJEbCk`^>$3*^KIXU1a9xqA@SViSEifV^OUo#jN6-gUBZqGf z-D;HWwGmYvQOy^qI%<^dZJ@HoAE~SXA0lpKd&{Y;lFAe6f zty!a#@tm0sT6Yv_1#lNb8mqz0KAM7WmmHOe#F4c#PKM z{=B}%y0KnwP1gFzg1W6#%jU(IO*=dWdas>RYx2$r@ktFVPo?y&8%AM(z+h_LMCC}W({QlN8a3f18$F_ zq1Cjxa%t6Do!}Kro6jy?&Atipe!vEs%AX-gJ{g3&ywM#q*{>uobr`XgMQBHkkUAc+k0!bcIOo$H${(QeV27mal_9=(9%iBw&_)27J)DG;DZFdF9Hk3uOE1WWX~emb>P*Zq&s)o z2RUo3);~Y%?AaIgub_d#Y231pSYtQRbX|e}w>LI?)011MCj>abW*!{!SE@n`4j8WP zY(S#N%_m=hwckom!fx-rdfVAWGPW?2p;QLhln|1G;E^ zscZJjd*XO)33W6pJcKo=x#)|rn*p_4xf4IZ>hCWI%xWMBona;6>v7}fIXMo$pNRfIi9bcqJSnr8& zA1^uq^OpTGueBuE>h%>6pHtW4%jl@A=mF^*||Z^ zrIEo)uU7;M(-i%*Kb*~8&hy2ZL+juR^Uy3f=g{j`XH%O`vZiii%p{YAil#Cr8KaWl zh|y&9vC&jz()GirvNQED%g9@}uY&Jm#b1G^a!(t^d&}{^Iz7IA5NkUPQ@G# znjG&l;~BwAv`T*n+)Uv5q2w#0>p?XHVW>KPH(tWG){ba6^b&j`OueR2*zaWN)k ze@a=|T68Use)}$23zt}v8ajFvqxW8w&l>uP&iiHu@QY#HFtYxWB3&krXAfT_B)U^% zNu1G>+DHE?nS@palaO9NMjghh1@n6St>2xkUcQYDkue~Yt!3+Qj9@3U(F@@zH;G%t zKAgGyXsG`oCJw@UGNfP|^^JE$26v^51P-Bzi((kqpcSvN6ET!Z24iIgQ|vSt3p1E) z%wUSe29qWG5j66@!bk8GL9LOhtu#qV6Ps?c&pN`)!$)?OQ;4h#=%f7juOibL!4@!C zB!mQ$B{nQr$CGnmy^1-PM|zdE_oKb)wsRe&c*-Fpt#tds825|&_u0iiqO$yN@e#}> zL*!{9Re$~z4`tpG^*{CY^@Lf9kL+?mh-T{Mp?@XU!-n@7k?T1?uBVQjr@vPC1yEKYwNe=ko?RXHKRs#4 zYh*kpv@0Iht2|GVssRzR}Gu5v1_T%@@D&c-emD^5FZ8YwOUgdUo@ zIu&Q3sg%oz_pfMD9KZTVbSiFmAru$-S8)e^z>p=>@`~9mienz1@4%0Ee7*y_czkUv zo6@r-OtMIEr$BU-xC49o?M7w66wlAL2)=A7;50#R)P8EA=y&nk}d(}Y1PC| zLo8-U!borzwYoU8P5x|2RizJe7?n0Nz>l^u)<%kk{6ZczV26WgY^o&q)AmE+tvj?| zR91#r&z$2FG`1$yZBv$_CmkTi;?Yn3gQd$->N2$Epu))|?sk1sUY8*7C#SO?k8`mm8x=^v5C*iQR16>qFNs%x<^J072qn5iJZ|!ydWe=HLDl?z zhHV;K2x?@zM04Mp$;_o|T!H6FuhHgp0J4eLwcjYL!IoTEqujz8nh2UhWSvxCE6P!m zc6u!lh>-1ZsFOk)W1$t7(8j%LR`swncjoUMZjEKj-HK?kE?(FOzXO}gubRHkPp8Hf zO>)N$<`ua>&~T(`PU$qX&&kDD;|oLAeZI1xSwdxbKAZNaJkY8YCqKt7U}DT9e6JIS z3T=WE7Kaq}TfH|?prQ)FM@ZC#ZpZlaZBZrKpGhJ`bYXiXJv~NCFdMt}w=%X@GQbOu zu(05Tp?76a$i){#`$4fC*wS#QRd^gbG(LVi ze)=y?keUb8+XN7H4qN54^>}F~Ho;N@qeAgW!g~}QjF7}n*1Tcc#qF#jiVaRGn%%6b zdJc1GHX1cRM_HffVCaHP&7y6Y>;%~XhmM>DSE!%@1F8PGs-5m%30qLaFZ*L zX(FEijZ@gFfXyA^!uW+%`>NkDjg3$~vYblT{UNF8hEd=hV_Von1Q=Y_Qu=2vGZ$h$ zWXqA275Dg|&nCnYO3|4LxTf`jx&70{!-a zVk$6nZ4kLuXFVd<`dkqKOS-rMKgMsti6+#`{n>}D-lqxC6)%f(v20|EWwxp0Wf3oy z*G-^VVg4+mpi+cZ(%lWuKAVMbvNl$@8J>OiHEK2^3Sf96{tLqk=zfAESWpt_G(7tw zhL>5wTBqAhckN{|?UkSahIjS9Fg)(Ln%0 z)mO1)&PUv?{Wg?#(!FVjxOz+C+}Ezz`zaH{KtVlPYze-nrhE6v`Cp5wxs%dmt!@yr zhZh_ppL!8vC*ctsvm1viGOrul;U&As9Mp@ht9vDiv|iKwDYYw!GhHqjP!)HB`5wN# z8y?@@`r(hXw{;P_+)bPRjl0qpB_Hm!P*ijC-e)}XFS7QwPRF<)w711)lzV%(?EQ=s zbwxreHnE~wy0e0-lHtyVlV$5VtW=8{&bBDAv6og+QJL}pUps%SsXP@@tvC*w8`nQL1`jpRDnGP14TQ`R$%}s^4qw|D&j?(Jh8Hu z>qChe_K@JTVy}0-ZW1e@NZDbHD~Z&@jD#gcZPr+K3g<1_t2A_Y(Tezw%NYFcQxz;% zRXp30Uk_TakZBR84#;S%l&h zo2V6R$#KNbCXjRT6QA1cmIVBW9l+Vg%KNzUAG6+MhPT%4uK8KlC*3uT5E=FEk`6C3 z^W0X+DI?J%9<*JRasJ;{#=`5o44xEO5pSO_%!_{z zGFrsUUggd;p4%~Y?J;_LOp&)rW&OPa2CMiD7tsvOGLq)|hTv*pl#Eoh^iF{-x-89- zSiSih0)%?8G;4D%%>+ADr!t9c`Lre!+o?Kr3aP@g;5Jl|8fb}%M(?`zw@yw*%$C8# zh$Q%|z=)t$p2HFpI0wQ_#m|+Y5~qzNo$Vf*B$nUuB#{dVb9pLoa3gyvHL%|1JrRAu z<&1(lb#+P5qt)N;P!vFl6b2QsD1vPzO^k0fxKxj)$hR2N`L^AO^8yi5`Tp*QjdS&M@3<@h#zZeb!AvO__6Zmj!53L$IJVe zV1)YlnN*Fs;2-XG^Zb>tK9@xeUsJrYIsZpyMD?6c1E%b*P53;W^~jEECxiu6aB;EQ z)KGSiok246X>hYO*})J+HYXBG`}_q%pDa=Rb;ToQDDR1sv1%eIBY%h9<*BR5pzWfJ zvVU6{w&xR>3`*Ju5qBcn^Vh5U*hS2=1V;M-MdKpQEyZRWjViA-j+9B*h9iz`2qR8w z@zDJHE-P>i54bl~AVq z!%YdsWmhCF_Nv~WRp!!pYIw%>m5WqjTsDVvngw!Bk5&^Nw6qE?g^TiYE-Kh=u=_0G zaieD4o6IbW>je1)<<`A9JtJnENV&&~6nip=863Q6&?6`(Ofn;?;2@2oJe@H^^>Vgp zTvPBbH?h^rnE-Vta0*bL8Z__P7-vo9V@DMS=ZM5sFK-L$drxEuaXr5wJ55Ux@n=4E z+y7t#HU2D7<3E0`ZKeIGYrh3)+5-^gkJhkJrSAj5sD)AGBfs5;T1CuQmp=##e*k7I zebUUP&ZaNbPy1MgsVJJ}63YCT5e(_8g-tjD+Oy=#-N(zT*O~ecdSXq_#%YX~etzwp z$v!hV1%zEfnOyopGJ*;vMH8vp+w1#?Lz(Lz31v2U+`|)!qiUfNp^cmJf|upQIyEml z{(C-9&M{1zKJksdaX77GN!cVDp~ljgKUpmF+2f6h{f|<;9(>l7s)=-~8$g7Fj#IdQ z#xKgw#ZD_|!c(Q_%v_cK|6#_0hq0z7lOnh+{tiXpq(IekuuGT7^v#J(_zx&t=Q0zz z=@GW7lniKYUUZf$0W@4A@%tMrTiUVg>6M#djm5eVxyvbnHpO2Hwziy6HZLHLhA99r!5Kf`SvSRMSbfRz^th8gYM0 zyjnF;WXAP)DjK{PXH8&>Q<~GoJ=XIIy+8UO?I;8{g;H#apJM{ znIx~RV=s}(CQM@Fj@P*Wmxd0SMJ7Aszc5+jORK4xijKj8={VL5#+Rx#4q7K_MSZXR z#*Tq}D;hhc3av=t|73{m;_2o+&-nbb)m`j)OaR4ats()eFQtb@riyAx>vgbYOLvjj z^Cm60e{GVpna!6Q`R3T*^3=-PTY~XaoMYNb?`*Jbl}Cei?3kQO&~DSgt7HbPNwfwR z``AsrUQ})yEMg|<`2{zU;>lU(JQWb(*F3P(fRXy^S}QvpCEB%1{u8eQH5NL|+n>;8 z_>?2y7Zh;H_~)xw8^rdv5}72qSPYfwemcoY5KJ zkZA;NnoQs^1lIH;a9kf^<81<`?I!St1g=aZaO)I+^A-`<7)juI0*^mM;KIEGzE5Do zBF08MQQkq|x+nrK2nX2wC4no72|UgpV97E9Tc;A`V+2-@m8ee2>5-*^KAuM7gUMz_u6yr$zuQ^XUL^ zLkWRLcOjm)61Z>%fkzTpJBh$|F(#bYIbHPZ-u^GQ%X zJ%+&czQl7_S7PHZfsgDW@IC@3JVRhxKVoA6fj7NPlvnpLo!e9S9)a7QB{u4J*pk1n z&m-`{{v=>Jfdk$na1&A1eL{v*JsV(;--)tu5gAg<00M6!aN1EOU^impbE3SpnAjNB z5#aJvqI@kL0-6sIxZwi=zsbOt3EVJ`C@~PswtbBTq?Z z`BePG{Vk!ZV*FEso_#ST^Tk0gy)v#fD{rbeYQsB^VaJ@Zuod z%&CLMPkmGazQ;b3FzA^TFJ&%H88p?fDsxHJ#Fx?+uUfJq{l!6s%;k%g z8&)mivyvEIT(xNB;9>5`N*q6DOiqu9TD0hysqwm4|6C8B#L1K1ZSpMa|GF`>jMH^2SfNDRf9f0niRcr!|lCuC$#iFekbzWkR%wx*WJ6S6-! z(eJ>NcME-nzwMdOXZF?WmS^Q}?dbp3SMLNQrlh8&LfR?s-ke&$uJ_S?##=oLo|PQ? zG46<$_2I;%XSrTab$(l#cClzqSKDSo;?lFJiQdODheUsnQ?`45W%2iObPZI|@+0c> zJ}I-V4^LE8)DL=)+0*RB;ZQ%)zKq%7aXiNB6Pzorh;8;8RerTBK_7j^~(IYOMMRIWU1?YtFZrDTzAUJk{fh<%Eq+v@gv?Gi$oe_GD>aVzygi-aQq=r+r!5)Frb+w-^~;MMYu% zqbinJCO2s1#sx(i`Lr*0Yx*~B9uEhjvVHR1saXdu|O<1(6g7 z#=qMjUBEKStd$34{@l&ZGRxHUzFpY=V?m0d`~}B5RPa*NjBYwV(B`P3Ib?Q*B$BUU zrtk5bjv0Ivey`MYU0`%nab^6wO)>NMDq>;h-Rm7yv=;V1UdWQyd}k-uGw{F`*p?a)Dgj~Vojq(T3j`R2-& z-o~75=3vRLu}`#lTD3pfCvhEoJB*c@eHqrQv}T0srgJG#%C*vn;>mUX+MmDB z9pb!}b-E)@cx$JzpK<9y`7Ftt4*#uXIAEvD*WXs zzTuvp!SO2Te2ZFMq0xD+GUTXQs*sKa$#r^nhHvQ=?in{ZUZvV$QLDoT>$C|;Idx^# za>?8RrF2JUe|atvnQVF*30*8mjiW*KomxYVv8GyH@)iI&$b6HGgf3H&uSHc33X1{w z&VbA}XXKKVTTlfOnH)y;9nXdFsvbyWqF#?GkbS3a(^^l>YTqo`YP-s9E z?*p)OagNb`R$g)ufcl>Pa{1_R&uv-ps=_;<(2OcB0Z{R|TvD(dRRp67h=bT0E9G_DF zWC3sofa?I%eIb_&2H?d({_-#YrhW<@Rs-+{s<;ZkT~PQP0Dt7pNlgae94PDpAYzCv zZ5lG)SZd`GLoxIa1x+G%P8@RQ^w}kvnJNa9~0^nBwzK5cYgF@ExImT9`#tC^1fU*AaCZxup%r`xL2jCw7+idXPJJVn znBIe!i(oLH!9QdJ@DPB|;W};1GBkICTr&BnGUo3|FuAh;Yz31~>{kyR1Aq;H+;3r% z5K{^%&eo!eVo(^qU#(6DU?!xf`&M3Z?gOQ?>Urql4d~%DP?!P0>%(25bKks-zWFZ1+zTF#1F#N&w(lV3$FPTV@bD&@`y{H!0)@K(+yLOp_i{<)#}IP` z4CY5rs6iDw0q8qImo_gQ6#fDyc3c@V{zU*bfXOcbI0(RK0IC5f`~iwO301F#BfSN{ z6#z~E@Dy583wvk+;35FBwJ?}mNYM-)zJeZ(jL>NpuE;UkuEHKlU{SB2@vZY5^N}7K0QFK+Q$s9l@DqqfElk4tc5CF1DI+I!Gb~UtS_j^HiMeq8Bp7r z1X$c)P&!_Y$RaDV}NOs0aJfS)aDblNWdm`2ki6~z-|+@Hlk)D zYK=r~B~cp**qcuPHvUz>0zU_}!;3+!fvEkBsI39)%h3=aHwYrk+z!}r!0MU+OS?zZ z{zcTX2{sPYJiRs@)_7BFoZ!G;6Y z;{fqC4b<}bg4$OEyG_*Eh?=20jI^iz?AGZYf*kQm|?E`Ghmw?$)0ZS&>F~ADH z1I#BKu&@zS`+mSK60C(_YYFxlQELJ0%PhchM*|jm2(Tyr0@#7)0jnd}4WjlBg1rJ+ zQpW<8^crC20IR!6ur`8)^nl1OL#W>PqVo3vlbZ=Y0r+dBz!zKuzWTIWQiLzYx->kv z6iE)-(}t}!euMbZ>Gk;VrFXf;QQELNXBINO;$?)i#<7?JB=@2>w3Wi{gG>ikdt?2&==S4VQb>CF|I7WZvG3?dt?2dRe!I=!aYjSrTk0Y|x; z3nXUQNQ8^#RQ~G6)!2?A>v)H4;*95&zXI<258~ul8@_@*r`^tJr+Z&{q85p@8oiPs zt5&BMr2}4HP8u1%o}OfPfjBf$=Rbb7Vl^n7k_O(Td|oPN+U&~U7TToJ|42{P(vwKk z#Q}A>BFb;Nh9te(R`7h zqKt07XQ1oOOF1d@*7b;$G(;DMjgbGD^MH{AE$5{4TQ2?P{SHUT^a!BO@u2kAoEA44 zXN;G0qG!k9OcEfRD!`xUrRjk z&)y$+KBbX`j89E3{F5=iXET$fQ5xV7Pjf~63lr?L&(26D(iQD(#}8cx@jvK)cl@x| z@q^JMBVBwTr@K^0cHEitddJVxz32+Ua_J>>=Y)&Kvq$&m%onpLC*eMuRNLQx4;a+cpt7WfZvvI>&KYU+sKD<|^YvF_da!hbLi*EH8#b6nn1=R7(I8 zg`M4|&6JQ|lxb(Jnr$+$W0egqoZn?_Y4;AV;n|ty&i-67ca>Z-usS465~U2x&Zb_q#)1%)p#LV_CwNl9RKrdMx-qNeE15%;m{=vE4eFq? zB#2&IMjGjbN-kMN8oAE5&2Z2NW=G5%l^8b}Nxq-_aFlqs8aHRqgK2nhtv28qbgcJ* zjs**_@|TYF}(<7R)u`N%3Z;CN|;?T z*%LvCC2kUVOLZ`7#X_pYAXKuaWGAaB!mzW;Lccfo^W~j)__MNDvC0M)a3+^zRvAPD&Xp%BG zwI^;Y?R=A@VVQ848U<;dBnfN|2_l#T8N37~0eC+;r>{r?^UgGqKynEm`B(5EOkkp= zPh?<&OF7chpQ|CYo|!%x+QF5aDo@;2aNB<>?xythG(QRTFRq08g)zRY`W2AzeH|Wj zTnj#giH$HxFiokT!P`!$bl8QTz8Iqh$o}4q<}b~sC1|cXlji9KUMu|eOBZ;r8ro7` zetN!DhOg?gnR~0|UwM=3K5}R#hT>7l;pgQz$OFZ$kA_R~=%>1yph~q$XZW7cd-UtE zE1?ViD3VPu;Eyr*V^8DCd&of*8|Ja?o|%nC!D_6Uqkxf(?ODt z5cDCmXt|QchTw%zeYO_m;5hpS9a`Rl^^0rh z7lPmQ+M8Cz{O)QDidJQ~)9={(wvnf^kqTG}0rz2MLrkYMlx1(C+VC`IEROJ3`+SZ* zsUJ}rTg-JCD$0|q>h_TAp5AMHsG%pA-4B@&4s(2ZETl=vr)Pvtl_a4I3geI9!s!EM##aByLki5%0oi|845jhe0Cad5YI%-uFQrpj}-wt@^t-eEjR z;yzVAun`+y4}DKMTfx8`ZfpRPH}lZ`#+*FS8K(N2YJl^9z(+8(JM)g@iQ%-Qc!jm} zW+9#t#sTfXDQ&>8e0nCJ+lT#`ykSfSo4AQgPT~~CLYz8Tj0rcFZ=9lm2N3kS%)s<| z9MAib0D@jKVkg_Ndg+|03g-%Sq~pB~9fy#PtNQRd&ZG1LX<{8`b?gE%!7N1-W*N+n z#+^P&BZ&`Mm+RmoTAx%;VCwmaD)t71qb47tUe*V*%3Zyp;4=k(RKqKh-4?DQB$hsh z`PM#!Ml;At*=)>K0EGm2cwUm(U(g|oYN<$42H(?J1>dGq>Vdi zKwDa^A)@0Pr!sB>Vjx^T&Xu6cFsCp$;nC(Ew2+!Rj=ZjkPBUQc;5uwh5D%MOTG8w- z!=|mfhOF=sjhJ>AHLbxjVyFIFt-Rj7m0LRof()NCb<_XV%DHE^0_;l@k8$zNRz9MO zf25n2>PUIxnPM-&uX0fA|1uDAP?O6*D620cg_it?55)_lQ0m=lrTqwDrZ{r=ZA#Cyn(_)ySG z`NVq{)_on`s0;H(VZ1j=u!7%`&LVFld7+v3O(1^FBOLttrI`L5cb|wOaIWrtSQgov zmZS{9iv0iR$P>2%=X;thG97ar#nSd(oLpDqoNVfcZy?GlqFf?Su3=-_G=6N$Wn-Jk zF*%t?W-?klwq5eDP;HU;7`7L8Rwk+wYtpyHt=&N1LXop8X%fG$*!<8 z?I9GQ;FEfxXK_!L4Af%&)!I=s0;)&Q#i-e7#5h~-IWmYR$w-Rzg*J*<1ocH?3MOV>V_)og79ajK;0o=2Pt~7mkKfUhfIn+>vr6$Nxmyi_q=*+;}}< z)0WX)nnKMJ(YDNE{c7zA&sO6hbuI|hK}3TOMQJG#MuWqZr&>C|Zayp#U2eQJzZf<8 z4#USd{vva@7esc_DFyz@p7jW843NDkhqqteMK9%ss0O1yjZ;sJTAXm$qYo;4TJt5Y zG+arMo>@abO7g7NPB=Z{?B5ji8KZ_4MwVkLq;viOJV>0wWnS_(=m z6NhqgoS__nGB-9ZlqGDK;U*e4md$NgzR^l1n#a)u7txLgqG2LZH4s+s5*BJY&06h4 zk|&N$lSLi=cb3tT=~OXVG&O8G9meu%s711C$6h7b^-RaS?7Qx{%Wm-op_Iw~jGOGnvGGzz0Uh9e*VHMu)UvtU-L`K0bODtrj#16K9CIzf@!a?+ zjI|;eCXxd6y+Y1&^Y;j|Q<8rg8|`2UNk)ixZ5W|J}I}Q5a0As>U55 zT)BV~=ATWIo^FMoXvR$`pWlS7;Hm6_{?*kyjZ113rA;1ufb&u$`H(9`i8?w}jl1ZaoEK3pZRu%u&i+ zl5>$Z-vbmOm$q?Gp55bLbyRSf-Lvs8`iaw-$ECygIBpnnhTZ?kgFJ2=f`*^8_#V`7 z2@+ow8npIx+?-J{A$ApY`k}ZP!ov_-1NTvJcK9kdk@SFAme+<$fD=wv$MJuF3NG7- zmwTgq=H@I%-`##~5+anPGh_p&UBbVHkmXbHuT&?ae;wVEn>da2X;P_<I+&w78%F zkkhiSRurZN*4h@shVMt$vsj|PZ%(6wx1A&_9tf0 zLfS+teFe+mCK?*JN$j4AX6o6xUeJK^p^h|L2)-mr(`@sbML#9sBRfH?#!1&o@8L&K zcgFuRnn+qcWv2^~q6!t$w@YmWTk43k8<1-U2B~gR)HFu^46MU3_|z1!R!t>#=D3Fl zG7k@=XbTFQE?hn7yKAI{d@-My)vQ&%rs^-VR^iNlL#y06JcCA~kaEmbl41u8YoDvg zdJQIhO77xAvD(dTX(us@@+8JhVhMXqriV(HnubG7o=WO#)5C@%4A3J=bnWmax+%%` z&-fTuAq41zo;XL)tzDFj$sWxPAL6heJd>u<7r;SFliEq3^C!Fe+=QZtRRZqAoLx1=Dq` ziCG3cQ9sj8WtYydbh4&k@k4y)bUftjK9_j?(MmSB6=oS-8jJ91*6!(3IklN0Mel{a zMUf)?ZbSuc_uGn5z|nTP{YR*E$ZI`3Ed*H*U|NvF9+Tp+1Ex?6Ni|*pWlAoYLK`uO zVKDF@)%*1JLh?pfzR<~xJIRXp3m{Zj(6DF*E?kJ=A5-J8@riOQdgn@H_|8IFwVMyK z7|_SznBN{5y3{!oy?BQVSVpeVmm)80C@PmUDrxOm>xIWMHgCbWr0cJ@3eli>MHrCQ zYB}==@Rs(5h_~{cbBMRq_{i3}^Olnc-ncI2kw(Z@n%K?Rfz6`|s4p5QzLCGntpnSq z&0I`2rV#Ju-I5P)rb^;O$X1x}-B$sM*1zhx(g_~fRu`7!OZJ=77O zb3G1JC`W-D^KdZpnWf&gf}UmCDW%@Yrk-VK(@MQt@vrtqiFbynr^DIW{pW!|Fzcro zg06*`R%`NQ6y`Ir7l_2R`_H9^?D)ve5bD|WeWBL9tB900 z#^KdkinwI8N6NWGcApJ73%mgB*0jdmw=1ZiGEAh+If2`Es8}AgROvKh{1clLuRPL1FzSa7$Io>ewl)2) z7qNmVq8-E!l7b>;bmJqX2e@yA;PExL;PJd)s2v-_F0c+zyot7x{`xGs3bvC%rwL=P zZ2oP6g=m>PeE7hFj$#u6^CwE9*h>keZeI%3vMyDrB#6`+!vqbs^D0yvoJ$)*5;lxs?E zXUxnqW}4#8So#EdAfGW-&ofri&X_%M12JX<;$eu0G4uQfAtV{4>Uy z+ZnU*j9tYOcxjn2mfOylYys1ljxP{tEQ8k=wPx@op0T&u8RKTt3X|Xc(uo+8Ef`6R zNm$qXP^7W5O$kRFjNNEwtcGWdIp;Y%V~5)rGdmdL3q*`%@)~1|@!26q9&Kk#J-dn+ zD+Ch{4I;+O3nmj|A;eg|n6Vo9ljRP^ZniU4$1_Iz9@%=HvFdilWY6&$;|su8d8t1w zHJiegI$d!CPr>pLbyo6e6(N?c8vHS*2@V@qNXiD&h7ohBg8Rx!VWv<^Q55lxyuB-n zi+O)6a#vZbb@Hot5!v-@vV{^7(Ud_MzKwB zlLt9;XY1`J%=|g;P%?lvITkU(tTmxKYqaoB9R|Q{k8NK7XbM@l-G7sFL^eKmmh9Hr zCTMMLP86OL)&WmM>CJ{-Pvd+(O1unvBx?y*j5Wb`KZ+jM+~OJ6iE}946Ic)K@A` z&`O{R(|93zRQvRCkn&xY(8Cda!YP+5HQRCQSBOPI;JZ24q&Z?V?Q`tOCr?0^Kuq5E zDs}}6yH0d!#kP>$j+7<4}h3E~>)e)YnHr*+3^+COe ztEQ(<5LZ{13S-hTtucp5azqPB{#56Mp!+eY2fnl8G}f+@Dd-`eQHC5OIf*?)lj03? zNmn7>3n+67S-3%#qoM;W)~<1|waFpDYWz{QdxBFC>mqjFji;F=Y?gpL~@}E!xbo8;a&z`s6EAphbamPBrn(iMOnx+=S+$ zB_zGccd01pMnGVYWTVSd6KR2^7>C=)+r!uT&lySnbj4fs!D;>F0mGM zF|D$K+ib%f9Z=|L>cA)F%EVa)<2-P|y@+Mm4wg%}q|-7=qLUIQ8%oQ_YtyJrF|DUW zEEC1k=m@^1%XasgA_8gl6x?7J5jXE(sc#y0h$9`cy#>UWp{vco z7}rHb;g$R1@Jbd>=#Cn@GXGP^L}p=xLVT+QLSf!S=z*BfCI=zCK*-W3jWtV6 zI)P3WIErOw;MXZ~pR}9g4OvOgQ51nkyrho%{DNrrs4Q?TNqOHc&=icStauF-wAqp8 zN^q#=eMKa0qwfWg>6h_bB{veVx4i@65VL;=2mBF>emmggd_B9?x;sgGEo=9q)*z>o z+`~Ioa!1eCc7!{6-|3DD9qwprDzrG)AR5zGzj+l*DdwfId|GhxZlRHz#L}ao5$W?o z+(RQ{U!>6F=?L5Bj?wqDM{Hm6+k^X)-+uCU`0WnwIQ@1S@3$MjLLL+Ry-$y*8cG(?rKAH~q(eC1t!`fxd)!ph@&rL8}D$sLF$G(b4zNtrU{P1Tq zvQVE}Lo5X&eU?>qJr9S)VEcUE^L_^SxvdeWWZse!R3AH-^| zJ+}id0_@+i_9EhE3kQsG*79K^HGrvmtI(hJb*zS7Z%n9X5oHczTIoU!9tcuNcf$YO znBaZaDcW~unP@Mz3Zm64>;XdMd#6lq4-;%w=Hx({9dY{Un1`+QGqFS2dzI)eyY^1RLa_TWANJ0H>db07Y)ikgtrLH+z9p-fsOt+t;Qepl0XZ#q!@sU86u;X6pB24;EB{ah^*!m zw7ulpemwvFb$02`%ULXSjc9Uo|11?KUSZjOs`+hg>k4*oMM#_qlr&E_YyaJloFOiLHH(PVPiHRLX z3Gc;g2XlP^73fgl-1(2_wU6|1(QLAeeKIv71mj z$;|-f^g2lFwT4WD0W^BNy^1~^D&J~lVS%D$;2#TroHRBXn@nU2ZK7;+B2MLVH)on)X5e@nQvQcl*@ zCq|)Hu;Vs2o&Buo!e79E@9?A8WnkxU7HCIcSL0^<$9?&8q?-?}LHEa5-4X1}MMH5a zS$^&aBaN8PTSN}~s*?6UOTw=>WZ@3TPLjme#3(b5YV31Wka(!-DMxR%k4h&k+vNvD zv9(XXx`!W6KW#fFTHBBM=llK{(0XlD+v%}Zk;t!iT2Z*-p(ep(Io5CFH>lbRTmsO}_jJmq=7BwmPlq+_RQ!@4*7xd#j;*{xcqf#G78S#7g&6n zUL2h8=@Wc=_PC;ZaJ*XEA;;t8gzU({2^X!Ey^g&0bh5Edu=qdDQIkjpCa|-r806Xu z*bNblC~)?f^5Cxk4z^3574?}vSf=O30WQGT_7-=Xg+7xScvtPK#o~(hp_@I4xXgFRl|_y(ZmS05V^t3y?M~=d|4j#QeoP2ZxY+yKb3}%w9A{1 z_a;$XM)8qqH}yz^?;W}fmU%Sn8I+F6XhA3EIP?Xa8u(Ur_cWWsi$~4p8R1W|1j3QF zUWi4ke)D@_)qi0$S(1m`pC+qEhkSX6?85s8H2mn(qV8%;_z{fwt_kVy=&piyH`YpTUM;DnYYJAU-gSWTLWo;TK4NGiqQ|s$1 z?^sXWF?@O#Kb05fqw{yjeY2}DEr?2MBIW9-|2%Ca?|CJGU1ql|N8Rt9l$4?eQN-V8AR_pvh-R z(|LG`r|ZDX#&bL~+aBJo47^*3S0KBs%kWqa_h9P~ybk|fRIgM0qtanEm0m?ui?PQi zZuV5^wT%jF-9Hgkf28m)mWgE|N7cV+h_#+cQ(OHS7pSJ=Iw#Y@AIRe>*?U<&LvHtV zJxyPc@C(TLTBVWHnDi9fLc~!1D1Ek6P}QkI23zmPU^pu2ENCd4QbE2!<8PHF+Z$lD zcuj%p>iU{OQZpU0$e$6288hDXlFq`6dFx$FdgxuR1PPkZ=~*@7>EOh;wh70Htmv(8 z&G^)>db}l)zqasO>lB94_?JizUvpIM=~nsDIO;k1&y3_N52ea2-ElGml@}yPgr2u7 zjlnKz8B8>nT^6xCvBPsT-LNQUIQO(RdAYvB6qNETC z+Py@CntsU_ZN(!FW54RrXEkFko%C4>UZ_={EQYfsUnYH3*}tOcjU6ExK{nM@oWzK# zHeQ8Doi~HGs!76~ZgqRNH{zlOi>yzj-UlRW;w<9yvTa4G1YcCa3k)b;)mEw|=hM`- z2}CzjS75V2ru1z_o5e7(~NQpI? zNU4^)1Einy-(!lVW0;*g&;pNE_ahd7ZSh#G`bpr0|^K5TAnZ^1$EEy&2+1^uR%TlOU zVxsN2(*u6M?q@79A6NPtHHG%tG^{41z8B(wxy(ZiY9o6-yc7n}aZ4WVS)co>+^osL zR<^>hZS{PihhDU;%=%0I^HorUOBR3I5GyFjNOHrirRMo)1u=`Em;BFt$x28d-Ur(X zY94->oymUC1WyCp51KaUHfSg;s&%FcBEETTQa~~1TL$7D&%6ydhhj3?4oMF?jw{-5GX~!v52th!57&Z2cUWW%03? z2BiK|pf8fqbMb}omxAqD3zBS!`FVI$gf?5`@5iX(P`fXJky%W9($TsQTV=~^{n?;0 z0WM>0n^cD1^)WkZho0_Bw%mq(*2p*R0Ntm6Wv>9);t=2&bctGa5d?F^9xQTT0i0Ux7m zg;_HJknEN8TG}6kNG7-i8}dP8HQr6-Q?$_OBJC7tZ{dFQN_qoiQ=$>LPI_=L_n@Y# z;@`24KWLi-t14bg%SB+0978M}+*!_GDs(J58{>9%JN0ZX^f`Ia>NF**={mA9n#_=u zv~y51Sw+mn)~Ri~(cQ+2q*Ld@;CB=PQM7-O@5h<7!_2a1v<>i2+83AuIE0o(YZaF> z9hLBF-pC~k4VQQQMD-BN1oYa|uJ=w@u1LV;-@rMOY;n&gq?#|hK{)gl(^G-%g`xWC zTGghH3X}WbG)7|d__n%H(Zhe&n8{7iL$s|P$XQd;3QuNc)~iI@s^*NU0>X2;PED3= zNa6X*e2m19qEn+WT~xl0=pve8xjYMLEtVaG{qAgc7}dhf`DXZaOsQLWDSlPXl7d!1 zVth!Ett7uQwOUd?4ka?Y-ut1(lwxI_sv+STJnNul9aQ2%9Fo#v2@Hs|qcem2Tx`3h zs?`~q{3>Z2N6Z2;tCoXZ)TcC(1?zhu@PqaPSFS>vuc_?|>7h<(Unbp#jxly82l@t= z7Kbj4>tjEV=PQ#Ww=#uPGga0)se>;&B>AgJ>O}apDW!w2I5hdAN$QY+snscctX(`v z1$vRvHi1-f`^-y4wWBZwiTeIsi(dVYv#QkujF)0vPQQ2fJc5NC>K#}yF&RSMhDKX-y9 z^uDpl=Z>cGnyM;_32k#rZem)@*o^Qg@J{+)R2s>`{P&aLi0_{a($2Wp!_&n(OKxf> z28s#&wzwRD+DC;)nL+m?_@pCi&{$9+jjLb}zvI8VIhHQm`MSL(D|hMkT1kG3R$0Rm zUh<1*t%Dh>RUO41w;?hp8|uXEPlcs6IpEgF5ied#Z-Tt3GV;OM=%)BQCn&lUSlSh6 zqd-#SA1=qS>Vltc&_2$4mn>I~j9wR*pOM1nVzfbIb@%)cx?r(@OVOy~sAjd?t8fukeUuG86Foq>IV7}DlGz<$pC(Lcb&+>T%BYT`zC$%gB2J-zjfd#8> zc^0cI&lFpoMX}u6=f+YQcs+%$W=6f}Qixt1PImC`*dJ6er)wHy8BR+#0Xed@2inFe z9TEdT!9v;X)O*{&*(-qxqY~F-O(iKY+aS^Z15GJyx6@zr+4?&SPHi^kYiT>(i7}|# zLJ%x@&am&9X1u_zE71lR4mz&TDzJ2pHq(gf);Dx(J@gh~8VQ=O+SvtEL%w(6ElJy( z(zc-SB{|7`JYB@Q+n`qgUI_bJMQBDVilld{DekO2>MgN&Iwxp5p@XUlkgbrV*DOAUtwzk5 zo2Mc@)YG*V4PmQEuqJyS6N?iPhiuTtV1-!8@TyRR|;}WV(Yy^k;71;hmYjlaDx*GS(tb3!L3zSVett?Uhf-X>MeyZ4#_6c+{6Y&T4X~J;58BmU` z`$MxYj0h5OnOmJOTpK}T(+-PEN^U^%=tq*rh)s< z;WF0zZx$R8L3ut-#|(-2>qS6^4IU#!?$*mxBE)y8w=qe9@us+X8NvV_;u= z2g31SUu_^^e|JlKHb(_dF-XIn1$z_xmP2uKvl~kqr4B$AD=guMP&1JJQVi+OQ++O(N_KBkWnV_Gt!Zxcj6>WJBfiRA#mIYVu{~Fm2xY z{bb`mH+gA>IvRRxya`?lNOn*F$$5jKVTMGLAf2*Q(94Y`K@*_ZGf#V+FHmXo2I-Uw znLWQU0+iaed&N4l@!I2;;*8AS?uFEYrS$riqMToA6d$4=XBynv){a^Vib6hw_TTkQ zMQLCYt$EAH2pYF`sx#+7&}Wu9;b!vso?ZH4s#9LtQ$?pbP*L0`ozj#&(-C>rbMb{T zdgN%6S+mvnW9t!GV^Gd|$-Y>|5KH}(KUlrG0uDNEf4bA7c3d69p4RjmN4j9$`j%J{ zRi(+R()GpSbtwCNc*_4MmJZGA$A9{2fyV&7krmHfKOOWuJluMF2aRP){hb8hCGXsW zjGwG45#(@0hk-UWs;YphLtvPJ*QZu*CB)4HKIVkRSE8sPPn)dx4 z=CC5H&*{uzrKkKIBP#TV^8W4AO}9`%O2jU~=2#r8JPq+H!cI|*$AeO-XeVp=Z@{{4 z*(BQCR>IBDWFWO{cKl(CFA5kBT0H7g0pf_;dKeQQOgGvwcAr)y)u%qP!{Zr3EIB+S zb9)0LA&i-~E6h2A-hA(!7R6M4fL z-TLly#fhxZX?*uM>!$Dyv5aNuTxyQq9;%g40x%rnGI{Kk+(hTx6_x zR*UofkS_6{tvd64`MF5toL98qVe?!Uvguj!CsZLsyGq?LuZc)yR0oB9l3)f)e+j`Wm@1*Ctr89rB?jO%^tMYckGcr0c zqD}1|tq1NKqxH<}_~gKQwYM<&iM4#Hf{%Epw1D>HwYCA_`^M-cKk{wkYS!!YdZ+bl z>=k0f+*UI&Mo3|ho93AD_YCgU-O@z&{IH+5s$gGG|0n zf1KgE^9yKW8g5eg-zK{yQ+gIzxa!GAJ+trYK1)G9yaB4q%Vn^GA#2bSAhdhpz|0X4 z?n%l6;XZ-zK&nJ^U|;z>;eOg}4G*+*>Q9=_@9e{n=E{2`s~(~>HF*ka*7@T8dl>_w zn9{2#!vqF|ETQBL+Sz0aV*<2!RA#SPlJ>1>UC_h<(S?<=`6Izd zfk_mp1~f=nmw#)P|IAVg1{~BxNf>zll_2bc9Lz~+j310FmmJr}ShLNu>V_LbB|p5g ziF(UWN%89KoJU2BWFd1_k5K~-fe_(@X=JM9Dv9Lc&AgZ(+TiHv`RDk;GjsQYuO~;g z2L6c-M-%cQP)ev@7%ha~P*cO%ytqQSnnQjIw9Z>v zcH41DG#1`)gQodvbLgw;1B3>$GnqYjA}EiQNof5n7}Po;lrd<*yPUF=Zyu)^YWQ|z zHu-jgJ$M349^Oojk%6A{*2%xu9_IXBOKbOW!^5=xN<%{?4?4rpUwO`qnv9-|(R01q zcHh2n3=>#ec&WDbHq3hpaa;Pojh5*0UD=X2nl*YhWIMz7b~QvosW+9Nd`rb?5>=ru z<%2HjXKU+jB>%1gDumaIEuXWR+}cnzCwc_LnlY0Up(+)8#c zKhb#%5q3#y!%TQ=Ze9q0Wew~U~bQpHIaS5l>K&;GOD)DQYoUyd1YE@?&mi|^~OlCIeSCFpafpyLWgI$U1#W9iSkF>^|Z zi%cblPcauM1;;O#IjqprPH9EpzGXVS9txlyKe_*|bsZ&q7cdeSUMJu*M zPxl_A3+bnC%`}y{g(Lr2Plp{kcr9Kw{pF+pm}y#2iQ+eAnk=3r=Fx$FPSoa3C4IKY z_iWKqVD-%a^Hl-BLQYp{s%*oRdB)`(lfI{$MzZtXz;_bVM2l=EF0gD5zM!IY8Gg4w z7J6Viv4Yd7m>i~4K(-SbSUu}N{boeol~-DScp9KF-Qd{SEiQ?;W(=BfUZ&e?P^W)%dp%wD1$z}aw*FS2ubi2%CQ?tuI1^Wx ze#yhM?7#bU+T)1}FDIEiq7PZ6m1X~_PVX;)vM9Qb7+S%J)@H1d#(Vk^P7>9d?d1t8 z6wC!MS>e?(lWG)>CS{jI)h!&}qo1}km193X$=Z)0-vgs<9FrgsU|k*kt%_aXA=6g3 z_v=}w|6%8mCfoksr71;J|17UzdMvOHT^*wtUw^9xNqc&HhYI>0fMZ}OU8bGwi5=r} zRTK0akt(X6%xgG<=M(qG7pNvMcs|_U;Y%NePKgh_G&K8+36@4|s;KH@(naR{ZzVr* z{dRlj&7$>O<5bmmuDBd)D-386^U{1n@-YSD1V@SGo$=(EBB^U(h6?=jMrk4UhOys=+jBk z&vM)Lt)!`jBz+e-54mm#coWY~v0O;;F6+RbkZg6+Z;3#SlN%sMwUt}ykm)dsGyj;3-e%|`P#*{Z3nf15-<4>f>xMM z)8p#>gBhxDF~~_67Nm7A${({*Eq5_;#QJ$Pc_W@dSo`LDP4KXMcIs_9JEG@nv7I+| z^umPif0?f>Z=MJL5z#hui9QQk9;~fWO-EJW{Uo)Dq{kg5`jPd`y+lj|dvu(}#9(@L z;ueu5v!J0oy*-)#2Q5q575$mgHf+ljhFngcG_gE+M{6RnF?EikUomp$+y|@EC(Z=A z+I-7oya&AJcaGCD8Ns8E^VYuylM(#)nNmx|fS0G*Mm6Zm>BNKejhQou$@~Puxsdaq zX>+^A%GELRvPR4G{EzJH$*cCVyIMxoF{|E;SjuoGB@cGyxAJD_(5v^(b89Am3pJuX z#JbY-aY7d3djz$GU#0g6y(CXMz;j~fEJ(P@!>JGG@B=q$b?inCe14=^9qT^=zk%b| znB%0N|6BNag;r=)`{1&yxq2Q@v|wMr=y)Kx!lO<$Oi@99MWnGF_WAS4?YG};vYt=t z&O!(MIf#QE|99`(#x9wzXFZiOg3E8sWpMs(v|GdphL(uW-!tv7l@WjZ7j)x}`2i@Q ztmj6w_EWy}3EH~u<5yia1khfGww@I;j(YkW2I~oP#sdG&f%s*f=5sFm){QC=h4cx7 z?-iufEb_(>1`Gd;ZWmXn&qCddbw?-8BU-V7_V&dK`lgII%oyRiod=5v5G{>=`nOwF zIh|n*7j7hXJc;STFdIx4{)I33@6-R-FcXTYBqQx)J@x0u$Vdp|6GP6LL*_#gpwdnD z!xSw27cEF415dPorpfX9cB}i&&>Nwo4n^gyqJX_i7Df9OUc=Zhs{$VfbXVf7b!}LMzUlmAh$yp3oGF+3o~6D;2M+NVZOXtIi| zd#+i(fzZaOtvDSm?CLm|t-SE$MB;p)tT5mLEXh~;9z$1$RYB^z*=Y%QZ3g`b!Z7`3eu>$)X%jK8v4Fz?c0GrE>ZB@ow9hZF-yKdv93W!> z^1;6n?Ntvr0@_>8JFXpi`QpOf4Nmft{rN6fB*lZ)Y2%eXI$L&oIZ$z&*SS^e<@DG<+^ed2 zs2}&NmmN}$d#lH(xaw$1uNw?bt(Vn1jjLsFnzUx_;)8*F0Ob5fRM069os zW6z@#?sCX)hCNGO#d3E0L~BBxd9Y=R_8g*P&yoQz^zB*l{h8N(uJBZ6cKhfL^Fgmc zs+mS!^tFbsUWGmBc9~JEIoro>iO*4Oy+{2@lCe7=8j2<1-szi6U;%$`1b%2uXBlS` z`^Ebl)$^&ZZicCJd;Qg7xo)pZM(hrl2a`Mj$xT}Rs8#%Uf5ni)P@E<{hgQSoKec~* zA{5Lf&!0rdX6GA_o%p3X=Nm}>6W@7HFfCTP>UUwr_GR|SYc{*9uhTMEBPPc?z$ZR0 zV(>$CAtaM@!KL=>W5o~cpi;x!Z7%=M$`N~Jf^eFk24^pXlZ&+*Kt5UysegWwA>Rue ztCdlhm61L3(GgFZ@>Op}?zN^}rw?tg6wGu|pT3K$nS^05a4_X6zjExPYFdE;M%z@NSf@C_Wpxt-Q?jy1`8$dE!{(qA3 zANtm_TPHmhMDOC}?}cR;)gOQ8)ZI2hGuXlMWi1{#VA2yeMu*PX0k+r4+H*4ytMdt3=Fs<3 zyLsrd@IERfKL%JRH^`&S=lFpBJtjvma`C!!i?LhpX+yMdMICoiIQlw|k|du7*!x3q zlSX||uB z$3Zj7$@zxgoXOD1taQbHCQgdd^MLGEyT)d%M4u~5GvhNBtZ6Ncl?!66O0F*E9wrpoeM!n5pu+c27WY~?j9{61a&HHwgfBmb~ z?!7y{)DjSOvgu%?SwHxu&uPwL0;F9_*gN zp)DVO(2jZhL2eZgt8@H8$(}X2wgnm%q@Vi4mIT%r-Q#c3ZnhC$$S~t{;WM6wCUulsa@zO8!KkFQtLNU7esvXon9~J zZZ!ib)B~vrp03|Z9swCDXnfoY$ahSD`wD3Hog~S0TG4NwUZ#~K@n0O-Z=P1}ViRt^ z?}LsrhAK&-9=(Wwbid?VUbY50{vOXKZID_wK7t)JPTAV~6J#dbZV5pr^mGiK&ffx0 zwXq~kVm)TnsM%l!$|;!I#x*;PS8B+y&2pci7v|w<+t=>xA-N(p;MDvMkQ_;|)8b@( zHghEM#q*O9-wlEEAl z;qPqP2B@4nuy2~LLT+sXqfZ|5 z$YYwUatW(*{3yCl?bCXv6{BLb3M1ojdzMbAVGM3L5c4AeY4tJ{mU9lRjM5x45T`i{ za(lcI_6Wx@Pmq;!6Wc93yqK1Mm9)x5%z}B!6d9Ztc~d$Z0!Nq;5B^QcJ&x|w@s$1E zt%jm%kq{8jS)V7r%saXeO86veyTmmlyGR_#L6DAbk)9&$L68yDL6D9=MB$F?2<8PD zRZ7Wd(V<7Q5AH8`KbGXBcr}J}Qk@l)vZiaV?NF^H*LE08xbr*Sz>&c)2`g?tkLmTa zNffii(kFlVL#fH&7@z#SM8d6l|er=biYhM zj`R9Yv>66cdN_6;xkE*@0!BM+DnmI!_?6{zZ_vs?GaSM%ZXI=l(Wi9^yZh_Axge8< zP@I6O)Ai5nPVCK#?sDn{+_0syhomuRQ^b#t z-rWWGy39s=waxMM;l+lXdZUl85AXevlWzc@yfai6);2#zH#0@NL3Q_n2A}k@Bdo6) z?U6)l?Ins|Q@;E)EfQA&en8t`dPO@j#n44%&_$gtl6AU>=GS=7|3G))&Brc2F}qM< z7kB9{gySSOKt(|ppaRaP3$Qupsv)~U)3wRg)I+PsioTOO0~P^<9Psp1VKzahw&VhLQb-P}JVmH0ICPg2Xo zj&pnAWR#Re=&dR<$!XqCjW{j%r>0bs ziq~%C!CHpVVdh;zEBQ%d<4!}pSI0?;iIddiwrmIP5#yOXX{wn|XYB=VU(vciY-Q3l zdyT%0t>PzLjXO{GP21QizT9T{dB!lPQrUy6e>()ja3bPgY-~8MEdP8c%`QEq7|x6B zb}@85*jO8Lh{-MF07;=j=U4p}YoZ|?xspQtSJYx2!#X_;bB^XYfTRt_WBHFe=rJzS zd^X%B@xizsr+*^{*b?gRT)&jY*Fp{;>&6ZD2=&y+vZWj#7rJ=8RksVB9Dwb{4R1Yb z5Zy%!IY2&kagy$$qP3ct13XOfHp3|qodY~H91Fim4p2TmC!fWoa)5`1KIAbivlF3G z=XdYuKDAF{o!`G(tjz($?%aq)vxX1QCU_@+7`OKb(kha###^@b(1+&F)WqCh0((Qu zovCwS)@8MCfNz~P=9ccmN zG}F0z&@zn*z&4X4+-8zYPRvg&2|=@Oo(8ZhB}kG^8c=*$GXA~!OMQ|;sWjjTl9a$8 z=>$cRFe(;FI-zrpf2hj&%SnBbj1G&y?RiF=;<91tb+|kHpiSls|3vzlqmW|j)Su4q zi}$RPJ<|F!`8wsNNBjp2mv@GrADD4e4qjq(E8O*cb91uLD`f0pLU`18H!LBDh=pPK)NUT)r>p>{+gaWs8J!;r|s8<8pS&C z&a|8ddOlsTPB}IshsoeMeo-F(j|E&1pzaH@o z!o{nq=J;y(WmnhTqw!7cfT6RV89Mzph;!5KJ))zpffEGqQ99B08NbYQeYI{Ejm$1$ zp$o;-$8;A-m;+qa>7o|9cuse5+;9-&AX1-F7#N7b1KB$a{6HUAaH|~o?FhfAn7qj* zznfYUst1Npk7@0#?$v<^0aj-a3BS*c}KGTh`jXy!S}XZyR% z8y(-W=Kz1$qUWgojW{zM&r$HR+HXl+1FeGn1%t?hW*Sat{A8C|Elwa3N=}D@3aES_ zgB5Br9qC5#?uMKfv{giltP{}toxs}&)l(KUn+jwid{q;?rkBi(FcY2s?0c=TiidGM z_*M5_Fl7IMFSp%w__20)GB?8fZuY5oy;f;@t;!|V5r@F)p}e+E4D{=y_Hv*{WrxKi z=J(d}jWTm?$Q4G*^;2py9_kSVce+jIX!?{|1)?3n5_8)oF99uHuqaWD-&H-`q*2& zdGLzUP@N~c^xibP`BOQZyP`A#>+8(LNO;vU+2YW1cRqLozblT8D^I~D#V|v(%!dPyL@6vs0 zpVqr9xw}HEjY=Qx>VP@t83CsVh$$c-t)8`Ir@ppY)BDsy=xC zjK<2iiK2Whne8WB$eInxUT(%PR_o2;f`a>vw6fF2dJK)VL+J)K9Kmv2dpSmqrXaFr z#Z^q?w=yofkvb<%yxQk2os7~GjtDa0_@g6EI3l$L5wWHq;`qY`*s7#cfKZO-?k}D5 zoYqR60)*7zh8PM~6W!No3l?lYgnvQ9(}}+yH;P}p;~r>nyG1Yg*vMfFG=fXEH?#Q= z4vzC8)JEEYk@;~wo<&vb^#V_O_McbB7_Qo|`p^?sR+EotWBDpPtUI6ERqOnUH!BM( zr~jjor)U8m_l#!QZ_FXPeNx1j){Tnl8>&s4hS(`W{O7&W!_1z*?aQ6_1Ta^4bQz{h z1u2Et8|UDcgfaXY^tr{{&RVpp&Fq6!_i403jSp3A7H3?(-^g%KL+#2!B=i2mV5lK1 z)9t7C6hn*o>She7p=Y)ICz_#=I29>;od#!*x3?bAoSWfx6(Q1jul2Z5PT(%&S4{r| zlpHs_`bS4UF6trIK+6CtTh@VlY#EftbXL}-;ewgRgCGb1-(UEK;7^D6O0=>Iv8$PS z_y&})bW+X`yMnbxZv3u|3f9@;gLU^2`%uA&U4FP#F3O)V1fh2MW0Ll_HiCeQT- z(vR~(*=_03q^I2CY22aNpl7S{kL7 zK?8%BMol*?TP7dYT0Us+lp9{0$}ahX=9|uW=Gc9zI&u=l_b_vvcq$ju-kcOekj8aE z+mKcujROm%WV7X#X41&a79UD`@I_A=@HFS${eKvGIM=QrL=f{2gSGr{wcFEE6l+Vz zWH)1NI`^JvV+;|^T2Xj?D*N<1eb(jy+WL8)0c}O=w96u6ug_4RA2}5VSe(wN zaEGgBUX1u&PZx{B)v2-{Ut%)&khKnEje>bNnvH^yW{iT_>BHx{!g1ObEnw(qjGZ); z6|+ijY-KaJ^|mo_KnW~MZNeO+;u4Bjqd)c8%Iz65Ot28b+-Pzx+}gdiZ2}zluLWcm z<>owVEOh<{sS}(;0ITW|CvQO}t}k`!7Uo zpYjXBnQF_Q2IiMMw*#;?RM26boxad2!UgUxgAxFfjxjE_E4p|3Il~x*+muDcGfZ&? zuTfiNF!#jmnEec8s0bIizw94RFFVqc_9+GgT4wpC6VaYc3;dAZHXxTSNixuH0Os1QU1(>oKO)#|DJq)jp z`0FkxaT9CbaS!no0I2h*r1gRy7~DP#m%6{c0#1%vWTm-nL9Nx{inZc%h0VB~$vwy~ z-bt`@XZP3WkaQb6_vnMK46BQ?%bkX;wRI15xHRI;0aPdz$IFeosM)C2g!{X{J=HX- zOV)~+0pI{%t7o)E%D2hfxo@vDHKE-~yj}VBvodmzkca&8G&RPsoGV~GprGBjf8ziZ zDZ$IqvaAc2xHsHw*3AmLihF01nLN~m*RN%tKdJjD*8Lr{MYaswxyzcdRS%uNf7aBw zj_pw8PGUPWPpWO=?%en9o8W1lR@t2kwO-JR77xQr6g&L1$gDGgxs5!q5Ct;EdE-gXf4(0AgprLvWjqXnC(1bh0M;c~WfWbh>A3X~i=*H@NJN4R&_h<{C z&N=#q#cYYlTqnEuh=!-_QaqQtDtm!Dl?cwuYgNy`l)3ww~cF1~izowwM=PeOfPj{UwE@;xk>uSF_|(90qnZue zk9KuF*OEh^f1E!5*MU<+h&G6?rPJ_D0~gIL7`>=JrHL`O+P+|F;)!OOXuXsDW5Gkd zKJ{f0nNeN8XR4j`PVqpl+kg~?Cc>j1i=ZtrEz^0;7&>NGZ+1P4Ch)&9R1p!ofqi`| z4No(qjOPBq&keRCnkbtAny8xY8ZACSYoZ8tXbD+IIwqdt!5lKv!qB(rb16mt#93np z_Jv}Z&cQ;(H&`7xTRLBa)9CN_o_ZaZ*&nzn;JT;w3BU2E<-VDwv;!#KsVpf7roiCKhI}maC3M*>#OrKB+mag$%r-@q z;td8lmjy0BwpZ62cuOxJJ?52Pe)gCUgy%JyoW+H+Skr+fnp=62#|;U=;~2}Vaa&q- z>+xik$eDn2jTgczI$Ejia>m`fsy|-rIPP11r9^;JOt_R}qO-_;!!9@mG$tqAgY6ob zrrmKa8j`p;PYElFShbAU>kHdmZ}%>~4x{KgIL!H)AuWIYFRbsvj!FwAdt?)iKsk&eH0ELwT^hc^a47GYl&$4b?2|c5=}# zqVMATtn`&f)o1Fq;$NA{Bl<<+z&ZMssXX{7u@jF%N|fi4=3rT|v+lvN1#^mf4&m36 zu~2}nK=w6+3#kpc3I z->;>^!I+W=EPYQX7lSo$QEaOj{P@ zF5iB3GVS%`op`wT;+-)DK&qr_r2y65sh@rCCYQkZ%nSC&85~46p+{Wxi|Fw~%Qoa1 z4aZI9#rXbLPw<$Jcg~_?cIm{AxNaZ`wp8K+lS^{zx!AFrRrdJ>+MD zbRWIgk8SbTd6P?uVbHl#KUZz%oG-lE%ddjz&Z!!7z|&Slb*V9^j4adMYWA^D+EvA? znKV32jC^=v{JO!ziM=Mc3b^mtEY=J|>6lYIAGQ6A6z8~%9-KCcE7>7tlG)cKMn4`6 zZ%p{D%45Bl)qY0GG?QnuuZzr;E2HgV#jQ8@xa4X0_Gq{uRWj@puU3$LH9OBC;X{>2 z+DM_p3~n|8TiYP;W2c;rJviSXaQ!+2-q)()=j^}6 zloM?i)fIY9JdD69+p)fz$G2-w~L#3wtiHL7KlO z3{F6r-;$n(%jQMfHI%z=@4<0h-t~C6u6%86tK0&rH))g`d)|wEm$kdcbkzinTO3`#s_#EJCG)B zcGx8LssOq6?VXYk|u(Kgs?!;IkIHC*We(v*(%$>vv2gDPgs=ys$rsWj_6#;j zxkIohVg!ZPva6SoCTH6tVJ5$$#72B1P3{jsEcF)&7F$c?r6d-ygrpF{26ezD_0@zI zJ7bhsl_xe~{Y;unBu!2%B7|iVET=jkVYPn{!aR*}Ty74`3=F?sf0GcloHW_8gf!XD zNe3Z&bVMx0&k2?Z1k1HSh$Ztb!E%-~sa=Xq*e5vY6Hf68NE69RY;tKn!4fwZn+P7u z%0S5*D8jzSCbA2p$!F5UTsL6PIq-Wa?OK z!to_dq5`mqYBOn4Oqy)jk4+qVkS2MgiEunNxfDg3NG1_1yGfI`6qX+dmZ20DH^d^D zNC;a`nnVX;lgtC8Nq<}9rK}K}soT|%1VAHpWG z?~KqJH;^U;Q?N;5IcYLF2%AWcC3JBH6C+K|6=9Q*2-0M*I})azhD|sRNR#`diQp$} zl5&nTsXdHMlnY3cA4n6?cZ8QZ(j;sOV(~vqnmi^=8jlddRuNuak|t@hNXYw4n$(jf z#TQAFR&9~}%wlXJP9aVDbVtHULa@mQD-tnRPDL!%S4fk-q)EY1Y?8OZ=sB2}oHTI_ zClYffO(IFa72ZT4bC-}N<#5P5DF1GP#jyusv7SqK8EcL)Zu)e@qP`7HSopsX0gH9s zn#Hm)oM&xr$YQmIXNCnFv-K?heO$A=Hf!?)2j!M-d5vGK$_M<`&i}2-@}{tAt~9m+ZF>Hk=-g)CMzm+`OT1`)FaMC)^A`6K2aNAEV*I4BlX~^*IiROd^Y4+9 z{CiDWuyoPv@LuCXmMoeZH+pHz>?Ly-#4PR=vS|LS`5{YY&0iY5WL8AT;w7^d_UYYo zc8iY}FP-0e)~re6$NP#|Hc8GFL1R-3YfL0=d*u!XSQ1tmBym!6R)t$ar{1=~o)NaP zjXBpmdB@kP$M|;bwQ1U@`pySREiV^2nb=IanmXI4w{x{q&!2i9GASzy3~-v(wc}q$ zJ1gRo;{AK>?ZoQ9auTvx&kb0Eth!`6j!apfwA(bjH@ok6@Aangd0wHutob&rXB$lI zU2yeG@%6PK<4Z>c2l1kB_k87X$V5>V_{L!8WW)KPZutu<+zbZvw*6XbKeEe1!{X4i z&ELzm*(Tty zSnVhjGUG{ejY=pKX+v^++!aO?iYLmz>Fk~q3Ju)$Dz%|R-S!%E@Nyx4o)TYryUb|N@hV_So>3o9% zo3W8LqKqr-ovat5EUOItk7cQxrJFV;^=G#^(xui^UfNYWfpxjF@p6NLB?HTCL`TOa z>}IW<+`7R~D3-pFB(R<<4cYe-O}&cEY?9=*&L&ECUu*lRIfB0Dh2mI6NP_CzCBr;f zs%e6am5t$;PR=G4J$h68 z1eK!9FfSbdI@{P7&T)1&@f+`Jox2kNJON-4^iT^u+yj71Ha1BIT%1iZCIFFpfJh0T zD1{!!2RZZuF6KcGRVXL` z2%DXtuDD{Dmj?io+S?>u=?^r_2T?zS9!>*_CD21X^za-2-U0x32yhVxY`zA78UXNv z9&&#+%oA?}L3IK_4TT=!0mXYj@e%+YLJ#MDHdM+0z{bHQY4k7vSP3XTLJ#kNhB^Rv z2t6n_ntE+^fF6bek*fjV3-s_AdiVq=J^=tb%hap06Nq}Gvx#Lou-SUMz|+|SXb4MC z$;%D%#%7r&>~pe7iWmiQSO+w;0{|fagoY)k)d27Tdf+(Q7`pfZz((MrHvohKKm`Eg zUWMV_3^V}1nDId54xpj`c0u3y9^%-Cfa2U$81Buc34L5_l2ZJjhh0F!U;u~%fH%-X z9RS<|8h!1{w|lz*GP@3IJi@Fj&`Mu&@Vr=phKy{s?dp3;<^UU>N|^1Hf|tcnSc| zrhuSIfXG;&;VIB?4N&AXC$RY)&~OHbTn0V7gdXmKU6fomR4(6Un!xD= zf|?0z4rVnKxMI|J<8ERa{IL?iA3TbOxgxFR9)_zWhbJ|{JJuWcbBR%)=N92RDf6Am z;nHkwBvi0+4eoYss$kV~S7P4;(wg+5S*8Bmd&rzjENr<+828S_s_+_C4k5}38)}GB zIgCgmotGs1)|n*|OBg$G7u6F+RspzG7o9eV`P_Lx&^fA&h|y*V3vZ{rMA|s(v>9=k zJ0IF8nzvbIjcquq;g6LoqYYiGlNkSqPpgmvsYYO#qdG&aZK`!5oKH@dbOxur1cu)<;p(^P(& z5;>QEM=llu_^6&z2Dp%bpQgY~2>59Nej4wcRT-6yVabPc|6_@l)2obx^{w#a5hy)X zb6r?aQ}Sxp(*X`;PhnfY9UBJiHUI}-_@yGNMgSKW*N$mgJCL*?*A}O}My~Lp;#AGv z+9TDt_pGA&gZ6SwLGoj`oYP?>-1WGo7%$+28!4FIMR5YsK(W3$UV%TxJK>Lmwid<- zsKs}(vKHTwc4D1&)hSXdysL34p*CCQ3XFtyTnk(c{v?7!WX@$mZWm!H*F0r8b%4w0 zbXZfjS^W=CT7axM{t16**61>%U-eJ;)E@pgECSBfKvk|M+X&cZ3808r;>a?>`yo@> zyY@ku8nB@57Hgl}9_z6HptcWCnQ~a>eevvKinKodVyG3fV2J^)LiS}t`HeO5E(`BY zWm)4hegK;k9|1sQWPvxRuvk$0t4>L<@hHLRW zT;reE6-37EElHmvFKw0h#Cf+yMLEH7c(JjPNb@A}rcqJ4+^I178;3C#htVG-^cC-z z))c=L$?x%91@m34g`*0)Xw+&#IRk7e=|Wc%5`!g3gZO9olS*jNYC>YL5T1T@ywT#j zOnk>~x!u%n^!crJkwhL9E#iyCchx%YrltIu2K=t{gteszJ8Ld{iXUOOC5&-j%%Tv~ zW#bO;$Kftf9MA|Ty-Ui}2Fb)m|b#56F%C z!XJk!rhu!Y{IC#Q$vi3r@c0pYyfji^K3cmhAZbT%5ZdyH>@^N8#lj?{Ef@Wtxi>6+ z4M>t9+GEUOdK^KUO3*&kMJtFTXpd271<`<3xQ>BVPN5~@s@6lBN6-opEv+H)c8^iI zYLrCvBlx55o8ldb1RUU%1A1NbO`wH+BWca#`gGVNO3Gh#TfVwdBF|sQDI0g9Z!!F{ zY@v1Mv3vTaIrQVZF6@#t_KJ(e-7yvscDwh32Ez@XeWvol<#2c1Wq+<)ZcOhjwA)xz z47k3A;HVp)MYMWuLy$_`#x>&oVHlZiLtsqaJ!$b>Dsd?_Ex!ZSzB;JC@$T_A-aY)r zyZbG_!=%UI#W&v7e&gMcqi-;czxd{}c>Ik^MAZaFi z5dq)=2nLU>lV(ALDNn#PAB|fUQZgj8DTwSf{x*c;RiBK@bma!EZCJGyvPM9=w>x9e zD{(PFDt0gr+N$ zD3k&aMFMjKI7^8r*uOyc#upHWRuqN{Q4|RpQDiOxwAYxTm`b6oN3^wiX!!)~H43d` zZ$Mkh90ATUg4U6sy{LzF5uz<6XlX-SRu3>=LohxXuYo^JRBDt#lKKxS98vI0LW(wi zON^lb1SKT1Uh@u=5oyv@MvA5oq$%|xHqnYAjnG6ZW1C4J3LRyPr9{z)I9NF1^e}@m z3W+FaWfV74#_uU*BxrHKnP?X?mC-&BwTn3dQVQ)yL~E^wR@7V>rN-4nf>}%~8VO2M zKcfVRyDHF=Al>d3wj(-dk5{AU=wKQ}$`>SsuS-gTw~h{uZKi|EDIKhY?v3$YdWHlH zmojxwfHyek=wJ?oRsgHP^v-A@OxWMwZXY4PZki zyY?hAP`}7KkBkh)9{u1C9`{Nzp%TYPW86eiFE+D*qZZ?`A@C|vJ3|DN?_F+`I$(or z?K9gBv7Qiv0%Jwh{DRmo3diKxngmMZ@C1fsO*tkJvUl)DKRhMLPGkV5GJ$E&-Du3mE{I8!xfo0da=K!!vBewk!+ax0a$9a!5ZmV2T&`P( zqlzDBKX4`NWZ;gkY}{`E`hXb`CTY^hkDVxPcPDE%kP{>yJ;=9Ga&7B-o=mb6v1R% zlDDt9ZTT9qNhS2dYfTlh|q?#cV>YDpkL zZ%oLJ15jE^AbSq$0?oE57{Eak@ORi{JOfy|=?Yk6u$PlIWJ^pNzaZkz&}BXRY4YWd zt+O`)@Js}j%X@35Z=7vusCXerwJ}C2n(A7MJQ*mSfwO?YA_ZLi8^EP9fkE;3)`p3m zai%1e`5}H20HW}F^tORSSt7FLJyCakp;aL8x0ot3e3cJSgN4qOq0WMCi*NQ!%I-&?BZGt z0|m)(^0X+3=rrh6C_0(`J5#Z+HgTaSB^-7HzLU6aCghMy&qFD4i2uR)JVlQ0&89tr zY3XGA;!u8k0B9ON4abQ&1&Gq|4*W@FaNHL;rcgW~N>98cg@Sle>RrM`Y{x)P-Z>j8 ztQ0p11}Xs@ z?UcM{UOIe2Tk(XN1o5K5E$dFtUFuK{{zQI2Qd1m)BLu`v=?`m2;!Y_P^9*{l)do+_ zo?;#$;EAU}4r_ z18gdlwKjI5RZW}kU6w#o|D5$|1w zfW-t*GcASUtqU@%Dc-U@nu@owwcNfYXV4N!0T(P|4~uNPl&u38r(T<&z`HJWl?qg= zS5g0_Sjjm-T&MCOV4-H2bgWz)3vu;HuY7=gY@M(a#^Z~@Je&$U3e)jLnS6Xx)kV^y z5w)P4aEh6($tO z%>FFkN6Aa)x+U5dL~dFeT%Zv_;&}n`#Qq&gkI)rQ;&~!E*&pPcmftG#R`A3j2r))# z#glm61iPw6mQy3(f_aM&`8uF{48TzTo~Mr5r6V z56?MuK?me%QGskFDY~Mi)l-&syP5ICTG(KBM3t$9DTefdDTI3v0zdMhEiN`=u?n%_ zq7>XK4@Z(`W`J44V6{qiw=9;KrJlaVYF`k$ex60KZ0+cXBYhM!e_@=<&@goyc3BS6 z*YQU8Jkfqa>fn#D2V)iG6FLQtwDC zr4L0t$!=9%cn~bfZfl!T&ti)703=;R76h*)p;c3U_JJ#QB_@LC3yOnf5KvK$3o!Rk z%3v;rFqe89DZNdL^Rabi6*P)_x>Kv83JTwC#OF`&!8n&k;yiM|iGggEJ-Q%u1{{fm zqfC5=ic*a*Y$BmZ%-1@eM})*`;>Y?^+!EO>>5KzxOa{1$(ixI7N18jj0`-_P7dFXK zGJwc3mZ+`;nvUz{K}{2=Wen!-YnfZS8<7r9 zE!W_K$o;jN;Hz!L670iZ^jZaCjYNTj4!I&BO{j_C0AC#L5+u>ll8|UKxXE>{rj(1g zh$j@cM0SlCZE#2;PoE`(4D%+*?mpFj-q8hT-%HU_<>@V!EOaUJ4hcZnDNuGw zOGX$vYn`A8Lz}g-yhh0~7l0jJQC4@lX|gA0FjuW*F3%H~D;+9lF!z=)rzFe`A(W1R zh4EXsuCLOHiGYSzgbN>)x>QOaT~+S_Z! zO0>}ysegmRuA&4%rd+I{enb#dBO(aO&>M))kP%{sf)z#B^|!!I>C9#9=G16;>A=3O z;7#qvUxi#|Z9PD7xPw$fR3 zi3FE(NdG6$WJq3I;i_MqQ5s5sVki6q}4ij(*^!B`y6E6 zFk-)0N&6~pqZzMS3uPuKPQpmJHZx0XB+NOId~+hLIGgXH;*^fus|DnIAb@0L);NHc zdx@jJ6(fbIIsuA9r!cQQM&hAN3Jj5@y%Tx2kqpe*HEL5}MZARG8@ zensy5VD{vx917=~`nS3Uy;Vsii4qp{W;>SzcnRkm&=bT=PD-f0eXwtDy6<|62oCNf zX~#@=>Pcv()@uXlb(rcD)-arX@hu7gU$*Ql&c6C?fZ0lWiw`if3LTw41UH?C01EFx z5+(Ko3L?6|Rv-B}oKBUF?lP3k>TB_k$Z@n9?ssA(UbIG`j=lyzAZ9y4i)h1Nweo1R zYKPoTN1D@Un&}MU%Lw8pRR|Hv*lyBjm5Vd7ua0d&U#hux)x?hDM&8DZQpD*6NaAW# zuvx~b-$-DHg?CO&%J{4xGb$B~oshMm-=`5S&^SdpoZ_bOiiB>4Gb|CW;pg_`|0P$z zAg_sdT^7)8VN^8Q8?L8(6}Qu<89lzDEPJFj0RF5|QEE=Pg;9~%sF-TRFw!=K=?}5R z5(a;wEIWKe22!_^C<9EUjlW}9vwxTFkxkgL#XN-+_=xR#51ji$92F6FL=;IXBuPf|A$W{!41AA}HVIH$WNnVowvmpCLN>^zEG6A0r>hADtN-KeTi~Lq zw*O}s-k>0$f~E{8h~i_w7x`iae4rvCBH$w(PzcQopDCFP4+VTRs3n}MZKxV@6+)+jXwUZ*oncj`#i5R3o>R%kXrH?=D0J~8tAWveHfnEnEE zqZqnmm@^&uyn_`D`F1=O7-89hhBR^wp?ZC3-;b8TB4=8Isf7(Oa1GHAoFDDYi8zzK z0G3%wjMQASB#hN8c88ymw;tGX%+Sm_pOlI3L{?F#Q+HmQiDK3hpO}tN^k>?Wv-ZQB zenx?8>LiCEuJhPZc5<_HmuV>*VF#7Ov|9nk95(X3S^d}h$MZuxmm{nUm^$){OdRIx zm&R&o)?wjz3sZ$*w~JY#lrc=Aq|^Amn#R`4!oE^* zAO_&-(3$(HX#=$0;h93A8^cJ_h4B@#Rp;TE0zs0D<)|iH4tq>oQ|%_|{hSP|bwFbzVf4orE#xvp+ z$$W*-2TaylIa#CCo^KK-Yd)Q_CZEY&g#Fu#4KwZVNKi%&X2_i`?ZECDn{5mjxfJY!jv=Dkq|OfSSBDW*MyA-%NG*1 zpvD_>A@k=%brNRa2)j*$WpIS$brL3-z&Ive$#cxTx|=)tG9f^qV_G;2G*YwH^MHu2 zGe!(MDrW}SUURpEN^>zADt9k2PjcFsaUe0P0p&Wx*z>Z8+hg<$!Oy;ZO9UFY{U{q( z4uJx5DV%9*MK%qmy-lula$1Bgn2Na{bKy1D`(%Xn5My-JO*w=kaq3&oz?(Vlvu%xa8;D!ZVIFiBmiW8A+TO#dJszhl?@0(xgMkW1)%9 zBw-+3*3rZppEZkgUJ6gIIW6gG*RPz;xmP-u%uC^Uzg zu8TsLn+To9&(!g$jJ4a~KV;%jsf@=OQrhzcGAC?DNsFxwvxFw9Qt@+Vm0b!(xwDcsVxrr0L4C==WNz&{rq^$TQ%~xm@`&{Z9QJ@l9=3OcjM8G0w3?BVv^*AM%Den zdh(Q6_?eTMXi)okY-5uLw-igr#7|i*H3A`36@P=@e8-&@2&pn)e3;euQC8naSbYz* z_XEm$&ecx-@}_Y}-}7mC2Gj6XH_g z72prH>)l2{?kslH)x~|OX!+>?kr=d*MGo)^*dE8_yW)y|YoJ5H6rM5??F zZ7)fUt(Q+kDR!4aSgI4TN#6)d@nWFi+FPX+vVPugOvqgcy&3RV5lA)r#nO+BpJ4TU zoYnU+7T*KLUAL^o$t_eS=ulNc)ncnEnFZ}nSTZA9+(x6bEDlkWcW0e-)gb7sf&}U; z{$Oo;^$c+4jPNw&^3ANXLgnVpa@5V~JS+jLwCRZsUSTQ|B`9-;l?meRIoSFqP`?T9 zIb^Uh`Ju6bGU5cP$KsNLD6#(AINoyRdoLrEXv~iug7GldCO~R0I)r!tTx_8zBZBJ$ zsCEp=^+ar;GUtT|9YL{$j5ZAj>cb+tEOL}1GEG-;!E&EkKT*+;>St}GXHp#tMHA1v z_V1>u-P_dP#{H|%@`)n<`Sv9QEb}Db<^BMUj3MAQ2Ao2`WrGP=Gl_uTW0-pqa0>xf zKS#g@7m7J?yc@#RWRIlKHG8H2c+1ZOT+x?+8~YLFSJ&S?liJ`m?78!(^ zYrYCSSFi`+C3-mlSly3+Gy4;831JTNoI2yY>ni_~*Y=8M5@zF6iY=5#v4xDm1gs4J zu;c<^R`zs?Iq&+=12l^l9S!>`w4DMG*_RMz_u&M*?CSJ=LnNOP=9c}$jpT1ZhWJHl z;L#aG^Z5riQxUa@fbRto8Mg_uW1qXgeA*M373&DNVduV~^XuyQfp-W!jMlMC1i-_~jFDODwU@_aQJVC&wY)Nkb4Y=RPxa)0x!TBLuvWfU}DU zSQ|&cbDcr6ayntoyat-9!#02n`CCNueJ_xqd!2x5XA{jE3A1tL!ixw*G!3zZB4^m4 zffdIIc+7WbpyI?)#Cp-pA0)D?cVSbM==Oq&GLuWbS zT+qK{sYvvlH^sjAmc_n6$N-9cvCJ!~%bqEIUXcOuiauTwXL(H?==HcmvC{qBan1!f ztBVz}A4iU@hzzTcJgXA>XvMwpS;IbS*=L>NbBBjo`&XrI%entV4$IvU*nq{ukl2{w zVGOEUgr7wBM1BP$T|Yvk43X&nOI(bVAtS9qV&E5wgb}TXp15}DiZ!D~ELyYtr4`Rh z*~i)ytCv2%B6W!rZ;}@;UcP)4{!4v+(b|`XhrT>&1Y&1QM(hlS@@7ZTpfh>?@7Z#( zGYrlYJ0nC~ylzZdckzCe^Mo#Ew`!v0sc&qZJ4qR+iHrN_gul!)R`<^0E9yXmI_M0I zF=3#?aPhgB&z3kT?R0^Nfbibwl=R&T9~94>6dS1VpO2Uu8J@9UokY}%OHSpjiDwW6 zW080tB60+3RxO-*YTv|C(SZ;8-?7#I6wqyeYSXa7Fmd$ZEk8{8vihWCoLDXvsa{4* z68uX-l#Vh)>8My6JM_gq<|rLQ7Ij-`)AHNa0#EJMcteXHr^rzoOgM zJ&)pJG+uSSGc)r_;)=zwVuK^aTS$(+DT+@!KH7$YRxCbjuZfucfgc5}@ZLRAR6OtD z4vNE2RdPvE-Vis>oq|?et?47T+jolvt&j!2CmM8V4;N7)Bv}%B_t-fWQDXbl-m0y` zj}0t zzH89iX9k_PIq2PogDO0N&x8h_iwi!#DtK+8L#j==eoT<3yr^EWUo`05uGej2AH~Z9 zRS`p6Ld9NL0hLag$%Az>z-#f$UzOt<#sqB>iGF=XH) z!~NU19;qQi4?2paJrQ5TFxB^j!+eKS)+O5AedoHJ?|L8s@Pd1I)S$1pZ2hQx^~I<+hZy{*NLerXd8QREuv|pglr!r zj$htg?W9So%ZY{>3UCW_+}bzVeb4w3sdS@E;h3JB!;m}s0J8xZ9} zjVKo*T4V}&R7#Gjq{dc^C>Ie&5anVCqFh8F%7r_kTu6r^^g@a$%0)=NlZ`(Gu|Sjy z#~5IE9T>)kDCAmT*p3(#O95~bK`gEgK^2Hmp+<}f>3U#j1wiW+Td{Ztf;aT?v^_ck z7?vTb!508H2@Fbvf2h4`D?Yx%$tDL8CMJ&t4KINPBdWLqfa{BMR2qbTnDv^I%^gIT z=#KyrI}kuZjQ|qTRsbM?gvtPbuMj|@8WAS!5g($q02sRMmrDDGD&)~ifT7k_Jh#Zn zrV8;Pu7VFy+W|nq8`dK1K?|Zg6d<6;aRB5DKotnyumiyxj3NYSh(e$UqX7@KcyOPy zUusaCzypFVj7S0UPq>&0Vgy~V$r%b3A*Mp@B>=n%fZqVn27o#WKC#a!Vt6Q`GAse| z>xjy*7XW{t_I3c&)FH0Je#CVc4)W3h9oHL%qdQVjdo57yLqo!bE3~<1@vw5ZBE2~+ zM_>Q3ZQ1FAPByC1Ao!&~$Aa6SQ@RH1y$j~t1fAj^i1M}g~^v_6X9t)aWi60xXy4l%D14I z>>(dSy3KHR_dNi#J~Q0aqYp?&EJMRLrRJ#gh&EAn#L1>_!)LbQ3-r*22d86BHa3Vq zF;0#L|LLHA0k938;ofTjkYo=9lIQ3l3=gXgNTqi|6YWGT&jVm302%>M_XVU806B>u>=XbN0>fnhY#OOZk9Z+RRq!R``J|Ig=sd{tIdF9; zFw_I!H~^9Wa1H=-0dNNZw&y{^a$xuh0OtUZ@d84s0N^(O_%B2#kxQsz12FsozWqz4 zNN)g!OMkZ&7oBp7h+O1p+k6>SY?|SIU_Au>cM$mq0BvM$i1@&>Ycu`<>$rLwKHLW2 zgN4A5nPbAnI-JKcHoTI~5ogd6LJ*fD*5PmT?%dPgouhXZ|K?q}@SWZ>_Y3=%5DKGp z*JyL?N{6?JCI-m`xuAF+ixC<&BxwbOtk^tk1HSo$twX9=;iZqRlE`O=U4oWEzikpa8dFv1dev4GZM4sqQk7Wf~d#93alR zM)amkBV&(?_lx9Xc7X4;TO=Az2Lv=;w51-_ulpJ-wpTdDh+S#Xw$W0wlEfXnephLS z(AOd@xQZhcENF%?18?w~fqqL)$8Q{f2xb&H%S4|Lznqgq%(rr~V*Ctc;Bv+}1Nj86BAY>v*mjv)8}L(LpNcQkq>?qPNlo@!O+S>cH~nzK^h4!F(+{rCP?3@VIp20%bXyd5E7XXy4psX! zkU~1zz7q>3w#UW3UmZj5pK%{!iU?iNwLc^T-wJOKzSASQh$|w) zgwIGb3*vqG9RTLOfe*J$RD`1J2vm%=Yd@-_nGhLh2UAdqwyQtAYZtyl9+B9t5S)dx z(#@6pD5Xjy<@j*R!Utc8Jd*O5Wd5QtC$ieSa3l&M>jhIc-E+i2UM_V24^ige6 zH~g6Tmy*@;241gW2P&5}L^*+-N0&M$daR&7_5C3+zhncv+C zyiWHMu;c+_NhHH_gz#9g#NAE8-mN#)F`h<&IgVyhXV zqb5XrLmtZLUF30mxbg46ksnY=OZUy@N@Q-VZ%PP%tgsT6Nu?~&4ehHiC5~>H16JxK zRk0KsXiP7KpyegthRWr<90{ggUR#2`iy!e`x4RPL z>uU2D0rFx$Yo6TPmGb$~Y#`}A703!bF9CH(uKC1cTLX z0YnvRdxfy=JQIK8nngI=-l1kOY4~^*#hIE)((tE%R_%YXCUfvBwVNy9c6-TGK_^aa zC5=BbVZ+M;y6Wh1C%Gb0(EN7Bnp~P3+}lHi!w| z0D-5Z2IZYe4fJjUhzWbB{YD`Z22zUeq~rgDx@=I%Y{);G@bu<|yz5 zd2C)gN3`kj(E-5~Y0c>cvZLZ(rnZ^iMW1j|Z&{4nE)~7gQf6QqTrf{d3)zXv`#{8< zlVTcif08FM4jjW-BMM)iV!mRxOUbN&FQ_%dMt=H>@}s0+Nn8sicB6C%ehFL)t_(yA z(u6H2oycsi3)F&UVGFu_i-7i%=3UdF>Gs6doASwr)QVp1$zgqD&;73i*}M_ba@O^=vku5uBe&b-vGX$w=7CM#AIm45b=&zF zAJ@NO;!e=y)cQZysObgY(1EG}Y$GCr`i|{X^BuTT>wpkn z%cgZj&xev!&6@q`ezV@Kk6+F7uF(b4OiT#I58wRorf-2O@)ADWNMx<`uF-Rq{C9$Y z;B>gL7S^GsDXUgSFrb5RIG^UfGoVA>|8 zDPSBw3O-4x1DgDe`0%Cm%oFDI;F~mzH0EA_dEMnB=I}^JzKzI-Fyx4n8izsH0e=uZkUmlxnZP38GF20#bog+(d8}T$o0CRDVv*{j6;+pXCyGtXmG^vsm|H@eQi7LcGiKOE z8<1QoVO2(;NUb2QoilOGk7ds|$#HGVE2!|6kZYCOMgfg)958bC_b~hL9If0oj^0Jl zyB5~4Dp3@l(WT5Pqf7JVg8*^+0UzOm%}Qarc={9Fo%w)uH|_Jg)tO8ci)k}RIBk|0 zFy1YuP03{yolDZua+ABpN4=c!mwLJViC$jB?ryQrX^|ti+49eOc?655Qi6)P`*WsV z?vjT6U#nr>Wek9PX!9>oF^d0(YPri!z5#;0R{l^ezID8!iO(X$T-b2ahS zjnW2@sU`19#z~E7Zre_CoAYUIZj&v8n8<|2iDncF0u)BGO%q%WOiU{Mhr;@c3N~AR z^Mux4k;!(F#6V?D(B)Al|}7?=V7B!;V(h}xx{7&;3b zp+c9qYFTc#h!;U>=A<%n^{rPKXELbpC7v@QC^z-f*J?&j>$Td43gTE~Bhj#u=a^|W z*1gm~9jGM>AlHYjc4mc@0Qt&9#yzLQnkKY!*f!=D z1d<0Vf`yLVDq@$z;NUVelnsM0%7$Dq8@0-DEH*|4Ra-`0Hc~I}?5vZJ5Y_L3ODD}o z763tveMbiWO17LV9`RBfAdNT`Gy;cxa+Y4zRK8OUlnD3JJyH!X$mNhbF}+qK6@J5c zXT(QxpbZ@Ic6vV}a?DXYbM&LPfx~SrKEm(wJmjbFyQkgOa4%Ta&81IxJMNt({z<;U zhucOg{$*$8wc~eY;YoZ2cIv${#&lC5$`vf#{t@p#;F+IUPKGG+kVN{T<7qzpckYcB zA$F}o_lCajJ0wA5Idm8)-mg$_&pBMf&u*Fc2-lO~HX1#@7elA+T|WZZ?T;O<~+ah_2>&0^l-+k|wj%$$j~atbR%N9It7n3$8@*V>!&FCFnC zmnLJkG7}TIG}kR(kiQ1$>zUn{`33wsJULp2sRd|Xht%1sY1)ri(_W=28(7n%J?;sc zwy(2kut95)!0@v~S2#9IjL+U!NsMPc774B6gw89eZ=izSN(KtL^I;Kd`#1RAZM~rF zwI8vzAH(nAYOd`!pJ@BfO|0#AsqnFRa9OoaifUG23!@Z^i#7tRV#+wH{GdXH^j6TwOz=WoAM5Pt_WiM6Vn!=_4Gyh zP(seu>TQ4EVwsHyH`;LgwVi2#%BBW(yN*y}?O-&})kKkjAUrxazb_&tVD0@{2at4)3g57X5!ZBEbG!+dMYbBi5&6UDKhIQFxWZaw^$6G`#X zw^hG5^cHl^_&8dMRg*q;`p|p zf!9~xZzKJr#Ycy+D+z9Hnmr|WZTYeZ($bRc4Nk9r4jdRSwPi~#Jf3w`R&0PGST}Mib8Cn7w-htj)YPg^E(oJfa| z+lF)XwO zSRtd*e84hnHz|8x^7Ce82yXHjq93BM+%a}PUx905_%*5^8UNEYZ7{(J(G>1{*gp(Q z>0Y7c+3TniQ%miDd(t*onnN6x5E8cy5C^pn*B{UD{UP(FOQCJUx`4HkQtHwGbg7}6 zN=x15R%)Jd4zgINauxd1Vpd(@Pcw^z8tm4JDi&ycu~0H5KBVQa1Pz+I<)>ILlNGdS2y zB5_p6%2NWgxN}ogQ-M3%%-d4Z@H4Ya3KW#VbaUZIrk)CPuY-gE=YUE-RR4Xu5$6ho8KhvTJt#BWf2q5;^ViO>&VYUOzY z_gD7@hWU8uQE@r@Yg-11Z&g*;A7+2uw_it}O_#zJMN21tyocVa_K!-T4z4b`NHb3$-rEsy91dgn_4Q1@>Uk(-Z+u zt12ObNQli{MYe@t6si(v6C=yG4o$Dr&cLdQYvbNBSA&wm7G!S?93+{>7S$|#QTpCc z!@!VE=T`B<^xSiuIJF|&VjHy1>3dv0lZD)$0Ub{c*uY;Qpm51W3uRY!2Bu(X19S_o z_cg)eNkl8e^Q~H|2`1ecUaCk!Yv)SWm^wh2_WOG44Ujq)x9(mN5QU@n_bI_%I(l@9Wsa2FhhlVM~cb< zdSS3%je*E3l)UMi8g&cMxSGHMz^nfL3rlRBK|;TNErvR8aeNy}y0N-XJ(dv`s{31i zdJ);X{tAtz3>r-v0#Fd6=ehm5-laPEq};?bqy6(Rzzkb_F6n&GF161_e9sO_i+x>Z zvd6_28}fZytg*MG5cb?>S_r#omR1e@UFR@C&^nS=M-PG!H3$3@>3w=<szExxlK#`!<5=tX+3F7I{GRDR>+zU9V=By%Nc9Npk(+?a%~t%CLykFtvq zk!e*iurQuA3tEFqBVgS!RSYfXS#l+}M8ggCB7=Kjn0kn)kmM-c9e(vE;{_sqmG!+$ z>S(`GIjsL-`*N=DO~wB~c$d1<5~Dz;qurJ4510!|ZBOfu?cUO8#~g7`!L;4cH?ha` zcb#g$2Al1Hwpw64Ahk6YQ(UHKksVt5B{Xr14mHzmF{@QQEVv4WI%^H9wb|uss&#b* zYmK4MO!RM|M09TN69S^u!v@^6e@8%cGsleOasknb%O@OrZ)dAcmCVo4UK2a0&<_WR zCOzjMIn?4LJK!z?sp&cH7_2;p+BptkkWoLcKRkfvfcQg-1=(S=PXp10wZT%fs3Ebx z+0xYyTZ#AKrByHR8n4m4-i3_(@7I3dgn3${^Py8rY$zA{tw9IBpB{)1Tr*^FdL4c@ zS3I*7U&6@6Bw2uBuk^7+{UZBQtHhXtJu0CKV;pgOjn~&f`>C6K7dDL|=_PNM$p{JZ zxkNA4HI0JKcS*Cua+s;f&~)mc8x{6TD_}G6Ycbt57LY*ia=}Ww>8`QeDtEjv3tegS zZQyo$U)@`Xf#PX)RJaTSpt`#|X8WwE88~b=Vu_jBsQrwn^~s~HsEy*NUGIncjiR^z zd_|!acdi6vPI9u2PdS*QO=(lxXzr6b7&|90ZnImPO7Uv4w3}~%e?tx3;SgvG-aw0R za23nNUX|I==zmF{9cug42K(bi`ytXbqtK8#j}s(1vDuExv<~S|wTRbPrf}Xt9t8@W zW16@$X;+u@`GgHuv&mOKxnSQ@O}<78ldn-+hkmv&`3%v_opVnEZx8djE$Cb40-!-x z1$JRG2lRaf59B)9HbjDX!fx9vSXpOXmn-ef7NJ8*Po;j$3FQg=v@+!ejKu7kcd?FW zO4)&Dey;em6#VN@b^VE#g2I{)0Mu>qRx^--2l^Kelo1&_oE+qJC2-EjZs_gj(w8Kx zy=AdB4f~XfvR@Uize<#e)MlHz-IcA4THJk2ja*KH=^yY?!s7JS-XL>58TO~lG(Cw% zm4mkMy4;Q61R3c7>s!OXdYYF)iUtieA=d3@o;p+86b+Z?MFw7&1vzg9$*kKCs=j7H zRy5Rd7?uhFchDT?_KT_q)){tU;BMb%I{=HQNP!7@x`?pp`hIC`Lh>)_VcJOj+3MyF ztx0HgqtZ#S4RIxFDUB5a%&fLyPB9|U-$ZQbk||j7>aUWU!68e}{7iz`OTPubBfv+- zYltRk^NJ4VGd|o!>x^E|Qgxx_y51)+&FdvLy$i6fXvx)zQM3^q-WHkF$yJ+y+ZmXE ztKxq!w};5%u@zUv|6t6L;)R*36CFLH9U7E>qu8QG5=zvgbV@@3Yeu*#WWWEVg6O*InQAA}utXC2#=n>jn-?5fI0N zb-tkOrH-p@)?gCqy;M)H#F^@vS=*vJKuD9K8 zk{5n>8b*6eQ$wCJ#5W8k_YJ&C;ANW6btyCITf~aKt%zpZc1or)&r^+_Bm`oOBJ|e+9$ronJ*$T@ZS0P3-&r5SQy8(#;lp=S#TZuJauK7uw{hfWM3G5b z>h7%%r|&RuUsQ}Cd3a9HuoJn|FiBDy->~K$PgjYOzfYz9@*AwR8U*GSB+LIsCGxyH zeU_@Mo?C!@E(>0so^3xioAL66-Iz$SXpMB%b)DRStl6VajNaU@nAgs4$aS8gzV04h zl8Y~BW zHh$|652-_oHT~HnKn_g{7ngD@9hnM0YL{sxjr@zv8_>`H#yueL6G}av@Du8OA~#ou zd(RiGHf?l2T~f@=yN1!LxMe+h?`yg)0m(SDbMbYO`zmZ?@GaNA+yZ=A1ukLWAbBCM zQn|a1RN+MdGdD4hYyO1-Yi4fxh&na@V!>`RGYv0)FGc5=ZN|3OiQ~TC`%f@4GxwYQ z`+yFPmK+t+TX0X-YW&Rdt7v0qo#Z_qSWQ@pwtAQ6>d;d8#J}V$9QvfQu;ewO+HGSt z^rXd}Xo=fpt=%DXP)~iAG(B#QkHt~QMrBvo825V`ySz^kaf!FV;o&yT!_zYyc(KLO zUg>bhpT2XBu@MD*G>4wyeG2D3y}bP@^UA^ak)F?R*)K^h&pzmt-3L-|f`Am$Ax6-*P-?Cv7Qz@{4NHWeFc1F&XohX7O zxXB1}53fnze%)#aJ{aD1g{E8)3bp*qTGvv$5KP83=ESBtc*Ebb|OdaI@;-BnvwB(0% znQanXUZz!>3O<(=L9_~(Xl4sBGTK~Sh{cC z$dLH9WM_g$13OJTzCLNz&c7!i*a>$%NFLSvy!8~Rf8)r=B*d^_#s+VN{4rIzSYRAT z{#a>u)3Mk*j^tTA{;stCPR-i&^bsTOkezDsw~}b1+t0lQ|MfXA>d86KufFl>2`gph z9`Uz|UT*>I0^=B~?Fjn`4H7cIGUX+Yp5TMD?4P5S^L*&*{^ny++rpiRpt1p@SMUJ5 zx#h8S-M0w>)!}h{Gx%+0lqT;)VqjTDyzPQc$_U%{jVO677~ZK3&{&1O_Z`>pu%i zB+(n28g(9Yk%}8p@=5)S;$Q>wV=&iymnw%5-Ugj%r0HBY&&b?^HI!*ewRg`^#+J=8 zPJoM|Fc~wc4;|Z=o&G15x;VC<%T8^1zQDG%Yu&sNDnDj9meIV=p~BB?yxxlclHT{O zN}dD%=i123aPK9%tr6@vE|2P?Wk9@i_nzkPi+rECQ?y&c*msq&-_vJKRpi&1<>rPs zFheM;{$&0E47%o?f8HrPO*l<7p@Fvvc-iTbng7roWEgTDUE?`DcS~%W#0$j7b#5u~ zR+GXlTetBNPsMoY_AOoDRPN|*FR+~^*xEl353?F)ZEA1*M+XHebV7f(mRUp1Dm2@z zD0`#!26Nbn$YE!QTq5<&z!-{M@h7@XTkiUOB)laSULnzu&=R*W5TDL1AHw(@OQozp zODeP%Z9dX${&+g(RC#~8%y#F)zJhg4XlU*qm2hlWm_^rvd~YwNUUvE3!OGL*?yQk= zmgLE9lgW}~{&l>f+Vbbhe@UlNDT=qDb0d#` z$Tp9G^+!ep6{)S}6vK{)0pSt2%2%n$lI7ahdE`~er&QZ-QvQ)GavmZzT%}z?d)us3Q^jZ72Eh=ghsm}3U)2G zQ8OMxy4t?xC0jaEUy_6!NKBrYwV&}mAycm?`hKO`dV)WL%(HZZtw-QP^oqQPV;_@~ zQQz;Y>~4Dn1G%+!?Si~iv$mCtU*+VHydML3ZBw#l^xFmD-h}7ug;?FP3?``Zm_qnWjHDU=B~Q9st=v zcNIJI)~r5U;}8}~YTwi$*+E~~ZaZ3M9{$p4o0R=V3^xyef?d81jwdky&iVeyQY`LK zZtv5)ox!&<>aN?0Jj{-wUVq8w>i(q9Raz`>nz9bddZBfa8-K6RX9GIOkkh-0SDYqy zR7u1@J**=fg^PahzZDM(epN(c=x7_~3t|4oZ&Aj@o_3N*yToUVm%Kby5e? z?Mxk%Fm-T5S(FnCb+G1rn3SeN8aT#g@+-~FnGIdT+}4PCYdc$R#{$B$0Pcqs(Tc&6 zS^Qk44`|KyJl|O<+r)kQW#{|pPu;{_;!c-@l2blDNSEh^t(uNrDI5Y#!4LcoY+YV@ zILd0uYW0{~Uf2K@o40SZ`|jt*7|<-94r9P?MV^%c^{{d3P`&L6G6w7u-1uKv2kUNbp7q;7E9>Caz!5(!p>B8i)zQj2sBnH;2}K8P z#Ep4^8^#)!BmVF{S*)_PpAS`UZ4q$8Snu+t{}?kj#N&##9BL47Lp1Y_e2~9DJ!n0h ziWrI|fq$YbH}uMn>$cyj8O_)7rfpvHmz8DglgiR?sH$vE92Pbf%JP+IWwBFVCuJE| z1tmGX!dcR%OK(k1v2)I#b1MBGFprouFSnN72e;`=8)Dt#T{h_uM_NKZLi-^KkY{o zMjneSZj4Rv+k#Hp?zOXF+K=e>@zUnla8PA&lR;fSrg1BeLmuV@pY7&_<8i4R=1vzo zGI!X0a~^wa+_P(f-{WcI&7P?b3QnB(1jFctx-!BCRj~8xt*VU3H*p2Z;&8k&?!GFx z(h5QL)VuEQ8VG@j70S5rp}{8vjVOs9+wA-kYlJa2%DNGyUSnIP{bXVU=b(B@C<0zB z2VIH0czCSU=+Nxx`o&hN;H>Sn!WmIm_=U_X5S(I}c5=$eCnnf|e~Ub4GnS3Qe|n+% zKSt~~`#8$?j5Rv{%c^%YamlNKF9{k}9zV9#`CqJI4Rud6>_q(7wrM;L`DjmJ@37gY zEV0W0ntdXJ!IM|72#)EW+cUQPI$xCMt3GbY;ox(q-gR_)(bR0Ta%JK!I$)kVSQ~-9 zwzxiHi2E1ze671`dhxY)>jhn9h%>qaicYd=h6jzb3T$AE`vWtP^b=jyGF@av+lVY7dt}uOs@X5a4ZSCj zT!Yv66WeZqkrpv3{MZu76r&+1lQ0~~xKy`)-Lb}ItRG*w?RP;Bj~hAQZs-Ja4~u6uRli>;nCP^#+l)h( zqiwOYXT|G3TNYY?jWAv|6f>`U_WmWl_jw5#KWV@{hCib^_-ijZwDNKQ6hU36@^7TG zP0BE~9mjnvZoKGbHbq{l;jxXE4t~~p_=pnPWWyd>34u82v!YA2BtIr?DN1a6oy*?dLdjH@5}PU+#Iz1(&=zeLlX?Zq@bf39Flj{tWze9!kE=$#w&N z4h=W+RFTwF_`XI!lzYO;h+$NyRVAG=*1BQRgx_L^wVE0x(#@j91+TJS@*=z1g73Pd zuV;Nw&vS~WVezp6#$D8}gC#>7BZAEHj&X9c^}Hibc%&Tmt2HO*HWhu)ARtaUj58JHi zT|RQqZ%lp$R}XED7{vD-?*ORi9^SUC!-`^U!jWA}q&lJIgtu?&U=(|&SyQY}XcvWc z{3nWeRF%a+*{+TtLwJnckZ|k_q?Gns?&l0G$M|J8Fu~*Mu>iH0Z!gUW&x^K3U`#mT z73w#_JTfm8A2&`XdE#aGrSIJQJtJ7Z70$DkFYTQ0?b~^r|53hH&Y9M4c!ZUF)qD~3 z+YQGVBwz1CzT}mfW}7k>UXQ*t?Zv-g)oKwmY4tB|9dXuZ>gEjmjfv@aX-z!zvqgv` zd18k$G|+U}omZ9O=T1(mEzz5`lsxfq2B1hG$j*IXHLWV1`%QAaRBxJAEoPJu;fzw1 zG1GdMfB6@;fxE5gZ7hCAy`3cEtm|d1%NfvSVcKLQcBn%KT2ZWf?t=5RHi0(CNR*ZV ziYQjrSW_%s_(%2i^8Z9JucFn7C(nfjTUDr7sM~t2ohrOxaQQ$+{sR`>3ghn)KrQTM zO`=p6I@k(9_QDGuH!1`?)+C-@6)F|TTg#lNbFMDv{_)cOYpq8}MWVDRbchKjw*m8% zG0l$Gitk3YNvF5*UfTG|$? zb-3fnxRv{T4hW#O?M?rFli97Bt3Mp@`~EUopHf(w`~ChT;D~Hq;H>bGj5CO21DD&N zA7KbuhHR408=wmvW#XP?1e&%WVQi7M+N0Rnw}?j&?Glh-pKqc*EaJppiOv)`4ZOFA z&UAF|wEJ~kt$IW~?^1L4SdR366N@S7WO2(IeJN)%7jr_fchfTKm=nq&do@134Cdd* z6d4N1vWO{Sm^VZ`N@?Paw%fAJ7_|Q})KUrvun4szJ-;wz`BiHvX;|g-hR+f5jAx7U zUj=nh_i!bhcQ_TC+~<8T#6&Z{H7Py6pz8Un>1GF8!H`w{2R#LXlCrHdI(<8JNC|>^ z-Q*9lTL<+z`-?|FJT_zKAUee;YS}dx?6-_QbI#6l++qfUQ|13AN=4P1)>5%NI8blD zqf;v0{Yz32V=WcUUq+w!xRItd1l##OHduypMUUYhIKa&cTkI5_QIBfR*gU<>&xyofx zqx}wnHZYFqevt~{^o{4OlYA@#elPXG#lnkaM@+t~+B|af>)&x;JGwz-Y)AKlWqR|? z%Bw#dy7%TzD~;qm?A7dpBS1r%kN?W3!78) z4x(HGG6bZ$=l5Kc7y`*g?Q&2{?N+0q0F2%)bz@$d`a0 z_5*Nk76HqP2zZDGfSV>0urZ1-hZKFe%o)K1ylzs&?jhBcgju?eFdIFP0zvmY<#?!=!DuF6))lloAO zhqInU#yY}WGLvZbbOvxm1Yu5z$^qssb^wlL$ravfzH(!)U+JawUxgmseRRNl`&S9r zFq42|rU5uInt&?@E}ePabwa>{YkL(Z33KHf!u?B~rX#!3S1hDR70TdU^Bo^}txQGF-Cg4p&0bJccn4`uLH&*o|;GYO{`|Gv?=5P6&fGaWy zc;heveni0QKP1e*3?MQ(2)ID$P904{3`yo_B`&xEA_*~>lwQOI)iu9K_K_rIyP%Z1PQ4z^Z zRwOf&ib(fnlZ2dOMUJLYk+LDI$XZsU;szsZDJv2)oYiuGifs9c715_r5%FkNq=^-A z|CAAy$%-_vBF00k$nUI(;dw^bMAnu8y_j@;CWlx?Zf8Z7jG$U#kFX+ryHH!&(y2)H z3|8btR;1u_DpLJAD`I3tD&As6X0ambWmKeTj^rAo>mn=C_5~3Zd65-q97(n4PZ5*t zi&&A0!q9&)!)wby}aZTa{Dl^4{Ds zcapYWTFz|bw`l1$-0vOaw`eQaUz2bqMdUgDtKD-a8TzI9Cth=oh;BPNbH(B-vcOFb z2L#)Pii>0CD)tn<(SGek*Fgx!CqlA=mZ)AOQ^$XeROsJ&D5lhFqwn9HU`u%^#+yOYihdJEjfCfC5_PZJEaWlH;3h=uB2k0%b*BSI3lE%dI(V+|;3cQhn!?gLr`PKXUvG3e)G|&j-{S5Q>fwCeBNJ&B ziuL_eTi?m+EB0C%(CVnUdQUY)G-sjrIGgf=gBrTYFYLRrQxxbJia?f&0rMrAuLPDG3aY-br+CwVR%>1yudyP_OJEy zwEbt_K*vvJB2K?%aG>MP3DNG)oGXzUvghOB0?Pgl3djnt;YLVgLEqbWHLq5_h#Jq&+ zl;Z*&yKX?)hp0}MiRykWk%s*kf@}l7;Q8 zbpw$wq3;PiBo{g5eC`jDO7IZb4;4+Oitvy>1r;H4f>9ZbiuR+TP~;!b9Y95+_DjQF zL}>rqXYsHm6csH&MX%tY4ftEHQAMe!=sGGwc7?tt@Q_@LiUv_dc!&%}(-OhAcY$Ap zhnQ1T5t0dXy?}~VQAHO~(K_J&kt*7NhgPZxIRqSjr;6y|F&-YHqShOzF(xg?ps7Pe z$j0z_DEb9S7-rM~$$q437(QGfS_XiIIzX<+Ln|O3><4Y?k3r-?bjK*rro_YNc=+f= zFai52wr{OKpMFh5B2h!kH^BBG1Pe;`+j8J6UPS4RFg_O=J1X}%P zwz}g-oN{uhq8297zVUTJWMHu>N32P`~wDMiWT-|2l#`3jmn-!x-UIC&0BW*u)<+jXY=)zWinP% z8M~+rRK`|NM(-f&tjB0sX3bh9)nh6n2$*Vg2KAtit-_5w zvu`plXFIihDV3}fw4L|pDS}$?sw0EKHB?jB915D#gAxi%zW2E~OQXA;58nBH0t{KK zpV|I;9|WZB1r{FlI@3?2_pSE6uj>ou5A1F~mTzxktSxQ*9IgKwdisXD5>yt&Evq2# zNT0TBUw{v?6M$734MB-05rd3W6(X9s=!c3Kl zTnXYLAkuv72crdX_w?OFmEUnW4v>?wX^WQSIH;U#%5e}YcEtgP{*CNX+`&QXZAG#T zEXTno8C;HoDR5OKuSa?UnW$@s8zXf4?W#;#bug7QZ=i;VO|wTeigtg0`N|IAk`s%a;x*lT2F@3M|!!>o{V z84GT^=e@{*shaF5c>%sq(#@tVE-Z0DOP6G$sUGc1UUV~!NBInDY1)!+0#mpn zezlEg6NTxzxQYwYwUNGDT1|}t!DWm_5G)@aWk=^bMcOHpl5|`W1+b}XTI|4bH)t5A zT)z}FNt(NkV@#RrE!Mau`{C;y$m_SI_a}xvTuugg?s^W$uge`1(8v-7l*Xb6z3Gg+ ztl7?QWewd;c_>8aP@MOA_|MSSh#%$Yzy9+~z94E&i$em5DcwuYT2D7+gzxg_(7UTo zedoa5H3;8mQ+a27$&5)aQ+*Nmpvde6>Dv)M;5<%$a+eb8_qJkUDAyzO*`ybXbg!{f-7*mu zQmTAN2#rTm2YNd(31Xd84oJ0Ytnjzodq}`#*X|AyO^g<+0$2Eby4Y`dtls_khYh`Ob_}V=5QRv2kg* z>56vwkh@UAUur_2eQ){aay(BKacAgf%}+7*6R8w7HpKezD8tX+gZ(H{Vf6>pp3C^) z=Wl*Y!G9-qPWcw*QQk%1bY=zZwo@2WfZcJ zN5MO@L@3kQskhyOD0%y`AAUDWz8f%dn6KO9A|&x}B9m09zb zfYS&G0Wj_Y^Rnr#Q0%fO(*NZr|7q46n!pUkiQiCf6WIhTSpFLG7+x0PI*pnihIc{@ zR$e%~mXroph|cI`Xz7fe2643}q?G6sei5Oj;|nU!G#S}7xg^kJQ@rFjKN^$$2UpNZ zyvR1!yi0Eb@DWae#v5ixi=uOqz-(y!@>{cksP?=FqQX@~aRr{Z3^TBQc#(Rxh(sfj zMF^uO5{ zW?!kYKkM4_EKcEEN$NA+M)ZMSfno*-7lFI_-c{a>u*meiZG5=D5kAfAdyeUEmCt?d zeJ}2BM6Xt|;HK+=Ve#5lM+Eo^>*2Y24OyUa41D?K#UUf7IN-R0eA42OVeH9t08cl! zgd*PMZbTx(@eI_U!Y6(8XwCBgw;I*ARC;HSQ`V}p)5_sMd04Cm;lzPsXEOT1U( zf0kzm(VyQ#L$nEZ%$=0cgDH{|WLl|!QhL=N<|2&`4)|B z=z*z(2i9}Itpv=j*z&-9gI-)ojg73shZ_qwiN+3SmRKpPrn`?wSp_X!aI9Nt;=QVC zeC#}x3zs5FL3S4=e%M`sL!f4FrwAt8J1JiSef}YQ_--L<4g(oiUMG7~44wD-LLbjx zV1rrOC@j>>6)L4d*gjx|hW5!JOC;D8$TK4w@}V}oPhB^w4b@O)A4yp}CKE-xxuF`$ zl&h9{O(!s6TKt**B1P@H-E5txh9)&pRCEX2r7lTT=8lk(3OerrVqxqp8vHWuwK|MFpMGz0I(uGa>8_{1}S^_c-P1AVgwslnHRrXGxgWp$@{z|60 z^99$cD_wRGI13gRB?M1m>h8WZ__joM;({Meho|`*O{YW|m1G3j4=QahXchM=&zROf zRFmyI&atRqjwX9++C={^RJ_IV>*4O3h^}-i+p&RbPXl`=AmW2`Ml*}nm1dqd8eCWt z>v-_n?v4~4Lj&@k=l(&ZMG(L>m^3&M0N@7p^((r zF0T-&470(6xuuKP30XHHP6rW z2&i?wETp#iTSo0|q9iKW%v*}5`AA4@$!q%v<|@$;1h}WW0h{QB<7RT6*v1tgwqn)0!r}OsdC$sd%s3KVC3m~Vh9jUm^5>ao0JRBPQ0s4@JUkdA7vFlm z1+@=5shza2liH#usC_6<9<>(KPGa*mv)HmJY^h0kIDrOBt=#(mIyVkxYlOUWiHJHxa7f24FLGSg)%@987U0aaVd=*S|fI{62_YUtYhb`Wc+YdtSe zE5`6{JZ={LuSGNFCmQxGQ7{pBrPYe6EmiF9_o?cun*N=#o9!#degC$1hM6aH6^ANF zvfISx|B;xcGt*svL0v+)2UOBj=UTXp&Z(O9_DY( z&fe17W{emPYB+kfB08v2Q{@*>u+@H$QM6YY0P8!}DeiE#bAGCH2)<<4uELjb#(=1; zX+Gu0#|upBS;uz_FSo96aw;>H#*`PD7c9#7iC|z>N$vr`;%0IH0~}3&aFu7%h5q*+ z3EC9J0P@FF@KrF!!zz4>DdJlf32s0egsrGd3$UW4_ z^}J&UakTZ4kisMm)dVAt>VGq6mZUOUZ-SJCH#XU@pipl?VL}2?=)L)jnZj#`?CX44 zNMSmMDo)0u`rlY5YwUg{9>ytow6L;MK%u3ToygqoNt@4`Df}@8Jp52d;d%~LLI#iO ze`Ar<9AgwFXn3@sa1|&N4H2#b7BEAzWHVXK7W#a_y>GoJ#AZpVCbS3cYTp_30L$Z` zl}U=6H&@nPjX229NkKYEf_nS$K4;GBsb!gvU;Am#n18Yyrgh&js*U=kqjWEp>NT2&S!t71@!6gY{aBMDl!&$>)&HRmeCb=gI z(oM7Y{N3>2Y<=J?0nlytNBtq4SKoPPuB4(Tb7|L!NAi`d@NTrD4cv!^v16L%Gc*WA zuK!@{CJK9;;fZJcJ9o{+wfk7{jS9YaOBY@EeGHDMQ^(CVjdW2JsLGP((?WsF{^KsA>C- zIGn$l0Ac=2C`a%TiTyJkpGRDrc5LA8fYJy`7@Ei z*k2YJLFs`c4i39yEUGb^H#M7Sgjy^g%J;WXL!$4DGxZ2_K5hN5)A{tk?0jmsc0O(W zP~d!eEOb6Ku%(M{^kE9kXj+aHQH&g+FGq5SmM$IH0gXJXc^z^NqIsStw}I4xL4GgsTj=%x+BsM@3SVz)q7KBFnj>sXqa>bjj}74Ez-q zz4ko$XtOd3|Ieb=tc9Psxc*f5vHEI4v z^qPfZDCi)KVBh6;$n+)la=rH7dN-4LYXcwgeF3qjI5L)E-&-}H-3-tmUV{29Osre> z#=;H@zWuk066Ff#H@JAxob6YS{<5&FR4bg%$XLK>PRR3jKOy7?s|LrAxkIXqX^A$o zmdNVV5?2;kYl$|2mbhb~B|ex{cX*OOwkd9#g=}A*)lkI)@YA88ccR$kGic&8r}EqG ztIAfO_isJ=f4sd5Sk%?lKmHvChKnEr;{`!s00lEI1CrvE8BoDOL+g~L)qrN`Asx*O z&18l_E{cjtg=U?>sOV(9V_9KY4Hp&5JfnwG1FKe&8_F8MN1;?r^!he~!e&=xXp4Yx?>lVh1&*B^m-BD9agVbE| zpI_#MT)4!gth9c(RC%uXoJd&8cOtOg`=Xd&aGWd!h9Se&iEqd*&MRfYS;+h3S&Q zKw2>)I9z~6tj5;%OvLp79Oje}c+s-Ic)~9X-!Cb=8cz?wxR8sZyWi+N!6%e{{ZY;O z&NyhAe$!YMN=KxmI2ArWD5Z&8^TOv3)(nc`Cij7|M196QkLGLrd{Mj= zuAC)Zmn}(yo%lu=PUNv*%N}ZrC<$ zi4APKs(Hr!YwD5UO!k_<=qQr6nHf5Q69Jl3~6qE2KL!NGB&+47+Rorw7TOB@Ayisgj%22EtaZ(62 zLPKHq9QiC2wzd_6q$nEye_)jbm*bTQc`LFJE|UB#o3lF*1jF1xb03+HW%W&FdurGS zOcv)7+;JhCVtk|{-1>t-+1|iXSwoP^m_PnU@S4lqkgY##-q~ivkX^+MjS#xb(JN)Z zt29KEBYT}QD*b&k@(FmwLn(f#Gpy}KtvVKhD?8P@^lcH8yzncr~SSsqgqxMvYZ=~Ck0!WDOW zxYOEqb@; zM!()~aT|Z@Xxx01TU>kEOIM>_=XmZ)=o)+GYaGwHsmSp?zn!TRQG8-nJ!Gepf(2vv z;oKGZk$X6@9Qt2il>)KssPZ1Y4IRsAy_v~Edw?9Nhu*pQAt{qJ=R_YaJKRr53h9MH z%$wYh%~flvPQ6M((muFfh>IfRjAIqJ?4mknRZ)KpYF<`o_lx)RS50a^OAY-4$EouI zrgS&+oEmn)`g1*(yV_XN=a{vI6!H`9R_+l|N1o)) z)bYMSzjof*PbINeJ>n=k%(2$^>Zo5kiGd~7QVjY!xTufgPDlD7Q_P3lQrUCP_Lfmj z&>=Tv3j6Odg|TXY=41(eI=!fhWiICSRdVm-xOAusa)HnXY{F7S&T(SMyaPE@i2_)pKb@Yc*Q#v1PqZ`+nYLfAitFJRsn5CPct8VCNa{jA6}(>Dc{i6XH(?~jh{mR!?fn^P<&dcJ&G5;O)#7K(kE@%hKDk#uTtgq8<47r3TDMasoiwYf0@7OqHW%5(oqfWDI-P{H~ zJ9e09^n;!iwNBo#XXt8hpS(J*%5G)md5$SkD-_fjYc8KQ3FLUfI>UW6RcJ;oT`5V`*{1-o}02Jk8j$wyr0S?iIyq+pUWX>Ax z;YL|FjQsi2-J;6n^GQ9jU7uT4={X?{Bfn1HLnW`6%GIoz(;DCo#5LX8Oqtuv!!LVI zM{VY@s`$}3Guh4Vr8|*o?L^^r3)W*@z`r#(F)sh%XOFV&+&i(V;&i`rM4$PaB|d@6 zS>;?*UR`mTW6O;#)6?>j>3~*_JgWE^?OCk1d^$Pz7P-m?VvSv#;DwdZz8O||Vn%Jx z+TQ`L(r*z@hX2LQLgq|E^v^!~#bn{^Djd;+sqwPr+cH12C5)FROd@TG-dnz>1ZuFU zIIt6Q&oi{k5t99|M^$pY_+Y-~*?zoW-jnT{J&y|xbiFua^*-VSnML~oMz*)`xyWY+ zl}%nxxyYr9jCs%Ex&_z4PTChl;otA|1angUM|%T>R_(a3g%enFDn?!?StW~UNd~K5 z`h2hE0N1TK6?4z{Qnv;zr)0SNGYP?eofC;{!AC61**kumIidQgX>F%J?Z-zsw|{L+ zoXYW0_4%E3r$6Ra{H4+Hn>G+1Nz-n)<@CbmJGk80Ik*2q@J8{}mG;x00>zvuH?uJ0 z|1ZT`xVsfMQ~vs)TzV;NMlAXx^OXLXZ7TugVcx1SKYgot z6YIZfM7MVE93&<2y4mWVDQsCd5bG~{7kOi4v~Pk{X7-ieX3l#F>ZZ{2{LL;gDZghm zk#Ve@A3bNxBNG3@y=1EGcbX`dKjnY-B6-5(W~TYseO(WOp*5}j8CvhZK}(4Ze7i+#HW61`rxP3ym=NfA~*qeLLcm4Rty8dJT`(EYv$awHV*WKqiK8i?8 zt$mvKNS^kSf!kKSw3a)>*I)3z*Bgba41^%woHrG38NZ{Z3D$w)?fmJO{D;={6K`3G z|33Zn2VA$#uP(app>-tQz6+R~kGk8V@KWaG5e~(O7R4CzT~ayRy1I{z{g11^TT`0)F}6C%mNT`1X1jes=M%Y8vj!Y6~I@EcuE}4-G*! z+oT6_UF`YFC_g%%|G-@{dq#)5#I)itdInDo?)Jsgm${o4;pxj;!S~V${`F%Zgs$05;4e;4@jkTpAe&;GTE_ZW>LP z4-l|%9|1o`z?};T7*z_vAPtpK5b`=44XA|ZZ2-rAfaSi&MK;8I~_4zpht|ef@V+3qpNSH4WuxSQi z?n)%gzY=gy%(s~B_#p(meRR?n$*ol>$W9X-1YlPN0iPWU;EYH=09U<8m^Tt|?H zeGzrzUIG^GAm9zR0JwP~0c&3&;BN@H^LYYpxr@3{MZmhn1ia+-UuaPX*zqa>=MSZB zyiUMpo~A|lkago;0?xmc2KWd8_uxGsFcYxi1BUro0{)eN9S;)l-Qx*(Hvzkj5ayK$ zzha(0CE$jo)QuVYwxQ2!2zbr}04om@aO>Ly{QfXtwsa699bQJjn+5^1aUC(zZv>pt zO2CE32zd5z>c$nqT=zV6qk(|6j}zu=3o$_Dw*;*FfPjw@aPD;iu6~fF?qAf6oFW4L z=OpSzfQqOr)-l#CHW2lZ!31y4)$R?%_F(_Z)e6Ez73INMI9`pv1mGX#!5NZ%%a9C1 z^_)f3b939o{5M%+kQoPGvm7{f{Fmjx89Zk`{q1}8(EsN-a2{QeTkz0>t0pIVlHj=i z#C||OUaQMME*$l~&$au$5X4+K7bq7_hL>qfq{!<^aDHM4Z-TxWbiO zpG|J2OP{^=#qk2A%$X7HpI02U8To8fb=haSvYn$Bw5?pwKIb16-{g|ks=YZqiyZOh zOM85fWM;>Zo&Z~3ojFs`l*EN51Qa8&%nl@$34TLoh%h4eOK+2J?bYppO*-U_X&jjl z&^#?$t$GXq-vgi{w^(<)**CYU42fkzH6^QJ5(1QI+3M150Qe06>3PMv@)qCRu@!+$ z#&AtZ?3jcA>+Jw|1^^S23=w$%XaxX*2%C%=03-rnApl+gz-$0C5rz}MuoD2o05Aan z_W|G?0IUVTCBguJdIA^@0A%y9J^+9Zk(Xv00Q4)0btNZ#Yr}R0`j{d$B?=_2$w1R$l(A7JQ0>YFo2EabK~JnFa%Z+8a8%8Tzi={&M~?u|PC83=U{bB5$l)P1u@#nJgs zr|(!24*5H0pz>|iBe;D!OW%nX^o>SN;d#tXw3LjNJ3k3%q0Qe^>&RGtazLBMx&FD+ zhlp*iu{OP>&7fl-IwMl(`AtX(9^h$8vj=xU8SdV-hcZt%;1@29DrcD|F4~blV%3OZ zignte48bqcb9=sX42F*Il?lI%4Je1Eu2KEZ;2t385fO-~D@qAL&{raY5{BS%dzg@Q zC=5Qfb=sLe2;2+DIO+vFOZCxty(`UL^7)5$WNG2uq8}S6tSGzBWdd-+<34 z<^b#!!vpTQg>W=-50sA+#@hHwqFnXVOG7D59&RF{X5;5dowdl%5 zi|Yf1gEs|DXk|-IIGTAJ@U3ju34~@Q(A=*rv5dr!`uNFjFFr^_U!{q&QQV8fo5E0< ze3v-+qiFIi(&Xpm;F-4HHh%cW^lbQlCQN_ChdhPu;rG$-jbE$CA$KK{vr5?pZ6wO| zzo3zaAkU%Y9D@_ zAMY>!cv?TmI_I$J$7ld(2U#MYO=mFXeDFrk@N7M;JZ^2bG0Y*C!C?G#ld$6 z$Kn@>pB2-3X(sjbDBb!cKIGMKZ)N45=&$cmr|FR`|CGC``33s!9sawG7HuzoU<7r^HfAI`=wE0hf4|UNj(Nkn^hU;JqXStOaZoR~CmD33a zzuO%dY^Pl!9Od|sabUMEVph-&akm%$6+6{$8^7DHs@YCEMtxvutbm)2J8`%7#nNuq z4x-)e5_h|g@1Xwd-m4=VR)*tc{B(16ms!S{@fs29qRw9728`JW9}ntYvaqe0jf_2Q zKgf+t+>W9wv`pY-VH?B2&yFkORU$~wXw&LB9PZhXWMT1b7@4;${8^Mk?^Ao~wLCIj z7TVbCNV3pp6~n;`gX<5jVyq z+8BP@#EoG#P_p$te)6kYm=t6QTL7Yw9GQuRi<(S|vu(M*Wsa-mfiZxV@O8 zTEtC{bkaIF++NHvjc1OP!eEjp{PK)F$Cl?q5DR?|AMz5rm**$(s4}Wt10+ZI>qNST z=Ego?f+WfD9WOa-jj5QRYy1S+#Vj_z5hfbRfC*}s_HJb50RFh+IO7kdh0+4cYs>RT zgOxig9jb3Ihz?$K37R8Bx}1~*Ezy^!TozsHCDFCkh`I9?9!X)umCDmZnlxE??!1IY zC@(xl)&af6OL8wbLxV8VAgpu_$b*m>)NdOd*0MgBa*kfLi6lwj$RweLjI;r__sJsS z7L)SvxY-0rCrdk3 zGncqSq{AhRKU}t|f&PTo4l%OqG}beOiB?G-gnNhlOWYwY|ErigZxJ%=7|orNX`n=3 zW-eZY#KrO=#Ant35psgWX6O_mO(E{`Al&ksi4gOP`p^ODRL-#XiGCZ=H&IiWeshT7 zPEnn@i`1#+Twn0^)*-lfC`Ty^15y@6u!k*Byp*G?n*&l8@p%)?!8eDyF2Z4FIH21JOwltep`KadSkE$wsb@Q=XYv+U zbB5VZ~akh;K4a`DnSyQzXzatdr37Q8#*66L}P>k}wv;6c<|Kj+<31yH1?!VAnKi;^yS3>W37t z-umsxX4cz^a1b54n6eJF2~)z`1B|zpy*~b(L+wEnb0ToJg=J>i5l+HL-d=W#Bt*M| zF_rggwGTsSKN_I)^tfjj)3i!R@t|^8r0Y2Se2RzRT-dq9=$U1cf&@)7F2^G|+Q#;Yf3$N}^pdcV|A= z#SQCOH@=`Q(!)wOBNXV>jbv$`@PpY?0kBofx|BzNE_}GRk;&RL%!tXewYGsjKdBne zxDVG1Xk-hP5t=3rjq^kU+J@50fi$S+>~4mOT*C?K=`hb?8}6^9r7^Qh3|4_HjdZOr z+;5}ra@cpoHvBdD{yI-PS|zXV>ghWZYd7#ap9=(j3S4XPaIH}@fNM>|4_6V24B{rT zJJ=NlUt*u5tsJMAhBJ-vAki`7pIdgICZjZt#`{}{jah#(xY&}86HHjH!FOq+Jr;n* z`^7X=BJEt383^hd+U49lVw)M6zaQsF_x3CLWLfVwVr~Fj~J3>GE+$ zANXuNe>^tkA7;En`!EAFKBNWpy7x5Q(FaBH()LTF)ZfEn}CYg8^RAb(~Zrp}HI*3RQyX{VO&-;3I-;}LO3#nNy zKkpW?nVJp)C5_p0*_2F6jIL~2T4-YkxC@P;pq1$A2tl)qpg*5EHTnJdOdItBjkg*f zH}rHw3@|Ef=M-g^=8uGc-&Z+Ny@Y_>LQOIPSxJ>|Q6(qt!GD@0h-5oh_ zh^~aa8#Pnl?V3aW(0KSmt>MQ{K2uBnP&N5OYsepJGialhsip+1T=7Yd%2H4;;u~!h zAV>MWiTWK@{giitpH#P=d?t2?&lglw1;C}t3D_}+fE}>_ZcZiO)G`A8j({6S5pZh; zVSa*ut3nC*7Xnsh5wNy`fD^+2oI8eqkIx~@`w7^nA>fU10M59RfCF|C@Ja$!Odw$U zT*BNyz`EfC{7(Y5K0v@d47iqnYf}iguCxoDwZF4&L=kXdh7W3vY$4z!dkFJCV=bqj z>6}f#-I;_rERebpN5G3mQ#W=Juz4>5r-TD@=R5+wl0|(^Cg7?B0&XW@vKW<7>Zd z%FPz;A3k`6Pxs$aBQ*NAPBzvE_o!Dd9{2KwC{(q`ZZDa+V`%e%gF%0mDpO?a9sYr3 z*t*WB=K>V@8hG7G0w>uk=J(DM;B|}5?zJpf=re&8s>ppaw4gYA9V=83uC8WJ%%y}Pj?5PvhIT9u;VpSwcbQa~5^%Y_jiIVvv?WgbP zVvfDo>^{o^<0Y|BMXpb1L9)ig3RMJXHXHZtHxDA;VR}t>z;jOD3+vhK^NhG$GELh_sk@z9LV6i4&BB9IOcR&vkQ*ze% zB(9H3d^A1rvAo2`ixW2=N_?Uz@ySbxMLy$d#g_Fn)?c6_MKj@GAiHdBx6}7T?yKl0B8X~EdXAG1Mwsr zhzs%oa2^1!0AMk^dv-VwSMLf;zYGWBH*g?^6o5oK0Nw<^DgeNNSbGcr&2S*9P~PIx zLSXn20N(=OGXON4@~z#xCoo+Zg9040(aEjAfNB>hsCJQ$Y8TFS0GtNEWdO{ZgFaLM zUA`-E&y}_K$C!(MCFSG&t-5AAdAaO4+ya^0z9>P?A>|1;8X-w3uAaO4+ zpteQig8=vl01g0@d;&-6vw`V%O++W}2f+IPC`+Ml~0#v zVg(1({&94K_VnR9mSmT6)~Wqt>ANd8|BftEY2EyHPK~u%^+zb}hkb`5@1JEwBFi%D z39hp#+dw9!5E^=sj*LIKCy}5YZ|`4-7(Ip3@fDAGkCO0>oPj4M&IphC)Hv!esNHap zMN7=dOWFeROWN|bL!VPNkT@m}7bsGJ4Nuv>LS{)*-I#qF$$38D{e3HVvwA2`gz|`mUO8oLd#OxUj z)ZxUDNeUsz2kAz-D;k@vGl8H`M4%-ErLlydSVW-8We8H6e1)g-q5U>$%IO-p!xw#e z_=swS=cfCTmPXoqfO3mxvO*X+onBdW9E#8gsl`J!VyV^!%wfs%m*SloMj$_< z_(Q{0;8qX;F+D7Wt09Ae{Q7r^-&go5<{Mht0JgNAWtYCwV+o78-OIjztVWwug10@! z;h)agFYKST5q)|;H{oMnn=RHuS$S_OqfE&a{mCp7LzHVOk`-I@5jkL>u`^bpjogny zzflBpiS>h&Et$pSf!wRcA8%L)U6}`6;ABOzT+^{8P;bbe;QB^h+d>ytl!C!ERoU*dC*!99+A1c!A!t z5%q&+Q9CEKGxF^ocQoQIu!Xf#`;Wjq#Mnd4YrUGA2BlH+c52RU(#)&|vX7r3vQLQ3 zE70zUSG%e~Mry|#@^+r=vu#9nD{E&I$?BTzkjqjsr;{=)uXOR#ky#^RriaHo%8k=JEa$H8A1By#Y>+=&Ce?MQZlDO<0YS z%89y@{JR+))Iiz*BQ2_FD{{(D&hbpLFqn0hIVQF2nj|ewyh^1mHs<_I*$B_^+|^6C zu5@x;DWt)=C8$w|4NyHjOZ_AUQd2tDLq^MysbYR-Z!%vhJ3;1z7n#c(nJoj!44thm z0vQ`mM$eHEV;CedQ?FYv`LE&h5|)PrO+`{4^%al7(KMJYYH1Dh$;@;ztH=!h4;#L@ zp=7DEHxnq0Sg(25M_$Q;%Ki9rXcB$b#a7Z2LM(l!x1nHXMK?Y$j3mh ztlFaTFJ+snl_UcGtIXN5ALUYgFN3{176rjHYcI`A5KO0U=|Jduy4`aW7-k653AfuZ zD=e_NH=lFxYR1jj{n9nqGi>QQ7TqFVkxLX+B`Y3APnPBTEI`4_IJGaLX|g`BBshJ$ z6^(ji|{LRcNpV)VmdNSMz(Vvl!si*8@29`t(QSbs}J4%vhQIZ6Ses<;o z{Fz!j#6bEarNS@op@Uy+R!vuz1dK}D{(@z6b%E6$<+0*@&9FhTOqdEWr?(OI2MY zwJkfo$sF8*G}oKD^p*H!Dt?ikP4tz`M2BNqv1~=w`i`0PxRNJ*{uN}} z6e|(PA~;#!4VK$dO4rQaX0M0|1pt@of5hQoVa4eqcG| zNknyq3a3g$WijOq*wU!tWX!E$%;WhUA^89wvWzjEng(%qWccv?&=X$L`aozrU{`o# zpW%*j+QoKSnP#`U5xUOurv}3S)N=wt$!wXv(t+Fsig9#mc#CgAL)AUfm;sFRInkhs zQ{&mLbPU)_e7E>ApFK;-UkOmp7~dNpzLO0!o{Z!82&I$OJ)V;j2Z-*nbSIGik8#L2Q5XDn0sjC z-EKO#V$E2133By=hE^1H(N_c`^i-$w55qL}7Kh+(@>7_O;?K~k>={CW#b?LcKXZuY zHAYhyMKs&JXqtE4N8rnerdkr-4JBjNA~)L3ok*Q-eWn#Rc?nnMCJ| zEe;`F9X#$c?W#Q7L_#n=dCi1LY%C1ClVvZLdN40ISnJzpTN6^80Ahc&a~=MH>oCbT zmfe>l^SO2n$fWXQ^caBr;nhM<9s5n?TaXt_Tb8f$*!l zNIGP%S*J>cA^&)EI(|ENMB|nkS$FoA8jbnAB#)RdV~!+V*V9EZm%kkVH*yw42=h}n zi8`j!OUHy}7p-=h6QO8)ov~ZmEK6*>E8af(F3=Ce#iy_XIZiPB+hP#pMb6CWLr`BHrtBI zYTAnFURzO^Lhiq!LK1-niYTYceuH%ac163`_GxOA=ha9*g{h8gt}O0GEksb-`|pZ- z|Cxb%-!|nu5v(GDou0OdAlSE)+lCGijs<6%28BKgOU%OFr_lU zpsT-VwIl6q6YP<0xn}(`i&(OebOHZk)LNQ%iA>P!^7lbzAy3A|kzqBS`jNS0W!ZIa z!bd#-G%QKwG$lsymq3;A?o`F7baJ{yvYLDHM5D119+iyWWU%^E4D2v) zru8sKAe843B#FqBb*7&w>za+N?~iN-S2blrGF_mowR8o-Z^4n%JRN8#`v6Eo|BEo2 zS+olqv4 zyX|ozC|Zx4tkD&(Mr~!R(OcB$pxo${79uE`j|+vC;N<^K^8e4EC!L57oV z8YcoQQT=Zkzc#Wv3~_GgQR=Ms72xSg^)c{|*G91DRxYM3A@>>dM9 zW%);-99obw2>Wo8v=0Z|60i?9QXLT5hp8G@a1XSLVy0bWv|R45UF6JR7T#%2RtJSU zsFg#)n94PHBdifwO_E+=@zyKyu|J)Izn1ihe4mxhR_K@y9-69$e(GhmG0(jnvt3k< z{@-Y1#X`u6y*41rmkynGYhZ3!HKHmWa+X=eBkJ`XE$Z#iGRe+-#+Ut`18q_iF52zH zHlpq37T$J~1!P~gGTTk$hhYI^Zzl!AvO~4b*9Wh<|9RW;InY-bh$YCA!ixH3kvdd`5$22s>2KX=-M=`?pEzvV)K z%IBZO-$H{%asGn5r)Y52IGj;bKbz1;0{u#76%Ni>E&2tx1I?FlUOG5ZN_Mh~BU5N+ZA z`w!e{=eW}~yFYjCxVv~LHhs2dG1I5BY@q3LE1TTrZ)7?h7NT=Sv|K((bi5r_uEaG&$E1_#jF}EP zeh)Ge>lKks7o)S)%fwpS#1?U?Mq2vihvGoz`U%cEq#aj4sLK@;h)Fx&bZQ68l?pF! zQ@x$oSgX2Craxl*Q_uvwyjOK1t%!EE>d@OVk7o7PE|6yhctZ0`a)&A-BJ=^phL>)g zQv>oZ<#L|08VS}Z-6wT<%=PrQH`IBMUS1l7Ng9KN;{V9GR&G(0Xd~`Eo?eNqx;}E4 z$9Syxvow^ih&RzoN$L10$Us|v4etbdRT>cs&?nfbRTXZ{AvdAF=HM9jQIdb0JVUa4 zdhPnW*>qv{GD<35YoG}=hR)`+Z~G25IX}5H&@X-|#f~8-4a^O^ljba(G_^C&;Akat z-&x<(_yxGW7}@ou>b7J3t}oUlv7to~xE0{;!dU^9h}D*2PI4ZL@}cbV)tfsWO3G+5 z3DjLo*W~*^I#}o|oBLfziX}lG8t`2$ChElZvG`4RFJM2#`{hQ3a5Mb6lg3u%ze=78 zQ}d37Ad>4OjoVRWv#J!CSF>T1q7dpuE&HN!-o>EXc-PAa^MYemnMHCG^H19qB z8{~N(wH2H6gy@IqE+;AUgqL)ZJ7+u@nu7 zm6rMa#Y&ynp_lD)ha|D`^`tqkg1nblsh42Yp5u^-pr0m{5bVpm2s$jmYWuXTa9;utz0Wy$su79tVryVdnka{^$M{LB;XP)(JK8BFSe}PjcL> z*hpFdvOBo{8cxPT>%#Jr9#$15QAxkFu9AH0rf+HA+|(-_tzPW=2hm~(p)>iuxzNx) z>w%?q@aCtVLyF9RO&Yq3dme;hwp~hr43SNaTW%q?Y)o8; zRQ$hplX1lz#^xalX}*u`;K3l`*LTiw{zlg)-vUwS2R1fnoX%&$hbWQ|{D>H0A^V-+ zd59rajWHqESA!U0Ll9z!jTA%dpcvw#J4=xFrzr576`$lJH*PH$kuz`cz6s4|e1AOh zQ2T!4Y-GBLfA#b;jRnO&wv~1Z zKXY*Y0+xT~Y*!-7KlAWY!%iHI>)AWmvh$&P!uneGcbrImEnsU`_Vv8|fAF2XA>pMX zhqWiW7WQ;aL8$DWgMn*@Abm~t*{-S247Kj>s(8T@^!hKw@O73L1iivvg;X;ElMc?G z-;qf{ueq7Mo!Qww6Isyfj5k9c-XI0Nw(q?yRa5N*o})h}2CjA!k>P z`9&#avsq_K7%v6AjE z1-(wut$8z{;C#Z`9}-OAi5rp=H!e<`9y+=zc1~$!N%~HtwrN{KscqK2h}1TJi1wT5 zQ=`>yM!aaRvDkT~(N}TF8dz)%()eZ$MXcsH1Tij0DCRMp!6b*IjzGj|20~I35R$rk zdbau?lHR0T0R|UBQdPf_`eo8T`?*YSONe0su#H@B8X7vjIG$Up;AqAa8%<92&=tCVaQ0!0SSs(y#RoB0I+FAv8(5#Z{xQV)QXtZd2-BZFGzd~5^cb6n2T9`88NH7p9G12 z14AD$^Z}q30AYIrizmk+UKBB_yAiW`P=jdCu?Ppf2O+7)5t3>_Na|hj8ebP;RtN6} zz(@d02f$VUTn9kxzQE!Y2^!yjAZ9h>0ERgQ0FMBm%NKi47LJcNDK6Byhs4MY++mm% ziA&<*RE?*<_L6I&hwNJ1wauxzmA+#!qXWMiN8hoSQTaP(jP)+n!?+LDm%JS>=q)Qa zO=g{)`k`d~h{aEGKb$cckE&Lq&Eb{-ZPq((64Q#lo2w#81-lx4!BZH-GSW^%uu(jv z_(YkG2_!G+J*9iq5(&Y2TW1eanRuc>*Z6dz^z@$GHP$H*>T51tMs*!N8uU=Y_-Kj- z)lx9$0lgBx{1%a%S`MRbQ^Lq-IU012Vjl}9Ef5iK(V$Ht0#z(Skh)2V2F=w3P+AKX z4VrsI#l0>qGE?uZ2k;@rT%p(WM#p4`c0uDDwv>C!G}gt2OP)aSd9XBG`KD|3VN?AG zEfRbB#_6BtrrkuMfd39rq=ghk>UW>`4)hd7k>=B*sFe{e7DbAj$nmML#5)glqc+Ie zfNKH^L@F)j!bg>h1u`APlCi}9IeakB2GSDA$EDUUn*Q1W%TI7$7mRQ1 zIm!iNB!#qdSPf>^ZzS}rwYe(1dLNMj>zHZK$xOGWS3h(cb*-F6XrXW;=7PntPHcta zt8h@O2h_-c)_Q?DVaYHL`W~PSu@PkNGY|R)ip-U#!1?VnaTrE9=)4)um~TttB0L&} za!CYHZDjMx%m-vUz`UZeZ17^35Uco(YKNVqj&@U%Trwia9fkWur&fVz)y`8$Of|J6 zX$h>b#S^*7RW4+gWO)knBqnN8*?GD_UGF-(Lb86Ya_ubi&I~JB(bVye$SY1(q!$ zgcmu4n4&2Sn4-8zT#{VngE=&_hQHy%zk!-ai-C~Gk+}j`@OU8zZOJfOmt&OIe7G8h zeSWu5gC)=pId37VRc)XQtL^+M3M0wnS(Hj45bS}nyo#)%Q{!+vZ4^{SiWT#F&lX zGNgZG!Y{icw!=StJrB#-U>s7|B-E@OTg>h3oU&9JHM!)9Ts*>y>Rua_#&+^-^%)Z% zWy`3^FWh1v1jtlEAwc@eAk-aF8+to9JG8V_0g2RpvXZ>VWB7sxswwEXc}*C4rh)El@3F_y%|pyQhkO&YK+}= z0)oP4i3>rd@6`sB;y*3o_1zUsNd=0!31KXK$OyM&q;6jVEDNpNMsxVj1c(?Inu8T_ zZ?s%xQy2+{)Ljq`!jF!j45ML8^;EY5GgD5%s3) zdAf2-P-db^r$zMYlM(7XThqA;OKfS>Z?0&E5lr$>Y9;;y&|jMLJ1je1o)TP#U-Fvu zJLG7Sy#i6`{1PAj7w{pkk$jw}E7It%l>SPJIrZGv)Pd}~4*ol2_;1JLcpoQwd)U%l z1SU_kDP*hm(17D4tBK@d*<(bMY=}2IPV_8pw88Hi9Ndy~{It zPFx#ddz`RQ5P^)%RlKYLlFRGNs}69_L>WzoJQEGV@c(e4JnA`7&RPbZD9?^1gccgL ze-DQc`)V2j)6ysMdqo7!{R(hpJg_YBf{sZNZ!wHWHvS|O5^kpj=q8pifJ@!H$#!J1 z7nK^;B?Y5GC%imHvB1>*Y)7`RsM^Qajx-I%j%?fR-jRpAupQ8|0Wxf~z%sT5^GNJS zJKsr10&RpMmOe~@pWL0CIF_Qw{@LW?*^&QjQqUWkXv})UPPQYr4BU~%L?+?c1DRSL z;g$?1g?6=iiDnU4PwG%34_q1&kV*O1<0eHzFSw|F@45cRNq68qG_t!%N*3ZLc`x7O zIB|$n#u60;;N4^7kCP9%wla??7SCHF^j2__4rBd zqN0L-9D#jvjcN=yxt9ge>xqxj$u9;iCGiaeV*dI9<+P?J$4V0s~>!6p8zQ@-zHLnY19pEx^=>3o#tfC_Qu)G#a;=sBMb`-BDjS@AX1`~eS-A=6Q z5;dX3fvl_EWukslq+^jy8Y5~W57`9P^U~psDNWQl7ME8m7mk({psq_y0kW`WUgFj) zHe-QkjZ!o7K`Lm_%`4ku#cohmxS|HB8@!aQE+Ix0rL0}^ds4QIJ+D&y6Qe47M4lWI z1s!{7>~~u`03mA2m|&4nTWPLtB3yka`cM+CM+Y*h>o)SXh!0m{Sp*@w2sI8p+h4K@ zQy3&uISn$Td}hg7dO54&?WLuchtP4%Vs?rmSP&QX72ET9UKfEc^mMGn{tpc;S=usU zN$Rca@}t#=o&-__6!$?DKp=`+75I=>1qxPt2_!7YD#q#F@E>CycYMfi__Z9|c{kh{ zV#b@u(RvCS73HXH;y`9}?RuPsIR_s_^eUIF%9f0FzYdH=&+ClcBIII%ER-ST?|#kka}c_* z<2<`QszU}|AI~>mBzh#5Vy?-nf|6W{6s+H0`E_n%kp6!s$UYg;OLhu>>zp(|@ixx7 zLKDP-jcY@t34#sgq>{M$Xw4Q1W*SVvOrmwB+tf2xyQhgBmXQ>V=r&!StKZ`jTZ@!4uDCfW1C%1(A8dg9YD4?cj@-*??(J$E3@r zZ)d4%CRsEZcDXeYXwfLAPJGBq+;Aq1=F@xvBn zJYt@N+fb;sJ7hB6QMB3=Fiot}aZk0biQ#v&w?peG#)W0cerY|-WBHL=I_RCXv zrW+mX@r5@Qm5`cmrX1X0nT>g# z8SUX2*OcGmnK9{Xg-D?YeODfl-_Zd)lfc0>xZ&RZ4?I(q>E;=I^gy0D9Kc3S7pAmc zd5L76xpgsJeZ;p-uZujhU?9)jnoLj`Bnm>0$o(;8+#AR94CSU{JcH_5Mq?cIOCi5s zCOpMfla@X7BMApVngHp&?h_egGlO)=)TDK{>?G5$n3hpFo?G{cERs;k8Xe`O0f{~`eHu(zdAwbS#;aX z-&^#_O^hR1&nP>!C@3xsSo9PQj#9yjaF_qXqPKb$T^loS(Ypf30vbx)Pdm(_963QT zEpOO~=@>Qw^EH$SGAugOvp)^HOK6>S-7>4ZaSvxf?t9A-6pUSPl)0(Mc& zp62BYco$Vqd4+2BD5er{&pfJ(a*comRRuF~Ux%KhtJ!cyW@73ZqPKQsurG^)gFt5l;E+WvmB>d? zLxgInTtF=q2WqL5m!a4SG95KWqsYiCR3X_4fa?JG1pwCppoUv{GTh29!>w$+0|3tg zK!++MR7>RyYN^;!OT{GBQc=RK%xbBm0iXo{l_-@$wN%VfEtO`}Qc)olQZP~>v05rl z08lLz6Wq!Mq(W+zYN-U<0FX|VP*5sGhf*n2OC=Vmkd%J}396;?UjSGMfHVDSsWh(z ziLU_g5df%`N)>9UjO|xTrSuvAdf-;RL>K^I13(T^A)SQZSttUD-vIC%F#JXsT7h9N z3ckSaY?zBa>;%B@{g{Vj^kHSOt_=Wo0GN?0DK`@Ud(ej%0OZ20+ya2A(-_6Rz@{qX zN-`_}0CFXjB3Du{awSD{5e5L*0bou9hCAVy{uB0#d>B2-^7<&BBgr*}Bp1nDnbJusdqIw1XX7BngPETf?M2?m-Fhi{G(lfBl z<6Qrk)*$uI8EE}UwH_k7_vFj{>1^&HIvH$Cw|ddB4)dorHK*P}8&6FbUFb@x38UIQ zxEs2%ZjTQ7xtHI$Y33WSr-)gIf_}`g;+KUH4i~Ecle*_&Dj`D^V3H}b(E8}s-GV+b zIM3$)1ZW-1jDS{#h-yS7o0MS`#JN8Db2!PzTkXhYd}4EbBLiRQ^ru`VHh&SE5-^!E zGz4xD!J7%(Z;J%}un<%W@Hz>cVYh}8_+AlQc?96wH;+V3!g@UwopJg{aZ02;{UBy0 zRIJlL$2%Zc=~us($s@RJC5qL^Jo37lbj{{JQG+ym^yd+05UX8NNe4c&+w<`XL5~uT zl-&Hgjr1K$qu_4Wp|19!AkBX#U8UroqvxVyS27zBVbJ8y3ex;pEJ$OP3evEe9kOcN zlKwn(@F%dW#--rL9^%hVUJ&PGbP92U2jWj|g)n)qC=ep@E83GJbNE9nL; z_uEPJY36eME)o0fGol?zPFP&FXY7Vatl){7auhW4IB=ONV~TP4ObqjWZJlBw<~xp` z@4luNi0Dz8ZwEi$qiDX%rTLB|#VR&#h0`-)VenRJ(?)#B%w=99j*WW&p4`C(N`V^p zCf0YO#isfIvsNDPR}T)|V3x9k<)hD`ySa>`S=GYIoW#c6$tG@{fW$X> zhk?o=#W!&{>cj#m>=G2ELU<&apy+R9c8)BJoii>i)W;8`onbheWnv{uTm@FA~v z@YI6K>`uLH2qec_!DSjKyUH=jr9-N&CY)`UsT^ZnI%IcP;=*J2QF-5x9g7kdzK0(} zZgKx;oi(IpeZtu{2wJLOf&*i-rw_Tl9lP^afb_b>8P zb%>=^SVCpWwc;M8Bn=v8*=hM%nvo3RU_K|uti$vj%kDDpJFy@U8Cd1-APtl=`%43t zm2F0rlY~Tq+yNnslLk#%nnUkhHJcGX;8C9e_m zPqeYfW8fYx+l(b1)|@M`X3BNK;kBj0$w-mb@o%*DJj#S1lDAZtw59gYc)UbGmG5Dq zPSFWzWJLF-E{TFBWjIbn@5!Fu!d99MmzLCPxZ61qRxXOLrWA&QpX^(bXq7TPqSo)@ zgPZJ;Y_j7-(VMd$lbz%>*|)QL9|AK{P#3(YpC}h6`|j=ma=`BUIzcltN#B6s-b4

    Eu-;+D*r4v$9%d-LE;!~=hLWkQS^PrFGW~Edb;FyZ4_##({Uvp#jUT&$ z)3ddrp4}5fr8K++dL&DH$Fg0T=ouz2S|mnR529vXjBFH}kxT7{S!(9R$m&5eSR0;^ zZKcPlmrv4^<@4ja$z3LW0==m8X5=+aV&ooLu?%_!YG(CRM6FsD->jK8Bma>#(~=Cg zO3l0(d4d@aue`4?u1Kb7Rg!Qa)!Vb_Zl<(MtYirIdsl&|W)V@bbOb-IlE&g3v;uSF zEwZEjMFN85y3>2nmDQEr^rgg#UQ+F-g$YllX0MVCw*Sb>B}%Fr;l;}xr5~c7VxGD> zm^r-m9aB_zs*Uou z%8<^aD*C;cZZo5-uc=+@b6Pzf4M)_kG!-qh1TK}wmY`VILsSL&utuS+e1sf7LbD)N z9$bq{%<}9G$^tU(DHuECYfJA*Hq!qBVA^r_NJ9*dkaZ_1UIUeEeDfi)9aYj@OGf=6 zb9#yjyGhm@%Ej!1mUX?<6+L|@M{@o7u+!5Y6X(L|JNXenL*K$jnuBs0S(6MQrNguj0DFA z1XU*8{{42(L@RleomZ*D&For|FOSlcQ5!{Wu`{hLK>CSY$0o`T6QvIml9jCiT8%ka zm>$@jVrw?<#`8Yr=aJ^7vCvGXESsB*QPR5G2}6Pvmbu{pf$Q+c)TZm66{-?l!VNUe zc6o*F*N*>y=At!%gRB-I-y_Hl59HVn8RSo%DKJK}S?i%GFtY?6m;#w2YWz>*&!LRo zQEoMi(adDa8dY)ZXW3jsLtfHvyqTnN=xT1cYcd%D5`8GjQX*B1N{#!~ z(}#opA5$v^;@*ELWFE4_UxcwFh#5;#-{hLqWwIt85LU5L3p*;sQfQX=zj*EzhAjuF z!|b$cCRet&OP!VeWD1;|%uInSL&ljb>{6$n&zxxD3?0WA-!mt>n2D7vsU&)_dW$#H z>Lsf<$SVvogI!Cuc_8;P$PL^8j*9b6*5tJ7^6JT)_}@p^ToOBmKFZDIQGa%OV2zG* zi{*@FmxB_(-{|2M%kV@4ja^GC-^|O3|BelpDBpBJ8ctWZ~|*894j%R*IMx| z<{174wvzW9@{Gu;?EY5GT&m!^u5i)j;?BF_gr8J-CVb{OUP|fWIro`4B-XDP^HYWdSZ$I8MlGvOz9Zp(J(AKSn?$o^-MX56Y=B3^iK5m(Bs&M8!g7D%NUoL zzjfQ=Qt*A6FPXGSP6}fz_KI`f!z{#tBy7*LTpk=`xG@$=va_pV= z1iIm6c4;p^i?*Rb(>?tg$@&*78tt^v|Be2Y^Zhf$PbYFI-+J{=vN0AH9!LMYb@i(F z8Pp(^Y;bJCrCX|d{&Qbkig#JAKmoCLT(`Xw z{GfoUV$-Q!nc1JJiuF-Jw&obBDyH5}RmEOr1=)sDRWUmiWK%66U>z&SRzNk@tP=^i ziWOx0i2=tDa1Rw^D=lKc;eyJpG1TX72K*!eJ6ZL%-v~H%4gptDK{nM8D#&JM)!UX+K{jh8 z0o%h~#S*Lv2e4uR0Ut&|wq>fRd$+Cp#FL$bqMFwSthUq!^<+SniBq{EgL-OAo1fXySzO zOS#eC8Ae`m40f1#? zA0B*g(OrK?Pnv={VIlX-&wQ>cz?q;`M2}V&g>r|l(1Elh<9wo5Yid#{LB`S33xnU< z;mEvDH$Lcj|L|6IT*kcg*G^RI5$>Dt)K*{n?bAQY$Ta_?`_eXR;Zz}&g)m+7#g{X; z`a0LFcg33@*r|z8^xil6=K$O1P0g9Y2gCel`z%SSoByZ2)0C-WO4zPLcgDvr5N=gX z`B{@Q;%>Z<6dVn6TzgaU_f>W8qUa=_J@tm?%IB;L5Ofp63Ri|)SUGy@3W`p0#1}Xd z#_VMUGM$&zWTc4p zeLeUMg_>{~G4NR=3ItNGGIrr3l;td?V+uV5#dH-}dJfJxg{PL50Tsy#t>@4tW-W9c zsch9rn;;-G{e=kI41zqt+p`S4YIY+v1TP@t+A0^A-e>NBluY;ci>dD zf;21LdblgjB>UbDvW}8SoH)HL#YY=UY5ju25L5sfa$$iwj05 z{n&tV1mibY0w`p@yy7(qnK$GhWIisT4UAz+R6R2I8+=t2s6)O5DMF|;f!?&IC%l24 zFD*47u|&}R94i~fbXKPRJDM~+_ArbJr4*N1KP$*t}sFG_Y__g9!hL? zfM|>OhFCvj1IvKnco%=f2gj6QWPB&PMb zQo3Kzn2Srf=l}O~66LaO_=wpeQ#K|zka#Y4ES~>U8a2V4M$J5w0E_Brstk15l&4A_ z$dXPn$(GUmA%-G9#21GNO}<&mTJTc)+{s}H`e>@T;aFQ!p;L^_CsvR~;u_lGX3|(P zJ&7ZUqa@O$cVh`DOQepnM5^c|hS5T|juT`bnvh_uT+Ww9^}ZxG!aOml14DSSA3}i;miv({BIXA|m`b;0;9y%x&VIE- zi$C5FSBPS;*-J=UpeM7XNTTdX`c5R_DLx>P_xkRs_1%9$fv1&wR9QH`-p`I`yiyq<3{r`%48@Q;e?09p5w9*+sL_;0ZcCn>Gqt?m{A1W)`W(1Xz z+R^2^YrAec8nv|R?qX42Yc2opbMD-k&u4V2@BjDu{(ih(d_ME}e9nD1=bn4+z31L@ z&PR#ljWuN(gqR4laBfMv@J^4KOtXTO%67uN15WsSYmS8`K&yeCTi1Kr1Va@G`?(ok z;5A~CEjC3#ka%)F^N)*;sE5%(vX0Z3)JR>P&om%;Qx8ifDbRcN-$e@aigGB>bC&di zM~V5yjP8|-?C$yH#pL;5$?KCWp+g))6CL($#d(I}`k;Q%q5Zs$UaL3XL3na_kys3} zH*~Zz-taB_13n3#6KM5#L(p0fVCE;};#oFUyPY}Xe#$Nv7b#h7l{SK9chKoe6nhP3 z5xtM2aug7H60-@$UUZJ4E_8sx-Edp>vjEZmhKRu`J3weO7%%&gSLC`=Ua!d9Ihp@F z@$BY>&4~RDE1HWbXa_TN#Ut#zCXrF2CRZ?Yu|3?&(DQu^tx5Qn7`lb+lG*l;`XOb= zZk6jME8HqO1a6fi3;9fzAGcw2)}k)-Ilnzwy#VIzb*GXLO`*Zb;jpb()qlrRm<+zI zfnFx(p$vr=<$sGvg``N=zk-WzR&~M9%Hh}drh)HT(+B0D(8|dd!B_b@_epc#p!GmN_yOYlVA*3$RWiJB})0$ANV%+i{p^ zU3-kywM(>E(;TODZIQu=uf2{>_$b{|{>H$2LsvW8#b=y)au_%2RKz$(K_d;_Hakat$Py&dDl6uVx5y0^AxXh8Y1TLI_KP^;al%I zcPM^r(1Ebpu;l2^v`(!N4kN4sHT7SbgZfP@ZpmHpMs;UTb5l+w7D1^=Sm%`XyqIk3 zolWiov35$x+jVZx*ilLE2R9a(cTKqHx6p{stH>C<<=?!d=&bJFuqE%pX0p(*!$Jc= zw6oYkWBiHGrB6hE=Ut%Oo*VOIhhD6Kj#-{M;Poe1*52b>!)?Ms!pJ@cX<#~Hx>E6#;Y$zV zU!OO*^PWEcURA-AA;Y_^56&-}k<4!a@HGHB0dNIHd`Zp;Z%qlGnTs5D0^nAxqTrm+y&J2jH25CG zGOIEa%PiYeu&#d%W#%>1HtOv+UqdC6cs#FMCz*=GMrT225`Ak^esd>?HPp`NSXy{& zS2#_scU4m%YE(};N7gHtyb)h8 z2h#>@TQeOhKVvkK^ow~A=dD4rkTBYgJ|9fSEZEnd(`(pJ7(;s}!r(>rtrrn8cm*f)DB`-iXOj1KfnH6JE)yl!+G;> z9rVqu`ex0xg%ODwPPP+?3VH#{oqaaZ9(B&HunV^(TWesjm2@L&ge z)4ipNJ<}K152EgAsz4?#a^y5mT8QRYVaKj%SCxr^<-oO4r@uXHqVP5|wE!2;0O;dukB7i=3<%o4`dOd%|?J zl@t$*Gpz5%*d3<~oyrVh1bdqHL07mGTb~DlwgXQ8b0St3rY^E?A&8otH~x;D{1OZu zH*q#?vMo?j=Ya4M`3$AM0~a54rVw?kf;tWk($iN-9go16?H@E>BcbHhQlgHwuaY`A z7)3Q>I}w8qwjjwz9oJB%PChNQ#mPTEbsqFlr`ksyO?RdaH;qx5A*i#Bb}y|;>NE@L zJVtf!nbttUfjZ)JlT%RVL=&j9NkyH*f_LaxtiJdL>Z}&j=_K3wL=|<+-5F!HI4JN{ z5OaB^PNIrB`2x0+8QBM0knW?7WjIqu#A^PCs^X`P)kmEgA9V@@b+~D4$_zoBIb=h> zT1lM?f;!I;bsA`0fSykB-$$JmFYn~g*^c{^ymL(O4sD0)AH0D&rGh&7ACYWVQKw#D zTt_otr>}yNIu;dm8U-v{TDg6&1rvSLaiubK47BB)%}ZcEbsqLn2Wx$A&vFXtaMO5{ z8G<_V<3XKLC3U(4bzUUu_@a+1spBT<*cPz8$*R5|fNs^^gG(d0UN)+iI6DdQkuwDN zMtz+|g`9h)V+nU8a&k`;x^xyC0YZ>oHk;T@IjnwT4sXO=|{JV;mSCwh*|S*7lQwKnL4TRvbWu_3LqwQU;BpA^B;G8c!JU z$@_X<`}(o932fbj?Tz0U+cxDl3<3s32+Db|bdmq?)#vqeAf<^`PWnd_6%d2Ki}pPT z{ZnPCfe_o-e^G&d#nvba_fP?D<)WMift~#e1uV;Kr+{Uv2#*i8V6~4`wc~hnHPVsu ziof8Z=*YkJv1*-*Lmv@GL3Te-{v>scZqsAs9xE0_Jnm+f>r-YtQxXZuxbmjY6G)sn`V4kXQeYn zd#C1jVdp8V%r#~|^IOLA{G*<7Y*Oa94ARAY3d6s_faRVBTO!`|JKu&A`UJAnH*6wH{pStj1EBZuaUWsdlzagEjC=qXyOYC!2VDTpP9oLKr4Bg=% zn?BIKT$?#@_r$bblk$4y%E?>;s_qiv;+#@93GHw{zj$ zJ-fp9)V&8E0J}1G?&^)`GZ9Z;IJMO>{cp`P?=~!)J@DbxaTVP(aQ(?f|J{qz;~(uw zyI1a=ql__MbadCU(SKLap4!bzh0%X=kCyiF({;k=zrmc+QP^KYi^E&ahNS21aPziW zM{bs5%lb;h5Foz-3+&T>|D4!X8ynrd_;9?~R&($CnQ_-wgRreeeg&Gfdm5+QuhBFX z4vrZ)CMF{3Ezaxw9uc`8iO0LkSr=JH=I8aT zd5K07!um{agSXfUkfu_zKX#SAZRxY1!CJtE$6Nv>iok0Dujy0K(x4 zzzAOfu3>NmFdqP~A%|ti!2^J1udjdtrLTZ@0YJV2a$%>x8?FFy;VYmXz5-mMkOTP& z_y_<;0bm6{I{-QW;DHNz3tZ4Ql>y*O0CWK0JOGZu6+rclh>6K?1z?9OfNZ#+4}}Z* z$ZrAg^+K$6;e!5i0O;Tf;9?eBB2*)Xo-pJe2t)qaFyu$X=)y9%0x-c9Kowlj+qMB9 z4gli-PzC@SEd9e_>7Nf*02kqco?QXJ1%2cyazPIOas|)=fJV3i(9T62_G902HUM5g z4l_$Y$@hZ`3!Vfehr%Vo-2iw6i~4&3@CE>^0O$lj2LKGIKyv{q`6hB$1Aq{t5uM``7wwtTZ%bKSgr)=6(!#ZO$Q~ zZ}ek8Q`0QoYLMUD5lu%!mqX6hoqhoeQMDffE=$K{4WyKi=XBB!J_-Jd(==?RH0)nM zj@>^Ob6`$0Sdm7K-PW)de06Z}!O}{t!;*|e_*chD{aWKr4eg4Bjl@NG7{#JpW1iyM zGSujc@M+^9TI`6Of(RY#yS61Ql-GH-U@HNN7q&3@# zC3T^uPTOrP?EwdG(9pTsVY;+Pq})h{<8yaw!=Xa>Sxq?>{~D+iOcxB5L9uvMR~yYwB@wFwN9h<9n=9k-)Y;s2`=~92*-r$EF^wLu_>5 zcbWsAzNbTep3pEODmdV;qJrVCnneZY2BV(q7NcdL3+aL<1Bp>ZukM6Vs@!it^ex~70xqaljgP3)%J_>I?sJZrr18||@X@f`WaZ?s;>;t8Jb+Zo${KOvk# z$`t5;`i3tzf`Ez9*vprynrg9~afotd_jp7xob&Uo6Ed&T%CCT?O1eMeqA=yQXY#7h zto(*Tn#q~K(sadf?;72+l=2NKq)jg3P>8t?MOi)9{tfp0>(j8IBjS?fVa-DOW+yaY zr~8b(R-#Ug5%jW1%*U-!>|UdtW}Xh;R2B^)g~DHrw63Y(`Sc3>%0fm*B3pXHSZUE} zXv-pZM?SH4!1C39j-a^b3Usw&udz;pP^$PC7X`Z7vAe0jeEKFVlEG!(<&<_TO(O-F zgsTPRw;D=ky?LHpl#XYjzVe3Y`c>NL)P<<)+{iDlxKDrvwVR&<4f4;noz9Npxi+)l zj7P^eqyayP``>x3sXK^lg|(IPn}*k+H~-d2`i&;qYw@MK&v?Otf-7^$lb)COPC@+3 zgp+?Ap&R3^df7#^} zo$IQ<7L{69FU-Qy>f}~h4oGtRgKLw0jL_Ohwec3>*hB&NdauJiDxcReMo=KQq339! zi;#FG4TALb4bPz^_wW;VDLYKFaPja7)r>8~Kp)~D0KZ2ET}PxV6rn8w_%i}_;KGX@ z0`5_ zYQzra2Y+nz`bz%xt@2x`-B^jy{7{mDvX-elqPVFLVQ}Ovq}>C`7UifZdva?4WoMDA zna7os9p01Lr|Dg)8C@i0VST-dtZg4xcBfPZu4o}(j{?}i@htlWbf*yk-DwjI4JKuG zY7yQRZl$c)1_4~`?M~CD0XSXRomvGjPYrh?g}OTx2Xv>F!0z-e@@`djCuAn!tDchcV3!NQB?q$Qqpt= zzll%!p}1t#;BuY&Wx+*cq-F?_k=T?W&X-)rUF}C1VkbnVnjs1mo(p)If_C6(c*u!U z$Xm@2MGRc=0hLQ*ndF|I$Pje)Ud0f#AuOtSD})%#*T#Lr3yg@dxv56Uj*GR_&BT@k z4cw~IyY&lT$MgVpJV}maMk?9yz)3EB0%gS`v!5MH$QeM+Hf8C{(x`OZd0h0w5vtO+ z_75n1R6yw=ccAp!m8E-){b{A)GxnF<;0*Z<6_Z8Zs6fFD^`V2I+d81+Q$t@KZg%rL z%iD6IF@AKgqx!1`ySALSP?CNz6*|BxW=idyp~F$=ab=-i+kf`iY*Q8bqaq;};3^L2 z2zi?dT}FkPe1)3B(om>sfNShaE|rDi+pR40#~VHm;Hrkdc9IKSMTJiC73v71h{!52 zpy~fFDwOBSMz8U^An%X1H_0V3czpW{6^g*M{zA(F3cV6oD2I+#%0T-=9x7Brf{>312{98iq>I+D%K3y#K$-|L zo94y@$#nnm#wOn^s>W^=hTzX5udLm?3A|Ok7&}-Q9W7DOD60*^)9`l z89EZtgsL<1cJzqa_s;s~na;SM)As}D7O>S1VB^kEo+>o@a_~-0opBtX@t8=&{5^3w z>a1Efc8TKYT&>?=e+(4S@)Th93jX%?BWhpz(K&h$3>accIHPv=7IawPI@I;HXR*|dnA z8+mYIb66ZEq>pCPeYCrIC23W7wU3jSy+ag>(Dl0s{d}rK1ubfn=-cAIEf60RYsC&W z=GIYP*RNObMEz-6>gwOXC98vY!rP*@`0wg{nH-6H@>UwG_t1LpKBIdRa8<1$9q|p6 zfrkj!C~=i+*C4Fs-yw+0iXt5GJWnL4k3`Hgm1TiUvtQVj|ArEGN=BdmlbGgwAk)|% zVe@$n6{w?g4N9tc;%RF+tBXG7Q`=j!@2O+E`bA_y-I_jk4zN~rmCpE=D9Key;%i}v z^>Ja<&!*{>3XAT}_(7DY5|`_SZjAL*vISLj6{j%GZYRiUEXG(WuM5EXFfH`dT}2k& z8a9<@)cJG-L%C#W-AtrNCw*Z1|E3;GEFz3S9?9A>1tmm$ckuZc z1*0|J&F%W#S^cCe{dZ+uU!K+Tc-ZI{B<=(g)q#50^-kHKw6}TnJ&c;N!@3h_uA41v9!6UgKA|AZupEtW`HO!}nTRH&hU+`bLz?E4nW#N#l~S z4v~X|#%1k^L)3m5Xg|61mvu8F-JV88G|_<&CCeC+j^lNflRjEeK9thsFVaZs)o_m6 zOTG?%rSxBs#5UtPnh*O8cW3ZMbHqD)vn+uYtrrf3YY5+LDi^gw7N>X)mQTZlNc&XIP=Gh z?VAAc#(FHZc!M6xjRRk*nSl0jvJbk>i_3};0sQ4mVgW-lq3b5p#%XO@x|^K~c!hfD zy=YUFPWay+M=0L2EwtR@!dY96Z!0>(42u%c!=nleGEt;*`JpH>8faPCKatgDU5Xv>xe#T3ku zT!`vq#Y%Sq5--d411Ie?F|<}JZDn@gDcAyY+_*xF^z-iLX0*nmIz5wS`I}L@;{x)# z-gqpqp5xPLv!>#cC`H|N@S0uo9hyjJrxmg?jI`5B&*4<1LOUHy(|~142THarA0b=) z6QtNsicL)l_q*|bMLi=&U!J^O%oE{$8uP@jLj0Y2&{%kJYIslKcFLH-%l~<#5L0fI z*lSrqVt8KB)W(#DP<+0^BGAZ_&qA}emE09mtqh7sZ|3!i1@d$uwEvayb+Z@0C@!kvNJ~_Wp57Day0sA?y z?B|)Q#AWrlO3NKQ^b{LI-|{WUyfrosoDthD8_<^|i5jBg8xL*wY z6>6*+U|RF2qv{v4$4{F7vhwt&38I35FWW;0p>nG3@${q;Couqa^J*;Q>CDTE`PXjX z#iv=Ds!vs?XO33&KT5QnX2$-A?vKU=vAIRI8pSfrIgk|sPWv=PA9?hN=k3aw z$fK9~KWL0rNWW(nJmgV5H&?|SqjJvJLQ4w3GGgBGhq#At;P}T@bCdT!F4+ez?aA|? zYI5QkI6uxQ0VK0*c!E<7a4ML^r&TMb&&`_nF+zN1& zw659uF*VLwQtYYbN;O*th7Ca*x2D(I`!#@H#FE}SX?5){TkUI4ISs6NpY!^8evF@{ zuKgV(bn>Caq*;co3r2RTS%&sS2C#*ZQiobuY)t;NiR!OU#H}OkpsMC|TVu~#sNIi5 zF0qDQmOU1|UYi`)>-V2y;>ULcw#xqW(4VV#;iaoAJj2E+_`ZJX$`1QXZla9m0-MM& ze82FLZr@VuoaS`P!-Y76rSxhb(c1WhoFly0z?@i?TQpxy%td z5UBBV)A~>Q5R8nf>54~`cv(w|OF|QKtnE1UoKrnXF(ACUFLZ+e+89+s9pB1ooaYRE z6#VisFH8;H3YlL__3sDr%K-*3cPo{S16d?rRH5O7tb)+u z|1=gkcy#lS{aALYSmaoG*sleP=;98zHUKjz@+;BtRMQX3!CIO-H+Fj{VA!x6bZduP zQ?Q3)=&y-VM^D_NV5&DS#lPH$hJ#e;@e0aO4P_l$KSv7vL8ol;|AEMN5B=gwx0Qi) zdi8kN(BnkgX-?V_kFD4KGW3c5#)lNMysJ}bdtQH7(--XY-RWnXflAO<>Eyz7XSY=W znY&(Xo-*_(O~P6;g*KY{25@p^_qetb3zSfYLywqH z4^ZcuvjYS7OfDT}1^jTgY`%4@AG+JWdpt~kv!fuNT}(m8Qth07c8U2e)g!aI&v|MzH`#AlBnB^xu|ea4!WH&L8w zle}>ntMNS^RdEvoPF!rN2`J7^gi;mPIg!=5nbl-5MLSFP8SATGLUF1clIHHLDEiby zdKcfs=|>NEP`juPczm^*ifbBECySF~^jAgnL5B}C8E8DFeF}BpjSo5YwLQ*tXt&Bb z_&#(eWt{$V1S0b-Q7!LWAWpPK{hEcGJBcKNY6rDo#Onaa!hv}ddRXo_rDDs*TfY-C0?G#SrIh3Mgcg zUq^AOT~kf^aVpMTu}oRqkM5#{ybN&FE}Em2i~G93Uz{`fEflBPFU?PXmx^m|qTL-o zF@J2cH0J=oRU23hHLRlgccUy$Hgdys{&2N1jz(u!x_8d+NN>048x`YfLu>yo1e)`o zlpFk9C~{*tu`(?^P%|+khS;Pci#%pB`+pvITCuqM4U2L)hp?QfelP2qOer~ectzAT z6W6jy7xpM9#REI$M)#h_NUItk>}MP({kkUF6Cf|`XBx7z+|(7kczV*waY`)AvI(`O+)50uj2iD~{_vifq;^Z|9!KyxZ(GVb7)SoY5PgJ3?db8^ zG|lU5H{4N?OYQ0QYy~Ik4((a;#_Qes0IIe&@(@VAELg zJ6Fw~1Hbfp4!q2s104ixV$Xpq*csqV0=~+g0}I(1;4pGh*FeqytKQ(8*%@Fb0ax5l zz#8`Nw~v6e>uz$Y|1l&1-fb-d9-}?;A{{1G9%RbAphJ=sO z8{o3<-m2Fa_;v#BWZ;hpc;3@gjaL}>VFK=$N!0k5fHijzaN9sa@ZSX7@+<-Wn}9EF zB;eY70`Aofz>O0Lc*!85bB_=J=RZfl%X$G=yPbe9%%WP~}&5>kZwSw)d!tjplt9s@3=tcHbv;4+YtMG~KsycCJ-yhwA|1aBBsk|Ht zyDGeTxuFSmRi>CfnRi{#2)n8dVOLcfv7YU!TD`GV7ZoM2UDZe}+f`NXxyE)?V-NWa zlpe3n-k}*gWx)F2#`4%*<6OJ`G%wAO_e{ro-S$oYV$z)}7UpX`urQ0OI^NOaFXtzP zJNK}GRGDk~iswcLu?^PY&_(5OzeyA}SofP^{+#PIkUD1Hb9wST!Uk(n-`2{}6TLQA z-xZ|1)6;8%wJK!fm2HQ;Hdw=Ix2)XFt_>|y?srXp)MtYgw&;tvby`ux8dJ;_8@VT%X<|O<@>^7D$TuN zRW)R~p?p4UqP{X&%$;zq0S6!2H#bKFJptz$#W1^?ku}|5*a#PeFuO8;Us!Hanq8&9 z14wQjEP2R>;m|aTd4cd@sNDk}hD9*D3WW!d@OiKWYD5tw0B{$T+YX!z-n;bih@hap zC?XX_+=n8LBZo)ezvEi~*iHp&`)z{K(2&~3NKH^|{@0Q?OA7Xa`c0VKo( zH^Zu`1rbec?ky~ zQ)pE^q5CMsRpmE#OvS=b3c7H4@~{2-vz?*5MrKG34kk676Vl9!t=PT^S!uJwBQrZ{ zl*4ng>v5`87M0KO$B?U1eP54=!f!s@=b=nDW*%u|?5A-FdxAh=z41)!t)u3Vd&vpExdo@YgNoqwYlSh$gv{Md zf9$ELEeSseYbmon17To0wzwXw7>!S*6)3mGc}Rq-FN9-Bhs!bm6n#e zCyyoRtyJCcPE90G8Fv+dW^EU%%y98|0u?t_fdKn=l*3>&!lbcB=sa7q$iJcneq5^J z%J0xrMCdd-(#VrrkOn3NRdJ#DP8%Iz80WL*d{M6FZWrokJNH{KB{=ZP4GIg9EtQl4IQ#ozOT}^f04dnRoUsrAiy_ zwo|3&hrSn%o30`q!Ga5pCEkNvWR{i(y;;6+H)nawzTW%drJsoxuC3k|2j__w+F$XD zMG@O^VE>M8@OES0da75=Q+ec-bF>l6fahW28LlIOHK9ZLpV_}BqeZ({PI!Ogpxsm* z9eUAQaUoL>-!~0jT+dd0n_#rLz_6ap2*oPrmYv<#5i|3lcLOO5Wt+>4-nCI7O`H*{ z`4xq{Yvpe*13)joO)!QZLm`z@6;VPoQS6$Acd zt)LWYS`j=|pz4{JtNS>OcS zYucTs6!;xz^5fSPfct?8{`UI!z+Hapvbk>{F#IWyJvVt2G zXiYc0-w*g-UxgEXvPo|Q48Z?}lKkop?Ks+yzwYE(oTpmc@Qt0`_-oiYsaBImzD6}~ zFM%J|mJ@qX@AEz2$5j*3L$RJdE@;FX=9M4@pVW6wuZ%$7a73YX;f=Cqid+$E$Fs4Z zpa!d+z0_zhz(4PaNlB27g}M{r!H|w7I!Ig}7Od+9>D*@(UNNd$x7P1 zKdq*OS$=dJuaF^{%n6SAHtZtaEebUAN}4bq}2Zc=!{=kGlD{5>&afWrIe1|yvVvi}*E&40j!y^mtF zgqK}*ircYy7QH=3sFo3N;AOYAWL}#SmdabNXJSYU9c!38zwfAddq%}}n8Qo%Z!1{z z`-1xCc7~n2rOkZH?~R)$qh=+WTPtgEPy+{-uOzVa3%S%k;&9|#W8+Ta8FNTb*cLow z4B0v8j5+5yJhY}~eh?4guIrsUH6wIn{@I2}3r)J-AKZZl?*zdSeUOO3r^*|NB9a(# zuo!%n1ZVa;xQKwVios&A>r+b7$@1T?U~xp({ly24cF~w(3WJJm>|S}_p70oB$Yx+u zFq-;JuMCCi-Wkn8n7cODh$}<>kRjyA0s$028dsYVYEg96cNpHRuL0TWDI* zBm7p;U0~$}o^srehMd=ohLmknwq+iYCS0So3CAzr#4Y)c+QpIGV)yEhko|-@bIDe4 znK{zV-Q^3|I6{Kcd85MQ?FtD#oehe40;(f<7AVD9CiSbM-fyOh!0-oph%IFaRn3IU zbr(q{;qjS!NT0GBddP$OeortPa7mzpR6nJMP^c1B53$CutgfZvRAydN+H^mqhnP`E zRS(fU?W7)(NQ$>G8pi{Bh&$o|dOIB?@wNgC9h-34@n&+gbJ9Todk@*;mr{3d6g5c%+HgKaQMZwE15!#mhN3#l4(r&=4X!t&; zkGKV$pqM&X&Lv~oOZn|J|r*<43;qZOT`V?ndm)BJFn9c^r+ zj-#ax0ypl)9;7zDnY`%3R>gce+yhu|Z(@0|kPfB%zCM-58lFyFgp44^96vNh zQs`Z^1nB!W5I?Jyqz?IzpnVAIq!}JeiBn2FIJjgX5t|`IY;~gG03l*SccZ`yN)hYs z{xA{i9I;{nl4%q}qr3Y?`qoO{h#zQZ{LdXf=WRgfiDX%s)OIu)Ofa^(rrj_$Ja&-@ z`w_wa)~mE1ET&3gOdrH!`gAd-?_B~CmggwP^mogc*tAc!c?pP3Yx(5$K|f_kphGk2 zAz?`~by!}E=`h3g9~`;Kl*pDr;bk{ABEfanQ)s&a^lD5y^vzhYi$2~`ztcY_wJ&v3 z=Ir}UC+*OpXu`7o)-N#5-EOQgqp`NelXc~KvuPQ!F~*>O$}XoF^*1W;80BL5m0%W6 zw<9FhX85OvOH|Xtr@Yfc3Uzx-Ik{@=|KG$oyy$Ryt^I5bMmE`Mj!?|PoC4589Om%? z!X=7>qoHChNcJF^Ga#z2o#y3;-4mQVO7!T&6sI|)JSOrST7AVOg{y(%p?^ef!XaFx zv}BR9g;#gd?wgpk7xKcvd?)eE1~PS*3y0&Ae?vKJz$NR(9PA`}n?5E8%E6Z?loM?f ziEwULS8l@wX3qY(iluI&or>4-M7{`U5f5m3kPGz6sdRW$&MWp(3`Ck&V9(kz4AbymZ%A=t260zeSLa6sTn;KL0J$GPgM(2(re|)OSe# zS%WK=)bH#0tE7SZE>=D1=s~)iMg0yA#%;B^qgps%)UM>{s0D<7MO*;>&bWW_f`dcc zPB30=28C5h5x0m1+X&1M^U^E#Wdn9q{TZ+IY@MVElqqcPj*3Lk`{~2WX;*gdY=y5D zZ-Cl^$N>Rry`ts^v>+ZjWunr8c-WY*i6*o9)n3-{tpdw9*tA%u^uye{sYljM4l#Rm z3;7s1Q!ooB0pC0nRpig>O43?dQAnP7~4o0(Ig^f8L) zxNL7{CS?vCU&vP~CW3qV6cZ8ySJ?#-Wq54>YObinJ5pd1^vsYlUS6>4`$)Ij)? z3qW|4l4JL2R>vMCe0&jYWpDpK54No5chTMB69FLl0?z-bhm_$O6xTXa!|!v^(Q* zzvmv*y%`mI?8Co~{g_x4F0CZR{#HnqU?RImWp&Bs;gv{em3>fJZY~;J^*$&s%_nH$8MkU;?KMtFbsFN#CQ^np^;mN@p8TA71*m(ulrpkc zSw!$$u|B`i1d}B_qvQ-z2++q4un^&L2D1>!{8u247bFZJENgMOZj(g(Q33kcflAgR zycmF*>oF&lW}-`W0;BeDPd0&+sI8KykpNu^!m9*myO1t4CAo?H=2?aPrnfmA35N-G zH!jyZmAG$En4gkS-~?lCS0L{73BxGyeoE}TDegBDpc{^}XJ`E@fw*rt%5LQGfdptN z1nBc=ajrlC+8K5p#p%gSdlto{RvPMdZk|?0m1$(kEiYus9iK|G%`?_@=(W~jYXXW@ z^&w9}5#?1+32gy=$dh1VbJXmSB;qvvL44H)f@>p34)$<+1=?wL3gc4R3b}4j9DjCg zWCPevPLxroQSAd%s`dd+(0Mpj3s;7>B0GI2E?KRzYe`X_xQ{w~HeF!)OXG*FY6FcQ z7YDMLQxlsxJxd1Z6O04?9oU|xq%_VJm+Sd~R(v(pTrhRZtTu%}FbQka8?~O#Q!S ztL$fmRd(N490T9v#kSW`VC&e5Z7Y+P^$SG&=sq)pTTS&ER;wx5VdA!j&ihHc;n>JzV+%Pq7DK`FXSbY#LB+42CuId&_y>4 z)I1Wo&=|TF%_hh9dF?n&s9Hg}M5Jo&yV)ZGnx-)+YcFM%JwnkmLnm_6Fewg!N|F=@ zgmo>^DXRj_<>x`%tQ^Yk}Q$_!luW3g82c@1{^l zyaUFmu?AX9ioMS@_9WOlsKixg(r8N4j>~oOqOKBv$8+bA>__kAMmt2_z_0FA__}lo z4kVbYYSvUMW`d?Ch&DR2e1O>0kZOL1+OpVMo#{pj4cYH8&9smWzSw}yX6_+^h_WMYR0B4ZZe z!402z+4DalBQtEvy~ps^0A99iqe<#@!)0pOGXPqDY!3t3{EBFy5;pARGI( z{W6AA66vkFqUwzCC$BbiNL>TiZ+m0CKDe}b*5Kamwsjk1b)31pk@ zk-$;*s&FXKV|;)}@g%eC9z@2yt?3P}ezlNDDt@%@U>w}eKpgH^O*mA{R^VXYahaON z<76Dl?Kj|%C9LFQq>lbS;UGn*w$^t9A^qo5Y4kQ^elZMc7S@SbwTxe-1njkN+v85AztDzX&DjEi zsdq{rN@C4rn`|c4)>lxfQWdUE{Th1<1w9oC;`$jJht>1F#3cFd;Gd!gze>hv%B@jt z9UJU-ZjoCZk`=7!{XaEga|9ZF>~R0b4UjQuG~(I^`7F?#-bC!T6-4b@m)tj+#B?w&So(C?5py>eZ`Auih;~ z=+$GV7oV!Ps`To2wox@Qbx(SCV0@f$CvSxq@qzT5zZg}v1B%kD?F#-IKZ`KE7&%v> zAQ^Lf9+LncaE{G;yui8Zzs$OyBQMFNoyDX6Xt|AcS}1_UHk-Q<6{dTsu$8G0Bd9RwZcstZJa>}HY-2&i zFTGUoRNvzIDJM@-G1jVfOf^GRpt@Pd?b3=^_HoUSBeV4B)LhQ#)e*wSU1J;$k-%ZD zf=q@d-$43=4tokyhBro`9jO4a?veolH zCC1%6&b>t;gzh?Fi@IMy1at4JM1)TBK3@x3z5M-K2c-N+graJvsUd+d!hKVrMV^$+SjYEpvGN?H%Co6L%7a#~Z## zoC46PP<~Ypv3Hp?7yeUxW!xR1zvF6PP3}1v`GxZ~)MS3p zAS2?HAZQfDx*?mL$(@LGlVXDbMK8pu4}#53{X|p3y;XC=KZ9LHH?qsH zCQ{hc)Zi}0pNn(3D{2YY z6hqZmk+ki-U%2ih;A?pVJbUMc;xqL_DCfIxqiVdIn{~M4*prm=v1rQqJ_6o9jjHi5 z0q2h(;L8l$LOC1v5b)q+*z8;^;W|&JoS!0K=STuxpF(y169HR)MZjwa*s_veQCOalIz5cJ$Z!0l7M-8o$MJps2oOTgC%*j`D% zyYmUSUpJz2HUV360bHRE0r1tm1YC40Rbv|gADBgT9`^)72^ppm@btl`hHe-E?|+_v z+j|i;o+jYdIRyMD|kio%8ZQI_rxBJfe3inZNK~p;4pxob6(k{g2}g1^qvZ zJA`1ksS68NFMnWpVd(>2`Y0JZ``4XaU$+eb9~kO9A|RzKD~%L zl(0}nW%X`*c*fBQuqJ% z#UR@CfK%^_K~$N&(HDbg(tvOMF^E2KC-`CzC2n^6Vi4txQN$o>-RqA*R21cpK@_t_ z5re2Mn`02E0}y3y@tgQ;I*AxW4-TgoM9HgQAM?@{*vHJhgJKZ1pP(2-!GjQk$blF{ z0|H_Yxm+R!QE-?y22mYi5M{y`#(J%=+;a^E7+OS{jfsv4o`M)eQ80#iY8MPq5QE4% z6~-`Kh?i>tz%BqRMkv~-X(-~C$YBHkii*lTEzQBMy@+Czjc~Y=2O^;EOaMFsfC&KD z000Cja`k*XqI_hZnBZR{NYQo#DH?DT080VzdjK>7U=;wq1i(O;(`;V=fVTj!76AVQ zfCm6)0dNHNHoqPLfCm8J2EbkbOkP;-ahwWvrENpJT-e(TguTsn*xL+%y-mg|0Jt9j zP5^8Hz{t3m;LW$gVrMyQe*Of2Hvxb!N1n?7xCnqx01$>SM<2o~qX0A~U4I~e5r6$Uvos{s(b$C!Cry2U!P z7&){AyI_!0-h?E>y*jaohig*@uKyc&C4FE8glzS?>`R#1hPTHJ^Oaemc+ zDU1|jS-X|r5HnZA9;$A7K3QY7FI3DP*K%1ET-HEJ>0PF|6TGp992GAhjXL&F0o6~W z;q4@E8ab2m#vbB2ICxLT8+)kR8ddBe=P6_pmX6C0#U6^K^`$TNP*$jjJyftcF!oTl zhlQC-`4CJ|&uc06(6&NvZ!Xd-zIy==v%`%jHMlyk@b+t@?jSfqsjx2*-EXCOXVNpFD=XlNiZS z#6lFi8u`M$h9f6g8C^OQpiuID>Y-9ZpwDGg3af>xzd{j(WN;B#nt5tg-0xQ`2&~>` zjHd{yQ>Lh%^)wmiob979&~c67(6&ZC%>6N7rIteKr0T@3!4V?NFg`IMqMPy29|0J^cmHxTcavURl%yDV{w2DQzZUrRPNIR-;kC_*a6TG6_sMEP%gD9y z7BZJYS{Fv|@Sf1sQNl@dLO1S2J)I(%s`MfA1>;=7HEB@b3}8}MZP0*_z0avfCleA-&h z=5t>D-4|Cb2Qf1#zd=j{5ouG>6d|u1&2%I}|1}lDr^`SpaX54vR9dyo#2Gjo>LR0) zl(S@XQe~nD<@RVrFbaDf*Q9_-(~&Mk%FwQ=d>b@Td!rQrkz4}U!BtZNvv}JymCp7` z@wPV_aWGKtY&x$n)TKCV+aQSZ4b_`ZV0r5e;Bd0l8LmWfzW}~Qz#0i<7(8^>#rEcY!`w2-KAz4AcWWBqptnbl2nW~iqa3il3l)yjK%9(+!e7TvC z97^>*iiMCD^ry6CwKBXvZ8oV|xl?46N*U3JAv5}+R;~q3>Q=t9kxKJ$ zD`VN9Ab|U$8(LWab1bWDCGZcmGI6J>l`C^dkn8;idi;I4zy|u~Oj8a3MQjqN`+$=0 zX%J*bhtb6VFkCqR=i7Pl7 za9I}!TE$sTmHaSy<;QW*s%MNsNV?`9C&F5J+mXb%qPXX415w^{&}KV5PB7* zsiqdTK5VEcHlP9Rt2~doH=kM>LS#^ky8SzDXy|*KRCza79zT4Q z|DlE^230lm(VPR6bOJ6}RHURMxOo|EBB~pD1;?%v;kq!@<(0t?%qxgf)bk2!;@?q* z$UvTFc_;C>oiJ6kZ}TRu*Fvh7G&298E=&xf64b3H{TcKEq3NKXXFz>$H~=%065TBT z*$7*n2t=fyWgIdlR}m>Fnb$XSGrJlG!QfU%9rLDaJx2;sm3<+k74_B^Q{`{yJPkOAh0hgUl#{T>i^O0G^D%pR+MgIZLULG{}MXx&=qBy_o?91*G>Szh* z0kPO9t+74^aL!E%IJbanAh=F1988PaLSpf-I`Il?B=V4|C5KImF4}Tu#Vm%$gJaX^ ze!(48M%*B(d?fO&UW&!XUORI%R})sMiX00ZwmrRk@?l z5md`o`w~9b(aD>!Uh6QK-Y(J`X>G2(LHlJ+K5ygwN)a^OX@&UOGf* z2pxlr=q8JNDUBLZI0$k3QLOKOoF~78q7x|kz*lu&k5^fUxd0(NoepUirzy;f4xBoO z&mzEZsTYg<|DcADT%&!cJ?Jl#ifud;>YM`?yRW0d%7F4P?I`!f{GQ1@!Nw~2V0oyM z*rAj4L8H~(+wzcuSDN&#!aEa^rCJ`kHjx{)4Om~O*T2BWYZvrFzdsV$yAL{%?k?NG z;J1Q2k@k_jK3tZmyVzPWGXBHT%qD71ML3?${%jk~O>IC=WNA*}c-l`YY~DKiFCkpm zh^WBJ5T+ubtLyB)h`v>V#LsU39x z!PbvF7I0^gr5wJ(ed}{7r5K`D4kIn6MpP3W%%B5UtjkUI6DnQek&Bcvn^TscVmu=7 z!sI3rL}u%roavx!3uFWNK2(iix)%`LhiO;e^^h|_<7ZjkNL-{L^i)%PnMKsA6Ke^_QaG%M%50bn-r{mMU!%w0NIR%&VIILMYAK zg(+ZzY{#RdFtYT4w;eX=CWVnB2SX)vK;$M|EgRnKN%hSB8)fs5mzcgTvZ96RSHSfn z_G{{qk;o=*m7Ca_udxJW3gut5FnhGGXr&}pO5%&-X!4>|AIAppjf9d@!RGcAto5qr za^jfHGxRg_ z_6Qr(y9tA)1QsLp&Fg@^s!Jae&FP^mY;uKL9Ic!w?7m5({>!C*my%S}pO(VW%<`WC;3BqHQuV z>ddB**UO6e2|NI?hSnNb*S5`XgO+;s9$rUQKXE5KH<0IRuBVQg(HBrtsQ+#Wb*c?fPgK5rR{Ef6WoemN!9i{0j1I$c&6|;#WlSaC^)WaNgXcc zT`wt`-gBDK>%hk>GLgd;U?K&cQnmg!SBTk~7`>N&YU_8L&fAYvezQ+0vO7+5J!!8G z-3R^kj5%~+Cze}cMTy<9=WdW&2`nrQP=4;f7P=h9;*e2LMucrlxB_wt-L9KB%{#er zZK!AcJ=@)NRXNVzApAB8ImV4K)Y};9A?qfx>AStrR1a9m1<0oFu0+!uMwri0IH+5q zdWCL{H7o=r9m=_xM^xBJSZZhoj`UdlJyjdyPNNl(^^Y4 z@2jb11W087OVI#&C7+;Xtz0T6F4hk*R6xmfxEFp%D8+wc>=}T(*HUO}p zn?%o5-9mW_i__tZUhH>)=$Vtgpv31XalwzFCv&!JX_-V*Vf`Bc6t!&OAY$}9 z(-{?8cNXq>)o2XWa8}pCjqwcH3LqwGjR#8~ zUZ|Z@)}tiVHqg=PcL1cP#C|)@xiK^=kUM>Do)v7$6Wb&1W^yYtNU5hj z-r~=L4ydrE@ts7+CMs=+a&N>bAj@f6%fAhC^TP%d5m0s5z?e)tnHApd{(FcvLxajo-<9khk90S6t6@zQk9sr<^G1KadM2eei&Eoq41KbjXe7{ z_HJaWm#8w=X=9X!G@O&fAq@=%-JI#l^9z~#nBn)y`pNOdBZ}U{#)y&A`X9hxD-I7x zCfLr!=HF<-c$jjm*cYW}`|Z4#vQa2hXa(4;+-oh|T(f99!p}}O-_`pZ^;7NY8B$0jWlj3h&rT0hv}^SVlA;sbTsgEO zMgyA|FmAdf&(@Zz-4;jQyTner11>S?o z2m#x65b)QD_V<2anZ&@klyeN3xO67XhFMP8tyGO21Z-floF&o7IdKL7AKil3Dpg}6 zkaNL6$~pfw0)B~r3pn7-Q3Bq3Hvv~qqnuX}uq~DBjxG>z)7u1G+m{S}{!PI42MGAs zbOQb*0h>n>@WUwp*8P@%HE{&IGzP$yjIF3HU1lPUPq~*X|@>LpK1MI6BVVgQyzaLjZg%kz&|P z=mlWcW~xTbECNm^V8>*pGXXcn5wPt!%6UBj7w#Zn+iU<=5#PgT2LTN?<4C!b7xf z_f^Dd(FEcDB5Z)tai5NV{M5|DKmE~t0RFEM5dLCt?~D5o+?V36r%P6cM1O} z-W%{Q@A7?(_r1K!_jTU)@-E*W!21~d%e#F4uJ^sX%lGHJ`N+F`e+ln<<6qw8`~UX7 zmv{L-5)DT6mv{MofcL$;%lE_Zz9-=2UA~{-eJ}6w{Y>wBd6(}Wz1pYyrc~47rKQG_+cS!9&&WteD;E`xPB&$w z6`9gTjvAd-yl_lG=i4S>W8z7;?2=-wT%wL<2~>qfqA;Ok?2eUz_{@O8b02bfT8NU=AUATz%S3l9Cc_l-6x zD5P9|9m8J{YXI(RHDM3o68fx!iwz2SNy3d2aN(iv$geR$AuaOjSn)Mjlf81e6>YPk zbgU6HH`3$MWhJYPx2L9!GR|GOa@klT+Hc{q!WD~+_l_zq9z7<*WV%oDztoHi0-6=g zm_2sE^rfXG3+Bw7F*1F@j7igmqn?^IOBb&wDK;)#Qn*@!=Lcq3=H@;y=dSGRoH=v+ zFD$dC+%+R-=G+HzX3xHJw*UR~oS9PqAMkcg-aR=F%*dOAys~rsz%wl~^JY%=KhMdV zIpwbD7DaY*bLPx_V6r7|`dzbgG;3FQ>$Y@7sb(!MBhw!!)vQ^va&@T&PieQ~37r<# zWh+5bz7Qz>Z_l7_w~xft`-an^Pvg!1-*VAan<7YN{@)%9XLe!_EZ20mF zO=yp>8R43Upl+d2@SYSM)GMgBrmr?u+fUnH8>bzhP14?~P0`YbMTFOq?X*GLfU8dP z46fkzs2;d#sXO3b`+v+Dc`Ft!TU%U0E$_fIsm(T0Hok_Xa#S z1w6L}JYNoYCfjlGC-UzX@H{x+d0fEr8#1}Ke!mL%J}cmPZNT&Lfak>l&pQL2w*)*t z67c+7!1FHyo@I4)LfXkkuuyjm`Em$~6_PXvGBKK-A)aXN8q`mtLBK>!5G3#*x&t_s z?jTzR-NQASv2>5nXv}nnEiUnU5XAR%xRz+(|OV&u>HKnUHsjEwtdDAXkv1p|xb;ZiklGG_P?;5_Q z6oa4yUAA;Zi6)f><)Vd}8|7mvvMMbs(xk3gx18=j`EMg2c?j~Ri0v1`J~dG8WW;% zOCZI!G5C$<_x;U_4beF9L?bhmPW1zuFyByqCj8Ui?~&h&iUQ~-{nHvk3AeF#h$a*7 zNZux+_grjwr{7s*G^a!w)j5t4Gc5Gr^EL_5K$2aP((mI!=Ry|jUN>j$qXem>AX?5a;Gkh* zQKDH5W<4sqcSvuSTh<*uBueUHS&wTz12dzp87UdJy!l`2%nVH0d;j;|@0}kr`|Q2f zUVDA*wbtHe4m($5uB0f6I4_Dslj#~E(Hqzv{zA5hCZ50PB_vH{yRgWY5I*$hiWQM- zHoaT$&@U(M2^xq@r{eh}TujJ6y$JE(gcAJh)^rCzc=EjWJ6uylw zWih+j3uF3v)Z(XC5R&Wx<5m>S@!zG5exQ=FBu0?B>%>y)ZipsiotzLI2q`EtX7UzX zR7+ENt#Dh5xk4_i)0lS@a<|o*>-55kXkkSmw<1HhJp=B|iEZZE7GYf>x6)uvEHvva z!YVQt9z3iK{eYqe+Jn!JhFoe7=`n_!vjvZ|d!7pq9-~4?Eud{PSF{MXvlyz9gf&D| zkzw8@5|(SsfWJ;;uF{wjWAx%S|5B=kaf$a!Pv2g*=V zQ!A{A78+n+n|WIy7m>@&Re+zRs#+whm?r5QjB58BZV&zlh-~+~(jMGz485QXJvS2i zv5}=MiHK@y&50Sp8U z3b{2RVNIJkQ6a1?Gyws1jL;BzsU2kk0y(D%{dhF^e0%UfyXQoE@TE~;&2td2SwK*s zLzG3ht;1YlCj;(9!dip5N^h>b9o1!HXpc7dvv$uBW#|PEg*JE$rR~|*9y-_^43fc# zlwluGHm<>9*0-1|qd`-qa)Y^!^aCUep~7I&F+z`p0~x^|7(+o#AApY9fm&cH%LJpU zt>zR^Q=u?1i(Anqtkswn0bfnr6u4o`+i7!^6}##fb6ugZ9Ehw%BY~Ff0M=sKW^mrO zaVuby9fMU^X%HsU<|Hc%P-xOeo4099i85g&i#bt_)>Up0CPAa)-t2NOT;kI1axYAF zX?M970<}|k?DyN`!bFfpi+P7ZSXT@8z#SJ+(dHTpP;RcFu@MbGB-~ag+-~FERz-FI zp4BodC}HX}N3H|yJYq|dm0MXTthSG`a*;xXxekonDbhrTNF9>`g;h3FH3+|u3xX{N zc%THt3v#xaEA)U9yK17%HF~_M6`9Lr!nzE+-zH*3+QyD*6IO{>p|O%jHu1(heS?%E zgQ&GO2f=u6aW-05^*II#lR=N9sZNh;wi3*P6~b>#{abD52koAhM?(f#yBZ!v^Cp!d zSkYkKiLx{j6Vy72&PK>^qAe1`vSEV^tIJ6V$zZ~YnLQ-Kz_*w@o5G2km3%6=NRws5 z3{BaDK~3r88P7e}5S%+CFz_ZQMi|sQi})KPi9`O^+4eZe_q6nyTJj|$z0x8rp{3ue zrB@lrSB#{Dk$j_(egpSIg6lHrHAY&Zk&F)szLQBN3=+eV25!orY6;;jX*H#sG^L(U zC0SKLZQRro%9IA~QVW+L+Ush`HH{Q8v`D@lkr1Wi8-;X~mRwN-e(7k7&*I0fyexRxZc0&15Ga;*Xw^_@mq19BLW6k7$C6w+~<;1S?UCai`VgXAYx zkI)5L`*BEe5r`a@Tv8+O4FIEs*NN0|uMl2>Q4S2!%S2kmNXj)Vz>r`RBySUx(2~n6 z<`M-W0aEqQ=)5m?xvy}!uXMSua=G8?au3u_;i+NouPG$OAPrjjPa>Td$NNhPA);j@ z-!sy2uvG>dfzA?{6lD{+-XqqQ#U9&wxyD#97dtm6b_}}J%e1^Z=C$Hx&u8D*^eWV>oUn@ z$1-s&UZ;JYh2^FS8thGJW9`b?iz}C0hV`fb^Dd?XDVfQ0j}LiR#!GJz&~GTW@kYe; z2GPePJyAA<{zGawVQhlN#OU?puu>*d23orXI(I{Yh%+;TyMEZBrt|_O!z&_bL9MIF zN3^Jk_GAjVS}$8bvHA}r$wbrj3mKEA&>v^%+ZEx1V{^Ifd;p-|q>@aY?z0^P6k3(v za2y1LBt}2)m=RnJA*&!a!`#)UfoYS8eMCnTPq=Z7kv;<5k|^FF&sY>C`Y1tZIO1kp z7~U2o+PFw-nE6PWevQmp%%O@tOjH_9xN%Obk<%s5DwqcrD!aPect=-!#^Zc^q@;dm z8}W&C1aCIdS3@v{s1HE}^D1*=INjAwhk|SvyCN0$9LVyPM)8WJ23VQ=jVpSdDN6N-DAX2J3DORrU(jWc=uJkk7L@>V%9C z)!dV|c$xrW$0d}n?-u-tqSVd?l4NVylX^@nVz(!3D^B+_we_fs!2AYmVyD~4bLXxX z8NeDCY~vtU@*B<69G-!)3xiNF|$;aOy> z+vV;@BKI7LDIlVGjU3hH>9&jc|LRaB(4QK7@-6m~`U~CR$iM$p^GG26GN1XmWO^(q@c- z7<+Yub-)U4F6@>d=^kXi&ucLa?=yycpcQ=90#RDEq>cUV>P>ih_9LB6Fz3*_q{%}G9NN*Po~IvCNI!3)&3t;?Kfk)i}bx3K$+@Q@4LA&*hGOBf6f z8P^InHky*a*IHFeK_EAKQZK1enxNX-e9GOtt<78m^uRU<_Kt1FY&Kvh^13k&sVNr zqbe)WmzC;DtCDG`(O4}i)>Y7nv2hFOjb&xU$+WhjBoAhIn0|0key$=hPoa2dYiVWC z!=<`>x~R0Os6@ZD7^u*fRTfnhm6cYy5V+tr0#(V`ck7CZbE+3*!)*9bWh&R+m7P{p znjIUPT~wM^yft5!U0Ib6h+_-4!gpL^PSw6rHGCS+8 zt@#z%O1(Z^Tcyp;EX>XDcg8venwWM=IHgRBJY@+K{~{c5$pC+kT(EL7lzIF&!|N zQ=+XZj4doEF4I;e#OGA8Hw8r%8>+Nbx|Ip>YuD%8Usmx*QR%}uxmybgbQREW&(J6`S zi#TrzB1H0_W?ECCsAslDh*}rdGml1yeC5rwTB``!a7;;EQTn(m39%Jtb_(3B!ofK_ zFxBI6rvj5~p)s$@z(Ei8`#v&Gwp&i5f%C^f6z_`iqR=_W%PJh08kAuR4SviyNI`Aa z9A*b?^ivco02Qxx(K$RXm5#0ZX-Tn{DzG7Sl~rF)J;M;C=LfF$tB6c1@K1H^{F zzV6m;a#R@%6=JU(W-EpOwx`wJW3MzTg9i-*-N8f3km2qSIKhBfb`iq$vr)vBDr5w1 zJe)`qzGGa-gyBTCg(eG?z}u-ONRnv{AG z2a!+2J%T}5Yfr|042Ufp5vTrC;C}g_qJi=kB#5;wySv5R`t792D2G3N@N7Y@Me^;` zpT@tG9IQ{)`-cosQ-7&l#vlVHwTUu&mulR{X~E&Uo2ak7b8UZVkXwvF(A#Bf=0!!% zNKAY?aYlS+!hz1W)^QdMH0}NH442s>8QlA+%E%fbEao^TKb;q-EN$QT<3{G%_bC6~t~uq6hkd!3Pb zJgHZpv)=}XXl;ODsM)mSuxe?8DYXSQji%JYfC@4hat2faSq>2n!cH;eFa$N&Tbm$l zPipB!ooH!7OpyBd@JeMKpz}4*w$N9(Y2%83)}728*}Vo1|K49QQ|F6BO}Erp{0wyy z#cxsvo>~{mU(ap4b!(m5iVeR~r^3`fQ?u4jQY+TqpmggesK)izsF&7{Q>WHnrM_B! zg=$;$j12&kgb4)1^LPe^0pIXfdk|#;ljViZ+(+v zcwMhK-S_uE?wf;QB{>A@tPfDx7hss<1)_aiTcCQm3uRD`ex6BShtuZ7r=@|A@A@K&vg?w!WZd zeQwSD1vU5Q)>IaiY^|;-+fq=fEv?K+gSdyW2O|Oa+KpO$rJjuhdR3XOprDcsLuDnU zMf$QT2%R*#=?yS{v5r3`o8itLdvbCh9Oe{N0tE#yH`wH$XOw6- zC{w0LYNIvf3MILusaI%xc&o)xqO=7+1-T?_r{DkjSP@D`@}i zBhubuGi8r29ct9x=QZ9JdbmgNny55as@B5rq)y=*%-~$k;bGJLbb%kW_KI;X4v)Dp z;=79-PP;pXn_zg;DL5lm%n6KQd@rXEqKAFKGFV-PO)C`s+nIpld+3-O7VrC3y%&0x zV-?B@(}}<^5J<>&Vmmf-ayK0D0Fstdp+nt3!;W0(ga&9>+9^DOEIc>Ny_ddET(m#z z=eXBIRk_m5M(ACF6dscg=PsYjJ2mA0uhFpMdu&lRm>G8RED|8E_VJ}z!u%(lmuCb{L_=QY$9YTyCpZ*!$-^;vP}8=IO3j?FaeUTSj# zs+v!QjyFK>c&@bKV%DsX$Q~)#Z4>P26WA#F4FPGHNi5eHPCy#$KF1Cl20Uzg+81oh zU*W&V22P1_*MJ;Iv5fq6I9+7RAzylHV~Vv>`mZ9QS;x)lqTMG$hz8vgbSYy>wbJ-A zglImbC%M*edhaSnoarJ&4e}zku5{Vs*~8oq;USj%fGD@!W4rYrI6WL&t(7LNhq2i+ zoA?W^DDQG7r1@@M=UC&?@eGO>%6HTL?^=Z~K=f0@di7nLaf66&f{w6##460y0DgoQ znU~7B4m^!XSEzs%2v&%{Un?E7!Ms@sqFba`l%HphoJR@lpa#+LBHH@k8^p7aYe)N) zRanFX5ppbm*Fq9`e~z2uje&1Hk%En&%SINolI<43Um#2)Yn8*MMFXCM^v|l#vh;?Y zpyse>ADbBhr#5zKM|{4q3hPFMNNvOO8Rn%j{&JS<@rL9p-E$^EmLTNWpJ}BVyI~%f zBDq_#ecb&qR(b=wtB1Lp#$j@pVog_X=vcj7AX_em+^~h&r(k@>twMU#KE*+pLg9u} z{EcccrqTwSx3kH-w99P*8bOKIZ9)s=FTf89unpjCZa+d-?~K3~%L6<12fo@Fc%`CBaLr5|BCVO?A)ZD}T>)x9*b63%>6$!W4jIO*Nva{f zHbHm#O07>gnH?V(RPR0Ku&maN`KGVuZt|H|znr%`PN})7sV9P{IHlh7R2$tztFW~dR{&AbU%+u+Uv$qg!=HvQgDnVnKE*| zjV2>;N=pv_biT)<3ir8j)L}ln50*uVn4RD`Zvnupc%>yXzn+{J;2A6SyK(#?e0W># zg43;inX-)#52x(4lpDG4O^o`tyf@*^DxCb0MPR= zaPc>uarFysoKKhe@GOr3z`tOMTL3Vdm*5q~7rdzOIU|5vy(cq0NUc%0CwLLIk+N4x z7-M(zVEPG)ZTtZt(*&PJ(>rOL+-`#-q)AlCSu#SsCsIL);{vtd)}p zfyldFqxnmN>}-8Vrkp%{lRGH)eQJ?EQ4}*H=``^X*z_uyM5D-4s~^lFwiuO#pCI7t z0GBvOwj|t}13g)7&|{^HJ~P8fg5cECyZIz?5lpn-GnaxXR&we!E!};=JV4geO&Mr8 z6F-U%XjE5`m45(+e89l0|KuaN?>QRg&ecTHBEhE25v4*)n&rVnR8KARiAA1=F|D&n zfS=Er_++BGHIa`QrO%s}-&DpBO`k1;k%{9E z4VyGQL>`w!WIXOblm?LPwEYV(j)oM7_q^`q0ey_GjAtr|;ygLlxU5YJ65w&Y6d-ME^*5ds)3t&@5fzSCe1FmXs9hwSofIvpa=z>q)~!V>V73jxoL zxDb#r%SL{J(dJsv9$|pYVMEsiEdf&gz>>m}2~Z<9egkEnntpn+7^iMBPf-I+Tbxbw z>qQTX-Q(my(@JqOkJnAV?Y3M5Lh&+jiBe&xNV|+k&%syNC2hY&W?G4IBI|&w#Aiio zIl+u}N>qijdJIT|aGR4-2m4QpOnI0DPY3wwv}y2>*~Idm>R#_zae3;q)H-(c@_tmnVq6gtqoc^u(AcNs zyFodUrZ6_36c{TJ9q}U1+Pt=ZOU7UqP(ZD6FOU4)1rPUON6F9^e^%=w3 z^-h?X*v0to-Z8FXJmM2PyHhoF7o%9WMFTe+0;+Ks!MD2) zb|Ljfmilopg6~=c32{E))kCkCK*UYd$CgNW)x%2nqk3s~v$9jzfUS0~zHFUO7YttB z3L2rvGK#zta@G*F1!x&IJ*r@RYhWwSB$>aO@*N5Xu5TFFR=Y*dE}e~Y*;j|SUZN1K@aA|CzCvg3>xmU z1HfLc^hP)9*oxHN;^aRi@zsnO%wU+?Gi_Ja4%2_Y;4n2xn1Eefkd)|s5<$XOx|g-_ zAq3H$tLv42_V3WRe=S3b7`BxSOp*RuZNI>16|NOB;zNc$@AlO9M1dDyIxIYn9_pxa zm11more9ija6M8a!dAN*?mr@_gTXu1tR{BAB1t)^TssUB>=gc@!B}Wm80{u_=)sP( z=vEc1mMfGNTlEAYq-@h0RR@pLPO8FhiF6(x0S4eJGc7awn0#h$PF|F)NhS{ZeC_DCn zaNoW=lpS-0z6qm+2d0%BJaMP8Pc_bKNK3k1+3k%XO)&V@__VTZ+!w}Cg1+dn!NGO0 zE0fVqhtcTyR~U9mTyDgI_N5BF$;$$z9Z^j1Fg0qTE<$orTuip~QUomAy^qYlf2S&6*^Ff>iL%Q8{(QgiLG35y>U`_0KG_e}m zKM(YFxX0#0TDngh=k+vD3bpc;)z+D382(FhyZ5AX{S4l%84K;&R%iF2x>^uFcP$zl z40Kq6I-5;nTA<6($L3M2m8i2Psh^=;nuJzjTRXL0%gf!rgZAYkEK889MNe`?fcI5v zJD;4l_%GIy>~SfiVA;ewSxYn)e+5*;i|LYZ0pxNqy=u%W=gLwj($vD68ky}qBRNmq z!RZ9*&eH?@07xv4iv?a#bcw2&nlYq;W#$b{j~Db?3i5*OcS0((3*QYe9r5!$>?BiRSB7*gG4My0=c!L{>V1eoDQ{tQH=ua@g^5U1Lbo1t&x*1uA4x)E!phGtk)du0`=$2g! zq)O2MSyrce&;z&(Y6kW~u*z!mnD1Bya@j?Y_p!7_e`26GzoIS0fGs)LeC|DVOO-dm z&H(bZOav)F!5wMxX)|gFeH%&L@kb;T+yMlQ9pmX6vmdZJB2asg_`OOINy(J6IAt12-B0w$~@4y z9_TsZaMnI|p~^-vAAq8t9gg=P*6kLPbE%MR_~{ zv4C%bo$*k}E*Va&#W8g$Cr&j(_~pmwoiel8{Q7pH6|I>MkH`vS6g*?*hu zp0EFX+cm#Me16|{ZSlnC4teDItPU=4%444C7uXJ7^b>(>Mt5m4ZE%j$rqfvPI47E# zxOm3nt_8C3=(?1fD-Je5KC{k)2T=%igd%o}$uU8Esz)_7&etbVWHLyJG`?wo9702T zqfZ^?G(f^)4vE13$)KSPQkTX%O_e}153X+l;J+}F9 zoLDzoOx!ylHGx>QH{~GPBl$2!wMh!P93y^GZ%Ygr4$KZ#nAJ${p_NbK*r}-qV4Ww|||)C^ibC3{>uMf50UdOX}z~O^-w1Kfq8m8V6P4r+sWu57DJ$q z09^OAEWdlP$Ef!p1BMGI0`;U`*L-&ZnAd&Ec$`3&&=%v>~<3Yb7-I# zY7vP0g5(=&-f`^VjQW!niq-!P-~m>+LTrOzWw&M7A5RD~n?HaRPZBZ64y*3V)}d?^ zb~4U;0? z0l?nrp&en#fK19^fP>~gTw#0uYXiKzJ}mhR7=pt9t@AwrO^Yu#E?>Vw?}e(V)5YBCch|uT5U^Z~*U_!5;US zcxkH?BGb0feH#NjXJE%gdj~fXI^^7Kqf)t%#bdMMVUI5gAJQlnWU!k%Jd`x405;lG z3xMxKn41}FN6ntRe)g5$wR-k|g(V{?3do2z95^%VcN5%uA0oBfB#@0LCHd34IN94L zdo~ZUYJ^OtHOvOE@SYHRylc1X1NRSmJb-o$+fKq&qW`bR;<87iFt2rdUqZ_P@YJ;M z9_$bDg7{e+&lvg|Q!>h@ocfQaW8ncN zx*CwXA@dLD)PInuv!_DiuWxv~x-Qlc7Y4mI0=!4U?0?I_gVP1PZ|HsGmqvg&0Q0iK7L*T#J`%c{jK2#AVB|>yRRejG>hu7eBH(ZL`9-v z@?u$3VMPZIu&A1LpSBJnDsARw!9y&nTuwq9iz@o5w(^6B%5-p``7J$$Z0=O zy>Zs!hlUos1ww7+$%m&l?Hd!zD}rK>7Wl!HlNR0agg3icS_bhjg!YGX(ytSD*gj?T z8ods5GSzx>^wZ|VJoV9Z8PA zTi!>0clg3Zy>|{DJv#{c`0eoXzdJm7(NlL0?>sxW`1av9f5ZC#NZu-V_^Fv1B3KTT zp5TSU0?jpK!}@+ipO|>=v`(gyq8rRNuy`x+@Kbe>7+WAhrYv-5q@?AUMt#Ivpab6*G4tf`Yyw-@O-Q??Qo*UaW z2m}*_KVd8hO|Xz>N4GiUO`Z(`dF#_WHB-CCif5l3-g^k$9f_Jcb^h~Tp1RO+5L2~& z4pGoEP)quY!Q&7!-MSDZ4^SGP{Px;8jreDq_XRcLm>QGxsO z(Wa9S>3I-v*^V~dq>To(3+6_zOle#w@94yE(%xhd!v4V!DI7E$T0q{FugP4 zq3H^*4TuBcD>xrx#xag4lYkAu+_@JnHk8^CSl$Wu`%(6+gI&q~XMtdSS{Q&iGLVZw zZ5jJIoigeQ*AGBGWOG|?1DraMX+A4c1H_x|q2x*~xPYZ`Upam>amGirnYA%6m8@ge zXLk<{VLZK?2*3{3J&KvcJ=u9|^8Fo;1KGwHqz*paXqWa~pGD>KU3nrpZ5xKVW}{q= zl2{0mSSr`NSGotX#M!a$fQHB5rwr_t#<4Dj#)cb8IzB`nxxIUaji3A?Ojg|1y?LCk zI4>J(#sIp6`i2i?)C>z|zmE_oWme3}(b7%bj@e(nKKI8n?CkK%jq{fl!=7`bVcE!( zZ?8&Tq6{mA)kz4fS-q-dKVUNYJZ1n-eVP$Gs6co!wNz!_j-@|(k|jw2hw9=2wE&{X zexJRY2_qGp{~-t-&<^ardx7f)(sA^AA~|(5r~+rQ0RwvDFbFCM+NmVtosaGr zH~$B&9whm^tbq!EO46thK#q$awt_3+uN491j-;epk~Q((6#VHHL7@U=dS(m`KQ$!m z6E8HkF0f$ORXVnFPPHD7@W0%?7}a`(pF?JJ>;+oh&m8Hu|CL_f`N~E+@~$oCw#$*6iHbupa)C!&Y^~9f!W( zTTeaF_7PesxUtEIKXY+S{N~ZbNU#6miey$+Ja~*`al48RzTLeKPHJMfZwT;!)gB7e zlPCC3SXgA!95n8Ga6JlF5O8ASD*|NFry4ITie*%aP%Z+)$LItw#>W zkQ3Fz2gcbm#Su7;vp)x-_TiHr%Ht3|>EW~IWg0l#oTwf;aBUMFjQYF(i9H&S;g1#! z{FQK~_Z|1qJ5G3`AG>ieQ~xk}TlQouY*m;7q_WvKgeSQAbLgiX-tWnsqpCp7l$YWyO6t7W- zJ-BOb$G-Vo|FiEs6YlYC-f4@V`_bZn^K{p~`Ko!mpE`B5jU&t66u%)m|K2l3|N38s zCIE9ELSWfm1b)d2 zffEt9=`IAm#$pad;0qA|Y3&Ugxe zOAt7GEdmd%0?dL`1h&ma;9n8Aw*!Hbo<`tT5xDza1RmUT!v8_Rg9to6&-=mRfWBD( ztojQAGY1g(9|%195CRX}jhMG1aLWS3{4)Y`2N0M(h`_UbkY*hMcV!~*K?GJOAaF$# z0{;zx$+HNYhrpx72;8v-f!{)4&0+-3oDIOHfAD_n)QyGAi3QBQpfzf5{m;Ykw*C1t z9u%nEL4)+&2wc1B;^Xs8Nqhii{tYo-i~Ql#Go#NV=7!cj0Dj6HFp~}5ImH2ma{+Vj z8a|LAdkukgen3Y1>j-SSmn8#%t@k75n+R+wz_{p^W z(!qNoufVN0w>60mCH@@uQ5fU~WhQ)Zd=`B0!V-Uj%f1H$xMY#)L)#P2Mf z?U$ep-vM`)&i0?74d->1Y&+274!mHyvvjrxLOZ-TMVzIxeU_u$SvuPxdcyx*g5PvI zN@qL#GJvT9b{+eY+np*@UNkWvt-+$o&g`E$5}etH$a;RKF-qF4(ISJ9%t#q zUkvT=V|L;!o$b&>9s)s>LkYH8i?;mRHxYkZ``uR;ry76z)}zZCk6iD>%8qM|C-x}&Qa-nVqzvM&{}?;Rl# zmE|zCqo-8&FuWD&ge%!vJcUV{&rUMMU$wS)t1d1sRuQXsxTvbCaBE3!^MP2^y-q8K8UK1w&i}!?YGakGBF*Bzq)>bDk2TuhQUZIaw!l+)AVt5uX2HA~{d z=SpOPAXv_vg@h|v<&*4@0_&1XQLB95_0({(%4adu`TlUjl|UyreL*BZF7xn!b|Kz+ zcr1fk(G&<&+@t^xYWo~Mxby%OI2yZa!qR?W@E~*G!x3;7LBT_BU?6-Y6nIe~@L$~E z;_={(6PQ8B4uJd#{-1J;xz7Zjqzd>@nTE z$KK515VHgO5yF#;Q?kVIiQB)!y?sXB|Jp2-D*=fX&7M%HaI1=sRV*R=Jb15LS3AFC zArW{A*NBK4$6X*HUX(Y-m*YofP;x4O3Z#OlU}`26NkviU_~3OpLZNtMR1bV}$w~O8 zs9z-TU;OO<^bZ72{a?K$?z*7JfA7UUU=+NM{p~(X4{m!I9!2ha)7@bzz`$>=5|4uqrXn;Xp7C#1hB| z!xDxkV+kgeh9wMMg(U=KHI{CKXsdi*mPC=_;MTl~ola z7J{W?Y!UpU01{gYZ=lBBwf5fm5FGO!ae@{XmFh?=hN0ZNJIoH^XhoGam&8_XE5Y)A za6JmJcBf_^)CRAep@(r^PSxP@#Cw!KUJLET)VE#p@c$s81>rz7?8U%$L#F*6zcIhW zD;7Qor@#taeE_q;IT_UP*7buhc+FS~d7u53{dNvn3N`0Fc5DZ@FIWism$PpPR6*_2 z7x3E#HMA$>4f(*F4f8)7v`@y z=iGD7J@>v|?6rMn>@0?1i0#7=DY2Ibk#3_`oF~zPl(_f0N=TH_d}*>5A}L{m;+alSd)%TLMIl~cJn=l&kc5&LZDZhw=t0IZkz$WiQWNi}mqahysow!%JKwNkweW5l0H)>-)m0a<4(LWo2%Kjj^JGb$q## zEAeXfkWU(^Ci0n1gGf%Zha{V=)|O71xmR}gXXEhbMP8#8?P;H$Rw~up3cY@9+wv`^ zZmd1^&ftaRf2^MRtLo55`JRz;_8j3=h(4PCx!0ol_IIDUe{9V+rDK{7EsfceJZG3> z&Yq;0;=nlw8@XXm_ldRWU91rokMu4M^rly>m2*108L1+Au?Nvfok~S3a~6fn7VRB= zE@bB6>tU1+eaYRTLzUZ(>>h3MDEY8Qq^ZjHVw+!km0!Ky?}FLaQssUj(6@u}BYDtl zr7^3VyM?xp6UNOYysQ}GW*&Eg+6e9E^Ncxa;}o$`tLAR1 z?*-z2DbVkt-v6A!pXBCobERAz5LOyDr?Rkdu`vhQr(A8~akK0!T>z>o_tq-kPl3rQ z_iI(YXY~G;6#f@1{-5h9Z()R&n`fL7!_AdpYYuXCDEzM?4}O=b+|O6}Uts(%==|#y z(2nmFMh2`JHv=15V{R%dm&eVmG)|Fm^HL4KK)#%-^KY+0oq$3vsQo{0^SxN*+f?P= zRpr~>2BNt)Lu)2blz$6j;%=@sW?9*Qa~?NOXUx$Wv->u6Mekp)@%^gG-J{@A>wG~o*pVXO9AjqbOh#?FFrP`+0H9jSwLlNp@y5S<4%(p!)hoX)u5FcH>(X(MBHrJ@)R*z*9ILo z3@W?NjSlDBsSfoH=iF%y^$zD;;I^k7>-lCecM52u+_+W8&Ci2#kdB2-NsPHB;M|xi z!Adj$9(QvpcZ-?Tr-ykt3m1Myv^|VP&UsH(9A_SjeHwm=8v7Q|Xj$ zm3DUu9Ojq}n?U)gEKuwQzyl|sUeI%mF-r?=;-y@vF;|Nxc|79=5jQ^u&o}d^N-HT< zB{zphl}0s>V&aL>euA8%fH-QE-3;To$yRBi!`Ds7od)AbO7gYnv)N!CR0+LJ{i??Q zT$THkHosMJ2eU7GewKf*&mcq7eKf6SheN*HqBHu&rEht%dWwNN~+8_=QwL z3k?x_S@KFlM4>W#uhPfd5PrlE-lCk^#Igu}wg`S8A|U)lCR|S&|GpgCr(6lzs5cWCGvzhjZYzr=47SeoH1u2W?1%cZsm86VKaaTx?b@_*pLO z1Y4D3CGfdkB)HWgxUJ*%DWW)lr=x6DRGx!Gj)bTL+7!rpr3DOop!^ojwNAlhzyl|sUeGgGz6IHX^BXEOU^wX%3BH#Iy2|k!EC*F8 z6C#yN*dY?2bZXRm&k3rI)?`!8feJ@W);%bAE=Q@YCpLNM*ugjw(XST4FCxK}Za3+6 zUYmWM2X|BYG&h7-QoE{YK+h#tz#nB`-s!4h3=sju#iW}??x|S{w)rjQu|J`1JGns0 zb<ojD6p<@aQpZWSi)}FFQ>3xvph6^44AV7n zUiQ#U;oAC|k*Yf1UY`F<0G?+OdFk^0FoFX-9MaG;~85iQv zbrXJfnz-2#Za!F33+JEZf;<>Q7-|!Dlbj36-XMX%i|6?wZkCP<@#@L2?t zak-9!k8E@)%oB46rr0{dY>F8&nfIlH5D`er=G7<{8`g>b1*tJq5uQkG?BXs6Y-*6% z0qdN95M9xLe(>YOZVmjlehKfE43c*?R4`80%9}NLYytpm5&-dAZYLlTp#tEWCp(g`E1l(JvSF~PWjka6&=lj) z)W5_V8VL`pxf;G}>MW3&c-YB}YM%r04JYL#W zln^hyQ?w^udavlMcCj@#o3XHO04*rRw5ADbj@EkQ8ZBaYBkTwfInqG`;w8id0)Xe62LQIBKnQQ*pss zX?}72TIsgpTWh7};z8-s`r?puX=Cx?bm^JmtaNEp@t$<)x#G9drOm~kr%R|H1s-JV zEYcxn$Wa*P3XpvwPv_g%=9}WhM3yMS3LDCzbllB(-0aE?e26F3S$iTs%D=wO@6#&Z z6qz%H8GK-pf{Cw;71^9umZRlvfpjazsMT`wwUF}|wGyLuvxneXxu8uZ%#aDc?-X>Z z1QSJ#ewQGJ5A>_o_@~HrKmvf%^l?@MWCEBNbb4)4bGM{&LsMZPzzx-50*tE(DRv=@Q`$nlrSQW2$4# zKqgA0ei!Rt5#j%Npf4);k zah3WwYv%I$pya za;}+m{_BP?7_6Fx39ZU$&B|#d%4r~Vi*h>IEFq*8{4C2qMxrd?d%I+X#7IWy%XaVn zEZQWJCPf5?L_J#`cz6XzEn5w?_pNVh-MEp?pDWJ(5)qSplDRD59}fFcId$ieNqZ(m zc#xo=3Chf@Bv?#KPtKA| z2@0MhS(=%dK24&@TA!G%$yh5{Jvk{sHYHIedr~=V!m{k-tn9cIvo|JX#VNGfXibhL zE;ch!lOCtYS|6vIA}Y5y(T9$ zC^aQLQBtD0pY|6}tkI`ggIEXu;pSZD#B}?Py%$l_{e(}sD%VL)R{nYN;G;e1u>%oVocoQ&)oWF#>qB_}=&3mJ*Y@hKBS zpd6c=p_~>sFF7qee$!+s5GpNJv0!#wR9Z${P*7Z2w?CtQUd3q~tt+I95V&PDX!-LtS*PCM!j<97YW6Bsw`^<66m_jFe1UO>SbU zCM!NWEk7A#&q+&+&&o}L@l4LxxE?Fx6E>!$Lq$#&Xem7{A%0_qW|Jl@9c4&M%FA4z zk*3Ye0UMHFJ4@2mYtxe@$_dKHAD1kRQYZ)~%R14>3gn!NJSXp*5xgYJPKop@UO^Td zT6qO~;BbLgFW)ICuM72Aa;J`IaoXsnAjF&=+s1W~yHy0Sp^am~MFCPWKIT0U%+Aec zytdVl8P(2?!ZP$PvgLC|J*GDfprXJXG%H z9&YPFO~%W5dMIa(*XWepJ?J?t1z9~rK5{pgFdLDUjF-HNZ1I;lHwW;6Sw>p!By)rA zbcC=5%O%9jH_M&n(EWdyay`Q#Oze5G+`~1JU4oN0X%>~$=jelzW#nm<>kkV6YHYaxpkMAm{<ImpPAI=2xsqp;{Ii6NvM1u{pwt0SvA zpJl1JP$IHLtV)pA9E1h9)(MABCz?}%+-zcw;L16kY+ods^Fb%qJfR!dBCnD?Yw**t zKy3t+kpc%9vi>gWv$TF6gsA+{7FIeC|WUQ0TFsLel8Vk_M{K2k2 zgth9YLUsdfLB-C&u2;fZnJ_sCgO*tyf_AN-1Ee#7bS6QMbUcRCfRVs3DTn2(lQqC<7K=l~Y_nG} zznGC11se2ZF;q**GN|V31{d==>{O@@Wa6M&rF#OZhmavq%?WcRuflkHgZA89VZSRE zcA{2jE0vLuTGS|~wlox6@jX-J4jYe!5S}5b0%=;7X?eHaB2m{Y5Tx+oP4? zguWRzEi0jgmNLWCgUZN4Lqs_&{|ylbp(*s=&%jb~{JG5$us3lK5*OGdF~9;Wth@mX zk!U1@tcRl~TR9Gm8u#drcu5#0kuBjBbcW8V`TcNnJ|Q<{gap8~;j^2{7jX)mAwG~f z8EH8Sa9&+(n^qz0l*$8A13j0|;f}Yk1Sn&O`SsyV+*M75gIO~Q3uDrG zy7`5qizN&4xTWEz2C~3Erm!EFevvzQN5KN8Iu`nS`Rz8Ay1>-hz*gMlk`Wv(DNsu| z-=EcO~U464-Hk_Tmq zs?EO>Qo?SVp+StChnO3Pxo})aS`2joP0apa>2XZ}QMc-Ng-j(BQbBmnY@OcQF#LPN z(?sWCU=f8(WZ2XJ>^x9d4BiGOa*H9wJ_Q_p3cvLAZ@8o~nOCSjV`?hgN_09gy8LRk zB;XzXe6?_Oam)o8N~~iy1a6jivg7I4jRM@Hz4Ab>?PSy_`CMj@moji~l)}p-cNw%d zdePpQn!U3&?47OmdZ4%);3bI)-nZnjFG<=6!Y=cu&U?D_l9=HYNdDaEadKi>{kLMp zQ=d-#d8dbI?3(Ys6Eh|MedX#-51oWp4+VhT+dcXG21(JO$A%@p!>Lo9W%-r{s3Q9mzVk!G(RE&CLbO?#iOJd7y-g{BPVniOnOaN| z!W?o0oH%n*vyvfKNKeYn$V%6&Pe{_N)j&3a*~8MsE8>^TT)K45g4s*rqaZ5-a?nxX zk$*^}->~(3vl^yWjZ7h71y{lpDvlL3>G-30Nh*lDAu@xDllQ?ofVChj4PlcJHVk3z z0Bb>*7GWn5WpFq7BfG+lJ8ltiRf`IoGmN&JtS1dXo`?{r_4=bW(Iqmwh< z>=gVO&ukd7Mqjy%eO2L>Dr+8F@SUk>lGsWyDK%U>?4ieu5i0US_-%$0(6YzGMA`E6WMFLX2TFl zdrje%jkLd*oTmWi`YkO{KBC7tcjOh5_Ag`qpm58@t9MM!5t8NnU#dnw74jOd$uQ)e zZbk5U?t$YUy*^wdTqQ-J%DCf8oQ@`#cTKITSUFSVe_V$=Hwjn86eXRm3D}r0=5fxS z=86bt_LRcySUEKNr^z`^nlf0C*%CEjHeC6!ehM zQa>GJ84|c}F?9Mrh1&!5xOF-RGHNgIPDpViBRE({pXdD;%sFo6SRhrXjh5{SHaSlv(D0d2 zQJc#c7#++qf;^fM`KeVxGwk|o*dg;zl)D`wkX@9`W8W0BKxtawByM=&S#gWgSRG7i z-w$FU?&*ibY2cnRbnyXn(dlaKqQiZ=sc41RnRbzRpEbUzUP`;jXN^qN51mE3sPTa= zu6B3vEp$=MwRX{d2D+Hu-9_jb-H_W1+?H;YoaXI3U$XNe@7eZ}XRq;gb(QS8&#Nrl zQCa4)KV2Mk$p4bMK|MO<7H67pWO-n8aamyk%jp;5nr`TH5#((yyPc_?E)fgm zcbRi}WD0-8B4K8YM!F+E&*1Jc=j2B|C+EyPxnu6hkLK38%sV+}UhR%~wI9t(uXNd6 zZ4A|V?$uT7)p=HGD=MqG`)iE*tGWNsR{W!ydq7)pK<0T+TX9h4`GTzC1+C|c+KLyo zo-b)DUebEjXe(;8o=3G6N41`BRU6;ZdLENi9INIYuQnd9=DuBRe7ljwedr( zXN#<&MdtaLtl~4R=jXDD&#Sp#R2#q0dY-Q~p0DP9S#A8Xn)_9?@vCa?f3+3=t>(6B zD_XUlUu!GAuI65-HeP6zHO`4_p1H$GpGVet{n^M;y(|~`>q3e?)pe3|@#Q1_?T1u) z5L(-}?(!;@B9qMZb`8FkItoFve1+L^0?8wxvOGDUMDIg(k;OXRZL=m>G&D8;^e>R+wNKd!kC$8u_Dl!BHURtqfCm5=HA$gbnhJSP3jhwg6kML=$)34uG_Ulr`692C zFt2?8fb(eurrIw+idO)z(35@o2ms~*-~a%oPgba!*8$*50K5i(Cjf9908atn8*Bjq zPXXY+Y^L%}mx8ExPxcQV0-$uhXz#EC5~+HMLiJTT@bEQT;qtaiLH#;t;Y*0;ug@2e z)dwWx-6;x^v%Y{_yTDfH-vvEnf*#sI51&E{Z$k^)LKP}`W`XJ8CAMP2DVKu9TRhoC zzk!%{fQMfJa1#KX0J#4hTM=9bdEarh3^XHyQ3!`=+AA2Q>AAyT{&f}@pnYQREV0RJ$78?SvAa7{x0KiHY0_^lSfL(qL zU`IbjSTe#U0_@)i`vzf|2#Y}2iwOG5R`pyM+AQGX&! zmzT|q<(bPAi#RLtiMfUe91()s0G0g$U$D(6_97q(R3o0U$W1#xf~5wTgpH7?ssn2y7iFyEEPgm6JVNW?a{k2ys6?|2&u z1aIB$NtIa##H@b+IN+S-jERt694=Ul`+F|vZV}zef`l`sTGpWmqBcd{^azYCv8CGw z#J>Tu?h1Ut?sTw}SR#mNs9~i!8WdMsciW$o>rcQk!1-LIjFg*p_yFdjE+59~Z26E$ zI93^|lQ3558)b?%&evN|u^u9pOhYbeJ;M`KCmCp>WtV<@#&Dp#WXc1XrbwI?i*??H z%kJ;}Ms)MC64vrvr-+^5yqhal%?jkI?ML`B=Js&au)6)BTy;2dB^eqyAXj9FovW%K zvm;jp%k5lMoax7v;dmu-#au^SZSCQTwWeg|!*nHk#z9v#LsJIis@#FAx(S~UN&uK|l$W z0%fs#3Gw4VG|4mEh$NN^>Yj-qB)4%+Zy|Ed6<{Hw99V$R!vqtEPVPsJ zPUM{SQ9~1dh_ein3ednyfl|X7USh;G?IwXCa=#1znwSv?EQPjQpFD(Wo> zSZLrx%lQxxwaTZil__p=TA_&T0ZmKoV>c~LWcmoI9&2zY*ljm0Wl?@V)3St~LDTBQ z&5OG6J*H*xuYIU#<$dp9T8-Sy0Zr@v8M|qjc75k)TDnno(@M|;^fN8_uD5acK83IC zwa@gJ*2UR*4>he@j;3{Qk^g|E)!|@T_gImRrd7SeZdy-@cl0x@%ZV5nxVSSEAW!Tu zEy*Ekk9|z5KGM;&N@`~hXj)qxOv`h}E=SYan$Tlf8NSQ=N3B0vF){?g{|CnNcGD_t zraksGt=e4-PF>7EifSlvP?WFtZbwB~?27ug|EB(m8nP1YT=VtL9*epbv*V!_<#oa#SWVwE zd_apD_m(|a)fdimw5X=w0M{7gTKT93j>>4@|-q}JUIhD64MQ?~yq zfw7FR8dPKOB859n;5KIO`Ogx223XoIWBuOEle#vr!%YT-R!g=Jb~42! zUu`QLm`ucTyv~1^0u}oj{MJ5i0IoqVwl(4H*qXyPLa765yDe z)r3``Y_}}#?JOdTaTjAjf`xm*T`vCs-Ou#*f(=zVqqZ}CO?2*3;M_$9{gYwA%;Ddx z--^?OYGnm5*J6m8PNxZNBKWdvq?rZXufCA9mR}k!F1lmS)~0O$Q6B^fW+h>GL5Ayl zG5pHqhcjI6$grsw!=`fw2WI%FBg4hZj`m{sj03~RZuMi>Fry9`)(hi$7;f#w@LPMv zJXFISjtt-F#c*@!O9L}}(ve}#YL|VE8oubju$y7=*N{30W(9)wyR#|zvce@zyD1$FTnMi1ia=`aXvQrdDy}oUaGfCu43NR~jyhkErYlkX{Avgtu8~sU4iZ9L zG=`2ARs?ik8(zB$qS+0#*JXR^Wj=kiC=~8a5EI-kG<;?4`Md&p<~E${pgrr+S3pmN z-P!86tv^tI%marYd^wvIV$eIb@w;w4PEDtX4hBTD&2khK(lHgLW4h?=W>^JQ!4q!* zuqMxsX#(zGSyV988;kCtbA!Bqc+@B(OgB=TB)s+1j|iPs7bdGpx0>cb>;^eqVP8Jyt&P0s750 zRSWFElQLM82t)3H@$qiHBttU~6zg1AmS=ZEtwPf4_dn+6DU2pW6F*%5!2L zc}$M|luw!T2>sNC_v^>9c9mw#MSDM4hQ9r@I`%_C!yloaGp2>$Bps|7CcC}J4y+VC zlaE%XgPj+y^3wfS@Zvkn>;NKXWUw~&ZM=e6)C{15nf&6;R*3dR0bX4U@gk5RbQ;Pa zWU`{}kKsdbPT+B1TPbX^Y##)=duAcf*w&aAMi2eGARfcA1S2t-mO?o;e?~0|R=dXI zG=UUK?_nU@RxyPt*4b*1V2P5$5YBa?2QDmFJBkY!Fw!7_B?ZF&^iCGg*fww8yX#yl z-j9=26V6Wu7kooG)>=7+Ek7m$XQob8DGl#GndhUx3I!DyC2az(Ws=72urD@6QmiJU z`ML(fihe_+&OFx^v^1s1h5oG!?PChH2OLb>JT3PThUibz@_s`kdSPSdGnMusx_*sv z>@Y<49fzp-+3y}a} z?pJs0LsYi#YM&v}Z*&}@J2eabeuxeiW%nB*%cgspDb4mFy2=XgGengyI1G_)`mskC zqD@s#_8X$k7k0Poe8)aS_b*b89fm09M+YxDx$DLw4AF+l=lgllxiO>a4H-Q{q?_?U z-y!OB9HQHv)Bk>mg8XjvAEMivUAkHi^mx(zg?IZ55%aX;5Irz0{M#WSg~siANV9s+ z5bAB~E=NYM?RKNKVso+?ytBiw3nr20>cSxNJ0#@69A$Iwd!PCJCVl}KYh;n8C-*?N zME5kLo^sfe7h9gWvrr71<{!g8yK7+{4bvuaoJkp%s3kK( zfxIlr_adflVS#sX)wf7wfX7K(b-@~<7UAP1!LFOM0YqE)2dtulUEAQiWtXPt+8CG~ zXVne9!GgcLJp-wgLh>i9X{D775V1JU_|(c_v+HlTwa*b1sM`XTx;W!?EmWNnuSwnh zoVOvttMqr8(~2-l6gyvsysPq0Fykn%S#9=7R9Dz^c}5DVNOuz^-TP=trHe{{O$d|| z+h@QK2fHQ!U)G4tce?o!vL*o5o)uMr4b2Mlg>#7B06W6#;O5L`{< z0rIqhc5Nn6qH*^PrB#%(8xSjpHV9s52|;~ruqNyE1m^0)rf<2#_n%R)R)t6CL6Nq{ zd(17XUvK&u5JU#IAM~!@LgE?hx`C>%&A3#G>QnIhs;{-~X=3&WI*zI;1Xaps6bENn zd3XYNG!ZdA6r`u>RSQ6uVlT@K;K}K6+I9ESKapO@nHf0iN(ejby$baug{~k~k>koa z!Rt6EZ-l|;LO)#_yDrcAsz=x99gezwDoHtzu3H>+eR8+p|5ev>4?F1E_m98QwXg0| zNbC>Etj1-1_$qbju*9qxJ$60I(XMMp1iKDo*WPfStH-W)HPeClf3a&n2fO|=b?0B$ z^&Ry_2%Dm!MKFrC?QqkG*1QUje?am9$tq!mz6a4E!RxXGC*}s}iACs~1!5Rj$T=ZH zFv#!2RI;cB4Sz&?DxUL<)Cpc0Ihzj5zxz1>8oo?wrbfP;b}iG1*lrI@@V6ddfcp0# z897}uH}^Oij0(Df4RyT??#rE)rh;`DT~8c?d`DUa9%Jsl@y1B6pLy|Q3*Mmn9_+8< zGk2sFaRL5^c+H$$5V8`y8bJu1lNwgDV)kV;&;mbb+_!Bu_(@q*7@T({R*srM2S!`e zH{e_WIdlq!29z4wwVo-IthmGdaxRtgA~?o`;?=`mh73_$bQwa0?ZLKL3XmvlmHcIJ z`)G|9NN-EPR(uq(AlZmhhZua_ycff_xF`&C(s8zC-ao0wNH`z_Fzfsw&r5WZ_CMjt%}##wy6gk4uZqdJbtX@RQz;Ic0s zACKzwg05g)D&f<(Z~-Dxf#G*tK?S-V2JvOxcQSSJZU#TTb`svdg>bG_TBfU^_mcWt zfPAbQ3F-)RZGaBN$}}B1FP6K_0iV0FnW@^KiQBrTCb* z>`rd9-Z0WV-_fxAt5lqZZsNUv=f0_E`f|MEsf_p) zm0IbvwmXUg3pcyw!ChdD>t%IMWG_bD#xlO}=%dyj!n};yHceDZ)woNxqy;VsLSBK< zpR4hLbKB^*&WUbL-i4ztLW?h7_yZ33Kh0lvk0G!y{e>@(g@Ulwo^cHXhl8Ib;KI0G zG|C~zr^8E^e8Vx0)_Y9?|7*CE2EMph>WJi zSI245;-4oW3lf2?&aCEv&DDFE>tIPSdpM^0#NcFISsa3u1*-ZJFh{elxSu{PW5h?F zUuM_m+%K2()#qay7Y?M)QGcyZ_e~gVdJDMcJ&*1C?E*F~ozYLgB@YG?aP40UXfc)b z6HxtFK=A%2?E)^?x3sT-Q#URiNWd|FEuc`nuAhMa80-1G_bc`hTsE(tfXgRL9$*B| z{Cm%0atz5Pr$T|O9m2f{9g+=_(uN`eCr>cFYUJr*tl$AKLMYZ zF_3^i{pOy*H!dGYzzKgX;Ly4KeegZk!S8y%Y9GPn ztNRJKDsvzKXOH-6AJp&bC!po=rAH6!vkSQH%YFjBy77sD1f2ZW0{W%&7x44^!5{9o z3)r|kzMp`rw+|%X+P@aiqUayA)#FzOzwm-xz>Iy%`;Op=jjIL{F!Zkl45;rf;DxJ$ z|LgsleFUF)s-J*s-W*84vwtZdQ8>MTn~=SyCCm7%qc6POEg@_|mXEL;V#le?hsab_E$dB}xl-xNBx2jxUgr7nh6oqSsSIgUh zGc&LWtGmuY^q|r`fG4-URkKgSAzd!5!(|O5Iz;=-W=M_ou7}I*=}60(TM5&*_Ha`C zd&rx8Il1F@>XA9oE~G4&JpYE1=eG9aL>GU5(2Q4lIN^9a(~pw{=^s2YCz8ch7KZ!{ zCmKsUq_C!XDJasm)GMp}sB>33j;#ouwtA-O^qt4SY8D56*1ceZ5%d1#O%05gv#h1N z&a7<|@%{`>R2?aJ1(MxD5hS}a$Bw)U8TIkKxQG{WC_E{R!)xAsy?#?bwNA4KDtxo0} z%r4jtgZ`@JCobI3DTGD;Zr3?rG@P(ef8u&`OCUWsBST-f&r(5J-@qvxgsCe-?lB~n zt9={#99tH(&7O%q`%@Xe>c8EY=qd4;*fX#)7(RH=AKkkPT?z7u!+elC!m`!zXY=)z z0MtYtG#kTf19v(SwFeYbw_*pFsZO6(f(KX|z%xHN1`jVd)G$?S76c;L4j|?oQStpu zMV^xMh4L4>sf7OTXkVkfa_#IRn~G&QwGole-dZvOuM)Dm`dP(TE_J? zl^IKpJ+i5^@1r)-Sv;_*NP^5m@Rnk4TgfjD&EA{PZ7cjxc8xO!2XQNy(=mQ5ZmdK!h4_JHPmse4QgsQ9V*6j(f_NDKm2qn%_I=btK zfVm6d=ctKu%5JMwUxntf6S4m;PLD4YUYPNg=( z^jrSTG1z=>DmrTmb~gJzayxYMBwL%!gXFBix=!h*XoP>*JAV0gXnwQ}WOL%Z7h-?-&TI~L7>jz7bWiK`1r@qzgdE-|fhM&rCw&}9#fx^-0zvqPs~n5N+z zR&93*Zo+jH!`pp>Lzm1B^1nJ{+S7%JV8GhwJ%U1XKue2`*Q1H*3v=!+dKUHEf_m0` zHS0R;ur}%4^Sgan$2_$ITvZ`h2LPROci}xK$i9`uW4y44bQG`|>(b?78rs_>zjBB= zd9?)RZl%|7QeKA-&}o&OYm(rGZgYrg3EtQJY8~F!Jy|d9?^mXyFmr~qF*Xz&=06QRme2|9RF=6cdTfFCRmfBSq2TFxB~0n6<| z%RT-0R)8GiKaZCCrW~%6i6wLA7SwSw-g$fV?{CKgBtm4dxANigbw}H2D;+4J8p2Mb z=sgDh)_3lLIIZ7On@w3#!jE-P+%*ow)R=eUAf~!*vc#~vw?0{K;^tnRz-tHY*X4cx zHbfV-v49E;yj&~a%_C|#1}+!`ejVQ9pRM}_V)u0&T+X2zH z1iczmBck`NZx=N}FE<6b^5L)p8~9z*cyPN*RT2+$JCH5BlR=`gUPO0KBM~FC5>OYP;ToYSZ;nTV+BF8rRQS z?no!tZCY{T5*nAE>mc}3VI8>aNmf8P5Se=sH6_F%QD--iI^#Paa`NvXGFyoVPB1+K zw3Z`z7i@l7Sv?qJiS?0l{YO77oc`qnj3)2XUF;j!Qh{HXwR`Y4Nfz!r*05&c5Vc*2 z4gzhr+O-{?1Z;~%IB&pIu9TtQd5ngBW`?V&K$|{ohZYan1v;*rJscihACA*q4HFFW z9JuDLz+iOE20xIsEAh_MeP}(oJ-WUr*a{}1V@5a%NZ@CC&dR68+!l@_4n0hv9Tu}x(A9V*&_(BHU2X0f9?C~oAVuK&&*vd%cVL*0#fB>Ee7(7i6Fp|H9^T4s;VH7^MNIR61g z=NgEu7eyt*@b4b3cJAas1YPk2sJfbUlxFfZ`RXF>lx{z=Y?{Gd{s;Pz4*W=1+!Fpi zXs3qzX^$UOe~W%}TfU!;ZTQ=$+%3{r8f#!XOt`_>L0_7VDd_S=5_m~)?MU;cPcKgIujt#3aT$9_^HPd-9F`@ZYfk1T(~ z(kDWC`r&-@ecyiWJN9Eb_2DD*bFj8wKc@WL#wVus^izDv=Tx8Zsl4PcKCO{oJVHN- zx(rAJ&l>_N?e^2SCSd1~Ri;!jX10PYT*KnZT6}So%^qio6uchmg`cl_^_37Y_VDt zs>us~w?Q*chKC{)J%1HNCIQ!df@2%=VZR|#Z+o+F^+x*; z-T%Gs5S4uAFhsR8Za%^gZ6{g%hUj?w_&4;rB<--f>BGKkb#MwF|liZ|Ui(x=#I|k3m=*yV7u24<4bb_jUcd zI-+h_@OzQHD@&c6ZRq2+d(~P8cgm4T>m=xJ1DoFt!+-jjA2HNL>}dVD$1F5_gBQP# zVCI542Mgpi=$t7#16#)Pf~5XV<<7agH{nW;v2!N#)iU_A2-huk|83xN97c?BH+Wmw z88B2xBC7o`De7$<)5Z5a%ijL3+YlSv6_@m|_E{u+3EX^~vKThsdNSnLB&}3!i!o}k zZfV&mN_PTp2~u6poZjSAiV1NjKL>d(-70qWqR~qOS@enq9ha2=YdB+^+!pdUEL;)X z)w)TYNM5rq@^{z7g+iqGqKq`)fZeJBG(M}T!9Q@=%7Yel^BQIFrvy#`vzVDZkL*g^ zS$jVZzJCC2FiAq;$S!>#52&TRbQEn`k_VUnrIxbmmMI)AIGolEIc!+hMTX;-3Ve7!p_L4>*^a|I3B zZ0U$tx9o*a@FpjbXTXwSn;8FabGPs<&?`K6GK4h)&3iZ*%^HJ3Xy)SFERn@K_COmmA##@k0MipqLc1G)IHQXDeE^WK%X^7`{ zx_)&Lcg(F$7uU<_EYB)}`a9iK@wJ2jo$hN#r&ES=KKv`E(^Xrx7(?N$rHZy*pnwJ*!B4b+tciFF2EG z*b56~{~*>OJ?A^z;$e+}2;e-74}Yl)y9Ooc)sH3F?D(3vWXPM>HE72K?f43{<2qqY z4d!jG9AvfAF!psEF3VYqFAkT*m@yM>)n~(vX4k#2f76xFbXM-if~_u#F@atIoo{Ph zZnIi==(v0nAMCZ&xM+plUQK5uZ#S*?qV}o03Lc?@z0@(ReSCPlyda>|dIfZ&0o_<9Ja~XZX_)+w;r_^U zGA6^;W1jbeBN@Tl-GNMZ92a|N^I=*4N&KP()g zR#(u>=RR?R5f)oCgleH0v;bQ?@9;B1FnQV50izZo%dICSxwj_=BGYkxPKXSzNUc}U z;Mc=!4v+N|u9zlu06deDB6(g9duE-=qx1@z zYdVaYHI;$CU9Pc|)`9i^E<wFd6rA@B_^LqnB3M9sEEq2T$zf;J5i1@N1M0bMP*H&cp#7Jm7M0e+Q2+Og?<- zgx$eIdpY<=y&SyD`+my99sH(u$=ZP&ToPlUV)U8Gws5k}Y}w+C85YcBxW$)5Kn8B)MLqx2q5XG)4in6M_XkEEqMkeqp!i~B*DjVa*@RF3hI`>#7yPr=W*_c zVQxIez67pW9LoU@ENpz6{xCy%stA95#RS_$G3@^_gb60r-?m%LmPfwBSExs?E*>eX z7|-&o{OGwVm*%98;Su7w_p8GZFj&ZFv}iXN^Gc zI@qGX>v^={lOMeo3<6 z9ex*rne7z35ZUl>g_hM(2wpCQmRWpfY`F?sp6UhQIwOKh79krG5p14?U<-nkeh6+k zjNoku*6c;FX)(6E9KoV_2u^Kn2+G5R}mbE;I>x~T(%6s#}Hh;9KjbTI1a&; zuOT=W!J0P_+(p4Hl#NvgUhp`wu@1p`Zy@+6W#b(Lw=c(*zol%fM(`N~D>oxJ=1l}& zr)-=;aPt!g{++TBkKlV0T!LWnQ3Q_}f^3`~`A2I=%?kCH)f^u;;8~Z5;BDiOjYf(@@CSoJo7U#Bg9h2V>;5FCeKl7Zl+@d!SF;M8{! ze3ODNA-H2TfH~VMZYO>$$wF{U5VCO&!Il#U)(izU0A%Pn52(I#WbpiP?AawC2^-D>nTZI1Dz3kDake3i_U1B2q?*YN)qTr zNnWNT^3zCS7)wdwf{`)NGnBEFl;mOp?PVw>IY~*#S=vh&B{@e)%AcjZY@#H!iIl{j zlKg6w#Y!@rlH8{xWDh0TLrD%MAxYpUN;00#Xu6LmW3iMZJp>smub_;*V3mcED8^Be zBuXOxm@>ACl3bu9qUZHV!&h_OrzDnS>_tC;l2lO=@h6ldjgqXGh`m_;K^gm+l3Yxo zjD=E?QhFE>5M%%&va!*KLPUtllF{gkAYl63x)G8XQR2GgEKds#|J4pS1ct`N^**l+@U0$Z&H#9N^&HflB7_Qi9X0!%h#0TKa`|t z3hm_`O7boxX1| zC&MdWcEi~q)?Rqu3%u$D{s+L1NxQ%?7XHI2Spom?gGYD}lZ4S92ZeVer(tA9P#*h1O5+kIO1xytP%nUb+tG%HsvbE|9;}BK%+NZ-V;vrC_4s~E zl+kvy)sKZb|fv>wV&z<;5`(S}cfIvh{i5$kzuLejeN zwxbQ7*IjQr+Uku^k3T_eJKE}>g*p(M*p9aP7oiUMu^nyoP(_{uMX!P**wRTf5!=fw zdcUWkcj=)ocIc^ecp-%SMG<)B@FolRjcBat^QsGn7hyn$_@PYX?;-khOC?*C_MBht|?-D^7=&W<{+&sEzJ6rHZi2<1sk?zk`mSiZPKJ~ zOb!kXk_E}ursd?MZd{+RDKvTgcmR*jfY*|YPu3))4fqZa)c61G9VP?6N`?F%-lal% z4=JHQ+i%m#;ykhZU#me|4b5_laU%FB?Gd{i#iFLq9?^lNq+Z#m?>^M|?%iYv~ zayI87of!5q(NZs8CVSqw7=0ysO6%<&9$h8Q*kPFMoC2nEha zKu&ja0~{Am-Q1?bDX#|t1s6&PC$2&ugg85z9{8S&o13)}cXwNN&S8KfYKV#$RiINi zvqnxlT0b=GKL+PcJk}IAu;*YsL!6`V2p`7JiGxQr8$$}=aVf=WICXM?*KJrotQi!; zb+R|-YR9?JQ;a%JeAnuhFAFz28T$*G{QHFd;s4J^Sc1v_6#2^ zz`y^$UUuXdaLA**R0ss^c|j8L((e^WIPSI=4|Q8CaP9C}?{Lm0Hy=0@;S?rH_=M7L z{IZsp^(pV@Q?Be&#yQaXvC>!Dirwv<>{I@%PubF^{8OJY{7_T($J)LSM}~f^W&b|q z$NH2*`jn^kDM$4wFYHr}>r>9^Q!eOJ-qWXis89J{eai4OXfJteB^G^iiLHe8=|(VS zIQd#55=IWpC7mEtVcz8g$>%UUf?5Lb2;ngtk6_|TJOc4tJVNre2#+p=sPPCPZ!I1n z@yfs>OW2r`oNWVV=VXzftmO1=+BA5z6$#3KS5gJdUa)LDywfW2DH{~toRLg|FiS~D ze26;*K~p)J1QL|Jc|9H<`Li96))~V}Qu#C?yBw%NJo>?~gXcJo_?cuq^n6<_nvi6u zK^%>kaFoOUvW@W7{lT0RKSA&xaWX8xrx`Gfw$7l8r;h&-gP-zTYy7sBtQb6xg#QlY z#2*snB!>#y=~Tdh`Sg5hw-RE360R{&Hk1R`2w)<;_$vUz3WGY^$HvfmXv3{^ILFJPe0aAdo^*d~I3tv8I>t+_fV03wypDaL9H{I6 E4EJOBUy diff --git a/STM32/MDK-ARM/WOLF-Lite/profiler.d b/STM32/MDK-ARM/WOLF-Lite/profiler.d deleted file mode 100644 index 54331dc..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/profiler.d +++ /dev/null @@ -1,52 +0,0 @@ -wolf-lite/profiler.o: ..\Core\Src\profiler.c ..\Core\Src\profiler.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \ - ..\Core\Inc\stm32f4xx_hal_conf.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \ - ..\Drivers\CMSIS\Include\core_cm4.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \ - ..\Drivers\CMSIS\Include\cmsis_version.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - ..\Drivers\CMSIS\Include\cmsis_armclang.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \ - ..\Drivers\CMSIS\Include\mpu_armv7.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdbool.h \ - ..\Core\Src\functions.h C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdio.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h diff --git a/STM32/MDK-ARM/WOLF-Lite/profiler.o b/STM32/MDK-ARM/WOLF-Lite/profiler.o deleted file mode 100644 index 606869cdcf98fc4527fb48b7e45bd4946ee9b0fe..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 17072 zcmeHudw5&bmG3?}vW{%ak`g8Os3al(u@ruW70rf2p!ro)A_A) zBwKOees|{Ydp>LLwO?zmz1G@mueHzdH)`uQavaAJ5624FY>BbsR;eYWM9KRSXr<#RQUK%!x8b^2=G2BGM10LXh|?tZ0=KLEo|&OoU} zIMC|frxp%b1x9GE4H~aTO%jH@x1xFDUsTC@jOU|yAOo1k2Qx-F)1`3U8J}qkuuFTD z)dji=0$onO2Wf%e6$74ufLkx@D+rW^1MWIuU#ou)h;arEvQc1gna*?KsLga{Fc0%i zOy*sP=1qYN&K=nACW0~W;6R|%D;z8c?5PVNmB;)Qi$(wh6l4>qR zOy^z3smZ*ngBc&?=Z%0i&dYm&u+{Hz3*Eq$v~VvLPBdJe%)4aGyFQo)rcLD=hkbeH zU8Y&S?LQDGbqCz;z`<6&+Z!ka1H*!cP1#J>LBN^3E5vqU8p*=C_`J<@#dWI)4ut}T zzz`yX2kiC=2kM0VWphzAnlSr0SKcKsf09@MSrfOd#_LhzC^#ccbQ6cs#IAPekq)Nv!LJgl?};J|G;3!+~-Uqx>?4$H3Sy z-xcI5r4FU)K-Yj!+RE>-NSGmdAOWdfzH|l-Cc&X3cpwQ5C&9;)U{E`Q=adA80(+c( zaO2WJ}0dd%&8=5`R?OTa_}mSEzZ(bKaItVbwX9E1ULix^%&^iH$?0$gK%yL@NNNFDY7#6|#4Cl0 z#Cj#!ksQ6ph5gvGmO$4Rsrm~h?6^x#)0L>CSH8R}`LHk+vL^=%1SkL3sbsP4Wb+HP zzY%pGJGAdvbvHt~4pH|ThwdY*_I<0i!>awjsrvx%nCcU~?qjR2!>OGfQ+=q{-t=m{ z<-L66sJ)yi%a8giPy4G*+RKLQxdVLFNn2$vUmfNdq5Z_6{n)7^3?c2mPH5Y|sFK;V z?@tgJz{zS{ zc!+F!S%`OPCnH2Ndz(geM6~a_sip%b36zn?*Onx8BOr_S{qUvQx3DlfLqZJr@Lw@fGZ*sQVNI zOlp6VU>eCHta~4#vD_j8Ry|^`21AGp4scn7uR7+he10yfLLFv*Z%Eq#=1&tVAZu{D zL)3oi)LsK;q>283Nli>y-RrM<-d;Xt&+X@{F7g(V3x<3Kx#Re;=_W*r z_R4YIG^a?*L4QfgGNUGvRwQXoe4xBW)L^{>_R1kzDVUQ-)cVRVLdn4^8C!y-Cv7wq zGalnjCnaDgRvE-VTjeZIR5L13j!`7Fm?SkB=JVo}d|qO`lI%#1){B1F3W%&+X2PwizD0)}*J089 z#wqDlMEe_97&ZWVg1yNP`3wrHrZi~yEmLQG%WVHbIV#nDlPQ_@yOV&PN^gglbCN0`u!|=jh zS!d1WyAItorxr#Gg8l&3j7@M>SnrV-OnNnTT*O|@-h+X~5HHz(57WbHeeA|bL`Ls@ zsDwj0P}m7c;m2cnu-hkLWH=ZEG9B`+0T7N=Nk^y`55Zpt_5TO`nsXdp>7YMLbOxP+3;BfSlc_br4^<;BS0VffObGGsn^{MKO z;k>RsMwNi8(0^1KHOg1?N=zfp&j1qZWb=}2Nk-!Y%O?U*$v}L)vg{y7R#S~5wbu`y z;fqxLQ@95>@20iaB)z&ZO{dt*p`1H?A}N%6(h%4mk|LV0ux}&)r*1!-F8Ib`0RF0H zDBuAMA1^2zgl`W=E)k~9ggjpsg>h1#1W)WB{7GP_3v{~$IeMB?iO{SI!3f+@gk#B` z9^e7KoLv}1ENL7U5tkVeAC2VaU6aEiDL%S@ILk@V79zOWD5)FXX)F1S2Mz>0ZUOPm zL59HUGNP3pil?q2#G@F*cmZ*r96aXZ#7lUO-d2nXlsW@lWm0^KB^ZQ56t4Oos}s5? z;F@4kq$cKFjv`!53O~89aPT+HM9$*`o4HczG?Q*{H@|QCSA-sjUJ;Lk0;T%EA&bxr&X9D3 zCkh0nOODjeai;5>q#oejMY8-ZAz88@QF37hsBN38_Av?Cbh zlrfkP*H3wk@GPlAIO7a12YeX_LPsUc5IvB9)Cq6K4E$~qd^HKamIO~F!Pk>uP&~ieAI#NA4CMjc{e!SoFXj;{iSOVnNib8 zD@qU}rB4!HqW=`+$cfjZv}Y0f-*Oie*U#h9UCKaUzeUn3#G$wdnz@r1w;B|pD);Dn z?vt2EvRSD+w^+d zqMF>`wKxXct!=`+RtECS9%qlJ$y79MaMT-mqXS}Atk*hV(fbQ+Vt;D1&}E(9vSFxR zJ&u00~I2M9i-7rMMchhBS##XEl_4S9_^-}LJ9^P5NN z=FPJ%?fv;n?|1#=@a}`ZU-#|5+UfrNKR>_gJMaJfZ?&mb6{kDQF)!97TD^JmH)PpO z%Ww;dKdYBjE<0DIs;FS?+kcU8;hoG z^BL2lLa83If0g&={6S1bD=HL9!`5GLpM(?`u9xma^-OI!_6PrMOHxoFN?1jvch;Rv zd$T5uvk+GNtW1oAu4n&EAPYozCEQ++m;deZ)!8eUm1CTUUX1fH`^yJfEn6AmnZk-1 z%)uSr{vVd=W4L-{N-t_KheGpGrW$e>AyDl2<3L(%tW4r4#CE}k6gVQ?g&=%{5>564MKktmow9E1&+@i`cggboIX>yh;N2jxJ&-7TUu$~THVLk4O za0okb;VSI=ECp!ydjmUh5E*b{;3n0#8kYwjO=v%j;68(IgcZlVFDwMo%P!;j_{FE{ zmxz5w?B$57ke-T_9hL7zVrwz!=RK7aFUB-KYYVt{z=`mCaM(g~4FOL$kn`3y-AB@8 z%+(Ox2TY3E8(4){fD@bUBQN0W^_ebD7;(FKoipt+Y{BhL#q$Ut9LwShfhjtGyQ?kr zH$yt&fa$B!g>HTxjOf>49YJt@-n2`#z0Y4Z8mk<#SA!v+pQ*Zv=pV&c|JgLUGu{t+ zVA2YNt^r@ed$_;BH3{yGEK8#oaEU&-5C;1S2&l_J>hcEI~ zqje{xyJ}&Nbdu-%^En)lx(_EP1i|G5VvgTAw4JyDvCsv~Cle~fNmp^DVX;qMg1dx^ zSWcqcwejLRG5IEG?KoXBRRj?q+bhQGcd&yZV_C2bU8XwG9@ONdb`{l$OMU%Ej=WtH z))zEa6&7vy%TWIJ1_XC_8+7l17NNth<)3_~x>d zyLiogCCkv?Y+h^M-PN*oTUS$qyKDEZw)U1TbCbQIp}x)2(y(p&=HjA;%{BL|v2ERK z-`(Nf-PzLVsSr^TsYt!WQgh)tar^Gw?G>V{tD~vi)%mFS@Ve&a_2nfN%OjYermJmV zOIP<=`{r$%wyoXN+0?$bxuu~A)9!5QC^5Iz{KfX2+Yh>1?Ay22-_z38zH{HY2FyS| zd%f-6yBapMbvBsI4Q=s}-Ja&QPLH`2RPF^(T(r}(x3jzL(ax4;5oP;>cKf~C?T$@I zYj+U5t-iL_fxLd({YW?7S6_q7Vc)jZe&1$?WwUMDJ(i94-)rB#>Arg{TWhz~ZhfG( z#zJj{)K-{iD@?Q%Qd<$V6(!n=5^Y7)R!nWhiMHZ6W4n`>y>^z_Us&7f*m~c_P4%@q zH{HAOzHX1J%d@?$qot*@nX=}#UAv^Zmgb!s!1!)ghr7L{yJo+uYnS){Slza}v!AYe$8r3sP)vYuvfF z)3wjl*6wO-Z)qbwmnE^8P@!sfM`xRRw+A{QQq8tDqC>Q=wXa$wZr@m?B@RlQ!qP1D zQci_PO~#mE(%SuoSL>uQF#Te_cHIxtr*P2X>_O*}`m*uM<}hzyVYz?Fq+9Oq<>6SZ zv%c5MzxAP_0+WMOLXfE~ml5oc5je`G;s`jJ&`C%56wOAXGy7r~U4_vmY4kU7cJ(K>g;1;?;GX`vTuRcvI zCB*`Bx>YNp(`U+20_#V+S#wCG7A3B!R=hpEp7|C3%!~Pv%hcg!$*^9(DgKz7wjtto-GKbtO(Yw2=*ae8SGmbJi9WOvMN}+D%iKG z_w1@*%IaY4>R{jM-m|NNDQkkYYl3}ide5#2rkI1Z=3t+B)N-tENB|^emEa)@1jX&FCTomT$&z%6|;;re9DP`4AU#_*2>8?k9$+&o`FzaGRWUEq^IG1{C=-+XonkD zZ*b*??B!#zo@>T)xW~bJcM1{n5Rt;S$}V`1L6dps@GfxBIO2-#(Y`mPf+q|A2(-M7ScbG%7?oZl z;RPFB%>{{`RtPA;sxkeTY`#COw~ zb0M?5qJCGgJj?aKywQ}qSD!gm6MVYNn)?3jjE(CrB2vJ)q7qn-lc}DVp86aSaQF{M zV*NIb?crwI*ax$1y_}`d%|x}zIVK!=3%zwOBe}EQFxy@HlI~9Gm^4i?syqKLZGU;o zG%;0gx4>JP990V+VUb{z^NI$qZE<}CVL1LDNYTcu4QS)epsk-9sqB5rkhN7@$n<(1@hM{u zD@F6`n!Bv@T@XDk5qg6>Q&}VF5!uD)yiv&YO;Ho=w;jpZ&9Rtj*r|#1+g^2{ zuvFFit!JE;-=ZK#%))9O#~H=3T??WQhkFg6Elb&SE{vNY zZ#pw{s>hh-HjVee!&db!O=^2u2Ow9Dk4IEv(3!=7f&4FhSx^%{=1I7F8SZ z{T>k#*rf-nr+PWkAiB^~2z>m!A{uHi+7*PfbK<9H#X|H0bn>;g_WcbNO^$${}2 zb)HF5HFFVhL zTm4_4h7L8xyiH@UsV9#uGt@s0gr|MK%VuN3nXD^euRq8CZbtZr%}O#B0f$iR;93!6y{+4sr*Dxgi!C$zibgUkJ7 zz`}N=2C1w<*_UQD=w0Jpv4=F9S6hmEpcJnLNZx@NN*dQsqfdX0U-(Aj4v z(?%NK45c)`+A`Mj1N5iIB{Bw|Zf2j5zQ^~;8u_pabN`e$&vvDKrjbuF)s95t!nOp2 zuK?x!lZRYBr(~Ozq+{4Uvo@=0AiX-SX9z>2{WENm_3T|8%$9!EY}LYSnTBhaq-t-m z<)2R&ac+rYwU5;6U%q2NWG?}4!j5^SNx%G~NOYA*5_ZgLv+UA5RW0(Tn0NB9Gb7?W z!v=2^}cO!u#|D69$Z#aesGahFRONola>2}{^QcgEgj zcXJJqbr+8m@NVw^ID~&Rpb>PC&9owyWUlQc@w0#Qam+#Cc*44DZRy_I?r~F9OLKes zz7BKy?%i(l-p;Pw?d|5~wr+~)K~rz|x%-vfzWJ|+C!WzBb*HoCj=|fvauKHgZ3_Dg zT)1D^MXjSN6+gD7K2Wx6f$foS%__}nPesg*4cVau{8zjiTSr&+ykSjk5TR6$gojt_ zUwg`Fb|{Sf3zR$2+JEj;&A`(?w5Hx4Ol9oc@}7oa?(P$3?qh7oHkJOr#}0}|vU4)_Y7^;S*tr3~6WnnXC9;ZtH{lFyz|+Do!a}3t4Cbw$#~G`hCRFb7*t=Ht08# zFDiBHqmB{kXjPCM*kRz`VBUE=fA=QKlr=v@RU+)r;aqhk!%46q`zYEUJRGBQ`#~#O z65HLHe*+5)d>6^$hmc6y-D$=}d$rexy}aO;UN~XH6U?gDM8vFz;D>(W`0vp%`YDae z@hjL>ny2SZnx}Dr<;R#uWlIGG_v_KJQImH2@~CrFO6$4sNZ*pRbONoOj!xo`=rf0G zU%}Vs5u6AcHJXCu(eSFi$af11JcA?YY>MBK{`HXe4CI#{g8YWKd7noa^y0MR9q2`_ z{^yIyyLdZ&Ajw-^G4Su8Dop3BpVlSm2h=F6NVCv^duGuXxynYS?%@mrJ(BDs|9Ujx zUwg?dv?Y|lnf4vYM}G~tl0$(P-BKuE`6KG-K#%LppLds}_5Cw&$j3GeLRt$+S`Uf* zPZ)b4g$(Ndil`1DigH7q7Zr=AQrKnvD14^$wlJxp)4C#6^{bW2%T(3(72?9HN6sX3 zLA&D5xZqoPg19g(c^e-laUrqr<2}Ei+B=ZkdHI%wb45)KufLF{c9dc2hga=Dd{L%( z47*bK^Ik3tsg)^{Ou)(&1Z8l_*h4Qd9vfoi32s)}zExKx^Yc6Oj(tSq z;?@B3oS=Emu5?P~M3ISkB$Jci!08@ItW7k}bYdQe-nv-ru1nz-V3#~Fa9x4_r#MIM zn*M~sK%@@+Qwkd`Dye}g4V|&g+6pnfn=m~uv0c&$iZsEdQ$JB?Xzn2u2uYaK*Ty8t zyh9XE&^YuUo?)J0X`WZS2L^L* zee_PEd;Aua5`?&0>F8zMT#7SnleN%;U6UebroKFehvdtS=C@ zVG=pjtI_{2P)9yZB2V<+74M(O6ZLygPxupgqW)I&!8Hd7>UwGyEeyQa!yFuK{n#AEY{xk5msO zx*PRWM?9tWME%xyJ<*h0PkKk|O61gE4TKNH`{SzUmi~?L`ZaUvQDl!`QXEvIGq4G` zSC{Xpy3O65F6mb&rA6Bgc6(Yn=yjjHeRtE>q{?C`ElIxKx+Mg!v(@jss|7Egn!a{R z{r%lZkwy}DfA<%7qTMZcZ?#)`BefGWB^RX^OLHrVizKJDx$#l+K3DtR7OB+H($U@G zF}p2oW#+chlENah#cX-B%_FvymNb`^loS;gxf)&Ti!3FDjh4dVb?Xb8o63t?EX~Ec z8rL_Lv=n(-_jWYyTi?>L1~0hQbmCR!nif}M+ZVomYckhMjFHpAc&R9!7svAw;y(7| zJZ`x*GlkdcRk_eHMG51zn=&iXE3sjCj@tyiR_3!!nd?zb$p*k{ zx>|sW^V&Po(*dRun4W$Iz%(5OV;|1oNP)t|aRAJi!I45ZwCkkay4$3T{SF$$OY|xM z$(kw^r9?6zD**B-{5oXH?;;UciWM0>3Y{uTp{5%V=A&YobUPx$>B`x9jojy3)F(qT zTd&o#lztkGhqFqSNl;3ef}LGEU%vJ_8nd`TNvQ=vxWb`XU!JA%NwM^6rp~OH_o;^&x^B#zvh?C7XF4WkP08t zn7`#$&$fhl%WPqgFPSa8z?aRA`5s?2+j5Mz&KADU*UT1PCj8o<8r+khFH!@3jis#)?od6sxl&&U+u6*i-H z*mCEVW+uJGX7Sh9Ecw+p+qu)Wb*t^co!d4&RJ(KggO1vrI~jc_z~tBbOn%SL&!pG=Eb(sM!V)j;EmC`-)LuB#UO3ZUD76{+B7_eU-M^;Z5*U8oBO z2`3Am;4l1y18*b$3twxH>k>biy3DQQ*vyogs5JXMNZd?;v|FE!q$1wZ{E3Rco~e^; z%}h!5wisJKQzN(EJEz<{r#v*LJUgd6{+n~!zdff+W>bFT{>KwV*N#cYoO0Hj zvT07aU{0BQSNW0gm&_^4uav2W++H`Q{oXm{?Q_asnNx0^Q})a$$BBVT!Z}NNczDC) z&nXJzlT?ADm3%w}x{>d#z*)M8a-7SHDM#lD%0YH5#dz5z6tBzKwDm+%}aS16yy=xYh4z~pBshktN^ayYgBLOH%uxIsB~*zYM{ z2)`0*RKW4fq&x@l0p%ce4duC@lX4?tcTt{)cV?8EV1p>fVn}BcBJQ#&&u1)1`4Yyy zP5DyX98kWDvHwZ=a>hc2NHR`W!$<*@Kcth*qpUX)u;0J!EMKCjFo%?k36wqzO%G z0h|~^jaK}Qml7mD5V7=7pX7d1=<{N{jj}{rbrMYVe+lFFheTsiTRC9K=b}z{Chox8 z=m(W!Dfkh6P)}yYkA_?DqbG=gfjRi72o<}fpRSV8wU`(lI0{0IIJ9p5Fo52+j8vSk1Q+x z!GbK+ z(Q`z<^fr+sOD+6PolCJzQ2tzM`IKCrStwE5o1(89th7&QHdL(k4atqq2^yv)Co&n+ z_Ce+yLSNUfxKn$lKlkzR{YRKH)4=y>2BDqM>(>viVj2d785@rG!|QVYs6TUc)zDCC z|5TN{KcplSM>plIV;?tC_;fto0<=6t)G_E{PivIiw`Yp zijNt%2|S6?HlXvFn(C&aU6}V5UwvG4RpxHoH^{N~*DJ#=RvKo3-nX-+V%76MJ9Obz z!F%KK&7aFv)d3TOYzR3PC4ns0OULgx*8fiXZ?FGxV)uo;KmD_L3tpG_L)+eG{T0=# zR=;`{m7+(s4X!^c7Ni_$`Th0_N5E?+^D&mN$hXhx%)wW`k&%=D=8 z!n|r>R5j^V%>|Xw(X=^@a*0r(9$-7-bNH#pI1bK2s@p=|1c@ZDT{nmUoGrcrmg;Hp zc1fr{1Hir_Kgp=hjw;W()6T(rREa#wb5`}FQ8_!RoO7usHLBUsv@;-#TeV2Am_x6Y zaEAiolIN~kW784Y&9 zswNURU`!JUDs%*V-F{!eDW8)8*(gM#N!7*Cv~wZV3~)0n%m&^;`f1P)CRW)jI|PNVM!p8%a1i|lAF_QF2sNO=KBY<7w03VG}y;!La7$qEl<$3xnc;B7&flIka zsAnx$uS-E1fXKXhTBBOVQ|id5dd`T2yy(Vm{UE3YvIUDe%EOB;C9H~bSPm(pZhBfn zFLMNQjPk5iJsU`y3Sk-m&HxjuGD;-Dnk3km1Y46}cM?3B1c#E~c@8$J&$!dT8Vj0R zqi*#@fI&M0o|>LlErI0os%b!S2Vl~uo(rjCt{a94QL&ffh9YENYm~e&t|MST-=K2N zt%6-()v^n3H#4e+L!K~cfH&!A8r~EHU{tYy3Ii_HMff@bX&?!h7wo(YUnuazLaNTr zt1bY5)RR`_lv{lcU<1I|q>J3DMM5=c#M@!ZuK-paW958-6~ta!ObCqOB_6rNxi7)N zjs1v@sV~4c8-nlmCP#g+55Bl2Z*LFPiO&_))q^8UPzmt_zD-x!`rE(2vC1$ME}8b0 z4u=aPWXXaldpcZl-do}~75PosepB(RsTkmiQ0bJnG-ApgAxkHWCH-VsfV9x_LHe4R zMn?Kdl>V5JU6s?z5%D6DwMOW9gKP-}G27B%Z|N+@;dr>{6q$W9qye@#1!9=c6?6Nm4oDEj^ESFF9?>o-q|4^_C2iMHBA3 zVfWMF(qz@pXN>I6Aj~}dF=)Za=8-Jsr$4gOy!8^SroillL*Zgj1Y8p_6%CPPr@g?c z@VvKZ!~|;Mjh0~39wY~Ad}^n!7-V3Om{}Gq1WH&E1$&YzBrNOqmL4?~VLzI{P-W*i z2cbt{RfPWBPJtb+2E~sW=xa#UGLH4?uuuRj*=1n!&+(LO$t=6dU?D#?&{qIskpY>5 zmBo0t(?G+jIET+Ibj(04K#b-HTIJaCEfMi03#I|!GB5#AQ4%aqf|(?kO@a+cusI1% z=4t2fjO=ny4A%J6FN+yu9|LTlJ2|!sG5Rwk`!q%`021Vki09!9TVy>q3^{c`RP5!r zpT}NMkOa&N zcK+N$=9+M81yP*L?S$M3=Ykm?OF2^|d1{rQ8fEApOX+K(I>vn^T3=U_ z*Y1Zm3CT=1Zmr|m8z0^Gn@29I zR+|e2D3O2~iDm!c$#i4^(VI1;xO$HDaK zi{p3hMlOT|1_VtIKu_&y9)0Av99IV}j1n3M=_}h`ddGz&NwgG8r6`-Ggh3vG%xHDC zFy(=D2sOAd5{s-?k0`RztNcT&Zr^c6XcC+ql^zZVx1;H7LhD|y^_FV~>a;$O_UU8V z?@Vf+xupHB;ErL>9Y@cNHVG|Iqnv;Zp9em95|?snUfGyQlnt5+`@=)!E=akk&XEo& z9Hy&s`ZE`uCoP9-O!cc#Wg{!%$h_GzWZAT-41(QE&ydqjJq8(4rO$4s`s^gW$rxYO zOgg>nr{VOsv9ilCh<9|Jd`fi@a#oEBuHHuW>5|i495E+IIGv^VVu)pSS*XXFsI5(K zHBwt$J~&u*3uKT~*L4xF?RNQUCB6;?&ait&+?())5vBi(uNJZnGIB_5XSnwTtMaS{ zZhF;OtM~)By{1j^SPa)#;jpQ2kSrMv=fI|4cuLNbCF5b~+s!`vKA*$xbv98w7N1k$ za|V1_zp9aa1Tn}=LwLPxkj*pnGHAhQRTn~#i>uFRlo#CU3sJ}&RevJXjf(2Raqd!? zF>g#LV)4OO(KAwA^%(>>SgSs$hP-V_gOlGM&q}v>L!CB+s97sOkZW}ZegVqt#-KdvA=mR)Y4s|7C z8kAF{hF7Ws;%VxHtM85^LvMww{w}uZz}KE0L83M zmt$&o*m7zd_4^%-wTIf8ow|Bc@&2l2r)_`Do?UtR{ktme-E7>w%hcX#Z*Q};Ig1$> zF?iVAVskJBy4)?yp7!>ZV#exdt#7fm9bg{b($KKAD4%5?F%{?B-)VDn<{Ub-<)A&s zXt!5boz|SH_Ihhej?vMYW46{m+L;3!b47Ut2=an z+1b|E&SSdko2-u7&gMQ_r_<_euCH};H-O5vwnMELSzC9gxdjkT2WZsNTn8q%c3GQS ztaU9=ext^IctK-5D1U>*mFGdF8zd;-gDPrv`qnY7bt6RfQNl$qpAtbO3bs^*%3SNt z6IntCi6?VK6mif%HOPhVLxN2tRY(DAR16b-h(0*_1q~v(1hFJ*CLo~AbfN}}i!h*! zEw1|qjWVJhs31HE8`wuIRasKk0db9(jJz0n$S#zB)ATRZxeif%(%`E z8vyv@F*gssF&5&%x5tR=1i^2|j6C?qF*gV1DO#iAc0|EllqY?l|2?*0bwN0=>vvM` z_U1h4L;w07+w?(!iB)DH<=Y)c9~|=*j}K%U+AJxt;Dc>ZaZ^ zknncx+Jb^#6s*;s$ou|@pH~ZVHsIm+U*Gy~#joqv=Dj|C^3Cd@q5v@TmIS?fW@ne@ z_Me+Rdtqt&s!uJ`76#=h^okVjDn_qB%+`QWQd8j9iEbCwx6eLeDua+VZYqj|J&VdS zqiMJzhT>YIipQ8W+Dm47F-AiSgAe+eL6-WQoyCEq7b^V`;1C(|79BO=%+pWu`Da)l z#*qms5r`}BBg4|Da@rc|pf5+n5YZt{fDG@0&#)t@nbPCllA{n%q3D2o)l}#YgCCHU zL1-F)4B@U#_`X^Tk1(_R$gpHvy^xW3^opVMK-Z%${6XP9{M#QdJ(K>~iKQ3*OF>9H z%ooq3kM;c1s}~iaqbD1G_e}c4;?d{hGhaTFembxDn5x>OI=2y}2gLDy90d77V_WCN% z+c4GUiT1+O51AedYm7}=Jkh5^!cevs7K$^3Ka&D+*36xP`_e82M(!6LU3bBq`x8l< zF)e0*;Tr+*6U@w=p8aNTbz$QzM%`HGF6GI?%-ZwJnr9!}=1)CnPJR2e_0ys)!GU^H zgvu7*eSN?@%U&l6`zN28mjyMM1yV7Fx6G1u$$(uxU{{FjjL5DP+1UYmp~wzjOQpze z7TNcS>=u!|No2Q+>^&m8OVlwq(BU8G7!lb6BKz1t8d)?H-qfNvV^x-0!v^{?lbX@5 z&AeUmzP3ufe$NO~@hWQ^+-LR3|9w)ZIgEb?-5?epdCp>glTaTI4H=J!>w<(qT>YLR zv)R&t*g896B;upl%Q&ly?#UC2kR7lV`5mjoYn4mxbu)_0gREGI8sz^uWE>T*rGO!Y zJeFX{$R(n`-coOdL&k6O4sq)#*yaS@CO8-(T#Ulr5yg~YFYJjh)@?k#QL(=>LY*Hn zhLQ;#F^=&0ZxT8qR6AR5o^Zdt!m$&T&J7s}q(nmsMa4ay(EZdCF>#)FAbW_QP*w%V zbSm@~fyCdv{%b{M8%twCC6aiE1Jo~Ik8fA}A--Im@l2LiKu@_ylqvY+Uvjn4;3Z_4 ziXwwtT38zW8_-(+yHT2gqR3UQS(hi?rrh*8LJGP}^E2NRE`SQqBBM3QV zv;s<*ZuUG0hU+kkf%v4X_sNeCHrr6 zVPiy&fHkqA(jCI=`CIeEZ>b93z&y!x;Mr~Ac#cdeq(Sg4m7znyaiThPh*Rux0oFSP~xt!=8Ll-7N9K zXDbzA>ljAQijX3=5Xpt4E)!QGPfE!Akz6eT0}clbUmTF8RG)GXXuFg~!jLON^a;@1 zI?1HPZD=W6kH|CMZj>Ibb~y=1o5>h(-9A4pLc#U&uU3(kpSx3^f-d2K8Z9jUYE(LB zITJwe%jWbg$8zhCIFI3Wjjdv19R6w3GDt@l}Jkd`%&Si61mnRuWGK6xf!8OQ^n6a~ydjlzZ3jA0|B z;5840-W4H(N%B>e_t-7>XdrG5xIpp4d;MT2mzBJ<#-jm~JY;&U0UbOMp+VNN4#aKDntGAjc{D z0+fQ;@~AuoAI^pHEBxVn({NpYmLPr!%D8RTi&s4%$HbYKc&HpWJXM2< zT`8D&uLcv}g^67QnE0f8n1qd?bujUYQBTX)_pf?)6^DeE4GP%<(61(kSxgEQBf1EW z9-bZxn0yro* zS9*+>06q*jyq51d{3e7VfWvtK-}87tt?ZBG;PApY4v+bV$EN@e8-ee6dG^L(6ulflFHJl+d9V2Ajg#{&vI0zTReJ^1Kx z?4KrULwzoO=~prioPO+V+uPpav>veCyb{pekkbDzRLO-v%>%pfV)FyLYq!Vi34U0f zs4H&HtkPIjYpgJB>jBvg+UlLQh8;HNUTe!CTT4qU!=FO4S9`qTkG;|dS}z2*1E2( zw${y1UTkiIf^D0+lgTE11I>z6)l3bbRJ&pcep^W(OTd~OzQ3{|5JXnN8^^o7%dN#M_BXJtF zOM+GjQp7Y$CsqqG1-GEJgo4N-))Co+l2}h{ByK10X8|=af`Ex*%hT{Cx$l7>{z}8Y zk;nf@9qdm0e-+rt<^&B^s%;$gR_balB!Vp8Z1}t8n-G2S6%WUItN}t>;{$ld$GdJA zciu3@-+sKs>3jKMVtxG$V}3{?2y{t}M5$cOB!B>iPpANb#%kQdp~Nv*06`DOTmdX& za4$jVF5HU|D#1N$Z^Au3thxqV?bllC>KwK%qyvvSk*>bIwH2xxqyvBHa9!VAcgSh$ zcAK51Nlyv|LJ8R^6s(AvmQV_W>{D83i6{jDQBY2)l&WC`zWUGw$2>E0VQS7qYetP_eQt?mO|F<>RRD7& zAE)Q|JLW$#;k?SaIj7&5T3vI(#HynkC)|2fFzuX*v+taI_C0lTrp>FWe^>RKi`Fgf zcyh+^3lHnPt#1CE6(4@4>bujL7M#1T>Dknri&od4H0z}Gv%5B3aYxfr&w%$cO_nu> ze9m!;CpCR|&4kY#ch72)ovR1ePdn`VzS}0RzUTb9D{h!j)pL5qi;W-Yo3-@cH@|c4 zk-s^8{S0c2&Rg{5gpU)h{iXT4eAiV{bKR!iGiUXFaz(CVJ*jas_d zCN-*NW_xd}uATGHvCUShiLwcYZCbE#&G|?FpFFfpo`XzPu&aPNe`GpH>ezm0PoF&ztxOBy@E(ubv+<5PMPkQRYwW%*$+&b~%PhNd#^EnrPyJ!Ub^xrcgfU;m&`fm((Y52TzcxoSDo;_lRt4``U^`gyZXHsUwzU1 zbEYr3<=&&09C3BSlD|(qeBsB}y!UNKymxhK;?YYc{_Q1a{i=RRZ))QEPWX@awp{XF zXXd$9`+b*He{Dkh*QQm^$yc@C(^UPPOl|dL`F!>Id{li(K0m2_PX0-I8^_lru zBi~wITm8Gii|y+BgLL2Qe5c*_a(;cf{j9+iNA#VN?@IU0$uF7McT@i2+P;6tH>CSM zkbkc4v3#-b%={G-+rOCqN4xqPnXiBI+y8uTXZ2s?SJ>5ew@x0pcXjR8zaI8|b7l3W zeCOo8f6p%-xj7#?)q@Rv&G{wv$m#iS+I_A0=j`gU^1rqFF3K;Q*nWBb`H9un=dW<8 zKb3#Z8Cjoinl$qHe0I{vhWs;=svpkhC-*&`Z?5h8dH$i=zF*|SbYI(ut62mf(u z`zeE+hqqrec+U|d9~x{qs{Q7{-yYTe`N4HZw|{x?nWNjkGH4ysw`s8MnCgcHyN+r9 z;o!Dosz(PKj&1+j!F9*>{oUYW$M$U>ta3+wJ9wiz^2*>gccgCPtQpluY+Ny;`sj_} zjP~Y@Cm%mDcjNlw+t1wi%<&^_8}I7-%ly25+Xky2_#32y`sT`?jr{yuxBaU9#&2Kzuho}*Cwz6}^5*=DwRYd< zXXj_mu=^gJlYjmcd*p9U$#j{PW+kt1m%?kJ^1hXXbAkqIzq7 z#TI*{(3)TTGkfHgv+|3#+wGUP5}|q zQzy2+|H6F3Q4{+fzA#@ksrvH4dbjWW8=pC0b(4KZ?#9dPtP}h4Z}J!zhc<#t3NCMJUrcWR({*xA(FH59sgvv|NU9{ z$NmQ#dRXAZk+CKD=Z~2S9qc;1`i#ME9^T$M_?yG~mJFVJMEk{q!4d86AH3^`zRP=Ftje7J z`T0{nZ~fiB*Usu#bN!DSW}UOB=a>DnQq>EN9l2=9yoEbPm-N2eFm1|(^IHBUb^ynbm;g>TBmblTS?^T|YB-_&ZjF6)h(}8?Bx!kgMiy zvvPn8ZMwcwi)yy3YDq24KY`$mm^su7#!!0Yaxyo6YW3V z+;c}6wRp+kAPHOpjPg8E{^lU}#$C`rd>dHUQ?3`Ye zG!*`N^^q5emItOTt(ZCsT0UN8df8u0J#m9*iI%UPbfQ3h*ppo~6=sxdItIdg-c30=W@#Csd=xzdZcJc|FMG zvPG*WEzAS5t<2HwpKM6T9sbMe_iPhKo!8_h%@s)WwPqU{ z8{U$`UI2NqCrmlhJFVMqE-PTTaZ*E&?{P*S8Rw{QM;WAR&IS|!E$*Zh9Rk@l4pKxe zH6pir&b%2mXtT%iyGj4Z$jB^s>bjPE#H%^{ax) zs##!J+uUIpEQ2-gdBn(NaqjRrvbv^`vU#s-z8{cpXNIR#&$_H&=4Uy_eq}VOYB}lVK|2jd?XAu8de&Bd zHnVf%kssZ*)SfaL&RRG9llOyV&X<=~o^n!T)!yEj*|DbPp3Ji2C%xyg9TkU-MlY_e z{p9^oP0JZ~TDc?M(Uhv~U!J*Y;;ea@oyQ+>hBa6*Wz>4>nkktOENB06(5`C%%N?CB zS`%vLWtPpHc7`>dhMGRpJ0&v;2;;I{r-nWU$m(ja%q(NcoCg-sa?umvnj>azO4WX$ zxsaVWX=P^F3DcHz4pzK%H2ay}!$0(lRon7`U#8X^HD`TA?H5;PDpuFtoY{GA%U6~x z$Tf}z&-PAfT>+M>rf;;<@1UVCbv2#cTYYn8*?mWyF}eeC^B-9^rS%a&K6>_GZk9m) zqP1z&IzV>bcU%GzPRdQWR2*G@=Zw#|(*gO*54{-XCd1KN8qTnUCHhF;lCB zb}k?Tca^bxb;cQmNOBpObke%}^G?eufiwd$_@SmJa-!w_qs~~jF@;>dzHa7?qNQ&H zawWRE+g{99BD$UTpL|9kgXl8VYZH#{tbkmB+!cUpspJWvS{ZquGzHntrLI z`{kdWJ^6@}(DYX~-fyiwwIQ?ft<%rlHeYh7UUMAMo!GMRq8XFw=78mE9kYJv)LxcZ zcG3}d8Xe`^%OGDrV=#AwnEuAanTiR3?ELyMXIREw{(04u4~Xdp4jWv1WFC-jb-Wn$ z)(yF?-*;MU!E}m7fx*9BRJ7T>x!#;AoVKI%9fE|ZeHU~#~2>Gt7E=Xy)v`&H00hav7Ccfj#qhR^xJLgv( zH3wQAZLT_QP3?xv&Tk(+cfkzi8GzqfDhoi+c7@+W^LecZNiLd!`nH?68_s!G-VXdKG}>8}(jR=smH9LF-})hJgr zi-vxD)w-rt)n{jxJy_MYE~q$sG^$ybK5ji&&ai)(tC|VO)~;ex#nkgNJ5Ncst+N2J zeq1K^>|1GQ77hJmoR){`+t%d)X}Y~H9R^@&KYYg8X>*Y7&n_y=n1}*)woJWh@nFwk zqnVF?_SiogMbj7Eu+*NG53Jh1JvYd%m5xpwm& z?~Tjl?Pa-qbX+d~FplMW<8m3ta{ahm{%Kq;-yfGtZCNfikIQBIxLi&fm&;$5<+5sA zE<46)d1PEJpDoL!?_D!`k8Z&jcFuifaLr`o^2oI7Hw>nZAI-dH-Bj#lO3QB^$*!I} ztIEFp`RN@^b}ggY531)7;xMXBv7MP3)e2#@ViF*=zh2luK+u@E`}FeI*zxV2nv*nq zR(y@%M8oF`Cuy7p&K!S6?Q}xF>e2P@ zu$?(-=)On8)FiOfzH3egF+*b}cScRadX2CvCX{LU`Y<4ju(kiv+>y~Byz_^X8`g{5 z@ZTz&nWE)^GM3xtzazo2;L*zFXEOF-quains+uzjEss2E*^{O>rEdSXcic}yn=-?X zPHtYGPaQT|9LEy=MP|Zu4dsRL(Z03Jbo~Vtj)@tb`CK_5IJ-RB-`xtiqNO=q;hZa4 z{<;iOyRfCBNpi9OYH~wpVuppp3@poa3-RyZe0y8oO5i#U2$nWN#hNr`mBg$k4a`L`d1 zJvEYU>7)%!Hqt$QsCF*PFV5)b1r@b3^BKG4e|{sAs};!DI~3O~&fGmzRzM+b*EHvm z?%24Ftam0L$A>iy&xn?~I;jzm%E!u@t~jP6FHPT_sXg(2fixE?oMzE7Wo6h?Ev6^T z2$9R;%<-GcI$BaFo79m83-L?kY#9TzY_4wJCN*{dVrXgnrnRbO)@2p9pI;`|KD(wl z42Xi(jizcEM9a*_EW2hF#xQAihh>9h!RIC?Ao)$JCe0NsC#_VB2`!UP*^rf7c0Mt= z1$#MhUCk$&Z=bJu(1K&n&m~hO=V!B$snX__8cmfh+4Dw{ZF07?&6N##@-jNTi1Bgjo0_S<)*Ido#SpQrP^n0 zv^Fg|uJ5)7s@{5U^8<^H3pQN!%v*1t&=eed|2-Q=PU_k8%c|qQWo3Kc_UUNp7Lv_d zj$aiXcI(NX-S(64&h<(nT{KEzGET=c?cS@<;B_KTe(Gh8Le*mHXTC?)56;xETIochjV`^Y4fc zpD^6?O#GQuOV`)A)BgUf#$2ZJsH)%IeCjEMOw+u&-!whl^mOLw%*x<&E7e+YQ^m3g z%O>1Z)jFjz``pnzQGME;`^2^zDrfI}a_sOES3dXLlgp2am$y#!PT^{9o4u*CxxS&Hp}xMZ$|<%kA0Dp=Ra$dg8P6dUP%c92F8#-$$U%oxJIU40Lwks+p z)Kt}jq}iZsJ*K|+^yWyQt;?UF(QD4M?I)JEhE{WESs%_@JzG9o;{+X3Qb8P8Zo%R% zte`_5VcB!De?9fVFFm(=EibK(OggHx z3TbQo(LEdLay8x)6SB)I!{)gD6g#`JHs?KE8Fr>q(X~Z)?a@8?)>P13X@x6lbM;FL z2By^s3XL`}c6Mtaj+*OhD(%;TFxy<8F2HZi;kHw1mep*2#B-X5>z7q#SJouhk~EIIRLPBAoA{e+ zmwoE+AAe=#ON&0+dR-88r8mELR_}(F8c!MSyw-`jrlfmv^)=zjRFt+l?eLh?hMH_x zwBqKKX*(=j`?3`_*VtBQy$)<;Hhk5pif@||uB;4K){Ng&h8NchdQ>;R3?OM-@ z*P>eSjR3eAXDtyPefb}1*KRp0q}nlmJvZ%OhlQ?> zV{5~bEVgUg_L0gY(Y3tw7uRj-Y;AZ&pG0KAO7w+M_svk_PIx2bI*6rJ?7dcXD>STrsu!A$n_`8Sv;e6 z<^zk5?_W6Qyc53o;Rnup%fRY6?Qi|+JrA_M?K@A-dDq*&|NH~*dgsuDQ_i2gW#)tD zpR#q~Depe@=O2FX-KT9|ead@If8m}7-*e`!Cr`QHtliH)c!3vBXjwAfIpLutrHKpD z=d@gS&QVuCbm1a*P0K~+z2)AAE_&BHpK5vUyHESoL+`!7n|SKQ7cM&C;fvpU!8xa1 za>@Iye)y92UAgAeOW%LZy$@e{`L$1-`o0g|^s9&8ccnjZ?qy4RPx#(t%lglm`~IuH zc=h+*f6c&}xgYq*SMUAa2R`C3-#&3!*u{ukFiefl+jdDE|daLs^UdB%#t-lwl@`r(SZ`_Db&BlmuB`42zx)q%BV zeDrHyz3+z~{l<5mKI3EG`u?wf__6N{Ri3%>yIW3tbmfCv&pq?w5C44mqaXkN_O)mJ z*$-a0@6kVdbl1~oUi(+OfBopSLvdwm$7bg(k97>E7qwpZ)KS+wcHI`YxAppG-}2SR zuK&?Hx3u2y2)s-slTWnJt?py2{Xe!cB z$tT&V7F|9?-Gm0ZJ3$g!g0_kViECL0E4FB|kPd~UBqT2=@%R)~43cgkDOgS@BnH}{ zIM}zi?Tv~Vb0}u8iAY-cH~~q4k00`hEz66GmJ8VqO{e0={J`(c71L3{%M}~#Lbo0I z>9Et;$v9N%PDO5i*qVB&2o?`4PSglFHr_evv{GYf%W`)_Xn=-1#y&e9OYo<_Z>9C* z#|4WgXo(}s>7}ILMOI^~WZ9t`Fai{&tqGvB+JlUcK$iGN{dDMy zTQnSj9=j^a@>0?~wOj-!>J3RHZqmAQC2d#was`);ynqC&cOs-}7u1sqLSFnr+`*FLDWk7ji%u}O_!Th^)8@_ zGHxdlMQ$KUC`{p=9JHo_ZmV?QBNg<{fC5NOIbHlBw;<>QBV~;cz>Vw+n?l=kyufNr zZQikUt8b*V1(!hg2~)AMQqK>`k|02?H z{if6DDO092b`=YIQJVpSM|CsUZct~TgLE3M_0U)rfqC8dy4O?2C8XL0*3{;qwG5jG zaL;R`bP!bIEzt`xXL)ar&f?KcJlSg$2VUr6-%b(Xs~dD<=5!J(Jxm)r>7%buuf(Uj zoBDK8cPO9}(v88Rewb3f^HGim5MG^^7B2%{hUTSlS?ZTQFTEjvwu50S7+wIw%SjWd z66i}_V2!!-HC(ZeEAs+6ECl+2n+yWj2S~+*aWV5OS_-l7F3dk2It81d&W^Vu`tns^ z1oE*f=S{nz$%IAuH(~{SA}oxSdtzpWZovyuV#4d?J=~>Au$7>razEC)2>Bbe=c zcJq0S&z_5# z)G}q36D1_s6dD777g>lM^MV#=Dx?IW@wf>W;=stm)R%x5Z!8a3Y2Dc!S*h13@O{7s zXm%%b6vtrNjZ(2Q##jnhp_}NXV0bYDhKZ4kD-nTkkx1XjDNJaPq^&sOEg&-D)T^}b zb@Nu*dx>VpJl^(3mJ@000*l9xkq)~xT!of~4*5zaaw2Yx^#u&X1%U9M0J?#RNtwPL z^a?d7(kve*A{i$a9Eo6LIj;xdr7pQUArJjHF1T1G3e@NnLt~lk5GdgiVznZCdkWep zFv7t(q+X%pf=ALUCU{hwYz_UMQ{sm*i=@hc&S6tU=r#PvIn(93ab z^V$3=B8$h;C7(dc!*m8v?Jh>G-SlI*Fnwf*Zj8|4z;bqlmMwl{CNfHSNgwnchG}RR z#vVd>TAzPNF>?5zjR>)Z1_w_4;Ipk_ zrpy>5u1v&atVn!2hAn{Acg%=Sf!-#cgf~?a4t<1lTv!@6O(#^-q9eIdAuN_a&0L3% zA2TS%%w3!@TqO3OXiI&=#%(#fBTH^9y*)5uR1^HkU&@p)9Huo!fOIUQ!Z&#I%M~C) z6wvBoAZUk9E*Xubqh6A+-Z)TYGAAM}TK82c~o>=2GsWi}73qdWLr1^B0oy z;87(Bq5@`iy!0?U<$5r+{g6IE0m2l~dMgHi3}vxYFCt?JWQ@d)Hy1q(_i?e?QLx7% zk)_Bv-o7jJdLwYXT1f8@E|=*OZX~3oZUR)jVxa|A-N<<%0vBN^gN8yE%kNg>#Hs@U zxZD5$48n<0uM~NU1iMQbx4IH!nOzeqmxxLTTM+^#!lD{3HAu&=#DshWMmsz<^}Mk(vi1TE%QRQ8>LnZ_K#R)@ zm0BiTRSvr3Ldxcn=*{I*P{p0hx{VyUZHYr}q%;9jV3fB>-KOUVGBRKRY1+Io zR^WgTLR4V-8ue8mx6e=#kt+I5B2zOaBW@L>Hw$9SbUXA280^xUR6qz90H%Vpq=;lG zFtZ5BLd!)e(40xVg#t%HLcSDWEEIUKBjbQ4%oPqv;=nNl2ABFRHWEsh2+7fE2L(Qo z7}Gl$7X>}Ez&Zx+oPuPjc?AW4nL(0*fsg_tDxxSl1TLMhMv13{Pn_e!`UgXn2S*wi z6u7aXLREz;Da}b7O-|gcuP+jm!d}}{99I7USFFVk6KgZQ+*I7jP@<;9aCtIA0HpGvG3q8S$ySTLs=gpvo#x5KE(iNc69XF`6(mhkgJP z;>U~dUfdW45i24V^Ja?5YaI7An-vNpEYmAk_zOS-jVVn~m=V%BnZ9zVH=3zYEUpR4 zB>>l$3y(1qfY_LsS)w-!_DK=VsdFc~m-rKv{^>=uW7ddvs>Oaj#;^(L;O0$0zdxyJ z<4?FiVl3HcDl8Kw)ykZWVT=Di1cb&7w=Os0xa5<_eEujbrW??_jL!(^52S@ER-^=l z%YbA-5FpD?DtnKx1X(CIcn83|$SEpyBT{uTQe%kBE4c3!Exrgz{DU>t&27228`>-< zqM+;*jGZL?Kk*)kTM!_PD-0G1vd|DqmB$iMs_&{%tIc>3GoGbn@XR7afU`?!dTT_g z`Ndw6xVKB9+RQ;XtDt)(TmelnKOuAoXwV-ZMs&v7L`1PmX;hSCm;`t%XGQc35SclF zA=`At|9uJcyzwQO{O==UZ)xwDWNlRJVnwms zcqoa<#9fR4FD>usd!b##32rGl?4~k2npr}q7+X%6)n?gU4Ea_J#a1j1VsR8Z5s8?J z#iZFOiNz<_lmHlu_1FTCfry?ZX+R85#;cb=n08O%);2Dk+}nj*-5{ zEEuxg2&xiSF5ak3sOg!8FlEJ`9?^oDCdLivuQW}ptJNu*<_-A#02QG=OE^3ydYgZf-N)t(ecHeCyA4y5oE6Zk1L8y zihvvwklVWiWbcl*BdAy7T>!lj?*^z8zXDLVuUN9plTY-}8;JVj{?JPI##8T4$oc&LsQ$5woedhvibtwq&(o#{}QR1+L7c$j)Hkmz;?0_%Sv< zBTT%cP5Ypm{U_Eb*`Xqc30c46CFaJa7SkSf(ApGqFb$)E=2&r%-WpKB*%eYDr9U$O z&|Q4`1`{SMiqO5N3QWtXfDusvUx=MruoVXu#gXKK;h3#yTsd!y;C#LD^v0EFk)r^& zhXC%1FkVGX@heEuFZlo}!gFKhSLrxsdaU|uPHCTjp{ zB3IxI?JL-iZPV0H#U+V&%}_4M1Ib7l4k4hYg7L0ZceZ zivzRmpD<`bNDn|d5=KC7K~qG!$AEaij6jVU0Z8CRi>!6fb(0ZRGezVoArg#-0Gi>} zE}4)*D|ld!K%lXVv^?M@@vvFaW%Si+CJF&*8mU5y86Y)T=7M`Jan1`dBVjAu{{@QR zg+ZmwEovB4T14YAGp%HjRSw3JIGk8G)a!)Y9#v=im!fn5qrs1q8T4>LG91IUW=TO= zBpUD|BPCKEL|-CAtASgI&48E)#S<`}cvwk)gh0Ij`O$1zEW0Av2tqP3k+vi3#z@86 z-XaeQjO3j0imY`*lnHou3cXrnnGpi`u=L1H8eDH~3=9$2E1W|;iY9>wU2m2-nQ7f0 zXijBSi=H>foVpFF#GDe#{Qc%s!zy^lW~R(3RrF;}u`wqUtC<~s3}g0KkBPLJ#h-dn zT$I7MC`iv-p*r0Qg2!5tw^CBZbY{(iJ45t4tMb|z_G?cvru5O zRw!af%(T=j5Tsv-FmbIH7`DvvK@tUPH6Z~siwo`+09|2pH-nKNl#5V<#3Kn@5-qPM zyHv4;VNZZ*Eh5+q4;YCs`fk7*h7oodBbwf+rp>;N#%sK2c5ukejpwzoBjY9yM zo+0<8&SpF~WCRq`5lL{NP(`mGWOgYj0O}M4Z+g5I)6EVj{#2A|X$HN4%1n_hH{@2( z@^R{YBxYj~iE~#z#X@`N0sz_y0g9oMTY>UyImRa#mt|IojkCnd@ZO+KX4TquG7BtP zLqq^W0Z6uoz`Q{F+8t#91M-**gd{MNmKS0)fk7OwTBT8AG*s}^vehXPe%}SrVoXsV zb(Bc(3cYA4BC*AMO0@m=2Sj@RN2VPA743HWFk=R_F+ZSJU``BWkt_o{z%p1pa?pHa z{602`IrJaQdA}DR0fSPvt%NyBLPAgU=5ow54-4wSVxb!m5=vT*(o^Y7DAH6 zRhF#+ZW#%f8FOovRWThZv%YQ?RH=-SDWuU@K}|w_XjaI>3yP>rE6IAQ*1M_fial8% zv0^}FStQ5MER0sYSL@-_01cJJlW{L*+sqtMNlqD&u?SPV(Zp?yyd!+Q2iEEXg=qN6GEF4UrFvnd-BK)bZWtg z&<7#7$(cQk=Qc;_v6pCL=F5LlRj>eGVm&~)T zTrgp3LGw2h??lY-GXO6UjC1FAA zc=4B1N^H*;;uc$a?=T z02LnxyY`%RWb)0aXD4jqym8R=CO?#0AM4n2(sBGi0C5$ijpBCO?d~Vb-(MXRvcGGNB}v)y716yOny0Q|N7$Gt431 zF00K6(gAB7E~mgz1c@DTNSKqwtfa8I>!yR$&d6%(vYf4$Tf~8d@kYk;AlCVD1`q~L zbBdR9!lCiL9zIOLF%bc)c-(kJuZU4ofu2ooMih8UZBx5}>4H3)!O(PdnKMqD+9833 z*(@Zi*b@0H%DIg4IlQa}j&2thGOYPeHzX2CY8Rb>kcVDH;EZmZ=Vt$%ql;Rx^j-qX zn9C|jNXSxT#k!$H$Dy*J0a8rwcOtqQB$!zA-~b-uqR(QI&pA1ty-h!+joui?>~BF2 zFXR95>ZuaFS36t^v(wu{OPFskS;!L9#0CpO#Mm=q#*Y}iYO6hgg7}jP0+?hz8i4>GBnc< z&6v7rf%w3Dj7T6!W@LP$q;TLz?||1wBe5tHpWR+yKCDlHKVr?am@byp$SDCB!yb&4 zAb&15_@ku0M=gHT%^o)EeDOd}9PdKM@eUR{USg}R$YL^Lw-qYIB5Nox0h%@g9SGPX z-TzelCY%s+LlC+>WYvUll7+Z5n&r@6kREecGQ-q&<(Ni?Y*$4t>y>7Qx&h`Sevejt zbfTEphj*s5IuNOYY>L^4cFe#(e!$tRJMCv1aJK&yy@7p}#pt{cB}b$Qm{_h0qynN1 zwtNv3t9^W2K04^5r9ZhzG{BZshp5X zvGH9Y7>FvE(c1)%wrK*hjC)ItjApl?!HxMYleV+sh(k8UtUU|K3J%WQW8WAx5+z>YBfEk)Gi5-gs{IE(BKkDV`tdNE$Nk%JQygiftG(@Te#=#AA7?Wx#C@8@mBgUT>dY7 zv@fGYAvaQ}sZ)&FOVR<360wv*Vtr9afvUoKx(eG7f%O_P#aHr)EG@goTUg}p#V&{$ zb(qW4$1Q@d{PDmm{2I=*?V~mwZC22}K3YaHr&7k=K#p%zq(%n}(M zu_=wxlJWnM3N$-rGp4i8Q)1vM5)i+QBX#^NX0kbHj2jbY-u7NKk6bPIm zV$(8>k4OJLLJh(7Kg27?P({XnBBm0#YBIAe|AVhi)=?-yB zY%51acd+hXz$S~V#)Dz63l+_wWjRse9I-iB-0J~oQRKx==rfA?Yz_MHSkW)UB?ike zFJxc{&A{M6lm-Sz0|Pc;HY)ZN@9$-eZeA(HB7fWs!qSkyD8UC= z;j_kcoITA~mlS(>*c2+``!&u^B&%70J~%xiX$iuOBR-5V)K*AfzM$!$e20%_oOnGW zW64?))3FhcK7ff~10nqaXNeOFVr*3X#*^KnoBcwFAc+{Tm-5Bv|Ar74M>*;!S9zm? z7&CMEsxSK)jew`%K^n&b)ZttxhYs~WEct)^CDKvEj!?A1;$i!6+fBf|dutrG`SDBo z`bQ2L6wRvJ3y!H4Br_p2 z7isMa3_gXN%O$?(q8X2N`a{cMf@Z=Z#ov;F`Hq(#@m6|+8nblZg(x&qk7Jy0x8op^ z;~b$mY|OqnhKKd_he%Yb|L~|t4_y%JVOlJ|?h2T(re20LCVCLZl+R;*w3yx!@-V{H*&mVU_JbM^$`AdPwTj8zNJ&i>5 z4F{b`OmB(yx^Y@E@hP~C?V~1yY9YsvPsE28+Ny*6awFLM-bN79ob4Hr#~pOjG~Y_LJpPrDM)DI*WIzx#XBRfK1h!V20y9jf^7U2+(=Joy?t&2Xr~ILe))EvD%{3pMEg|X zmNpN#Os5LHWG*8Y66zbxmcFIlX1Oe3yg`8zm1jHhJj{}y52*)kL}xKRIoJbnP#pWV zLYJW*G0nrjA3)yg9}9$Uffq3$m$uzEqHkZ^dpQuXFchMk7;5$Pca9O@O&tCihJG*8 zdyv8^U)0oi1RaUaU8$j9eF`n^=2+jZT*>~0J(hlq!GZbvYmwZm=?`tzgqQ#P!97g9 z_Ui6kR}PJlUF)_zR(xsaqI6@cWm$ns;io&s+&B1_emwnHx>f(2z_A(wCzB5JQyd)B z3Hb(i#P`XwXraS;-0H;JcX0~2E#Qms0QUFpX?gz}KbruymH~08!Wij`zf5-x##D#( zm{mL&hIpv~v}xJ^s_9O|EsSk64aQJ(I?!on;Lswm0!SsbJNBk&bMJRj++)(5Pf5^k z(gBkWEmKKMt$;~}(lMp1tdX)@ue6{PweC$r-J|`zsoD)7>JLi0shfKl3k`FzkEltD zPDENVDH~ro7X4|xTT6C!mo)@coxuPUb_TAfC53VtgbPwQBJCx0#cAML0sNAtyYMXm zczjYF`3q>J%cPxEy6osbrTIOvZ- z%m4=BfH*m#(ZG&8-tglp$fP%fgP_;yB<)XifOQ~Av0vfan?fTcMbe<07IY7tN+YFq zl7?WwtC$q_5oHk2N*W1uNk6pSXa(s^V9Q8F3(}P=C0w6kwgk~85*xFSJ(eh6lR>Er z7feN9gd!&bm79U?AqQc{)aemNIcdj{a$I=sLDpclpn#SqJ0fz|u}H z7}~P5i|L;e1>HmrJwCecjZ>ZYR42ng7#%T#kXhC2J zh138b;p8!l%j(37CP^{p*pI)mTU@{5$0_xAlGZ}+R;#l#;AA`IRirF@8C?Nu?7#-- zBxuCWGQ#79FoJzwqJ{%5^!o?ZG-xd|F6>y4{>mdaEUFpT2K`oBVSXna0O~QwzzIwz zY_&92)Au^%@5f)$br13y2D;R<$6p(pH?=`zWB$Cx2(tkeiCyC*y2;oKbt8<9K@@At zU5AImw7c*{H(+g^72MJpl`cE6XD!cz`&SItj# z1pOCeSXU2n%Q|ofVXD&)2J~IAbkPfk{1TLeIll{{K#URt8%EN2Qao+Ik3{77#>dbu zzG%WMvd9?EyFc+CxYJ^wi*Bf9i@msiFB-!As^i9le}?;xpjT{rgp&<&n#L562T}}x z3v`PF%C{*>)7d~S-T~Ij1avuiJaVCgm*o<8Q;BWB6%rcMgpBdf0k##(l_v_5P*7OE zKPGyeqMP+m7{B1*1-(n9upsC{blEI@(cr_EiA)hBDzb_8GDsIfd@;{U@c_@VoerQXEc)Ch}zZOphliH9d#BtVG zj4(=|ZCFHSmoc{f1s&Falhrv0_Td62OVmm2$BjS1=jd34lVt*xL0@QLD0iTk%(I-S z+6KAW7V}b>7y~)A4}1+=kVEnP*j?;nV17w8 z%I)-v{R4iHMc9}8!q6h>G9=Mla0~tNCTTolx_mcU|MVXF5A;X}?!6{!VF;!nn5Fk} zs*m+!2o46IYyd4M1n>22`zTA}x|21M7|-bENLW;oNnd5UCHgXS`8_Z6zHHa3zxRc) z^rQx;tUaIxN4Z2=EMrx3(ugi~jb6w`2E9h1EQxEKQ{Iq0seQfSGVGMN0!{TV;M9nw z8AB=c$0&!gdi@ZMAOb>0_7=n5>yXC<8HrAMPW$LCag_vv>JEE4myCe!E8|g{Y-A?p zSV%e-btM;7*v|))+z2uqC_(Kvp!O>*qbD`iwx=<-E7+&89PJKV8T8D*+;f}wUEaR#3WoLo?Y;4Z zRDm^CX+pd`1c;DvBGkBu;N$7TGaXw7uW`aNBc({ikP@a%-7>e1k*~358nU#ft)>7j z$aEP!$QT!0oYT;v4i>)Yzml990}(c{Xy4vox>_bkL5Q182CDtC^0<+vt^LhmZx<2? z`(OL_FXMvMPYu7MP$=8LNC*iCdd=`=%!B?&U?mSus4CUTWNp!|o=U8ZtSnzcrlpZa z`s0yvW{SC;LJu>sF{^~|#?l!N#9LWi37E5Mv@H9npqevER96k>Mjt*bS1uc zYexg|Zsf%1TEeS1ksA;A%rW@wJPos<{`JmB5SUF7!{-`<6&@Kjvt%v;Bum2?%5~IB z_3JA>Y3<0-vxY0*hi%I6me zE_*X2(tgq|dPT`a8!+2V`(w<4X?|!3b63jJ(@;MuavwMA29M|btmQVy#w+Wr8sx(C zEyJ8v!9Wg24el*U`v=sW2H>Gf0iDw+r{NR>RE#bAh)L@fhzu5^i#q^}2O4Fr%_!LJ=FlH?EwsW;})eY?j*?Q12{ z{+C{Xuz2{@ICkS4Gcm%fU0VsX(%Tg_i0y7Bu&^zcNK?LIf7l?^R2kQBtx^R_=n`U3 zp=b|uOoy-p4uakrl=d%DI)o8oO)e=XOGxQoq;dG^Zs_f~fi{VQprg<`Od9sn@w%-6 z)UH`p>@zMT;jHN!Y8ogIn2wW4GFVq{Ldu*~D4`#ZumKgg#Z;F(6F)nmzS?QAd{b~_ z0_vrKlSSfCfugds!(GwK$UevLw|a>cStxiZf-o^5#AD8$biuSFgK)6NETzREU|9a~ zI}FQyCvpjTebamN6$QzV#Z(EDtIeb)fTbJ+TtaCsq{ub?($1Qv18ZhXsc{4dmo{}l zIM;#rphTsxtV|0ky2`D<16NWS*co3B*0>)tu=p`k%Eq9W;M|VXoW_G@$&egIO{Y>Y zg@?h7MN&hL(T0VSkRgX9R8sL+r=>VhfV;h9uK_Gg8=AI*?15cLC#vPz9Ch5$#cfFlioGhY>=XKzFyN6;y0DC?q@Z1f;-g}L(?Ul;I^cNl6 z;CjuZ`zE{e;9p78q&FiHMNVl(a0)eWc&^I_VSdWhH%g4|r6TJ_7b44MToG z*bgdwY@PMw%$vrlodwlFl{Jr-x^OrqQ@5}Tb=f3?9B9P@DcizZh3jT!D25nN$ZWx>>`kIFDaWr>py1gbd$V)nr3W=uqgEC>8f*>t~8jHxaR zAq-h#3}p;FY*!#`681qMMabF$)C4`I3xrNYCA)wJ!)G#z(s$Iu^s+zxv|i@o?oQ%l zJb|e>)_ZM8b3<}>#H%3_OPnc3cz|$=z1L(BR0*Gj2=Fs<#LTEc>aygrtTTWVrr+?v zi0NiXnTyI{L6l1OM#M>}XGB9Y|LI7gh0K2c4;e`ipH-)}YD~koAZDn{k|x1QPTB~V zu91S3)a_ELdKl-Q2F|eGqj`3e(*i@}XyGYJn*}(xgwi6fh>MO`#0&<)ILj1$b3C6_ z!CWjA10c1?ACdTIN~+R`DgEG^(7OR2W*%h?@uNRl-5BEwP8=|CSPk*aiWDnlzK-qo zqahJffEfND#=;%GS*#+Yg9y@c=OIGtt~+*br^o!Em+z~V={ZuAA(I6Ql@0mmM5ZaF zaEv)i<})S3jtJsZCg(z@QMjV+f$0Z!RzpL1c%Ua(yuzaO3R&wFqf=6g?xI?Xytx%Z zL`uuV5}w*p5JING0n+3e_fTjoikG63+7L))2EXxf@6C8+!V)R9Jph zb|UbY1rRrsF^q{>+>99W%n}0L9R3umY0iPUDO^(o#oQzdze#K+i=zq|DQrt3WNOZY z3Z{F7k}F>#)>6QjoekK>ax!$$m~odmlS_>S-E9G1sKSM?0?;Lg)kAO8x(8|_lnQOk z`&vT{V|)}NZZwV8wdC6Y$DRNu1)QBRz?n?|&YpBusr11%iiLG1Q?|}Fb1%vEc1YO0 z*U83vZvcDHdsBwA|5~tXRZ^+!wcNcL>Qq7-q<>Q6WoK3O4-VA+9|FhMa{b;wt!o~_ z(dSE3*C0nHtS03;iI64LawW%BXou5=CRLEd@~d#0D3pmlPHaeHgQe9?Qou-sf!k)vwJxITpgFzAygz7OuAhtxZ-8gKoiZKn z0rVs*9jq&k(_M}xw8AUC_V8KeTT3gHvtU`4%eb7dNwE=?<(MhUb+4SqnTfJ9IjFQkcmCy8D$~Hba>5;;Ss%>2gSr_*VN*jl#gOr(!kI#4ZRIC2z$`w0VhMx zfI~|I@TEBv)!eM&CaozLe$5;TAp9Ps#8O6D_|OcG=I|P@?Bt7r?u5gEWR=H>jDe?$ zvN_a0Ae#&ZwBQIQ*RbFSBdmX_lpQ^h;u1m_`vjN7ki}1%y=IBp)6yddt~4)uuQ4xk z%(k2?A+JJ^fT1CT6wbCOVr5QgmPfpZNdS{{Hd6?O{4NPdvj-y?_OgkWAdTfSP1_KH zHo3~dBP?dPheffVm&*RjUb+Ukj{hWA67idUouwo||Hh`E+}Oo{bV@nlpb@Ue0Oa%R zAw(~md?aSw(~Hd{n;}qf9Gqp79JgqmQJ->{OPEHxNsnfeDoe3;G>oNlHqJ<+yz)-- z=tTg%86zHqB`T4YA~{`Jsnhj%!l0F`;$fSMvMLUGmnGuuQJ}|j&}k4-(I7(MWd!9u zSzZPN!Gf%*&^te=n9GWS0Oy&=$yY=Pn6HIEO=+s!;(S;9=wZRa_T1OpwD)ZoAZ<4~cJEo;5*NDJ1Cs|3sz;Ew? zjc-wqax#zUBP+oyYS4T6Y7a*Myn?G8c8n74p->0^{ESW)7|Q8a+R)383X$rQf@^Pz zb*k%Qh@?nUX;vxfAccxODJZz-BUL9Utspwjq;8UCRFcjrbz~IfG+8!I$-dC4NwumC zB9nS;x^HV$+CY6-SK`%Pe+1Zt71PB8qFeD}2qP7rhHRw0aic5XTY?pDJqzea&qCs1Z}#;kej`~n6mGmrhOF>^4DcbqKu zj^t;qMDDnV^6V+M@AjC8DF>t)3de~t4lUXH>dy#MvKKCAO`J+EAT-1J0yBbZJO__=(}gmhoGt@!-_5|BG0J_-F^Pm-BSpd=;5}h^ z1CMM#Ohatp0#d%(EWGZNz`0V(UFjfqZD_-Cn7b)L3{KSZX@Xps(6*<(;lQ9F-eHh% zDMXT-33e0*53$2RDQo+BVo5tADJ!N@1vhZAsvuxoj`>ibs1FtR(pciBO=XbKvlv$K z%UyUjzUe{kK~2ubr6SlICji{gDv@hfm;VKcA6Lqjk2Yq5{`p-T1>|f;mS}gr_DUFw zmv$mn46_r1fq0F6#?Be}%o%6qWkK&XAR*VWNuA8o@m!sMwpd{7zc#B1ti+M`Ur^|0 zdp77@Ha2hrTJFIu6Kr68L$MmLaMosrc7SyNX|X@_%b}Lfw-V$&yT@b>*g98tqjtE;&7AbAfPf}WM z3Rq+yWy~SfUqGMWy#gwqmkQ6W%xH}9k+Xb*Qi)QreLw(3>P9XNU^rQ73l}y?1E|&& zY74vwu?^lVj1Ca16N&0QH%?aIRKn;1#I(>f|GN!sus@Qt0pSdImd10Xh8PHdB&UGh zSOrlf(O&+ujc+Y~&y)GZQY$gdf@fox`#XtXv0an2kgzBO;|3`jN-@0&Zig z?t|O~CgU)jo|qo$(Ta`!I3Z}d@IW@HU2wC&z>l!o%-+b*xk6Y3toWHCsh&^Zz_g1H zDKRl_)|61o)GmYf@9q*3Ic-|i%72DTA-5@&`5~eO<|V(#rk9-NqZDCDxkfu=MgzdA zlksTu1c?ejUiXp+#So5OsUan2RV9RAs_5%m~bJerc84Y=sO3Y3$bxDPyWamK^w0)@z;;I>j#Fr&Hm9nP9yQKii+)ak8@pa(}YvBj^IM&50kfA@vjv zl@a*TdFb&;hVkL8Ltkct6N#_^JQM~cPhXNnWZ(psq3%wWMVYt}u!o*3&W>bfbZ?j- z(k;4JC4QLB$@s*Ev!tmE8R5DD0vGe2ki@Sh8UL@b)RFurJ7ieDQJKk-H4_zN$DB9g zuiO^i&Q1I-8&HZuU;k{rIApf&llOnhvv`1Z4z0c!f5xVT&qBng0bR_9i*L^uv?~pg!o2oNtvte&)+(#0*m*aP1@eKJ~NgBJl*O*Ld9Mz$t zt@Kp)@tmJMbhMR;O%`)X4ms?5)Xz;!2m?!oYqPY=pmgYHD-t<$v{j3Oz6{4?iu{tX z*)?N2t_G9BQJL`51YVhdA;QlR>u8)jbhLH81f>&BtTiZ{ICQiXb2)Uh)y5lR3>l?n z)tHz>SDQ%@k;M#9X>uB7&%xr1nMIJ&FG+_?dgy4YTn+;mnFcbVF+CnS+S+?f7SDX> zXe&11nck#z357y|S?2Baqa1Tv|IpFa*Uw)LT5{-UYsUEK#9wQH2$M=Cc$(?!G9fi{ zdfg|pJe+(+PvuwT#Sh|vtV~nmfR+G2smZ=?%Cs?Y=x8faVI9UY$Go%y$gDl9^w81P zgM|tn`Po@W}d_;ERmU05oUpi*AyH&+Ir|{D`s%$ zXzQV)t%r`bYP(u1QiqPV9y;2}++Q2}o#mrF<~YEiqpgGtS}x%rgb5xpq-JJ&=xFPq zqpewdrw+lekfxI|7H4TVFOxFo<8*$8WgzpXqcR!Oy#P@s({Z9hM_UgaZ9R0fHRALe z3(PtLcj#zqa@_aO(N>079XVvwHEvUT6uQ$EggKK|eo2I)tq5GMt5NyT(N=_@{h58T z{m-Y(d@O#PX{{j>kaj<#aG5xj=r~Agcb$g@fGiodLeF!SBE88*xDLgRGnZr(PR@#zAW(2nj5lh(1DFV?BH! zG;;Xi z;o!9rgrb!H=(yzY3kMKN>uj9q`v_guDo%CZ4nt_!KgXeZy6Y8(~<+Bg^HTB&+70xqZ&$-3fv$C(wTY7s`s#{g} zMAcGj_N=9!nzi)D(G4qh^k2W=GtsJCV4cz&Mf~PzXxsL&&sd+Hx1fH3WrgW*xN5>@ zAA0VdMaRAMunTrtQ#fK%Gi74M6EmikR?W|brM0V8@yV?!wJq2j1VK;Fg6`7O!<)H= zpDx9@%5gejKe@y>9)cZMUsn zn_E@Y#9ywkIePKNn@|4p%int2)0xei2fusAXKr89n`@hq@2Ib-wCx41^;-Xw27i;m zo)k`A;08@&Q1SHG@bK{FuBTUp9gP*aC%WRQ@yoPJGTc2szhU83GuB@=tD(|*V*0#0 z7LJ_w-f2rVu9-G3T4l|jdfTcXjFv21))a2uwC?H6nQT*C)db78D<@RdH)k{PKY#t} z;kpT#<5JJxdEU&g9Dl@HaqiYwJ9!6$R5Jo|E5G&KvZ~zzFk`YlX7ZTi38wQvWbeI`uSlwd8 zt=y=z)U>d)G&f2utTAUY%?b;1iOdC4bGIp%w6xxH?tQj-pts-qfBnFH&OPVcbHDf8 zbMJGXX9iF23M>a!&t>MoxI3S5__X+QSlsN8K;JOeGaFvXUY2}**}#-9UQ8OaAb_bm z`q)>`+XMD%2EQ}!i47@(UrZRZpoL$YYgWRN4neMhj_(e8{GWRVjgPc_-01qn%6t&c zFt0+;CizuFe{n9_7{U%%l$tzm|B^u!s`4_Gebw}UUzng9>cHC-fk^>_Vn27se7io{ zsPQFgl~Hwy0f$ltEKv-oD_c@zRn7EMm8UPXT2(WwijuO0*0_Zcb!`H#R|THa1erCE zJuJ|Z5tyX@BIZno=!On4pX+0dniu;yEBn=__SvQA@2u=UPtmW=6H=0`nwhWaoxjiq zCH%igRST`zs*=vCl6$^jwzOiQ&TrxL@P)Qj3(HqIy4~~y-fRrK;|jd4QREz2AAMnc zbR8*A>7&2y5dDQC=4-w3L{(~^L#cgMk+ODw@`}{tMT&ka_IHO)AI$A{Owq4qf54Xo z3$5c9+N_mD`Kq$)g+<{Di>en!e480`lL`7gBk)31;Q5rGb8&&!VH9zlV@|naKi9^7 z-y!;xBlc8d^o4UVSJ_x&__N71seRX0_N&Wyj2lSy!qPHT*{WxU->wQm55!K5{aPP= zxkJnucg!3%76EsN@#vLV^DC3<_V=qv9RLn_@Ol3Wsr?o~b^nqBtze`JO979cYDQ<3 zZIxrvd3E4*SK!5rz+0L}-QR0tFPsZ&I2UsU0HjCstEn8Yt1@cd{^TX8ed_l2uXXo1 zv>(RUzb<{(bUziKnhp*ezcAvbgMrtxgKjh`J#j%7H9;5Sg6?Jnn$>~lHGxpQ)u_DE z72Z%bmc0D(k-^pK&UyV45(h1->hR9JQP+?D0RQ`gL8B_sj0peS z$^INNG&gSH2hpvE7!K=0d>xw6dBcA2d3M6aK1b(0JK@ouhMEy0j`qkH{H(f#Ry}XP zsF1X0L!SKZR_8Xi2iL#dKjX2quQNM-H(x(0X+)c}7~dWvPV^jcVx{3|uMw{o7yh); z5dNCsr-RccUMhGa?b)ziBQgdjv}@64#ber+-yS?V(eTah$s_CmLn}3l4)hptGreGPqtJ{o>F4mmduu zst;G$;#6}VOCKGQrj2efT|FcrVyGi}zC*phk$o&G9Ag$j(iVL%H~GspG0XKSLvp|f zbfg)66gqWkr-v-jhPTj%AJ9i1ai|X@#`w)kSgH*l`eC>E+K>g)LsAnvw|F)r;6O&e zo&MjA4qxbqJ6hax#k<1_8`})lsuc-p-)BP(qy#9mAqP{!!8;3{1tcN9T6LN}9DWw) z!=ZT#;5-`wUQBydot_9K3`d>za_5&m?ACI6+@k5xRjBz`8fgVhzFglqZF(H)C#a!0 zX&Am>I(Q)3FHt@C?al|Mhb+)X!|iIon+6o84PBfNndU$F4FZ#)|4eBYT|RP*1>%z4YpaVIhL|X zgAFAHlf^nI!)BRk$Stx@8aHbAkSB*16d5LEW{pTnoHSzaGf!$qk4Uu?S}kUSxu|a( z)Z(yOU^3X^dc`O7h|98AOnu|@w!&PK-aI94V$ZxBO|M*yX7UgKkf}G@ds~Zo=L|KK zTl4J_fZdH2bCEqhU&=D&=IQcm1tkVseE!fO*n)Xg3t4_MP;Mp}?do z={X5-;BV@1?Z}~%1{Ihm#m7%7Fz1?z^9+;hMR^70qWJt`C=+_=ii*wlf+=Q0UYtGO zVk;^w$SWeEWQimW^G2T%N9EU?*&OnQ4!IR_9C^yc&sK$4e%XNwDpEJ=x? z>rth56Rw0I;!8+w%9e1@Qi7z;_nXwqt2c3!Qh~R!*k@uwvl4G6_T0mp#n<|H^)1eZ zgzu#)=~c%HXD~XcI1gT_#R5EVZ;H-3sW_sGNq3K{wFq$<;H$ppl=&+Fz-tf^) zc^RYAbmJvDSf3!NYqPSvLg_PXqX03GGf?BX%)u6SBH!mnKfi| z+OxXxx=}-hWTs{55;Ph)Lss{$K9l~OH-oFw86+YyxrBm=;QAre;b}v%xG5y{(KzW+ z#-LFU10$qO29e=Pj8D*kQPO&XZjd%J4QEDH)n$&*4j)dK!gvWwCsYSRyAi{((njkh zNP836;}iacpUCkuv$R=bU=qBm6euSfq#Z5zS;CSvK`pOlS>s2Km$xH4z9DzlAU6xn zE|KHtxcb;3qvR%##aobQQ3sPLYr^${r5y<&?LAs#$xI@vsY#LRWio3#>?woNhG%kS zb>oHM@B+FC2!hNJX*g+8xEu=}sX4tp+5 z%GPD-#%hPlokUu2BAK(KBFW@Y_TWJynm2`lW(^G**L(xuH>*E-Sd$s0U8A7c79&Sx zrKUIE2^BTlY6z_Qf5XPfWbL>)%}CQeqe~w(d~nt4+pDv*(WB){LBir= z5Lr_6SeKjOw<)-Tq>azQ@Wue+X}k*XaSCX2U1rvV;c4TthIrLco-rEk#3bOcmO5@Q z+!hDJa-%m9ri6W&NGz<>|B4f0S zGmaO*u0Dcy2WJvdARG3Xk-7}+(6phW)6%jqFm&TZ5^lbuhGz}S&|$Q};fb&E=MXAH z@NnP|D-&QlPD<1j!3BPL_0ScWEby2?3Si(FAH~8MpW}HeN~NHnGa29@4d;4+#>WJq z!gWWr&=7Vav=J-h*+LKz6haM~VZ|tRge2b&(tI~a3%zjqq!Ye@P!YP|n?MiNA{zxr z2w)WI%P4~o|NA3Tp1~NOZ!ef((4oz|0;5q!Z0Sw#@WgpN&r+OYGW?G=O$9l*Wo46e zI&-nf1Sa9JjH7f#d4^n5QMuL7nd3b1|IH?tB5?o5R`@_@iO)A$Ep}azPRCvCMYiJH zB7BU>EK2ApV-FcNJWZz~B~j=_D>g%+rNn^Gfi{C#UuY;Qv>M@27%osV=9(;agVAUz zw&xoyR)g7SGvEcDTyu)?`LzTtMym}b$p|3Gm8;QabQKs|u}%)Vo$vc!1pFoUO@?Lg;>yCs3)N*8&r&Ry9KHM z8xSAmkrMV83z>3YQx28FMvFH`>Fti_lZh^}fY_!t=h?B)k-}c2FEVgjV1krIRdk%H zPCGJlm{d$R&0bCTqMnV)I0LzQK!!`uP)I0Pgvik8@(p^c)dm|H5{Sl5$r8Bj;jb?$ zwim*^)m)fUWGT%p#l1^cRGM34Da?U!BF_##SOpK^E`u&CDkwA%&&gN-zrbE#F;jL? zsRgvBU>z2Z#U^f3=yYLkA{9mu)WO?WVhREYce7?B=HkK}gH5N?8}vB^ zx)FnPsbla}()j;zQ55Qn^5gR<41I2Hu?<5K;%^F$F%NFh09>DIvFq&xW_^*~oQOp( zyaxsz{Nx+TtVWn)SP~YSvCxtSQC4O(Q0pfT_fi)8j%7}#tMuY>)Ck56_II?^k4{Sal z$jz}8P3U@U8fp_25!i4;CtEH#_dd?vQoN|CPnWpFqVFd#+bZ!p*BDx%t z*-%!bhdZTBAdCVL}T&M-w3AJ5BVuqGRdNIM#+nh@Z zBPmTrD|~!pw-*@8apz9Zi1oYzyRpCwcK{S5^Od|D_}HnyJ_U9`2s#w?5o&qRgcBI1 z7wb*LVh?W~>VPj%)RRz9HyP~Y9@2}FsDdofMuLRFOC<;rlBk?xp$2%6>jEgOTWm0~ z$bym33UG^og6KEo5v0(Iz{rCWYr_Ayk8;mjaFf7?qyMoHiA>mibK%n_n+2vpN6xsy zJ;`W=Ifdg`A-&P{qK6Y&>CK&B2E)oW#*L--iNS`P;wf>%%ti~(q4zR;vc%h5L9WhL zng?sq0JjbDxQUN4z$k*dO(BdyS8OKday{G);ky$H3ObRm{b=T9->LVTdolGtJRad=sdeVdDJeev!{I z8SKu4v{7wNOx$}rW1JSgjbj>qBs1*p*bn=!9jj&-&FCJ(nMrq8`06235$D(T17C&- zXRwJu?-~2sfDJ?`D)aV=@FnhvH)f3%Y~*DqExzYfg}y99^jEthoMZm!w7FdihN(Lk zGGM;4z%#aMpJQXN7c>yL)je^2sdXX`!c?!c?0!FWDsNzqJIc8-T~*8*@KsIsj2#$# zSwIk7=brd-Y1MORApMAjbzWqc&aD_`Zd`g*6u1j}35j7oH7p9_Mz-(gw;jo##4vty zlceH;N^0=f zoStLz#*8%cIxi2rsHE3?NT~0t`y&F=>J*8c-5pUY|Us42dJ%rF{Dg_f^qez zWJbFJ+>@4uw1j%aB*z@MOhyqH@L^p2RVhmU7SXQ3t+SsfxubFs5!CAAtZAyQ^T&aY z7|nNVm7|jJ!+w@dzRy;ZubV-wN5G`x?x%0G^GRWt;m4T6ig6`1qi4>C*kFQtrYPLU zW40c9z5`a#gnJ&NL=&$}KF4|l6Ky7)b3g3}^{EC-&?wbCCU$E`eg`HR8dbhLZr1T} zbJ{)kc27De*Yk)R2Bn5UX$$C}m;#3hLbCux!96QBy5XBq@erY;76F&)P^wGgpWA-txtgAb;}(TzQsX8*nl=c`raV`6I1<7h(P$3G zEdf!6Aj&U;IBVcURUoPmM4sggQ#BD9!E9%!e3*bNrX-HR8N+OyefW_UtDI@UDHhH_ z893_}Zq_Z1w?VUva}c^@UKkWOP$OI_gvls#O?-Cb(lA(@C>s&$d2L1Jd0!TS0H)?0 zm9yu?V`W<2B{N+UvryRuc0s&fEBcq)F!HE|LV!{|Yr+RAyHi1VpxL<}AR zac(Q;9U?BH;`dw=v#W4m9v1yrU+rU=uSNX|KcfF?D`!V#pPtXFnMa8umUi)+2)}?s zUquaUaZSu42CT4zz(9?UWhFHLI}LPrw3X994Roajx_VAT5CgT;zz)~M0*ZhdaQRp^ zQv(6SK(N1aH#Lw%4RrH_MiB!I#6W+Tq0JDT5ERgTosVU=cI3mbaHd*881+7uz0@3p zJmAUnclxTxfZ+SdFtbaa^o)%r=KNSP8y~wS+6W#^3u2(r$8wk&XhS{X?@XfxhEfCZ zp0P2+Kxb;;Q`f{2VxWc^@c39xQv<=&BmU0$)W9fepu1;mEHRKy4eWDGoIw%z5(E_r z%MEIv9rZ|{^8hsf(TYPz_Ka;$4CGS-=Uo%$RAv4HPJuwj2)p2#_yT1tqKu2KiPfYF zSUBjmRSL`eK_pCINr0E^fzFPth?l04E?W2Xgg!yK*h&ptaZTJv3^Y;$n-rGS)BxOB zz`)KxXE8MZcUQpJx}PVs3o%ef4cu@|+)NRu=+^6DSYN<8{@3hr<;?YPm``kni_(>g z6&%M+*Tna$G6yJGD>MSwUnnfw2GQB3j6({`2LuLw^B-U&1v!tm>T^6-jo(y97y~@7 zbtN$TAYyCPkByI zMmNsJQ=ZT#iH&5=##5fLl+mBFG0^iGWu$X926|3V#&FKYKu>5qu`!;rG0-!XGA400 z(mk(HMm}dF-E)F6Oq`8$PiXfGxHp$^Hqt#~yAz|cI2*$}uTjP#&c-m$31GYcw}yA= zW|rI5=^IEw;eE=mw{^Coj4hN=+1A;SGPYC3t8JYs(10pHhY**twB1KBJ7_VCQbi*hd+U z20IT>#^;og6zn`s8T%>J9c z40d*;8sAdJtHI8ml<^&9yc6t9qm1t%D5HmTp83S?KwZ@C(2NSIyX{{Gn5e=>O4RhXDQ>+Q0H;V_?a@2LY)^W<2+@g zhC1(1#xInS8S3-Yb)VY!}{-BKWFj~s^lQM3FI(JhB9%NxNP=q;; zQ^wzv5gg{cNE!c7#-m|Q-(WJhx%5_@6y|J48I_cg8s_Xs84D;QGtAkOG8O_O$)hM= z`Fi=fuo)X)pRp}$=I+;L?hTuD;PqLD!)70UefH_FITv4_b0h49JFmas7{BPnhD9%r ze{prgi|fa~w7KD>55_Ov)3De*e#uu2OOA|R`eVb=bK{p?S+)FO_^SDj*H zbrEYeu3ob(;*H&_-`E?m_Q2}3ha=Vh#G4mazj-6#tvjpVdKj^3{+dloBR8*H zvw27xLYyI_nG~NJX$gNu${@XhGjD$u-#_=IDhgL)@mlZ@mV%*z*q?Ab?b>sL_rlconHZGZKHj`Dlu-R+RH?-@_ z{3AIUIQS_V+T`~{OY`&5hL+iiJ@3SL|=dAARD)<;vvEd!}w>4M37 ze5_*UcP!ad@bZGmdwo2jsdhF!6|7t^+3htBTMiYhUNHH%6xA48E)}d>F!@KRpxBm2 z1?v|~J}nijv>B*iX|0WgOvE@_22MZ?OkqX+` z3#efCg30&2#$lgI1$!1uUa63HcZ_`+73^Iwd9^~~l484w3f$m!g~aVE?S)iuV8P^V z3dx-Bwwpna;u{BN42YT&rrT?yq*3$K$AKx|jesaDyuCJpxg>^D_Lm^QP|l93X4DwU z$A^6P&?l}$45yNt%IrP>+nbudhVJAbGu zq%?F=ATpSl3C13T_1CWY2Ybaq=nXc0VXiDNSnQQ&Z~7 zdgX(Xi|p2z8J-w`y9j%A;l7Y{_N$nh67JcsG#mMK>IbKX&w~VBnw5E5*OfEVdbeM% z0^pCJP=m{);|x1EU~p>>FzRE-kuJ$^!_XPfRmKd`)g^Z8x;d+2y}CMfrfhJs+S;?-7?yp2TZVnm9O?K;P=aTkbehj&PgLBVb=(bA|yta|L=a(^h@A>* z#{=_Gx53-aia+mgb`F4@zOTycT)%{NQr1qqxi?k=;dYaRTc`G}lk*S5jaM3O?z3kh z+#p&`&tj&1-0N5Gp>=$r8i#gZ&&AlEsHxk;)KD;WZp1@xQ$N0SnJ$>S=Q1mPgu6E` zn0vTjPRza+!ZfyrD((@l&E6XG1aqG(pQMmWugx_5G2>5M6@L-iDlSo~5qb_lPEh2RUgbeGzFG@QWzxdN`rA3T@!nvtxQ%8hjP z_MW+Rp4a)6>~$)h4C3Cs?|6$hR%$u%IuKtP(i!#%>1_O# z9XAu!F>Yaxz+`=U&wH}&XKOg|P!ON{P9}EfQ#kQB5IZv9Y$!GUqCSHYPXX~}x%g#$ zHi#j(e}d(Y!EL0oyUwqsB%x@5_w0s5DnEwV#T~S>&(?K8>-64U-ME0g$@$?W2>&5* zvVne}ui~)02UtF6(^3`==J3nhd~bv93+Ks#DkA{X12^(3}ckGf8-|2|eW zm|5W!?P+Wu0Bh%VE%f%~iuTHL++Y%YwoZO}v-chy(pmX4cGltwY?(*Sz(QJ-LptjX zogF^bS=QNx@Fmb0tkDwl3%K^*rL%Yhde#@ef7v%*sy?+ng@GH8|$nWX1_4ISw za=fSXz)RZu)Tir~LgbHo-#fG~zT`l0s@06QoW(5OC{Kgp599D}KBaN^RgQHI4f2IB zf{m|T@eb1?Ij}#IHSju!kDqu#Ho%Xl2gr@`WPoB zOm-spEvJSPe+gpu5AvQq3$KBm=&l0)MF2zI%bdb1zUqKls=MS|cumYtbXIc7to#6` zHaDPxbmzPfz6(LCO<~Jes=kVt8#M>sjBEy*KuF%)zkMh=tdc8sVa zx~{XZ*}I0(kT<}}`*eMtcgU}Ng?-3{{Ay;}6ia6~eo7;GTV4hZ2Da-`=;{6&@KQi3 z-kq1ti5G%+=~|h1Z=MyzhvOJ>a!rUJ_tK5v?arNE_4f9$;mUj54lxyQ9+vG8StFG9 zaT&8XYrUzRZD6O*LYbYck;*?gJ0{q*zda`#@9tOKf5Uh)*j+8jvp~E#L%Q%eZRv2aTx5hzRROB+t+%G-%u21L{kohCzE8*!cYJ-Zof z&r8Qw(bCZWB*MdMXg9BVdX%#3=r_EF5n@s9<>SoS1tu!_6K3CTL1fE+pg-+(CR>0i6 zc8d38&VQ4=kDiOWmzRwXsnu`NrB#D)cYOG!Y(O)W7YW>Rud-KuTt^mTBiF)(Ek62P z-eQ*W7v2T+sBu-Uv0|3;5^7Yk6}dGKPwUemxKpL^pW0`Mx16eU!d6_rsL5+@b zjgUFYE4&8N6*L}~Ypj@~yvl2+QKOSwBjg3;HC`hQH9E^RR=l9R&TD8;;|aM&$Rgzp zULyrHy2v$FEK=U&H8N16t6U@GMdh!&MmB16lWVMaQR(3|tf=v%TqEQqZ6BsTe7eiM*GP)2i)eh?4eyoiytG)^h)7~6d@u%CT%cwp>|;hAXYh9j9U6N?C=A^W59+S|&bn z)BIJk#hDv<0S7d=HGHbaFx}yF1ONjnmnnCQdlzqvs|qNZD(Fl5{MrI1!(sa6h(0!hXD9vGS5nZN4Yc z3SUu>-Zu^u)K7uQN>cw3?tFf=@=`BoVdq+0h88JNMY0yh3q083Rx}f_$v>P?!JY9v zH}pl%gzwP^sES9Gm*4Y_fE8~ltI>yw^=5Pf+5X?+-1rH&u`c~BnH%Rs&Wi*$2F!Er z<&gPk5jyBDxKmlaH8I< zg%6#j0e9zp$7V87%+^RJ?#^Fu>W4tx{pKN=de1FxR9_O(@~9KW-3dT25cDbC>6^@L z%1v}>;lso3Nc!+lX*GWwiT9E1bd1`)Fvi=%w#&x&N#q9NV}*5#8(qTe;9P?0_lE6| zsecx^3Dx0!^I?dpYQ~8#l;L>-&H&rlT0E4gx6>f7b_eHdcmzH`l|E7&t5t3x3yG;9 zj~^Gg`Md|SRo&$m*?g{>=AyIJ7+x_A!}$uQejn81x?Yv3Z<|(6)B`{r@Q`VkMyKI2 z_?6vWJ54qX+Z|hQ8dBCqB`ZttEmDe82`|&}_3oi*42&H=l+V5avwzp84jRM1Tlh)R z?Z`vaYnmNwGLAZX2OYHwNBxIwhxe#MK2z=_p+DVxE3$$aoS+JLN6*DR*HD z+)x`)qfaG0=FiO*QT!cwj7|pPZOo&113us5FrlwHMA4=H5BMsj*CQ}d(xt!Dlsyg1 z!a~4>nDP~!b@mX<`sJlxky&pAFGS!U3HEg+E2@)~)jM87`$W3p2f-9U?nCwc74LxhALOKXl6K(!8sKjoeNqO0YE(rO z=VLgrDZZPnuZ(SiW>0&C1TE!Xv3S=F5<4MFg7|0l1aauH9 z{IMI2*J-|hKR)b-cdT+Q(0f2!T1U^2&Bu+x3Nr?JKv9(Qr^y)WXSvxv3`5lIfhU7@Vl67kUME4+m;^!bi$9&PRR0Yq(M4b`y<@QHOX9 zwgEKmHPN^fRmW>+QR6`qjVn?0yoLicDk9`Ku0rd;G5I&*)bC?YGIygEz4*5b#a~hI?ybQBT{l>&dR07B z8sFaM-F4PK%Euz0ZJp+Fe)T(!Ww^0<_F+UeSgNsw)#r0r3qMsyYyrpgyHQ(PXYXU* zxC~#8kOpDT&mF^*(3XtE1S8S({!gxDD(cb5R zD^`z)`ZU-)wpfn3f3!KzMX4!Va66HpFAsp%w-g>}uj&9+!F*STMoWQ-C-DHf4vS+s+xFZU-T{kN?bpH(xVBK zWR+QfQio9H$f5N7);&>xvJ0SOG=Z|x&b%%_xdBivGzpwb(O(EqvJpzJCb802WkV=+ znoR}O@45qUZ>ss0+ncb(-2?EISFb7Ur?S%)i`F$9w&Ii4uiSF41)SDgk1UW5*i#5j ze2X(RaxFeWz^fgy%r3y#wX=`dz1QuS@3fO~>`4I-?PSaTgX`cE=%6C^o~(n5_H4ls z?wc4MP3GjU=u?6tTsW-0P3B~vs!W)Z+lZ@4c-;9;`@AqGp8=GrCUY{ssG2~bkIFt&M|mYewywR>^&Ie^;J*H z)??Qgk1$I0Q!vU~Y4WY%8P#lIl#7BO@|LfMuk%X}8F#*S=!8+82b9@O*5iR9kKk~4 zZ4R4U6Ne|oR2cd7n1N89YU1z_s`UbtRRBf1T)y8HA9dslP%Z(K6kU@IyhyW#Uj*!* z0OdiGt)X8`l>ns*pL?JPTmvX-qkLT|l;3W%CaAUuP-+p%4f*Z1_yjvmfbuIqsp%)b^KUG!7oj{ruPl?_ z`KQIy3Q*Jo0m}I%N3V&h9Rid?2<1=wFV?+cf!fg>UVx{@am7_4Oo4WZjn_8H}5g{zP@yeJeIHeSb%#O3_6Bg zksam7oMg>{=l;S;94+_Ux{@l+Z=OQ@LWAYNs@>5v8k$01t|9bihG27 zl^s9HS_CNnAe78F*$%t6q+W#L$3keglb=yOh`A>~Q4azrhWWC+=a@;g520|6L=E^V zqLA)q4z#&xWua_8`#7dzig;4W!7uvk;kM65TQpcaV?U8?pEpX>ZwkTI5^UDD>mswc zH)avBi4S5Kh_l>R_Q-L}qB<|QY?T^pijFxMGXJp!9E!UzNTNJF9wODz^!L@+hpSInG}@?K1h0NR6~3*`5S6?0TK1kf(* zVvT%rK5*7CQvjU+plh0J&i6|_B9s7tQqu%VT&zog0)L|VP+8an$|BWo0+f1$@`n8G znf9||mH?$E2B}eg%UWHgd5aHHM{9uMYI2|G99u6yu_Bb|O4%)I#Y?I?0+dFCvR1yX z5B%(yEkNl5P&8BIQ1+BrMJRy)rM?LiO>Cn8r3RrCH-WN5bzgwuK`86wP}0sj<_J&* z02FHzC_k3fh){w6N@Ei!$*~>*iU*;T50Py#E0(Dq3Q#KA0F*c76LjFb;{^eV9%H2m zl>22K5lT2f@ic*w9b1vluQkmOfHJ!YlvSz@k+9p5b8Zzvc}or@?H9))0g3@*r3sYP z<(jvJScw2A6_N5YMSg4*fdU^aPs!Woc!`+`p9;Y%MtnuKVvXu?0s{VmBJxK|%_HzC z0)^yrjja(pQG=d%zsagut9nxKL=AYt z{l5I>op#BwSb$OpP+U_t$ZkPDmc!@k&=w!O98myeSEPJvFN<{%D2ny?!VPz3JaEs5 zr3Y>cn6*xu8S8!E{;gd74w?;^hYu&@83^|Bd*yU5cL7><`@?eYz1;VzV+o>7Qp3&+ zKX4w1yGqXk>Y>2@q}NsNeK%yYs)yi#Lts<8L+$~?RmW1n19pJgI8E+>^)svlDtRv% z10HzL!~^fgHVTuGflwNivYT7T7F8bsN&`ZveOY#>yLc762W`n)#!`S1XWk^64EKy0 z5lSpTS@MSbX!2n!e93^j8&x5c3-Wyb-0=m~YbNfIss9pN#jBIIJj^Fl-HqzICVnDQzZ$!OS0_iE>)cSQ zVW=MS4cSol%(TACuLo^sFnDO>;_^Gk2-Nr!xY>)0e`2+^vr&Zd1VFjaWNi0hodT3>g!0!3_{&i*D4(gO z3sBYq6vw{bWZzOb@T+?*jfSc8OD*?i(q&o=>h66HWypPhXV+2n9P+nNaldnCcI>}H z@87-q5BW+}BJ{rr46@(IPkn~p**67OYynr)+vGRY^|Pwp<7b8K3a+S)mhabH+FvHF z;CHDy@(QI}d%8<)!^yTi+1`7X+B2($nB{jV&3-&_x@OVg*CN`w1GBs*uH!BCEi!zv zOZ6r#>bVw9^l#m9SqsB02WdeTehmQ0u*+`<=VmeQ^W)QYgYh*)%WnvM+s~WIFZ{S? zz}3CKl$~8dzEiy|xVjF(e=B$O#ar%8f~$7{lq$R2)sERIB9tcq%H<}m&S}3wfRc?+ z`n@N6yB+eQ>RkcKDTMN!{BUWw>)tFtsl{2h%b)z$&#n-m#9w&@J`Z{i{-)b2yicmO zVn_I;rut|6;^3QEruKA8E99pB(=VQZJ!;)gvQ=zp4_~E$J!-$}F1f4WYoJryzSI37 z^p)QU{$5(z*RfNo?ZTicGLwI_RF&9d5p{gFM}X`|Ui#`o<8)h@wIO=iCz zEuIpyY*6#(WQb<^?FBQ|CTK3JK0-4Az5I--QHpjc{oy~aILpRuY+tc1nt8W9KDS&^ z!6&e6_MdL}yVOzervn`$Hn*<}uKLrFzDo9~@WwycNel?p++AS#^PuH#rR|ZSw#OIRx_oJi zSJ@MX+Iuau_x;j7Fsvl+y^=yzsr|juIlX6W`f|qh-ZN`|n0ap8oJ$|$-=*Iwec|s; za~&;}UBYX!8|S(Ml)b|*WY<(`gOmfq=jk;Iv=PdJ@U8m#1@0*2^zb@;#zJ?DvON5@ z-m_5KS-CNMLr(om?ykz6;m2~Ui{0_cz2OgXGL~ukDm~#1xi!lj>B`3=Hs!fqaXh2c zMAYYHzv>vN>>u$UPxBhQ*Pj;QG^k&9Pg0gfTrkwX?$#;SM=UW~*SM!DcSO_~t#4?} z%1UZm_8xi-J*ZTCycCnFE# zr@Z4{s_YYaFQ0jrU9BvLtSyLp&#_K9Gx9-!=6&sE<>tt(Q<*L7Hswc=*Qa{6uzQr3 zBWtEHJG6V1_akpl^Xy>1Qg)8oWNQ47tyA`nx^D99bT=rcL~Sjs|H%E5(iU~Bu;OF( ztgXfDz8VmEOGnX50rPJ8ZEAUj(?PoL|0j} zKWAI1x4?3b%MbQn@E53BZs^&yj z*y6r+JfV6&y2jS{HQP<~VRXGM`x`c1^+~kH*7yyZtok{+%AWl#+gEipy4D`|onwIN z&uEXm@jEtM^+?RxBG>nhXH=S)Lq#b^9V1l9F_(*6M;)V7*)fZXQ%*Q0sPbbr6}wJ2 zCaSD4hl*2va7XYm=)%F-yN!(9tp{h2snR z8OKbOC+1LT%31ep)%}?3rS)gsFQ_`iE-B0S+5MvGiP)`W^*_58s}f@C$}-Nom#X^2 zUN5UZ?_RFb$1W+)_{F_iRS>(iy#5#W8>;ECb>$hC-0M{3vA4_BSGAi}@5OGIQFB$h zMYSXLiy4}0+HIA9(Os&2&|n`!-3`bvd+m7)FG*;RMlCso$=^|Q18V9%&#wD-(z{DZx$ zdb@qq9P6L#Rn?aE&N=G8;N3-i!>fyxKi6dbA^bs3k3TNJ|CM(A*Z}yn7QbDUv#D|b>Rhc3gx-mQcNr%ELxHUi>?}nnp*uUgmG!OeRtG*p zm>_EY=iI;W|4skj`M*RRn%k{r?tnu+T^lO9NolD5pMUBd0}k;v>)FUvJ_G9=-3ap; zuvt3~q)%+B>|5=Ks+bRaEH^1GDYHBHU#(D@na~eu2}E^ZQ6u3qt&m?G2y+K&TS+;C zlu)HiAOz04L=?{k-F1mvrWGlH2(7IStWHrG9sciO8R)vQQYDf%Ds!RjhfLe*6Xu9yJf=cg7Y)EllK zm~r4!091!^pd(LQTW;XAibru76R6LXoZrAvv@a1GaDpEJ zFfdp`(BaUM_D+#-945#^0nk~9BldyG1bs-r1AggGbj+do-Qnln+)4L*Vh;KCft?CU z_<=y8M6>0l$|vd_ld2S*v8*INivG3;(8@(| zR`#W80{{O^)ROLKDIG{tgA@`i1u4ZWMJ|XoRi<;Er2hQ>TY|Ob3@YNu#L-eRea&{K zh#|_Ptc0BeCR$P|BE{L4Hz#T`1!>pZyzs#zZw{gLd===hF^wb?q5QWWn2UQpeE`Wl zpP{%s;itd3w#A5g_UYOdF<^oI97@lgYt!fMoM!J3+|M4dC8}fN<|Pdc*H2D5dFIg7 zhOZ~pHGKZh)=_*L!@J*!z=bea;3g2v9P9TRK#B zU=B?^l&!AV8JQTaOxXr(9|qX#;cqJrWos%v0Cr&YR$#Z#pf+0%?2L+Czz)quZDlt6 ze~!#%_%japb|mB9%GMcj0;^_>x2{SZ0I7XzQv1~JpZiPn!S$^#YcXZzB5 zhX3_Zxbl3o2g4zD@yhdia=QGL=MM!w_QhY3&%z+HfQSC)ue^RC@L*~f{>t;`0}o&L z^H-k#7Vyvxf93hLz(XJKSDybJ@Iv92zw-Qxz(YIymFNErJjBCac|Ne1$uLLH!4>A{ zQ=Ffsc{H2J=!q<8dQq=NB$uo-QD!EH#Z05p44&9dNXjZn1BwlrPd4ghN}9A3O*NAf z71>Qws(M)=cn1lYUqwKYq__zQ@tSzel!78iOIw&z(%Vq@B>Yd&K7kREl#oP=3?{k4>{wn}iPGAH1h1Nx zjO1&RifP6*DMv_oDrE{ePo+$f^ig%RpUUc9 z4QcjMsRm7dD&^4pr%gCsc4!7vsSZtn%7~dxCrD_L1XWTeSx_Z)k_MHlV=_D@hlIU2 z&zRDLOfw{2V@OV8PGuBH?#z(H%nZ%K%bqBT2!`K&YS%E)_Gwr(tGDj${Ut zBr|-LWE5g1WrpM{h`TGl2UIYU;5%&amF&){N>^gsiVkYaXL+D?+5l`^FHSt-XW zK`Y0SWN4)vlA@I-`5dkCI!)3_xnwp7Br#1Zm52#h`NWEHzL2Q3iGWXm$Z+W&1q)oA z^9om!W&q_|AWz;3dEPC(^0o3!y%M%EbO+%#5UxidXDi=EnzU8QlS4o~Nlr z(hRNwPnN=!=W{tiIe-&nYz#Y+)6$DC%i`LcOzt_FHI-(6C9%x8j`F36T)nMHGr4+8 zBQ%$} z8a*a83o=ztfNZh4tn{=IX^ak%P>$A4Alr&AYeEL(=wyaKR=G^Q*-p;*%{*gxD{zN# z)NB5^!CQT(soa`xCsvz#m=Kid;{ws^!2{xW-c@P;%|C|7Y>Eg(ywZmg=Go$cB1=-D zwWxOv>0kQrBo#_}ZtiJDsw(!`%ma>qk37SWE|d5%QkGXu(ycfE>2r~{JxSMs!zB+( zc=p3zOohvMWdcSnrqLA-oT!SA6*67n!Go8RR!|XA{GusZAx|VijTjPYv@@ZKCsLBB zmn0V^4aF*HDOQCZF_AAeL@P)O{b5Du4l81Bd>dj;Xe89cu7qY_on!|l_$UP|k{_6` zC`EJsGD7lS>Ry_&j6oGH6EFh_rkExPd>J9PFDz2847&`i0UOilGNgcnQjkQGA&&$! zlP<%h(#0#}(q(8eU4~}UWk@<*#z^z&GBlwsUNECB*e99K7(S;i!`HbhmqC`%@dTg5 zmJt%+0yr+UE>N=Ex(t`N7PK*`EnMhT$fwIdE?X{DFSM3s)a9x|N?pD#QBILKkDqJwBE?#r> zMYIZ6R4%jvD<$jmdS9R|eU2VT<%itV@jL+bA3RAz5;XG2kKt(&ZAV zS8`pHVAfqhB58;u%K3)9kmgeC5`Ga8Lylco1zfIOgaNKbF?A;pkbo5HCe8zxaF-D? z>*koG6}a1gg&dNLH(x5rmr6>dl2So}E*H&_nwLy8h%(V4)zL18HA-Qp(#6-9ZmmL?bm=71Y zG(RrXX@XqDAkUC1=K(B|BA4Sg$&t(PA(uD0*1N`K(*@?grO9npMDyf=NS-K{v;J?% zZyC&M%3$tRm`cchOG*ZF&oU^$#I7*8Rt7W8VhqF1h?!zBW`Ijl%0l!)GFdDcOeD)d z{#baU25TMi!7`XJ7J^eKjSTdHzxlAWf=wd5_t=huu-gpes^Fk)(2(LYHv4#JUpN zg!>^)t;^sUiGec#X4B=bFkZ@l;UmEb&^@FI9+ainrB0x+0Dd9PpwWOebQX;OsPTRQ z3RCTp5~dxJ?P5WwT=}-a9i+7(hJ8!K7lV0 ziivs|K4mY1DSL@fnyMGXT)tdtv`L;`QGq1lC0bm{UJ&6@CdVs2XD{$DX)iVAov@b^ ziDsH4?Bz71fS@Z(-3t=*J9Z^z?nNd^=qvOlN!$xUp#~d#0wd)+2G@|Zr(41-UbTd*u%d^JP zg8K&y1b&z=K@c7fVktj@Q}pE(BYP9YD4tK7N8{(uG1L(Z6U$i<8nq7saopojbl^p_ z-6QcVjL5soM@61s;4$Gshg9TzLo9pXg#kw--O(Z@vkvByQaV`q_myo*S8>L$`p#EWzn zjYxM*6zOg~2>lfL@X2J6-@U&`Yn~G6gcOlZ93;|7@TX+Fe|iiN>7MB#-Ro(Q?mb+j zlShhlpA3=iJ6fdsWr=kEu_8TSJfR8xrzVN?z-&<`B~PTaMv)$rFVcgjigcPuq=%SA zdZ<;T(`_O>tccK$L!|mVT|#Jr=b185XZS2pXT%(lKe9rkN6i)KjCrE|vkOH2=tUx( z`I1OyEfMK4%S3u?l}L|!MWn~C5a|i8iS%=;M0(;HLa)Ktn6y^pPkvLRpRXn~8Lw`G z$j{y+()#yBI%lg$=WZA2yc&@V3x5~sMYl!z#k(T?(mj!0 z{HI7SeIU}y{>HDU(fzrKVa3YJK9b5SEhLp!l`Nyc{{d0i8w&i#4uroqfc@UbKNUC% zOc0{X0i?&3C>_WU`U9j>S`ZpNtkC+2^q`g^o!W}=CkpugP%Gbu0{^j3 zkuLpM|BtZorzktf$rOD9eEk%O#0270^q^g$0Yy(A!Y6bug-G|NP(omXP$c^jKA|B! zj1vD?l60C(I#sfYuE0_D;@N!&+t-JDOV3XQhYI-i6LjW#fgmFFJW%#+PwFjjI6>s5 zIj03fq;pX^mr8L!^p>ilLSpI}Vw@=B-wXR*mYbTNDlxSf@8y!#4?79<8`xUi9_tby z(bfB6IVTrf$h)-eW`ciMTP_ymW z3BXj+trjHxsKigrhO%~$sM#?1Yf-$0vn1f?h6S1_L{kMeBG`3kgKiff(NYQ6h-5!T zi7!!O{?;Z-km{&&D82SOX)~ zY#ggWmVk1Un(e@*B1?dmp=KXp$0AGUag3UMlr8XLja9Rcv9r8bC%8xbwbhDE|ToAGZCrQ$ItNE<&^-SPv43aV81 zvP73QP`CV0kpyyl9$;GD$_A2U{n5I*C_?6fyK^DW(F2l|Mixf;Gjzrz^W5oeMYgiMlu$H)aL}Fug%UZ=X zxWa$u3P8rP;0qLnbtM`ISlx1uA{1&A>hnAtK`RWghv6iE>L-e1LDlZ1iV&kg<5NYh zpz%_(8cxN0LE{x(gKxf9@tz=DBNu+A_(Bk_=Y=>D;v4LJpW>XLv87oJmqG#iFdb~I zmj(_|F!Z^iqo6j%hnqgqm7o@8!3|wGgKX>I+`%zxNL-`bXXJJH>QCYc#Lbe82UI*9Fy`|2~onTJ3acP&BNYlE_Jd-n zpb^`wMuTF9pwX4r5JtfJ_eaGML8}|DC3VC}#UFyglU@o^t)CR(@b?AO85%DwE?Q41 zo)Q$2n^QQg&5c?TKWH~byo4Npq0*R2}_+HdZVIF(9-{_);Y!Rg4T+4c}UXq{K|5VY3w7Kj38=7QomL17E8K)lOYxTu&Z zD168(aE*UatOXhT=O?gWC#%OTvmK5$d2>Yq%gPYu2igKva+i#&l>Xv^h5(Lf~!ud;)3LN1`)~cJj)mQ8oV39*ptG+nR z&>IN>GGQoV)UCc|^97|roDyEba00_PrEl1!g3<_#ZLlOPaxhZIekjPZyY#1Ct>6nVSNFqq3aO>Irg3@eG3Ej;3y44X@FUaS~<$O=y zvkpONsay%*9%bJZA3a z$au-1@GJyierAUYT3Yts+;^U}30gyWTikFiu&V^wDCuy(`bBn^AREieL=4;-`h~3* zw5I;6)+P2XXpwEG)jWI(5Z5A^Y3X!dX7LjcsyqKbbg!`Kg6@L<(7nnQ3AzjaL-!h6 zE$A-#58dl*ouK>Tf9T#|?+Lmu{fF*N7E*0+epvh;y1%j+g6@+4(Dksh1l^_oq5B&P znLjxU%lZ+Hwomx5^b zH{c3nP}$!=-^Wqf4`fM-q1b34-zXbyI$%`o-%~LQ1=YMDhg$RV&mq?EX6c_ptx>uK zSnH)&3HlV>o2P-~(UAwN7Jh2~-iogUjSU#QyoTC8S#ezuz0ci@>9Y6lqfkLuQ@eXO zyX2JC7o$BASGC%|uL5&=QKfHnK!Ryei6UsB_V2G4DyV&juRy%i)cyk$W;wgvVenWm%gtF6i(185K`WZYH#$;We7}PfzVKf! zk|U>oNAAf-?VqaX3S4LoJ#@zaB5$SC{(}`-;PW$@rkDUVilZ<8)HD-Vj6Vw0{zDW~ z;7ZN(Lo+DkZ4Ff{f*NltU9k~r)Ybsr)|bFy{86CxAEwv|S8D4iw1q<6*3*h(P@}B} z^V{$L)7g2)Sxs$iclYL;o-0qY(m&)onv~FYDv7Y z8=tRL=+4z8cF-1F5*EycZjHzFZ-vx&*VsADsF+y04JZ`W)-6_0GyK5k(ZC(Csv7WD z=F9NeD%gMSjJ33}!{PFR*j=#<8#^5~)_+f-Y`3JnqG=Lep@02$vN_rk-D8tV5Ef+OZ?GfvLfJL?2UC2C6YdXL zv1N$t3?ox*(5O-;QYx$_KrimUx#uH9jDA$tFDEpc+lUuAP>6 z>pL|*Fjk~wSi{o}Y71*fWMMSxCJ&0$w^`3Qh=kPyhl#v(NbeHd@0e`2URH9jI%LPh`A*+ub!C_XaQ+0H4J zm@_JNx1CcwF=upaf}K+$F=tHdF*~PZV$RsuvpPqIsn%R7hGs(T<6>{vxut`bYpL<^ zvCTR+H9jG++Ij+`Wv2Vjda3b=vBP22DNS@wPEDEYUeY;<21_Yrg6BCvQd6e7IrWU+ zkCmg?gKk+Hs~(M|1%)4So7jXZ(FCpdVK>9Z-lo_zcan|OrPw3x0vl^Xu}9stHrAbD zkGZ>TY%Rs6yQgey1H~S9bLoM@Z-Dosv2Y`waLd_*jHHAG|1r(d>_O-EPCOB;Zbb0gK`)sTQm7DL*x3O*% zTi~v-v7emeIv2XTZ0uKxJ?EaZv6NVFZkG6zDm7)1o3m`V?Z>FxVz;b~<)(7ayG?BD zM6_I5u#qpgJ#9kXXyLSA$uGK-Y{Kzq4cbC4xr=QqPqeVcmbmZQ*d;3Wvb)d5QmNc4 z?s*&gh049^<}DYt!YPU^bt~IgeyVes+selBQEa)JX=7)o&K2%t8!JHNUUL`P*byrC zy1UNC3R1Z@+&wmSnaZtnPuo}_D)*)v*OMs!0B|K*F6_y--10V|aI|pPldIfYY{JE) z8WKl{)Rec~fi@v6sfHk7wfmS&_${f1;OMc&U11Z7B-IcktaZ29gmcjvbi}N4PukeE z(ZU*g$IV$G-1@UrZoOO9#;&1q8{8%~_ItEk+BlsraqI=DDet;HZPsI(Ly_uOSRp=eSy!M^mq`?*c{Ia-6(zR5jqV<##0fty`VdHudG9<5l* zedw09v0@bax7*0ZE>N8xx!rB71eM$Dj<>O+RBns=w2hsn*vIZl8@rzB{KVaAVxE9Bq_QO^f~aIN;bIV*=vQ8YZG44yc@ zbSv3d$uJg-%dgy)HdZE#1w(S5n_*)QM;EFa^0hn3#->y38+U z`N_>)HEe<{N$cmo22ARi$!g-Fs{-JsJx~(m8jIO{hi{pLf^USoLUO?codV z9viDc<$iNd+gMF1chQYk3mc#omAmAYx3St(?y}p=#_CYHD{gNat4rm6cki{a8>rkL z?pzzIN9F!>-?p*((Q;ug|Hs{F6K;$a4qNsw_l!+w5UoL5@2Z=xde{m#MGI?8ys9?V zFj_8LvhiBmgqx#8sgn-ba|1 z*yk}XuJf{c`)nPz#1IOO@LEUQJ8omGY1t`W_8Q?<-%88Q?UlB%Hqko6gJvGDkxghD ztvGC!yj~xh&@Nhg*et2u12&=C-xd)rC!hDC&AQ`n%Lx~j-}}^N-SxMHg-a~xow8Xy z|F%SJt-@ZxnqiCd`rE=ZAuMAF{L>*GG zahTVP`kgnbmm>UwK!?D4S9U_I-3yKU@=u+CsT>wBkc zY(`jTu%0)1x$1=5H#1siV)si6UZ6Gb%Gs=0(b^NcUzl~1*Vbk|6laX+Exa-| zwvg&<={2^oXQ|FsUJo04j_SO{o1ihgag~@-UJ1W~67*tQZ+24r)z~)m@L;YdwIYrl z@7sB+lhT$()57CsdvAYI(z1U_y3LatqARpKnxqZe!7G~-UlEOmOS;`_k(Bh>KP7ea z1|=oE{!dAryqQT!Z$y)Hbvk>ilj18W-o@LS6n`@s4_CLVcR`a1qcQVL`P&=!`SQGZ zO}*et&UvQ#;e2`Cs%Pgt7|vVOR_Fb{ZwuR`rItEz0mNAj8cPC=)Tr0InN*XV@+*Xh^%OX*kY%bC1dRPTu+Cc8dJHT8|Y zS1+~nuQ7k*$*q5lKGtg)#WcIIM;pCuK<{yNdT0UsYu2_&`&K=g4jk4aJ-x@kVVOh5 z_REUiu2%lHB0Yu-%*dQDLG;!h{q&OX^Z{60(yerLmLoDGvtRFiqXv%48s&RZO7GhB zs!ym@vwC{wh!IG}T}4L+d1LzZ%evdIws-H~Mo74*Q7_U*U2}M zZz119eu(@uIl9B2{PoLEUY=Zkt0^hJ9eFSE;pF#|KS}-~`Mcy>$@i0=B)>|YPlt~` z`P*BXybgIQ@=oNLHu@@;T(MlW!qENPdMp zcedp9tw`RG{C4tzAr0lIO~k++Ib;aq@HIzmvyO zliM#&UXZ*fd0Fyw@_OV=$lH+LPTq~YCwV6M0P+#!W6AF!pF%#3dqLgctQI2ym6yaIVu@|xuS zol#Juzr4m2Z%N*sybF0x^5Nv;$?qqBhLEfKy2>B@T3FHrvKSjQnd?ooN z@}1=S$q$hqB|k%s>$m;M-#?^Kvgaf(MqY=!9eH>15#-a!ae;Ppeb$qIO@4sUzWx7B4>(`q+i+nKoNb(8flgS??vWX7!`zw1|a{r}}=Oa%eFGgO9ygYdo@|xuJ$QzP3BX3RK zp1d>pUF5yVv&aXNk0c*QKAHSc@+ZijBF8NY{K@a1MdVA!SCFqFUr)Y?d^7py!aDe_9>wa9NGZ${pRyc2mp^1fbgpnhIB71T;+g8KQ; zLQp?fcoo$5v)=~weauauzJInA)P?T@_4WRbpuSE#4eINkKR{in?D}0PN1xvdfO=dn z0qXu%8PtBh0o3hp2I?I`ZUglW0^LEqI=?@t8#5X#DKZ(nUSv90N@OlrTI40Lj>t-| zt_Z&4<=i0h30O~L4_II1Ab6w5aj=2NB~ZT(8Pm3O8tPr8!JG9S7+@p4-v`)Oq!!pj zq!HLuqz%|iq#M{=Bok~QG8}9vaxd6QWEyyj$kSkJk;UMxBKQuL(?(<+*jD5tu${Xs4LG8q=U}wGHGp6jKm(+n>^&7QdH<4=K9U=|DJ4IT7cWM8F-L?P0 z9@_t)esOR-*h~8#)WIGb0?Uhx z1Z#-g2i6pM6s#q(1T3fP1(p^00IV*u4XhyYHCRyuH)MAziJSo|i~I>z5y_#;bgJoB z+re~^l3-Pl>fr6#&tM0Uo56V^Ex`FA9l!-5UBHDReZXh6zrpA9c30pcky+qk9hczq zBF}>_==y>$io6fLB=Q-!L}Ul}vdA~!D6uZsK%F4gr0m+AP_HglHiWli7;-JihM zM2dp1YyX39h};OS)b$466zK)7(sl*k5}5$5*6|6xE%G9`R%98tMr0%Sj`lOSP6WS_ z;H=m60XOLSfbZ(~%c^>6R88fFLDdGN%srz1Ce3ihawMv{}!1Iex&;=xLITa zxJ6_;__4?j;3qncz)y7;(O{$U1Ph?th@x^DX$LUPcM-)%5`PiTnqocn=fzK8leUip!f=&)2_-xO~Y2-OD zmyz{g+{jKa#mI3mw~>_m$~;CYfO(BH2UCq?f%%Ng0riXD8$o^YKL-{xQmlZokdX#p zVI#MKX-4h=i)cCU8Y6Fj*BZfR70z`=4ueIF`~?;>Qn;Y9xRIKmo>VjkOB%Tg+%7T@ zyxzzRu#}Ov!O}+dfMtwa2Fn^LQb<|ONJFr^kqoeckttwBZ9lM*kxxK9X*mH_(d{p+ ztZJkNn6B*zR@3E!)s6H5YZ#dX)-LwK*vsb?e( z>ctg!FU+~oNIS5Bk7{I#IwZv{IU`5x?ShMji$G8F>ZF z()z%=wH?6zI-bD+M)DR@>Ub*-4m4619Au;;IM~Q|aER_t;7}tQz+t*R;Beg^!4XCZ z6<3Zlk`9hC(h3}HBoiECWHLC`$YyYy?uX!bBd5U$I$laBC+hlu_ZX=T-mCos{>#Wv z@IKuxaFXs{;ACz0fS-c*8~GyOVekPX#{ymkr|5B`q;jf}8^H&){lSN{pTUQA0`jFh}yIo(JX@Npyk!6%GN1ZNnT4$jo}0%sXn2|j6L3;2|gAHk<} z{{?3o$yG}EjFEES9PLkVuC^OEPumTgZ)733z{omqp&kdoXSMyn=d}GwD;F6l4=&d6 z1wL_cBu^RTD@H1TuNr9yF4f}+xJ-`&;Bq4iz!lo= z;A=*9gRdJo1-_x%Q&zcBw+DPvw+DPnk0;|G4eII*2o2LosraX z%6D{}gX?vBzzs(Ff$ti*AKYlka! z0yk@afm@8c27au^74Q??9`IA$o(js(bbG+hb$h_Ax;@}FBM*YxjVuRu=>8Ax)Z;Ap zh3@wimAj0T0e2f|4DK<~1Kew50{Eqo+2B`3-URm<*#>@XmV3GrB$CSv`({=k&M(p4ahKRe8Zk zHSjkh9l(o5MuL}&JOy6X=RNR>_AB_iE+70um!GcuQ^z~_A0y4czl`(-uNt`*)c@v! z#*ueGeZyfds4te!fUdp|QBCPNQUT27NOLf|BYnUej{FPE>Bu}Vmm{mexFcVHDUO^4 zb32m1x-yR=>7c#{zZFb%WH6`~SUnErcVsD8z>!bEf{uI#7INeSSlE#qHI!+NlmUx4 z(iptPk-p%yj!Xgd#r;cQQAa)li#c){Ebd65n#vN6)CEgA(ha=ckqKZaN9Kd29oYbu zab!PO){#qKIY$cAQkHk58d$-RHef|Z`h%4mnF>~RWHDI9k$1tWj_d=|9XStHb0lwV zWpzg?gEbs!1=e&V6Vx{&CWEydSqSO{X6wMZj_d(%(Cq>1ITEj8SbgI#sGpuQ=y9=yYmFTpz<`3Ahpk>9}Xj$8qI==){$l)W6O5BApf0sA;2 z>HV@Y#`f>sHzQ+I);LMmYh=erdgkzkIEVn)T%#oJ6zI-4Ih)0-l~0HB6V zCk_Sw=V_W|#v<9x^_Vy};wE^USBb~j0@q=aG`WFIRw7SZCNVYEzmK#CYth#+{%q01 zLLyIh$Herh=4{k+uW&+%=)Z9OgMIZ}^uN_5auDkl$+mS>671Dnw6RzL)dM*&D&aPW#kf%sYh4ow^I)$dEUufqMVGMa) qLs5o#qa%+I9-?^-!(b#fQy+(IjJ(&L({nj}E=Fpm2CyAy>;D7JeB5dP diff --git a/STM32/MDK-ARM/WOLF-Lite/settings.d b/STM32/MDK-ARM/WOLF-Lite/settings.d deleted file mode 100644 index 6afb5e2..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/settings.d +++ /dev/null @@ -1,60 +0,0 @@ -wolf-lite/settings.o: ..\Core\Src\settings.c ..\Core\Src\settings.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \ - ..\Core\Inc\stm32f4xx_hal_conf.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \ - ..\Drivers\CMSIS\Include\core_cm4.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \ - ..\Drivers\CMSIS\Include\cmsis_version.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - ..\Drivers\CMSIS\Include\cmsis_armclang.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \ - ..\Drivers\CMSIS\Include\mpu_armv7.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdio.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdbool.h \ - ..\Core\Src\functions.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h ..\Core\Src\profiler.h \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h ..\Core\Src\bands.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdlib.h \ - ..\Core\Src\trx_manager.h ..\Core\Src\lcd.h ..\Core\Src\lcd_driver.h \ - ..\Core\Src\images.h ..\Core\Src\lcd_driver_ILI9481.h \ - ..\Core\Src\screen_layout.h ..\Core\Src\color_themes.h \ - ..\Core\Src\fonts.h ..\Core\Src\fpga.h ..\Core\Src\fft.h \ - ..\Core\Src\wm8731.h ..\Core\Src\audio_processor.h ..\Core\Inc\main.h \ - ..\Core\Src\front_unit.h diff --git a/STM32/MDK-ARM/WOLF-Lite/settings.o b/STM32/MDK-ARM/WOLF-Lite/settings.o deleted file mode 100644 index 733dc8e7a57178a17f04a1150304716ce0d6f9ee..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 81084 zcmeGFdq9)r`v;CcJHW+%?3k3!<-6* zWi=EH%L-EqOA8npBI-Q#Mnm7AXlPiZR#=wb>$;z9%-(yyfBe4xeE<0n-n;MXd0p3i zUH9ugJ@@mBUWC=jW?$7Das12r`e0V z<6r!G>GD61%o)|{9nSE#qP*-W%1c5~c1$md37FyVk5fE$yQGyJW6krQwu4b>|C|+f zq$m6JG?gHN8MebNtcT~%59Yefw)M|1k5;yLjSY7$tKdm?Ff&@YhxkHiWV!G(!#}^& zZGz7Pjo~NuM+lPq*sHm8vaGRa~{^XbQ+4~wkUif2;EvOIv$i+g6N*0QpDeiVFDzS%Bxt&%D zzZFQtnrTc?aKzhVVymD0F1_HjJ=&YH>6(?j#YgdA>ixbA^VgmDY1xU-UFT^2S~Trf z-WUcCjcV*GOQ}xp_+ih*ZTRX`=#NJ~UQ;p&+DPutNan(I5oK?UIQ+4blMhoOa&zz{Bp zrCO&lWlW*f4_tALePefVd4xvLx`5qPtcjq)qF=P_>J}FB4)YsXVy4%!VcyOq!&&)m zl#sXMO$JxRs~Y!Q{l%j^jgAsub*8f3VeWXRcKn{w)10JXe=X|s3fUvx96kn`(K+U= z<5tB2NA=It7%$~8m9z6(j5R7=kxFDy zJ89r4{4g}-E7ov|G-dg2oVD_@^;xW~XD8&{gAb%}dbB@SxU!+3K=<4QCy( zP#DZvEoWH}Qe3ERHMjwm7mkoO4#cq=;?;m_Pi#CHt|$p_W+ef;GGjf zao2J=MZsnHTBIf)II80mVNl-*1<(Qdx*gkq{bGmjRDwGU&ki}pb+geCGWu@MRxgh} zyyU5`@w_HMCvOxZt=~0z0<1^w*=5Y%Q3*hcw$Rrk=qh$>^>XYZfNMfQo5Hh0>(J7K z7|5IgBMLzm!z}M*mY1026=wNjvwV$NzR4`#P4W`YQ^gL@8a-a4yNW$;KpvtK( zJugCD40&wQJ4EXa!=qV()nUtJ!!M{18}Cyd!9 z7{3;}dih!yaaro3HJk$MSDaOAaE56Vz?fKYO+q_QnNb5JZ624 z?9ffTz|lHTp_I3F%qf_KB%aV2dW$_C)Hnby_nJIlc51D0z`o~&`D=2|n-b67XWTGN z8p5)C7_*u(n0#Q!o6U7yXx6h$;t4GY7A|%Rpbaeu|NCSJ-2?iJs?etl=DMJ0NO6qk zN0$|GIV)zYV0v++N?`u?JXa$?9&4bVc-|ufsiwf}l*L)spoZ?WO0J$FEGtrRf)-$# z1lO}5Q`o@4MGY>&5(YCf51m{Myo02kQ=sBZtLCgR;Weomn2dHQ8w(c}>|0xjM*=Q`8Ec zl&yxm5En=AA%6{LtqN8L4n#q(o=WJ$^_&_1C}KE>ht>$98>-PPBC_ln6zLd20`ZE< zR>?6^Xq@TjEvul80}?}%W*M6_OO3ivV>D+*7RkuVR`Wnny{aCY7nYB8KAfpw6Y|P3 z@EJo;!NSCWg|!g!&~va!)kQ?BNL;2Q(P7J*GT3x1lvESXK?_+cqgf+)PKZIs2{Fb? zxI-RQb)41JWlC7N=teN?WDNatk0@T@+nb0Nq1a7Np0W3GijMA>^oF&xU(W+&e+u z3)X#4*npjbX#vUdpbT5DY7R`ND;B`^568t?)8>s=wpPR`@PSzZD&oMNigGfaOinR8 z?=jq92>=z&dg!`ZmJ0Sxnlezq-LFrXQd2?6;?(=o?cbWwm|e6iU6%cGwfG!nHIFet^gKU zIjm>`aJ9=Uf72}AW0t>Tmd6F!sC&;WZ*KD^BJWY){^69w^8qaD-M9&9RXCzqmEcCP zCoFuha|0nP`lkKNKZ>}$0lfL%#R*{a8-qp0%cyvIdpw;9^wiAMTumysB2yM;S zq;#cF<3)zkHBiwybaZ>PfFjxIKoNI7P3RuvJ8J~6?T1VktUeM!uNUT_=U|h{JyA>U zsfSH3dP_E<#tb$cy~#1(&nU>gl-?Z`8#hJt2Gxk~1s)kf*n8aZ!gbA3SFeMc6x?;M z7Lk4hQzh)BO-pu%SjZ4skJ5Nk&T>YtoVAB5W=;|xEndJ?IR*K&;|$~^9z{9pIh03^ z=xu5N71ALNra+}UifWOw7!E489N`!yPwh~eOr_OJ&hIC)7R6M-?dF6uTukxar`WT@ zYcy>CZq#^o!Y&%tcNO;7i;%~)*t4hj*>P&TIr?w+YaDV*1v5hrv_DzRVcXDQNeDM_ zZB4LE^@L#yw{O^ywB+^&^3c;LdV?fa)B7cr5cf9p4HVuw%Fq6P+08;^Mx}fo45rO$%IJnW;gIch8ZtKRK zCs5y}5J2aLZOKnwu)~L2GZl;6)2R!UoPz&8FNtxm!(DK%7i_RSVBcuEsU*|m|LwK@ zZ?A=YkL;IVllFgmEr<4h?zPkst43z>sPZYR{UE_BFRs@`MMIFilahDqG@?bewtssJvN$1e%g?s8y{pqAAa_j0@J zgM5}yyCpo8kYCFU62Z8ib>^`14AH9pdU@YBwDJ#=sfd3vkxvQX+2_+PAQ4MB~`svc1IPcwu`c zxmfRiahzN6L-F=NMX+XBm?++dS+-Vd)7%~Bzi*dgNF)?44#V%o3e6u@bih?B3k z-mh_7qqn{*=M-u=tHhk;a;FtSxK+XYS{^4~#aYgCTuT|iKM|F~2!5pEdHH3uMh_b% z9$R|)!0r4KoAQbtPpR6L`q2N~_g20C!zaHu+9;WfP!)y8zLZd@^4PJHAGU#Fk#k~k z7d5@sj>%q3F@<Hhhk-EYgItBa^KUaw=n<93=RnWjdF3xak>wm_L(e_KLpK-&f z%)imx4gby3k!j{`94)=cox-#i6Ti@n+%z@2z|G8!e80sdTg{v6%izztBhB1!P8EEw z8f(@X*@185wwk+9>;CQ3UUN6LY`ryVmAM<;r-oUxwwVX}Z=ZHKrJ|$9V4aHuS)xbg zMiy?9I#`c3Z?Oj}>YMt_JsBVBIMuDiyrSY(28XrFydsxuVUu9fV5>TB737FiF=pId z+pc!lBr>lkEx)x%HqI>M@$rr!Ztdn3HJz4lbk9^YeOjMfywj|c^gPe;w9hv01FfH=zlT+rd9&6vjDS6x6$ZiGY^^B3LqP4=h(`3ld{dvnfY+j*)j48T5-#y#J z(Yl1k`#a2O){^_Em6Zrmd#eAk51SVLOT|}MOmLUcix+$iD8#VXJCM8l#F%;<^ zur|-b??bUIB?Ev7HlcF2OlSpVA%)HgDSe4DF^3O1>NwUtRulQdz(Srg2E;sky)1Jt+_1F&3*hOWE5|U=V(Yd*b`o ztP;5tJYFL*;@%gVHvZGS4_BW7W|=BbGr8y5=GO(3=|N`VV#^&ZG_oAa=nCN?V%6s0 zf41JsUu_bAdji0i+`Id3fuk5s1dTtyMcB*<9?x(gPE(7!w+boMBy>Xzy8fQk-Xn*_ zX)0{SMV(?xnH3aYGLkQ4RE5p7zWlP-i&H7~YNzyawwAKt`ZHxL?~x3jGJl^EXP?qU zpG_q`oA>y<-t4pWrq4F!`0ZoH?+hDXl{WsZlJUFtjDP3m`1hF;-fiB+&?<)UKLopx z#g(VIVlRGp4L`1%FY|IuE_Pkmy+89$t#E}1r*pCO4E zUYR4gFr1$&xjUSnCwV-auaww~;IEL39Kp|*j32=-kW3rFFO{#wbQ5&ZR%(h=~ zg?r@(l4AGDI*Fnxaz$m-c)oO6W%%66h`Tc32W}(aKO-gd=Y$GMuc%|i*xnV?7P#FL zJ4-`2cLke5DsOAfNkYnM95W@g1)6gRaW+CCXh`+a?FNW~hDcv@ry+9pD5Di4q?m@> zY92>Jd@95U;U1En&Yj-S_qp!zuIY{M$Jjo%>0iT>Hg_ML_tGAhru@wb?Ca0P^5fqM zz7RC-lUpGO=xV&`mKc4h)Uu*&)! zVScrz^}g%3zF54(^HTQSjQSU1_q`-L#I2h3jy8K&!kn+p7x1RVIjJxH^vfdO$=mK; zz7X6o>#djcN8&#C;Q9~K$_4w&BoF^sv-rJte#}|r*|MSRbf$mnq}RTXoE($$LFjlk3(tYF(zQ?c1^HcujEM5_QHk z&i6ljX7~1+cOM=3a|UO-?vW^K$>?oo3JTsmdrsZ)v*=iy^{(4L2SvLHd_R)ulihPl zS`@##^Y>~Jh~C#{3pK}QOui&Z9{;B7l~U?3lXal-d+?k0kW`s}Rq)B-yV@c;z_h#W zIj<*XkMMq>?6fv**o0SuBsyWh_)6;o5wfq&?f;;=&%bWcTi@I+cuBo{?u7d#TORZu zx1TV)=HfD!z!xsv&HlZ}@#TMAeH6I+CF`o&558Fc$t$E4_4D4_{}$a2CHpf&X5OE5 zUUEO=o%c2!KBN6?@!xNrKfUk!&wZ*^nJUw4_jqrwB)zcc_;9a-QBjy~gBl>_M~U$$L(?eQ

  • $eqa zM_z`O%MSj^e!`jCd5?GJ+*|(s8D9fLL__|#|DJlkV%@H|;CXa|)!r73Or8|B@BFx| zg|&%0&)wobYou^2)p99^ct$t>Pg6ZIHvH>)##?71W^JYY+;=@Ygs?zShhT8-gmD?b zRS8pQe8zDIS?(VUSWTSa=OoRe57&=un&Vrb8v<#SSqq2F!iW**34Gv z%nH`b_B9o7+OZBE7evD|BFHMNYT+9s6aD0lXGGGVk#6 zfX9hTMVqG|S5MbVryXI#{ggv)}-pGZoJM;m98zcKL8t4OoHM z)vB^oIJI>n54Ea`C;im#_*0kTuZ@m6cm4>vi_uW|t`!z{5RtVHP}pC@X|#W!kcl=aQU$qMRPc z9D6j5x5Q4}LdQOcLg)j}%JBKpML4J~_U!C}=iwgr7@kYr<_6bGLw2jDx68Z@fxx-l z8h92lH|~+f30uJPWpZfbg)bUxfG5M?u$M=_!a8}QI=Edoyv&t{_)s*q=^`A|b&r74%^ToA^vLP;>X}E>qe^wb z71C+#x)}{k(^_>9$_c!Ju=4uk+l1o7d~a}XAsX7k(aOPf`P1h-Q*5wNV_zoTp9vV>!q`(A|XXp zz}-*2XS7gSZa>l{-#=P7wzy*Z_6y-!o=?UMKmV{dG+uk%Je7VoVV$3!$yuWpSdX&j zH1{@rCMr*Ii}JH)`*C#=dv1w0cZcBH?SiNs-Z4A8ZMO4m)5ga(i(YRQl_dqLPYP%I z@!1mP&YPo!H@#puOAY4EwpQ55;r6r(NioaY-t{jHja@>A5_tl{ z?|cT|_O@FoZZ~{=Crk74GbbnIr!OwZEG*2iD375U54a}>GDgo~zRWK5kFC=$H{ z6@=$!ugc6Xm@JJ?ibrFN~iP9+5aF`9&F|NwSED@I=`>S$JYhc;cM!#IU5q#5n2fL`h_#G*OzA zD2t1eMn)x)e=&)Y=rF&;q-6Z`H+%-*XJSNBMB@C2aFIl20LW;7@q!;}MkEkL`Xc~7 zj92|p4aJ~kCJsQQI2n!iH)aAz#;6*Is`MpLe&*7YuyCoVilC$;@dO)69rt}IB(OfSsKPmRb|6lA6lKt!%0Ehkfwk&&NSP!JIzOPmv*O3N}* z!=MKny+}<{XYKePw<=42{%POY`PO&PkO( zf}dfLih{y0NkVv1YLWyF z&eZsLQK3Q4y>UoNl9U; z1ur*_lPDh1tt9^Hvr@DJe5&X>_In-IyKmVnm|x zGc`pP5BVs?%7Oxeqj@=b`N>(C(DE6P(3=btVRm6&-g5ku0DFpMu;gGNB|A4GZ#Cwm zs7Ys*D{-XKrKtRDVkivy{<8eMmAM&`aA_*NxL__RIy@o0VCC|Ngz&KJ!pQv074gt} zpplIo3#Wx;nK&`0E`?zUi^5=QLG>AhS*B|^a}PEweG$zhEW{1E>7d@Q5U7qG7@bTUk()0E{QWY$jFYWT!#L&d$p~LGptC5QR(V5kmv=m!?8bNmY_LK4(0&B9kJ@|Ozd(=sw+31f1X<{2fcaaKt!$X=US0P9C~dTRda448H^b5|}$VQSjS z>>Ln4Rr$-ok<^vBidBm299&ZJmgiRz%l{q-SCC!T`!raW&$h!iTs+^ov%YAe5@|3_Vk zaV?du)6^S@e_<<^F2yEYn@O+E)TSCZSA!o>iO6=mA0!hnnTW|GOkTjm*RMoaqvMuT zI*#S;2&oW8|0pUEzN_Ol`y6k9q!E)AOjBOW9lO9ZZG3mpkACpIz z3}EsE6V*vbN--(NqyiHSCY6{}W3n3)Ehe>?)M0WElX^^!VA6oeaZDO9X~CowlXgt> zm|VuB1Cvfnx-jX%q!*JuO!_f-gvkIVPcTuPf}|9aa!e{P(O^=ENi`kEX5t9~7S}|$IM32d3Ogb>>#H0(89!z>M>BFQSlSh~gVDbbL)wht8 zVp5Jt1tuCyDlw_XWH%;SOlmQy!{p$%T&B`)EH`R%h0y+%sDx7KxJ(rY#J&|msv895 zNcj=OHehlb6q2D!?4?FS!ox&}i3k%hCNfOqkd%SV=sxH*N1+?_fX>C~Am|6dNf3BD zfnWv*9)aKr2r^JG69fYwxDA3@6wCs_6A=80Hc$`>0wMIxjV)lq83fTF@CHE@2r`_< z&egGd&e}1kIynBFPP#co%O>F0Vkoh>EdB+M!FjUYi2xgO;uHaEsd`@IR0&0q(t0RG zm`blw_4?n#jMp|mDcp4JH9)!@m%&-DXjD>%y$?0X`$D{-6bGfA6UJ*5P)askYljlw zRI(pWO=6o$aZsu~ZPJ5MvULC-4%6FD@q^U1l-?DfXZVNx zBFHHPgGNsZAjW7Nw86?|j73#m!r*4|bI-*yhC?6xvu@H zEIMT6aNmkj!NL0s{KgU8VC`U_<*dE2!Lq1Hm!A;hm9C;!0>CUgUmDVAi-Evhd{PX@ z?wECB*z%q^xokOA-eFI5xKb7K*wb`jd%WYG^O(dQMcMswA?w#+jSOL00Hs_=iRKUM zvbWp3LsV5*&sz>n8TofcB*jscpQThUF&Y;{)CWu|j68)6@HwMi z?kYJC{EozmuS3OoH|Hps<`swP!U__>0l6-$a18xfGzJ|h1xK!L5A7bNB96#Y(2*zL zNUR??BFm*F1qOT)5nym62OW_GO-c?pMLrAhQxi0)C$`m?Fjay7K#RQLx! z0qhk4GKSEGin~m`=|6P?b;JS1?FCduql%%VT&AdwwmbMxD_JOZnbHWK@~LtxfCi46 z>nbEgBx3|JiIJUCe`WWP1%?KwZqR_atjhpYT}Rc%aEE^Q=yxE;^-#yJJ!dB}{=nxj zhB~@TbqueZ8p7@|Gf4H)1|iI1HiILY%UW=u^9e%;{Tlp`hD}|qp1Ll5>Z=c@)&zvO zOc4j%j<>&U_iv*Yfyp;oWnW{}a^i?SxhO#TxEbnGLH&SFzwO{a<6)FQ3}>sRPN|%{ zo@EVhdr(kY;DQ?+1_KO?M4wSqU@!o42^iRW+rg1G;0XqjcsBuNFZr<|+y-0f-&oBj zq&bPTMK^k6Umth$p@d9;#oVnvcUPNmukPHvddEJf-QlgNAJ>xFX>SXQZpHpVyai(c z+|L50LyfxIXJ5E)7UGf{T^G$mTwkmr>& z0D}|+0oFyA3<5ezsddq<*?*>CyKx92$-3yF0RdwhRMM@BSP68sa6&hBZWu%_pQ?pU z@FV2l2OD=}PkiwGGe1M4OdtXW_nxi6bS(Zv5bxe|)*uKXg01d74%27^P>wd-d*Y|v ze;qsGEZWAXo~;H1)Cq|AQ9Wl3f=JrNs2+z9y4qOU#;Bh75ZY)WZ9~wr)gYKp+Yt1e zH3$~cHUvEm)9GrL&^81;@zZG=X|xTGo~;JKQrd<`&)Mm8wJ*~)JbD~v&?@;f;;pH_ z93gafz&8bhleV!5`^1`~>C?YZPd~PI z`d7N?CvHvea+!5AU{+7ktUCp>dUwvccX(FcrCC2coYn6V`XC_mlGUloChBtBUvVSt zsk4{QL!p%v#OA?A?Dj9dPp1WOUvrBJNBeOlA7hBbHV3tYZ-6BKE zZYw>cuB&cCr-DVFbgCp?4_;;18?VYKss$Dn_-UNM5nF$tqL?sU)s>J=s^M<6 z6}l{G?~ldOpX>btO7TH4PE59eEq1Clq6|a5f$7ksYwP5#F-{(hOox-S0EaIiT9^)} zb#3iDrV1uUFfhd~u+mXX8wj+T3o}AHuU{Wsi4OJgT4CrsGWZ6{txSiry0!rnbAfFc z<1&+?9tx^1VMd83vSs39-$Fm z(}IU~fi1M)8(P3}47^OMG}8hX$G{#UP#FYc9RnZH2px?Ga13NS6Hg5Ssbe6Y2&hvu zBFQn(n?@J}>5hScG~!ztQQ#ODP9qEgwPRp1jc5Y|Hf0@)DQpb1QV=FOF3?O|9tLx} zG76iOG~-UkzzpY!>L?*Y`x!m&9%MPZ+k(7mKc@xtEQgwwwhBjfqd{-P4B=| zeL*7{SPmZ(fffbW^?p%N*2rQ%oKjN*Mi$Rb*Yjo{Y#;4Kt%qd}Xx%zPFPnYP{<@Ib zKnu>!&}(Q!IW2IQsn^qjEwmthrk)o^Y*ZL*%+$+h!5an}GxZu;P;Ia=ORuK|ZyRjP z((~d;wYv>AX6a?L;5~zlS$YjEs596I)$3`&hXxy=dR_vtanN8RR4=0ipBQX}>NN=m z+k3@SgTY3$UQY{-8*D`Dd2s}fFFGZ8?k!ci$pMBuo0`5(Sj!i8?kx~2*3u{ur-L)>uH2N zEpV8v=Pe`%H(C%sTd!G2{BWlQTW9O_G(upo0V<0K!qZ?QPA{VcJ_Z|cdJQd@V6YLV z*VBSY1{-mD-eOX%ufayVUIqf_Qo#lr@p=u72r<}**YjQ?Dl-f=K!p~}GT2Da>t7;{ zMH_4+=y^+sAQlAea@#2xQh2l(@<^H<9+{aDxvVrYyEgKbmdNEjk$LQ>72Z(=;Za4k zQR`ZwUS-GDc*pJykKLCM`$1{!f!f%QT4F!$iT#v4`;hnS!{M`!X3YMgboQ~@*BoZn05Jg%MdXP}g759f+Q8M^1f&Qx02y~_l*MAH5_upW(s3GT9S z=7GS)(Xe>HRp;km<429Lf=$=pf+U0G32qS}!LE{B+?qc0BAlS2((!v0=?adwqe_{Sg+4&M*`jHc=ILFT4GK~^VM$UP<5&u z=F={45DZ911ZL0%Z0UW^OL~1aF))EPuu3AWBNf)u20E=A_R$9D#X&tHu$DGp=+8^^ z`j?3T*heBKYbDZy#6Ul7pwG(TC~W|G46YpmR%|{{A^-JcXAeV`gzbWQ>m|}p`~rfk z7zU=ESUH?H)m}c##FWa~p@|k~Y3jPz4yTD_!`^wPOJLwAY#bFXOR}oUn0NWH`zm8U z=FdJ_Ir{`Z?qp@$S$_O=e!}g_g!`8RtyYlb>(OQ7@|6I0`otgL%f8pk{jQ%*>$!Kk zXMp{W68C$4x_Zxjhp?b<{}BrxGyi|^ zJ!yfRpf+w{{0DAIDI(qb=trD5K>c0K2yU&?*Il%M=ke)dbb?U!=LFQwlvE_aQ%hIw@jRdsz=>Ka@R^vyfaS9PH8%7F&g4;$uv*e-ff zwWy{|xmgqNX;avSaF~wTdHS<)rBwNF(c&>ZaXIz+(HGzd0A`MJt=4uMoo_%FUp%I( z{pEU%&sG>W0lb=sh5IrW2CxtNc_=C*{VoCa>y84ky8e`PRbv9UAKsi0U>^`ZH6YN% zi~88g{w;3i-cfMKQRqT7DmTl5zG@1CNrFk?1>LAgEdi@oFuUqrX_}uCpW?=oLSNLm z*Kb^O^xGx-T9<4tTeQ7w(Xp~cSKF3c-?FHC%c2uyi*9dOba%_5`&$-Ol`T5GWzpF! zi!N+Q-4&JE6qR}sBxQ?!X|rc7KUTFK>d1cRKB+dg5bA)SgAO%?eS|^dg^S^!@$G}y= z0zD(jWxts7q|xa&m9vkR^KU3@V0+Y;%xw5?Rj^~LnI6iJZfBoj{M|2iqBH+5)9zOw zUZ|Rmx?kuFp3u&Kut$E3F--|g6jI{|PQtILaZDL-U<`Ny7MjLDi?KcQ4Dwri+pe(7 zu24R_u<-)Jqr7<30Mny&gohXcKZuRv4w-yFPE+QJ9ec!%T7>Bt?)u_U8Wwz$-=nwK z@d5)f@axl6GOCXC{McffwvAiQesXqWTwi<%%uN(VO`osdibi3*A zj5;|mzTS4?A@_-&#S-vyTMxEx1?<61@CTCW-uF^_QGLr=$SR!e8Xt9td)X?k7B z9l|-q>34*8^Wa6bhhitY9ZLA7EPQVpzFZF9?Ovd- zP*2+}omr}$p)Xjh|=!}rv zR3Y)RjW|O!)-(LZeL9Q^u(lc>{sM~{Yi(7zLoT=d)2rQ$|AGFfJyqc*ptvPX(@LXW zfDMGx8u;E^XvYJ{f;mbI7Rn{V_IbNiGhed@BNMn_H&BN($B(6;pmn8*TMTCe`J56)c9)7KekyWOIwr+g?J8;y=Nn>{*LX zdeCB+(V_+|%7{h&_h2!NwkQCL^UW>Fw=F@7k!UgNS&Ly2Q^8`I(V`YDE+7`&(P9a0 zaST{oU~X~Ewp6qjhZa@OT8!R@7E6p48_}YiSQK`G#XYn|AF#O4+@fZi5-ld8#p-7* zCRoh?i+hX~ThU?`u{iEJSZt;(`hvy9<`(O=tw4(l&|>|w7Lzm4Vzbd=FIrR*iwA!J zi#KVDfnafoxy8n9`Dk$oT5NsR;=+??@utyYKU!QvEPnQHu*e)vdQS*gl$%@Z*j9iR zGtgq!vlivg%>;|g;fCJhqo%4sx%iclRIu+1uwK5g4tf$(q=zm>K*Q85N+Js8JdOSV zx?#=dkd&oDGJ2`KEd@Qcw^%X1V=Nd+BOU|^nSoqzZOrfn=%0U{Vgc;M@`cuvuNw>8 z%rJM8yL~0PsYEw!6} zEnSqDXMe6Z{MutM*Kr@r)pSBq2GLSb|5|yg>tpUx`zpai3^(E(etnzUwH7sjq7 zv6low>?P*0m$?*S>`h-l!YPDgF!th}J8zT~1`OQ^BHzW35 zWJ;FV+b-gQ1I#qa8RoP_Y*psx_VHcw7g>*2e)NLQwc*N}#de)9pW#^-FIqmbU5G+= zcJ9$kmAdic&+S+803dN};WFY0UZ2h#9yjTLF1#D3CM zE{de^>%I(+O(DKHJI+a7c1=3{S)=URjqw+!MITI?uwu9y!|h&2LoEGW6@uAORr7}3 zJMdkV8;UymyDBe^yw@6NfWT|n=g8MqHid+o2aE9im^Ym4ed%ws3^Y ziOodLW{*wA>}g{*c2FA20V(a{La<2chcD%j`n^}V-D{|i3E^y>Z-87gLi&v^t~Wqp zMjId{M#!p6gOw#CX)6a(43IC4ko5*gtS!jgBr5PH1yD?~Q10>A|xn`_rwZY2i(T2ADgM4pE zFLVf^`5>)!*H{PB=xMm24(~N1@5$6ty6}5R#b58=v5b-Cv~qEW_q*!kiBgXdK`}oF zueKRF%NPEaS15KCIy!%1PeUq=kh@06H%3T<0pjgzu(Ion!O8=X!OBbnB-zOT*~mT` z|6Ch$|BiGw55;Qr;`^t5?SCcZ1oBgW1c2*=vSuZJ|jGvPLz?8r2|c zRD1I0|PC7nTIA zO0S#p5%;^-H?Egd&tv~|GOSA(7%V&n7l)+=zez6Mczt8DVgbBkz+XbyZ7d7j%xNU= z7}Tdz`W`VaRpsf#c)Vxw2~XyOh}5O7P3sTI*18?uBK~?ooj~JTR{JOOpzzV_->$Vk zy401i;gBYTcf!%?53AnbVf`cj{w%G3^5{Pgy}8r+{qD&Vxjfckk@VxATo_Q=>csrP z3Y@xgGVF;wFweeng!Wc=r0@-VID zZMUVa#aj+(;_W9|55M%qtBWK@7JPYN)XN_W7q@@A!T#uc-sGV4z)cHpIWtZqt2ZhY zo0yc_TihRxx=%`W!CQE1#;LS}z0r+JFV4QOee>Dcw;Xh-$L4)l>0{GrzwW@?dkrIh zOWfnF4Cvn%dEnO4t}_kc)t_FIdp*#OqNpHt$b7u^m|S}R22^Jsy|rho%R6@`KNriK z`Y`q7%8=YU-{iRc-S+XdbU4m{6QWU@zIpqj-#bK4t}Nd5?WXQe?|e8T$o{pXDgB2O z10NmRvJL9LU$q`oUjF0`QHdChDia@ELzOG2@>|<1P??hQJF1*$rd1B0%BKr5pq5wn zjRBSXk6f?f+02#Gs4^iGRBq3I?K7gX9c!7lm8j&P%DRK zQQ6o=9E&0&9=f^MmYvxikOpXNvMKPVA|2kRCr)Rg@fWUp+#*A+7N^ zOd93!K40Bi(+Z9Gpk{B2>hZ=i%q1Hfqj)FD6$ zfHD9$0>BdhvjEVH7A}B=y#R;=z*Ydb10V=J7CCAK8&0~=<2 zf(v`|yW_Zx)8l#GYu*-84+ABdUI26gpcw&k0PsElLII!wz}P7gP4vrP;WAh_0DwdQ z>;u4T08|4Y003D4=mbDB0+Imm0RZLzpdJ9}0BA)ESHQwY0GJPej{&d<01W^r06;HV z06;SWQULHN08#;<2f$wR;T5p(9a#7n01E+d6acRPpaTGh(FXu@0-zZIivaKi0P+CP z4}f0)&;x+>f3X?$pW2iZrn<1j-@uLe256|K&`?jONT~3f65-YkHbZm>0BHa?34kpC z81arUdUBA2$^gJw0MsKO9RS|~;0*wb20$nPTF}B(uy7avO95~e0B-|eDgg2T(1R8L zP>+CR05}hT_W%$IfY$&Jz8oxE0}Dq1@G=0d0N_IaqyXSk^Z@{80Z@;CR{-!Y0DJ;~ zQ~-Q~KA?r~!NL~+$OXW40DK96)d2W8NTPYPyoBllKtBK;Q5tp{%;tNeF`ad3rLPnY zKiY@6VfiqgrAVTh&(@9*S7m_ZLiTm6v-)++hhtvn(DapxpiToybVkex1AYB=Wx!pl z3O8Xgw}dgVt0G6Ej&D6vAvt8nT+5)gvV>6O3GKlVl>JopW2QB@G&%f7D=K`H%CD2x zXXn<*>#dMnuz!*L6BfF(zreh$El?c?Ke4w^=~4cq4~B&2;b0ew(0eXgUm zkMG65+O2`cd#Zy*$Pph@pSEwTkT7CrpQ|4sN>frouJsQp|A6hc03wAGnx{^1)I;^y zuVa|18S4^^tzQcl$;lA)jA~%b|56?Ozf}Lk11B>N!7pl>4wz|TsDy62S#9kc{Og&6 z!Kom)c4uCI^+q3?U;v6YhA+`=;IUj)uQ~iKDO71kHKUCX-4e=51w2$-+-uex_4d=y z+6)Zlh^1f}{ck;iYXjJ3deUY_kE~eG`g>b?&6?$f0Bn67Y5kudXKH=(k`KQ>fMky z5zgu89t<_Q%!eepcTxY8vCpjXx6qjKpr6sH4s=S1PSIUdK6pUfQ4?E8*m;`??d8r9vgsez`uvufGd(vUss3v7mW?LUvjn) z#;8|p7LFt1q}v)l6H$9`mWjhix*!q{VRowoXuyc%V&xH z2dKZmI2U(V2U{X*>hIXt)i`Y{&km9`W~gLMeT@1CQD2Mtqz4N6ERl7ihmds-pt_(z zvbGGBtbg|rvQS?=)kxOLE=y!>I84a;2%t{?9wf`x8T-=^rmUJvgsg+8pN6eYIz|yM z$U?{1L$GRsO-HPu6IKj0uDee#E+zhrLG?&ehaatRu+ZUYtQ@gAf;9}Tu|wr6#0yu< zKEfC58t8S}&32iEzM-YDy@;(RSh^qf4z(X1ITsD8PTycZY#j{>otS$zl9KzJ`=v*wQ zI{l0^445eUuH?D}$_8lcPl$bDMp^DqDeJyOC_9cd?lDnzOf%KOD7#6pYJ%NsM%nJ6 zQug>bq3maRttoY(bzh~))TB@l*M9{Lm6e~6F}8zG9;k`Cd$5J{b+%*0UGOz*e7O`eKJ(aye<>U z@K1O<-8515STx-NWn&0dO|ZAjC_6Y*%5453l;MJ=o@Syfw8Ii*G**sS9l;`Ha621< zx3e346u42FB$YtbhI_Sgde^73*@zEuHK@o6F;-$fig%CU%mSx17k3atsuqge7i*gF zCYa^s23_HToi!B78HpQ(ZuKQj-L`A{xqpL+Z$u3vDA`)vEixR>tYb7PrTw9DFs4#J zg5!cOnQl4<3Aeb(p&0JlyEG?8Q0@MLSdoI_t*3B1?5ORBS0~cwo8hjorP!A$AbHyF zNcW}nrki2k+OcxD5PF=xoo?aSIlvYWZ>Jkgx6@r=(;kp^nNEk-Z`vC+@ZK1}en;Ew zvbSUxtWncgsis}BUH9v_opJh^X4JeIFZ4w9UmUHRJd1V|4F-e3NetLzm+w#o^jp~{ zNB6Jp+*|P@0WRQjRr=$oYV|>;9$Rft+;}5~qjg zq!`*l*#z&M;C_8D5}kbwGB3lsNccNpWV_jKCBG1A>dJ%75ol)fS7YFM2J@JqD?j6= z>E6JsE{6l8myx<=nd%x7W}&X&TH^mT^#8G0U0)8hF2_Sym!8yRxNn5G3M|z%qlMJ< zJ=XP?SzTWZwJxV)SQmN0fE8_utJG3m@*YxGC)VZV2fR?g3$)?!q1NTrMEJtncvg(5 zuA`Rf%3%KsQP;7q=gjIlG1R(7o+f;ex?)Xr-LX_xmN%*E2G%v+tgf$zT9^9;!WXG) zwyCZ%sfE6g6He;-H`eunSzX@@wXRWD317GiXT_Q7a=Xkku7ZPomL(uq3b9gx#i3fL zqWtMqkd-=ksMT*}IvyGvrlKhF23IBQ51xu$j5F5)>tMF=&}0xRrm^H?P)4vgq&n(?`3Mf7 zd|a?av=F7~5DJ>ZbO<##9jt3X8l-sRj{=curtjlJ2OW68m!j9_WX^h2d= z_l_)Vz+;evYEK#|yVEq%0%ckni#J)JwFFC37CcnSJ_^SPRf@ZCryLVyuWBPLjIx6S z%Ocq2W|W;DDrFOQ;pEH1R%9(UQRZ{R5@nMJmO`wQU=5T74VALlbx2ty=sy*YFi~c! zv_u(=)gV?zu!d0Hd~J?Ki|-&3c9Cdy6-V=YkDN3bk{{n(7Me-D+i=~oG5ji#VBNyl3Vs&VIX zbVrJVzYLzbT zXw_GZ4(}d68+!Cog=BkV^SR4#>nTPv7%VjYgi@N4yF_oUYm-7utnd&B~W1K4mAuqv)dAru7%_W;Pn14fB#_UcwzIIka!Y z3un3lA)2|K&Hoc+QV*fk*T=cRgKN4rx$CTgq(q_Xf7T{Untlsw)8QimLv3PHZQ|Fk zZLHipW~F1>{E8#RZRAWS7~3ZPbk%Xa!+Y&#qfbKHctwVt?-(JPErw?s>HJ3(7;hOH zUo8kc8Gw%pLobuw^e_S}Nb;d|Tbmbb-*#PlIePnL3^7}j`pDFJmy^%8VCxCVX*#yv zVfzF=(0tpAZc5tpl#>C6&~(Q?O*3DtI)A;EA5-<8raO|)x1wp0ndvwF({#|ufX~o$ z=RZw{y|~NiMqP5uuKzUMnS8z-O^eM;pZibKQ?CRZM$;NHGtdo!uSaOZ7B|6*3pJj) zSAqK3UBF`LWPG$<$x^3MFg_aiI6iKRy5R)o59*XsN+rpaQZ4kOX;}mC*QxAX-SGW| zzwGe+h1)z^kQqnL%!q$Om1@BN31`|))0u~K&c3sw2EHXPEU4NOj3+ETrV|!@Qr0c& zzv)TIT)ONMEv3$xOS2zZ!ajf55>^lOlKIr!n;Mxx8Vu4&#Z%~Gl*f3C;_IILr@|qb z%5qQsU9nQtKZ_jlh3quDS9@$a{wclkDg^AbLO6!ZlW`kNlZYY{n?ffjZ#7nKeB;0j zltw@-j|wA*8a~O&cxyKpuxS2oIE%G2HbaZU0{j^6Aw{8t*MInBx`!N8md_tR<*p{8 ztN~@YbCPk!H}hrLM&huCmiPkg0=IL&8>ZvOgST_gUdG!wGv$}}p)zaqK~o?>K^6k3 zpZ^E?(#LpxFp&D82GTYE2`a0ai82YK&{80ICrKcq8_fcFI@Cb&Z<9dAx0?c)A7UX8 zQOe)wO9gZ5U?8Hfp)!&pgz+1yZ6?Yjknb!7vf2v&=hnLMU1otij{_ZUu>XHDvi3Pt zW=-rf1+r?Hg+S_4#-J|;ncD^fsUK<}T`AtE+}KQ%Ng!?-SL4QOaJ=bKx8sJm-&Rh) z6wm{QR?T)+IAnUE6PaEL=ox$|U{G0|wF{NScIl`rhIv4hshn@21Mkfw%{57L8b=)6 zfdhuxT>CeY=JMT5lwszg_7^WS?Y#yE{O;jfz!|46RO5^T-b2_aqxY#jgC{HymFY!* zLFKwPiSqG!qTB9z zk>WH|QgV(FQXC6Rq^uOBSRm!_Z9+=0-i(y=p_1~s6~2hZ3h6YFa;Nwu3#4=ouRv0M zP(43L%I>*CB&GLFqTE+clnE)EB1@z!@+TvO^}ZP?kwYbAQ6L#9I-*QS@#(ijO5O!R zilf$ylu<(^WzAJWiql>bDf{{@k#Z{&+f_G1(>6F#3WiF`osEQ)S-Xic87U=M7D(AW z;teF_ch!VJQaXo9O5IyT`AI!dCZyQzvqZ|05HeC&ADNL7HB?fTkQWD4Ekv1+GD$n! z!t}N77eb0ty%{N^hf2!&$AlE;!zNOW)-SX$QXbwWq(tk@NZC77Qhu|#gCixj(?rUh zl2ik;4AejFi}+l9HN1MoJ4& zCZq)GEs^rtc07>jckVJHW#UjtDc^<4VwYPcQf@03Ss-QXQfyaUoCbEx#x>>eP)QlL ziI9@8n<$f!qRzEI%5Jx}k(8;$zJsK64waO;YN9;j5K$(iIG(UXO4`e0q_Dp-BW3nb zNlD8=Wz|WdOh}p0Z;6!G4-rya`prn0JXBIDjuBFZKQxi@D{qMfQUdM}QWogVNVzps zQi81U?LyYVP7^72SZghi(&@GvNm*7rd61OdNkbhe)kHbx5K$(iIQ?jelyv-Vb?8B> zZ;YhC^Y%P8+}PpscDyywWkT!W^Y+0F5o_B8Y^Sc_e;L~e-U5H(6pe3zOW?6JZ2dba zXBN4MljGglVS6_>xUr6y_Wuy~?qN|@+vE5mZL9>o^On+;w_kCwx zUOb-X`Tg^q2j2Hxd#}Cr+H2p}UVCrVU0cR);?Ak5`ZHe?hW%x`R2ej(#4llxUr^^JhXV)ZPSm>4M864+8mM*31WUmtu!a8%ra;R08KqkPs&8Rh z4i@$IJb;ZW{kHUjG8Gb?j3}+HX+Up?1JGl-w(;in>m(jEdpL9Zb)ZWr*;)cYw9yxk zM2pgHF$fvW?J){g%Tc*^(9QK1P(HB8V;stT%JxK`2N zQv#OkjeCE?Ovv}}M>|yp5jp)xe){v6r`@&}d4`Kj`Ey_r88YKlK+{NY+6=a$5V?l} zl8UyX)s&A#X?^m1@O>x)+xao!`=iQ7r?qLsVN6Q7Sv% z0W`}ZA`s?hodOP5M2iMhY)x-|Mh&KSpZpT0*93H-mL1}28ITUJ zd7rCg)&$tRz84cvHE1%Q04UzK+SFhKv3_5`^u{FSq_-c?D~vbwTL*fhWgY}PP+Z0+Ly|5!BkZKyYfQM&dXP{Qf!QPyUN4E36}60n+Sbu~3;S_~T+Mr~{uu~8DaLF>Cg83hE_jn@Tw}0!qhfNK*F>^#otJa;5~7>DA6N)}V=wI>P{2ccU?J8I#{I8FIVY zIaLqeC9mCqojeAaJ?yR7U(#S(1{-`%C~}l?+{V+QlBd?s29~CK{)n2S^$>;0@$3d2 zv{=)X!{y8gSt_sy!g~e<(0(;;{nfzFAgx`_We_VIiIT8p0-B~w*FbpKH}p%@Wo!#n zK6_y|j<>STEd#BP%FEM0JDaU(?H`ERCm+|>DX`Df6XP&&Ofdy+>bL$X2KM`c4Sam~ zOblEZVWhzA%GWWl!F2}(mQ4K{1&)nj1OHq{t&l$#^HCiIt|`x;z;%}bFmN>&^ITmu zu|1=R0?U4GCbk3SvN4Y&tA+QN<&X?U`K6&g&N=+v?+cD9!H{ zMj_ix<*5{Nv-u55vzwU$cj=y`nB$UJfhoF(?Qsndn74V~dUb5I>{}qoBI^+jZ5bL zxy>d4N3sImGiN^rHgJLGPU|EAFX96K@n9#$oM~WV{{DMbz+B*pIl&mXnhSh9{3kz* zc`;iq${pWMz`)rhY~a!58B$=o?o&4AsLVtWZ2bGbv;UJRTc!Upad zJ{to!MY36I+CGT{?6I2y&kbh(JvUVAl=705&z@FE#Z2#r9 z9Ho7a6IhQkWmT64Tbz$`fs?qv56h`gRdIpOo*-$LZ(s%1{rMEi=RtcZ=91}EB(Tgl zHqC$S`x4V!!=?GmTWm#=vxVf=>>$eLL2My0O#hLxDr+g5=D!|L2|FMGwxQw=wJ+69 zsTlNE`PD^O@v8^1{Dw?t^JoPtsqzP%6sp$1CiYHQ2A0bvj^BD`s=GekuL8dnlDlYe z7=HBZ_W#s>yAPV*6Ctd8e{rMI+$LvJSv!a7-CXb2D3#SyPa~u2bBfti{&|>E`F#SzMNmx-w#`~ z<_mYj{N!z|TFll_w(Vw5bxV~zO9}J~;~yxHLv~E|oBNEs@pF!GV=_M|p6r;c`P#7G zu0D14Dmx~F;4^WH&8PeA4LZnV$(z(#oc-&IC7*qX)oyKQML#6k41={!$Gh$`&Q2hr zw_vd5CvSB<+`#QcmVN-(Yy7s(+KhXVJAPY?EWH@|7qApjXvQSY&_al%J7-~DBS=NXAN-(y#Je}K}-2$_Nf zb)N@Q(nb$)63#8}^=V56)@z}0W%@EXdJ315y@6TijcVGG@|Ceup za5xcGmHiItY>Rv;n-PC zJ&u9y%`m|_WKYu&O7+TTzyEqg;xS0|QY%;R^!S&FTpW{Ee>=xIbYB~||*3OCWK{q{oE57ACcfXdnR4t~$Y zZ&n3pG{FrS(Ec{wzH=GQ=UxD9?2%*(YO@$+(M{972i zbS%0>)Ze7(c1{L*E=53ZX?`wn(B`rVd!3209y!z-+aV(`;sBl39<0V}ucPlD%k?_< zJn~CEiupZ&7H}WXyzqp!WICa( z08eO>&zaGYCNAR>3J$K%|t6Y>x|&h4}gk8@jV4`;tsIU};h z7m;I$@+L$MbwSFb5cz%tBELt-?ugt;$j=bvEr|RkA&)1@QHXq%ki8MPUYBw#u&h|N z?xaz@9TRfP<-u3GyPhun+kO+~{cw$T4pra^3($ehHCp%|&EAA92;{a(B?&3!zrWcxT^J(VuX9ex4RpfN<*%N?pvryUm_pibTPZdoKmtk$15>l z(uu&v&9<4RjLFAUpPfC@Lj%NNxGa){G?$iZ~&8#!Lh0X=}c*LK}0qxuAM<&+}Bz5MEK$!F5J*FtL+ zsh2O2k9Ug6X{k-u9?bD-4^W>B)V*f=w^PRa6UXvT0oxcv@oYd zouNIH<8>n-ACULk9y?{MJ;8i^O7X0F6Ci&sU34w9dy#s@68Y0knLs&1dnCu}en2fC zzhV16@O*;#=9FTcdp96okv;=FFRlgTxlYAEdAasjj#o@z&&j~DgSMZXGEO?lbevKg za4)~M+vh9k%4?yA7prrZ$mcsX=d`pf*M0!iDp37?VB;a%|2t(goy0o$o;zfB$=A{k zuZCV(4B5S5i4!zCRVx~v0nr6ELlHd|JK~g4`F`gysIcyk-P-RkyE~U)cCW)TBQ*t= zH|2Q61!0TSYI_ih=m+)xIHj2Capu}?$*t1jYoX?6RC!A_zvpy)>%t>}jo;e-ddjHz zNCO$S!lUil?$TeRlZMY<(bBnEdp5`GyPzt_f)3l?PZ{@o)cGBpJ?YU2$@y2!z-ytm zo-sp#{N&{s*)2WKVu6g9Gv;_;;|<$2(4;)Q>C;n+zj<^6hriW4gc`RDk-vHQ&lN2_ zYY{nZ&T>G$g~+u}k3M@!@jfB{yXGF$I70sC%S*9EX3q+K0p4!1C^{<`Z*%h-UEdR< zDVNG*vH|}2+~?>tZLz*QTsBk|QFZE|-~9T-b7PXntQ@G`RgdRm;u(2xX%`$%*W-HZ z&SGu(E5+M9mfw8Jtl6OS3U#e`NtP|w6s5t{dJHoz=Jr}MM6)?`^`W_pm zlAkF;Y)7iuAqC*~E6L%{$YEfSg72$r80a{!%!N2KTe)EcaKm_W-6>j^b<4S~9-;|V zhI69>I049tuNLC>2=ND4@poGBlZE&Xg!nQGzETNCH8Xe<#}Zk6`YDA5`cOIcp^eIR zXveS*HDMpB$3Ar2<-8oP*HuuGcivmFWW#7E-en{H`g(Vl<6S88v7NR+hB2rZriRXm zo=JHR$2LRWCzeSS+hq~{8u*n+?buHV9h*ER3xG3@duF8AQrQ>+w5K@xnSCNQY?ZwP#{xWtm;dGM9%o*j7z3qx(pSiB5z3zm+b!BDPbvR{u*F~8`y~{yr zhGVE>;TUSoA@&$*29KdmGnO(};27%nFg=#CW&#~Qw;n|538fFWQe*=opEg!;;UYYh zNeu?6cq$Y4b2FiH&%sc@IO?l3%@VdhgSxpVsO zIQWOPqpig-rDIR3>OqUoN4glqI*wy;zyQs~bzFU-6SnI&g6kt^6`FxH;mjIJGOPnt z2-v}Wq0=k+vJ=n%xHz@Fj`oJn58v7VcaPnFsiz+w`Q5G-2wFywpWq`$bUyOt=wOW8 z4?*`=v|x7v_7K$ptl6lOxDJa%IJbl2jvyqjlMv+OUm=$; z{7iT_({UDjPA+|UD5ql)U_T`6GQy^VG7uX@4~IHA!pI*N@hYr#UJ%k^=Vi^F@|ejO zTNq|f1#YOpvVqxS+OgKMrQC{M!J>zfMInz)MX5u1Sc1(Z7^_1}ClpO6XfRe6Fg&~f zlc$@75q9%s1q&)nL{nh$3!1Z0EuS+?mjl0A1?{e9ByiLkdnXL~0+UILN1ENJ@N5hB zZUa-vuC-=^YpoG6(tpQk{5vI=RjWq-O6BIQ8^lxu5ksa8`*r{*B57r_b=Roeq)W4 zTdJ~QJlgC&2kC1j;0*m%Eb2r|P)Oc!eV|~>o_xmyO_TPy8>|Ww8iNjHyB*)dpvX3H zQ!hoaBvH=K@j7jnGQ+q|sT1dTe@M8gmkrGE%6$3mZy8fvB503VHwxM#$vRbw-D49;yZpV|g%Ld0>hoNg3BD|=q`Fgiu0QOFM zN@dHIY)G)jzQ_?N%=f_#YHUomrC-9)iJQ!#gUW*(03B362EkV#V}FONxI1vP=DqPt}_36X3zkY9*^esGS@IZK5&+HNR__d4YiazfOzLV#VYl- zL3kNLqu%bz10_ZMcTVA*jx_2w4sLDm5 zjnrclzT93+ccmpTN!2d`Bv0n zU>RB&b^a0nDYXk>?X|OK=;(71O?BP}p&_r?Q5*I>bOEf{#TlrE)v!|paA&H4-iiUY zTCr!1c%wWTi~N!6K%eg~YNN3)a;CF}>a6{R+0t3n4@D!j>G!d9Zlh&?8bGRl3W3!Q zTmQhxI%_ZbTn8XMYWo}>xYFuK!S^Y7~jgsj%mpD!3EIo@=`cWIHkPMoZ*~&i9?SLt4PkhWgH9 zeB@?`92#&tPU&y9T46v)i_+i9U=w?N#&B$rn}zbq|1|d&u0?plkbhK*vTxmxHr}bdt*qN#YV$y?TxKD zse7>-g(>chA>22Wd~a;UE@QF9y)j@5dgE@Z$p(bvdt<=iv*)klm_2=Z<8IsJw4uDI zmjL}Cq4(*Iq1%`!OUC0w&`t_s3b33@Vr95--91d6@=Giav~s`~)JA#JMC=*6#>^~+ zg*omRlXU@fNTG@Efi=Yv<~a45O!9;uL5=4Z1-(@L3@<^>FaH-LHi_>qmRDOcg6} zZ{6%~!vAq=JgB54g( zMFTe-Tjv66d^LX@qQ$TI91$UIVBE_sT$NR*=s2sbmQ;Vc2U`W&DW>wZnF0Jc)A1?L zbaju!=Bz_e1aU$J_(K3K;I#Wk?cJdKd7T8U)TA1+WI z)?a!GlgV*lv+1;sVi`sC2ReK`l84cY%2xt}?!_gru%S(k@O>UmW&Ixzywx*c{)|$| zq^gS7DoX|xWow3AhbD8+Hl)S-)l|H;r(sYrj8wh{zkR%4nt5k3(|=67Jfl|rhIhN& z8fbzthqIZ*E~}1#(Mk=W16zghG+H^l4cTgS_2WzSsL)Tb^)%ha9L14z7h1DKd%+S; z_qi<{rQWxo#cmjzrG&nKo3WUDE1yF7j^|U3el4~B&c6! zW^)Aj)ldeaoy=e(B*^TfE_KSQ$8CYfYP42Ty3H}aBB^#Eses12IN~{G4=lsn?C8E2 z>_Ki|4`SYrJ(MJBLoqdA?d+P&MoZNVQ@|TiE}BSjIuN zd)hu-2-(c7d+)_n-0C1o7JE)FZJznr7fZA2B#8eb8N@$@l0Z|e!}jyAowu2dGUCcT zUz`s&Cz9Vs=tre+t{ghd57fgyQrSHMMtWupV(TK2sdrxiD`__F1HB9#BFEoLa3U});Nh0ah8nuDh}mB3_~uYTNo7n6*AmosX5qM@a@xEx_JoNMDy#+br>XtwP7>Wp{%pV zAr+r2b?Hq^R+}(cClvO$L_RTnNtHa=EY6RbG*!|lcy9jaUEq0E{C9g*++r;<$bt_wPAv}8ML=@SWXyy zOBEBCa`Mywm@v5?Ukn;>`}h?t8d~3)LF-$iaouI%dL(!XCsjT}ORrpw@6b_1qaXUP z!AH5U!C$eDhuf&}XHYTBdAK;vO*Wh6M~lHt=Kt#EvG461eaZCR=mVF>w83Oa?*Q|q z9B!_}UK=#2tie-aUs|P*7kU2VzZEY1$%4yu9EY1PY4M7lbM|m;4BVjdmRbsz&G0C2 z%@2#RoJZ;4Q2cn5n>-3$(L+?=S{$O{w}y`hF4v)m-sDk!GRTrSq!&1(3q!NN?UFVQ zs5kh!PE#Z>8#l%Lp^lRb9_f3t?8uU($$O-e;v%kZG&pvuT@DW0Fxu1@;kT}K)pjQq zR~16I>zmZJ`Fkq-T#3--7}nD-s?^F*wv_yHpt*^CA=nz=7wqTX6J@YGPp^Z?vK$Kva?bO3`JRa zxePxq{CCBne>3d7@M|ZgXlRT|SE2B7=r>H-iPxxfeH#9Uq3Fe}n>>=|yKMDvy1B8< zCtkbE^rMSqUicsN`4Qp82jxy5{9R$osDoV29h$Jvf7%T>W9k>a_TV=gN=|ibUNAvX z_rv^OjvK0uoSm_wpH0p$yNa_tGh2={r#5Pq;9V8+s|911e5-Ezdf7iNVh~3*2;6y5 z75M(eT@d7hT}LU%Y9j`j#|BvhL3S_s41+wl2=|}VeTDa*R2}(v^L7WRCJ3&FX-+TnD->tw+lImG5^6PTsA7fM5Hw0sAwuhR(rh zO~2w^4#RiwpnKHLIWbWR*RYsawRyeTVdEsz4y6lHn08rphDmPfq$}7AQ6H~^R&!WA z%KU))H_3I+PDoW@%xEC>|RW+hP@D#sDZV+-wz6A zstTB`T^p(*&vkA{&QNTA&~x622~w_yyBgEIw)*Z-GcgJV1lPR%8P8zL1&!@HiZP9= zG0IL$Zq)e%Tci+uU>{=O-tcumBuc@`bhYetNUq)f$NDw-<@X}U06|kXql9bg7OcIp z;S4VxyP*zcL;-huvog+UhD>EIkL+}*Y{ttCs{aWO%D1)+XPBKfCP?wJn{&PILdjs6 zSsO8;2qWy_Q}ACS9Cnbjl&x# ztq6EfS{X>IYBEy}HzV#EdeSO#ZQM~pj1nPk6(btrg78p}VKE}aJ`_vhjuPSw5n_dy zVs%^)E@BLe6Cw7Y*bsM=5NC@J`|#Km7xW%d)Qb@NP?XF%N{I0y#6CRw%nHJ_j$w(t z5GOrGj}g6fdsLJ?vg9!q8&CB(%d#6CP$&k8z;6qkw+`%r9{b(9d7 zi4gnn*flHY6jDqUA@-ptnSGQHaciZum`!5(@aQu;=zXM^CPM5(Q9Ju6A*PEE`|xO( z9fTIN!!kvPeJGa9K1ztV)9{HrR?iOl04d_GLLp)wiVd@m5@N0hu}`YIW(R$U6!S%h z7K)u8CV>Ir^d3dt)$=c7vr~l^gf`%W zh#JVt*0y!y;%b|3F{QVJDfP^4FJlzYHa%cA-jSLXZD_>1L?5WBM|2zw-R!{TPX~h2 zU!E78nC2na*K}?_hP`6_q_Rqx&0^Inor%yFJtY4C>``v?^7ns*nFry?KT5F`JK3uB z1uF+8?hWyOkm`?JkNOhyfhPBhBL?b?=d12-uRg<=>Lt(yJ1YNxSou)4g%0uH&+Gty zKG{ACp7(hlQfqe>9&~?cjQ6jWexM-IJr`!c>)LP{Y{2f8?Ma$W94azzkm*-Gl`FO!DDm2iCwkaCk^ zpSWqRKo-yca0Cf{hwR|F;Z%JvWxP;&c6~+H%XV2((sup~^Qs+=pRjxVRXW=~8Sd#F z5hV!3cu>WC5!tbaPMaOQ0XuvKNtWhUQ%dj{JxYlNC{+x_f>Qi;G?1F)!__ZqAnnrY z)Q2J`2Ar3&g;^k@l^53k6H^ieo?Jr!f?X>RY&qBviv(M+g9Lj938IFzk6@c4JnE5^~98PSyF<6{qV_x)p$^|bP3ss;n^_>1^dj} zYD&o!J|!qv`(aNO>@z>1U}H$IIGyh!*j0Ddqi$f>d_E;qVxNDqU{@a}!D1cUh!g)l zf^Gl7<1(fslTQf=_TG~P+wlVl7VFNiWjs5=5}WUlzmNoLNeK$}>XQX)^1wY4!@fgy zDtLB!33l1JYD!5vpAssu|3k3L?xJ8TNU*=79PoZ-VN(KVge}|+QcQGY5_>_=fHPR=xu@8PA!D90|>_wg(pJy7l2#-?4a{si1NqM4GVjg9epa#N5NP6Y2DK~Vno73_|= z8y{iB=fTt3#_o8sV0XGuZ`gzcaTTqPU}MsUghO=e{K1o(NkQio^JKxsrPm=rS0s1| z9(~(buhpKIk}gY1P_Sd3ELe@#WlY~}WCz!M`wG^0wVG0bEw@Fm&HqEN#u+Hs7!oX& zo<1dZ*UF7G3Go3KA^P?WHxN(1Ld!Dz?P?Iw(tVawCWs=W*h z+GaP6rNCE8gE6pX2M*iYO)*=}+T)g}$d4(oG%%9_50sP8YTTOl*7{>PXlR88H5EWJ z-K$~*$fa8+MD$`2wMd^w;r;qV)dKajCvLkYdVi;QBYnU`Se|BMtqbJa<7+ya^rr7E!3K|Vd8;lPA{6-M=$zV z#HK1U$VXqDo04+A>hyNWS9118tD!Y9`lKcDfeyD;wAA`G zypZFy(61hlx5)lDY0TfD`2@BIx!wZgC@JilXo_hAWG@F;WTBry#W4NBlFv<+5TFDX zclaOYuc>nNx7j&B<>#l84vAtmOxY9F50<+w84i?XU4fObSXjeioxTPq=RcGCv%Zba z4^7;s&iVH$`YieQ9oNNpoiMEj#lxG{gB+V|+u)nE4or$S8q$&?3`K?lV( z4yL6ORR6L~x-%jP&h-7wm}Ied{PT^HJJLi4Sky``&9A*6Gi1K(AnhFKCc80fq`h)q zoU%#}c8W*A#$OM1ir<2b|4(427!d@r4P!a93Z@z5&tJKW2!MJxnN7q+{ON0TYrKQwqKNk7S2T4QffqlJogS`?kuuyS}O+8cfP z$5VjAr}5{xPDdyaxV{a}$yPOCor`FvWY_S2%r&*%Z> zKU>zy>cP}w+AKWMfjz(5=J_-BzYb{2Jpf1Hba5VJ6Qz63jH&8Gq3Yt`K~^k4r!{my z1VnuX(*ZUWH|+C}sLS+tybO!AmpQk|57y2fux1$YK{bA!=CbEhXTY+h^>fw|qq})6 zSz=5lOAI_4{0jM`)S9(u3e{xyZz?sGE_RD>oQY;;)bp62H=E=O?vLz7 zGi9K)T{rGGRBFBrt7U9(`53=1Cg0Tz;uL{Z+Y^yMCa%{VKrI4k(_rXu)H^fcDd@qZ z<;vfsj3Wce!y8POH*|i=i|tN~KU3~tlYH7>UytJkpDkyZ&aHRaF~aj2=)w3Nb$T)q zZuj|VzZ0^C6T4S0anC;Od7^r@|#`? zv3XV>pgz)Tf{JsQLLjJ!Y!sA|R%JUd1W;x` zP#e5U?NK}Z=!+{TwcVqDiH8}$B(Jpe+_3~R@H_@r;iTG{f#?0EffB!01~&8q{lBz4 zl+RMnTu&*kmui|*f|=8sr>zF<^BW<0EBP3gWk~)qlGh8#FOtW&U||RczW5>;Urw?t z!Vp)4Me?*yJ(iRE!)9U588$(=y1q^>$clkCNmlzY<*Nj;y78fQR*z1c6+fB&dsZ;r zJvMSG?83b8bV12VUPt=H0{VN5=015LiE6^snlOaVcNoH&7tX@G@Z<;-F3v!*jYG?F@!9j3qAjt3229|>e}OIXvH0j%lF&KSk20a2>`Wp&PCQ<}M+xV>T;zrErhzvJRE z?6_#t4ygp+%aiHTOrKy~BmH02HMsZmDUh|$wg&fI2yJWJWHRio^j6AXl!z=o!I8QIWC z*3MFz^1<}vd)PB^5%wGIYmrG}UU87tf^|z3TDN=z)-A<=@GBtv1PC2~(2NMdfRF?T zp8$dx5Qc;C$_y}n+1Cmb_5wmEAQ%Cm1rYWCLKq+{2ZRnlXhwuEK*)gPGDTz2n7MvS z2y|B+%1W|+JE4%^-i)4<3b^}#EMIYN2AJJj_hz6CKD#%g%{Sw+JU_o#`{F*Z?8RF< z(2w0(+Xl9ocxwlk{r`hEA^!o)VihnN8DtC2^%~oV=_M+N42;WvKy&A_u4Gr-x&=1w zskt##y|2qmsJhN%a*DWSGG}JZ!fRuyaVVwx2r&eP*jw6JdumG{lQ59|DQh6KsjYz_ zR-F@W6g+eJEdgR(%rvAM01e=D*8CKQN>|A^oW^Z!zV~S_KpDl4q^f{aw;ZpMU`Z`i z!Jy{OjIDw|J-&a^*b5bL3~Erx`ZSB|J!#0)xaF1&CAkNhUmAWQ_if&I*yNoV+ksSm zI3DUYHe|UqkZvnoMb3N)1lcQz`IPa4zL4wAL70+e&^)m_HGz^QpTWJ7W1mJ+4ib>> zv8Dr%20l>OxzCXx4cu@Mk=+9-kWp*16r;2`3lAFFp#%olS%tYz*+&BU;eVk7+E4=Q zjmBtQDUg6}o0@h4w)bMS;K{5`yiTmnLPqXCj@6DB9kKeckkxT^j3wV6!>W1sBV@G` zS*?0pX6atqS58sl5`-nQltb{^*8iGWjW3yqAhGia&khztUhY$+w8U0#i83>F8S|AS z88he}X3!`ZmN8dhalIE4gQT9qOuo-cu2*p#zGF6GpiBZ^~PnK$BY%G#WLss`Zj#d50T?-LoBs?svn*Rr@dui)S zGO>zNqdu%=r0hUeO}$wC<;ha5xj?LDBCB*0G!&6u?Ui}tD~m9)F+x_A!=5bFSF5P3 z600qbV|7I-U4dKQi`CzrEY-T$8AvJ{Sv~zYskV*WyBINogshtX2dl3gKvp}6Rn$TD zk!s$XbgM1%D|lMU>R(TmYSRT`H6K}RejKaHQLin*$U@;^DF90UCrfo-EtS=8AdJmt z7+PFb3(wI-wVGb6u9rTs1-KGR{YDY8+Wt6J^`rJL#mFWISvCI;R`;JqR+EWUT(RoI z>a*AB?paeWR<}u?*aCE4AXbZ!)f#3{~tJoX#Vf8tw zoTBXEqhzoXe4FunQYZL=E46+}NN^g@j&K-qFV^!0*4EBm64)zMJ*f?Ru!(xr7f}Kx zc=Txldq$GE+Ymwsa0~)Aq;ybwz#;yRZ6U8BIPt8Wq!BPR!8b4-^ApaAD3M97z5LrJ|?%)(K?uVO#iGO zLmS7;n9}6OCimc;5@IS{$dq}^69-d`2Wf9uGO>e?KIQ0d1@an9NfnvT62u2dUs#T690%>eL{wQ)0(~hc<{BIu7${1=xo)y*p=xFXc3_#a;$Fw?DRy0U zP?XPBmI(H{y?f={Gri(e(eRq~H=4(sWUhf{nI)N(v#eV*55DPm43k;+Z$MGQk*sI= z{W6uf^{C2Gxb^5zfzuj9?nLgf>oMW>$FjMoeuV9h3Amw&9pJv(5xxu=I*sU%FotD_ z#?-ZA>daJ>kSlgf??b1}zuQrojZXNWKzf)oK#D{GHvbHbwxL}v+<2OxEH8oLO4dt-6Er8GEJ^rL60K2bFG^?pgLtp1$jLzZ0&VS>IeEEJug$ zol8RWkA>ORVpf5g(lduLWGPEvh`10u{DQ*FlL=^1rfVcw{Dgr{fVb&7?M;Kny0^?02k0Q_}|N19un zzM%`~X*|#b!o%wnVJqj%TA&BlDYn9{`w@1FpGx;R9(``;Ma_kV<<5stmOszK(hGH?^@-E6-YOzo1I$|M(tAK;(5?nDhp2gnj@mPPg- z$Gbn&Ko<6vedCb_;aMpA?%uMWB$oZ-5jolCkNsFrZ5ndK)-86+XwTU6JI*Kj$iDMp zFttZ|VG2$tE?8vGH|~!`W)4*M@jv$cTxkyN!1;# zXzYu~CDlB(D<6|uW=$$JBf6uNHmEmrUJH*t%}Dp=pa)pk+WrX-Y(_@daC_3B_T=kK zV};Ggt&5Xt5ZTmQI#JeUgqOfaq5ThHVydVaO=Vj~zA-#np`#w*c_1a+$M{h}|LYj2 zhr|YfsIB2opdNchNztx@P>_?%eg(JP5?il+B}awZE!)O+*ODwS&3t8t6L9c;9o#)n z%_vp2dybP2W0IYaG9qmq`#(@E7zYw4#+FFP4mkCSOF{T?ZChoKTzNqX6L z2$`10Pvi2qd=Vkj@^}#`af1nYBQ7=nNXUXCLe~&79T9pDk!@lSSw+j^{}k%N&;|wyBt@}<;RguzPlDSe|G!A36W*XWCu^aZQ7Qn;j_N$pALE%TZZa*cKik7M?36rR8w>j_hcr*QveNJ}dp6C|cE9~)mHojV zb)WcVb)V%ncov^-RY8FUutQq|tPtZ@}z|m#o7HIzS zb!Km@AaN6wc#vNCCYNPQEo9x?k+Az7&)cy9+T5PjD%jIX>(~YrocRatPv$uuw7bM} zO<$g6GCb=i!I+wtn`S6j16*_aAgjav2plKo`Bk3h9gpL=hUfW<|HZQ#B*sm)h~(@B z@jq#uAC~Vs|ND|Z_^K?6`-@O0m?wGgraWw2&+yC-u`0-RY>*v=LpKo30tRLksiW8enXBXbcE2p!5 zWK+N`h;wq`;)0Z5ixmeG#r#0#dTyT_GW_fftZE?2%WiztIi{Qhx7h zID3A}`WYETWKwYRW)!?#}$=_bs11`1un}KvTrwhf&FAnIEP|f423% z>ZJ+R-0Uw|D;a5T_gb8C!vxT!=Z0!MBaEf$0~ck6>FCQeF1!CYuaM+aFyLK@$=^-ns8jozWt5~R~9vwRk8-tz=y+kly-pY{U}`C z<<_HPwm$=@9TraOjX2uIxj*Yh|_&`!Cu zR-zeX0}`9DaWNz~r~+2tn^@DehG`m<*g{-I?fM!Xv}OqgMzDVTs|uE>&lSA{k=&F^ zo-Vb9l1wK#XJRNH99{FF_zlMQb#Zks-EC`}01K*Dynr0<@n>W7gkyiUeGeXvUvVCx zU~0(NJ!+ryxwPzF{WeJ0stpk5QSWnpPrvF_R=TPUDoJuv@^LVCs|OpaWA#m@r;A`0 zO!9sMdYBmS2$#SH;LTqn$^NdG)`wBff)(ZmjhOKp7h@Sg6$oqeaF$Wo#Dc)qy>$=- zHgTcE3xQt&fP+%8PkFGd9<$862h2N40?x#2lxHx*{skKR)=MU9UMhWO1_E{G>wJAf zYmpAK{8u{S?w3rs1MY-kt_#qv3xfqbeiU0_KeZjRUyU`31~PB}$UO=4Z*!+MKQCdn zNl>A4^2BGzn?0RMc(M!tK76J9Q*@eCvM~G!g&c>=C(D{4RAS5rraxx$ldxo8vckE6 zapnudzyOZULFYJa>%-~3im{l!kFdm6{Rdgb@gbC|Z~Co>A7)|L)&y0i=pQ{J|E=X2 zhubS)&XwP&G-1a)wH=Z*^mmC3)Usc;mOO7c2}-x6l`6s|plw~4N1qC5?p+Flw7PBZHvCYUsDdqL9Z@-z5Ok4dLn+S#KHP}@cZ*`6 zxq?<2CgFgRKlKA@;)?~e(s-k_aDo8WetHM$5A~>~VF$9QNid0au~WOkf?MS0RO0;$%i2ErE^Ha`k_hN^1Hh6b8R5ZalsR8Ee5SCK| zn1;WQVz-8|TdVfH3k4a^WI~n#*fW_Xr`SDfwp&?iHzE`dGJ<(Mu)rNB8~zf=Itz|z z0$Iz;546#dMJv8X_=N0>d>IxD=bheW$Z74=S0Qr)wBxXxU`sE+j$9vk?*a>n} z2^T+5kJX|1RG4EC*fc{AqPU`Q)#+rHJ^SCWZ>yE895dNf24&24%()Y?%NjeshzYVz zJru-jC?xl13%b6x;ooBcZbGeTr)8xJ>Re;LxkIpga$Gm+@bsQvSUWKG^qOhmR5G8! zMBvU2%6F*cZL7)-)K)+$uk;U1Vl)yJ91Qc!#66q0=pp}p$^O-rfzw%`gY8hu&{FG4 z{|QNq>Gdtx9cZVfs-OUK{fK5HorFdjT|HI>zCAdh*yYRDXTIW_W2Yb^_c1k<@ZhT> zbg1=q7!O-FS~?d}qI78D#;U?}dkiLI z9}bJabEjT|YD<+{F;QV{hmyV8?eXf~x-dDkaQw7w#a$Ft<8&k*01sYN>X!Tw)KXMf zt9|3EXONv9WXA?;7S9gM)ZW6g=4`npsUKb&(W~*Sb)d|k!+`4qG61e?-yAM(k~CwV z3P&koTl$zL>AjMd`euo0)Nd$YS~*iAo7OCmTe3vf1Y<9pPb`s{&Yl_&&D!wL{E&`e z4@RS6w7Zt{$BPNt$x?bMPP97hEXNRIe+Q5?H z<9a8r6Pq<>7RGFVnSo|mK$`CS=7uxvht86(x&OfLUA1Su=3=VrU#$tG5vkLV z@3A|k6FURbNb~2poGDG#IR16q70S(>aG`3kxDGP@QO`wqlpTNvug-ur{Tx}4-U;6< z&_uH6WPRETz10=W9wHSEps}+VX!@tD5h^L^tGRkvR(t>}J;l>V@qms+{yyC)rSO4M zX8GFneKoRTgB8oUhHr>Son5=o-$CA)KXhz?f26N>H8kyQZ5rzsMKknWEX~cshqVS2zFR2G&uy4wdZj6E=dY3JYM4*0nL0MGd6-@N-Ua7P~aH&16gxMRHh z8{IKpEx04U{F`^51MaAh;NLu*OpXr!=JAWb9nY%b-@H3m#X?`qF#MaR^9qO0zsVi= zd56R2-#ncUIeh-jyI%lzCD7;J=+1y%H&sBcZrO3!+6mR-@H4@0QuzKy!$9{bAx~W&AU$lH>~*ln|GfIabSIkgn#%X z`Y1>(09ajP;UE1GAKha)ckHi(?sGZ!c_Q~kocj`yyMc2zh}^R{cQnKl(qGBB=ZM_b zaPH8B_rfpX+}DWQH-S6KaUJ~I!9Sm_ot*myk^44)u{`r{UT&{|n>YOPZ=wSk@*cQB z7F*st{%ah4)JO~Ct>N6yh}@5G?u{b%Q=I!nk^3i{`xhejX3o7`|k+Vtim8^U+)6 zuH@W(Mebgl`)HB7FXyfnxsT=CK^5DJ&vBglG?9BGz*tB5H_v|pxMRKJ-@KfcapCzl z;RBu#+_7Ea-@N-u&Yger?k|8lmQVi8yFbnGxsi*9+@b!y1TgZ$zj^$<9DV-HyT8r3 z^Kah$IJl$y`8V$##l`y}_zUxQ4(Hw=a&HD0`RCs}oy(j%|K{C0z#ZEq{>{6C6Y~rR z4i^ewOmcbe33Qeja+R&It)8y6cMuT6}cZ8W9{uMgm1fmu5@O9)?8xF!ff z(|-s(L+Ket&vEn|PtOVToJh|}^qfr35HnKdUOGASZ5K^GsJn^lxxEGhz?3WE&U z1+Xn~O;Q#(8q*Tv7qaLq(GZqu2un7Er5nN$hW&2Z*%1kZ9?J2?G8f8n7s|31I=+yL zD=Ed0yMo1M>4vg&Ls`0^EZs1c;V_nDSSTlo$Xr88HpopMo0MOWmuf65)TX7uM#@5V z)rIwj#ipXXf~3d-L!mL+Sd^4NlPiicEbLiYo=MHl%Qfn8eMVo9mr-EIflSIuUz0@c zmK~aG5LO6b6Hr1df|tm~G-)j(mW^z2oInf%n)vWym^jZ4xmh>tAh1Q5PrUVgkWgL4)*YE!X5@a|mF z5u5eELX%iv$SustD&iyI=ieA8!kBGX6Q_$vD$LA#HnN}~uYkmsmXZ;)+K|2KF+!X$ zE+jNa9i-04DpDCIj7ys^Zd_ zy~lI!3EX=k_nySPCv$IT3pj#2#SlIy)O5~^4-B=PbK!%B^1-3HvtFS*M^NQC7oMk3 zo^mKp8EQL+!&8PD&$>XJ=RSDKVLat9o^lvZFl++0wGtP}i2iQ2HvN@yZ|L`=;~1O% zv2N29Q=Fzk_hKWF#KI_4=EKCgO$6B154@*2Il-qKKb@SW!Ka;@1V7mz_Wg(g0+Ybc z!5xCy^k@AXEdcZ)PUFD`I!R}G^&3gA{z1_0JF`r%VU$GNmIYh{#DRpXn}mt%nXM4nLCFG2p$$1w#XnOfo=;&dXP+ro9(sn&Hi9|#4uyvUn1#egA$WH z4G%XOb20W39NIGWc7&yePl;qO_Li{D^ASyw=K)EZv((cu8TkE)gxu-7UTVc@u(5uh zlUctTZLRoCa;y8NcGmCn_SWyeJ6OM)9j)INoUGp$71r;Te%9~S{?_l$oas9kdA_8i zZ`2srd_KVX-R5b=ggE9W8`A|)r8 zjKui{I7=kHPADpY11c*RhV}HLZya(+Bq26e;7z%sU=-iNcR$Ro{x~@&(M!TvGXExY zZ=7^7l@gm3R=#rt`IN`z(l<_JT$Lyr>lb>%s+qNhbhG zA_PEbqyQ+35&-4V0$_a%3%rGlZip55Y+S?wl!S`KEI=~Yyi@>ed4>g!A%U&S1U}o6 z1U@e%3xMqg0bouM06S6zz|J%Q@UoEwh=a;>0kA7W0PM~b0Iy^TfIZ6vz}^)sK#6-b zTL8S4D**Q83xNFv0^s!`0r19Z79ifLidle4Nc9>4+FNS{K+W?4;O!R$VcszbeEwD{ z@HtS<0u;}?>jgfCHVAwUZxjGWDg?mMO#huYqzifW#jR!0-yJ`34pqn1i;Dd zEI{nMZx#TjcSsnAN~-=)Dwetqwn?D6^$XU&d2GFWgx~Yoy6A|7X93&p(f2~OO(WJ8 zvF#PPFJ{{-a$n-fINayrkZS0|PG)skV*Old;{y?Jjfq15eB;k1%XNTFl9ew5%NM04 zh2@Lte=5rt#hE7H%P44T)7iF`a5C7omgrfzLUsTE}<3< z151Wj8@mzoc^z;igKW@~5@SQUN`{b?)^IM?&w=zg2s$yzPzvXU{avL*5YW|%qOt~b zv$_tmx(={@dJe!oL1Oy}UOUP#^pr3P%wYvGq}T?LI_{PY}bFJC4dZ1qW!s;oe=)Q^BM z$bmuXZ}mx$s;xk(bet7PlTIRlNE@Y3S$)!_8Y_??jUa$XXG&wNK3P(|6<97!v;r%n z3ke|7+0vy}pB!nj708vQ5kRE#q?uNqd}+QFSSc;Cq7_Jstv-cPlNBhEmNQNmqYXZ& z97!1k=7~ZB563uo;7>kB>TWNeDaf)}!YrFDl`)Ey7~lmyz#yr+zuZ|6z(p9qRhY+a z!aN=z%;SN=Ja!l6u?LsORD3+SJiZT+%e|y3#s;0a)G)fdoP3x`;4bW@hbff`_GLgK8j z(515?W(ERLks5$Hi1?(~+4Cdg6PcuN;FEU@u(a z2xj^G+B9mN7^}L7>8&C{!b~b_iJY`LJ&ysy-Xtx9ngnZh1NRK(Ht~qc27;2(4TVLM zfa@52R8mw{Hl}<*R$5V})tAy7C-&B^D$1LkSCpE`24dK69ixrbVf4g8I1>fbnQ&A} zB_(L*M@A$iL?$Le5lCRPiHS)O;rL>-5xS&^f-KPbFj2FT66VGw6=i}NDKjrSjlpQ5 z4OzLUH_9*)DG?O?LfF;dUOM0|IbCZZFene>#5?P2<80qqI3-hvpCqr)9EJHpYRcvnl zs-na-`NpJKu{y>ILCKF4fb$X{@m%8IcAC@`#xzD3r;TSKb5kL63X)Jy0?h`NFeo!| z$QDBy6Oa?;5+9$?ZBBYRG#yE^GS@Qm^Ridv7@63pBorTJ3stbBL~VR@WFjMM10eNS z0)SmYVW5;o&P{>}%ze#@jo`jwVxtL-^TrVHiT>OtB1FuNPfCFQ#F+TVgqS&T5#$27 zAFqv$r0-d=vtwsLHb6wkS3*K~Qei%5V0j1K0uD}O5m--fwU$B#AZw9jkc7Y!L4hx* z>ja)q#spBf(U=bf56DGFLW87DoCDlbX^B~kt}~5ml2CUDjSYec#_aSMBeb^Y6}c!f z-tv*OKtBtCXv3<)LatKi^0M;^5;KiC#@I9_3Q8cKY52W3FE582wUDER3{d?Nv>+=t z4cacmpy1qBPCjZ@^Pq}=M|1%+19oEn`*Da-Z|^nTXf~ZFn4Z z5A@Q-Gy1qVT~ss(lpeA1j5a1bBt9XLK0^7AF#3R!1vNP`Ld|IPJd!?~MdJNJh(P3Q zHazzZ!#9r+N}R z@6WhMT%`k~0~rsAr^HJ#Na8ISEb*22Nk-B{0tYG*9D^&t6NY?@8xGnf|LNZko)Y+G z5EhJkaIgda!Sf1Xl_q%As`1P7gSGkjcs^q=G?9kvU~NH8upY{MVK5L6*3C+YO$Z(z zG%-lceMcndgJW}3vsXd)287vOrI7bDuFgsYt!^Ux!C)$CTye1lnc*u+P%3gY)0mZ= zw0itfhz0+lVV)hmG(0PJX;9G8ESCP#!lE>2IfF7$6=VsWiJ@V5my}~D$^<{q1{N0O zgoUOwl34IsB_4_-7IPmk4&o;z2)_9j7mxN z$I|;!1ph9A(Kbqm?=OmfvIvIhRxkWTA~;P17m8pLr&-|B|E$O#ZJF2~%fFmen}ZVz zD@3r12p%bdSBc<25&WVE-YA06wnxa%MA6p*S zMG|yjVOLRmI8+3W5y4YM@JtcBPz0xo;35%RCW3d0;3^UPlqmmKk?PNyo|7W{77=_^ z1pg?4|0RMSh+rpCee@K;ej+$f1Wy&gQ6g9`f|EpWrU(uZ$>Uj(zf|PENz`7{h~QHq z_$v|oqX_1Xk+hVjha&&iMDR-@_@D^>y9iz?;(wM1eoq9$7EA8Omha=D^8dC7-X@aQ zYa;kv5&WJA{zL?~ir{ZV@b@CPM+E;Rg6+6!YpJgyc!&rdDT0GUuv%1K#*6$XiD1qD z*Vx^~Mp1=f9DjBUK}DmIU}9oLltPWLqF@4;YE_Wd4-A!}h)}!R6>2}JOR-3`s8y(n zh=Ky50-}gjK|w^s3!`3Wj2L4yCK@jE!l;<|74*XR{C7ILc&?me``dTs?Cx~lv$H#M z-f4q)dJxwHacvOK3*yEgZVTe2LEIa}{Xx7oh&KlD)*v1T;ypq9P7r?>#D{|T>mdFv zh>ryE(IAe8n@jtzcxa*&pBCh6L=cY*;_@JlheJ!x*Bvac4&s?XTo=UigE$++3xhZw z$}PQqN3fhFOve=}WaImBJgiW28Xbs7|JjcX_X3}=F4UAqF6yKXjp6B$==TlZ-6L<` zOVO`09eqN17P_KfF1oxRhpuN^j4q7qK^I!ALJy9tLytvoL5~{qoig%^??;zMe1I-A z{tP{4@(rFY{eV74{|CArkVCWR3=evvSC)gf$c3%tc&5a6@2E_w#Is!gi~eQ!Yx~jJ zKK#K^(jq*^M?840v%*AGVLNe78tFL4;pmwv)=(or1m z_4GcM?Q$}vy`Ff1*ApjrJ@G=XCtl?B#EH@zoFrxOVyOc?z~6&TMn6t=9WPFCeK$_^ zdf_F~yXa%%kFiqv3Z1;~@iOUGta2SOUhaP2J`i2u^}{PAzPm=#d~FXp*;ROz#Aie_ z!`l(7U1@+dz8(OtmR8_3uG7S8rA>I9v;%#>w->LM-a}WAe2TN;YcQf(=b88h=}(;P zzUw|2)%oxo=SXFEqf1oqCihEp=bMH%yFcPQX#w6MHRF8gPF&!;qJL-VrlVV3Sb+`F z0A}0|G3)<}j*Zgi=uUkYZlP zl)(`tD$zK^NXvb3hZ~k!-u@T!H2zm_=q$cS4;Ky zsMLvToL_v*dBwHPD?aYL;uFp*u5(^-z4MAsIG6|Uh!$?6*oJt z_>A+4&pNO8oVOcpab9t&^NQP?SA5?4Kiux^hA&9l@I`4C?r>i5CFvvFDSe4A`}!dq z@ZS)@SEPUNRacXpU|;jKBe=`^W85v(qJQstbo(xR-Ph&d8`2uw5;g(lA+uqvh!9=Bf9xC0CcinPkNj`T+ z(%5CIN_Xa31|Qqh=HZ`oTYEm2o-wm-ybE5l%}LXirZ%4yqmD9@EuFE-in7LhL&kQu z;{To8W&QbAn#N5{X=N!?YViIkmiz9Og=x~D34Xg5rksB!k6}iYZv(?ib53QZC+3vq z`g6f;qGM(xcfD)=PrD;N|2X7Co*|x+c2gWW1;y$2i78F?%AVvoeybNg;oUWq_Kn9( z@QvxWvXuN6SBewMxQ!SzY1ci*&sS^}x1n9lV7p||ZnryjN+R#V*v=ivGTM1}U7V73 nb3G@y&%&W&Q}QYnr{r$0=S0Q1(&&nm4h@SRd(iXA2iy8z+?!b> diff --git a/STM32/MDK-ARM/WOLF-Lite/startup_stm32f407xx.o b/STM32/MDK-ARM/WOLF-Lite/startup_stm32f407xx.o deleted file mode 100644 index 6563cf1475b5b62eebf8d98a4151483f2f408e71..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 7880 zcmeHMZERcB8Gi3|QajL=v~)mA+j;FRbfdB3d^BlBw>pj!o5YFnb+Aq{EW#*ay36Z@#NN!3=Zd)}LK zopXb0klxK_)EiuAak+F-Y!Wbf| zGlq$dF~XS>j1i)fj6Fn;GxidlX52}1hVfRSrx^{RXBc-8J;!(p(esRPqL&ygqVF-z zBZnDtM6WR(B6@>yf#}DKd7`%%ZKAV`<3w*W-a+(p#uU*xMjZY;<2^+0GUBlBF_wwm zXRHuiV7#B`1I7bHzhj&w`jGKXqK_C0M1N&068(+wKB7yEM~E&n_Ti5P979|g??zl1 z7ZF#+dl6U065`6ZjJPr$CfdrlL=_%lu+{*04|Kck8GGiDHf#%aW# zF^l*!?m^rclZZQGf~X^La;zgkWB>Yn>%X={d$RqGHRBarCr?9O6MxWf1k!I1`F^9w z_w_h8>(`0A2Z!qpKaG8T=<}7Y)pON)qhi(SrQV*!zV3lz$3iuVl}nZKvb|g%3Pv-j zAk#w8t_JspBK^T^xm+9y=BlM!trWbcKc62M%y)O+OR=H3i9+3;Gqb7Qp1IV>co)_> z+pvS&^o(7z>sBJSoQE|>3a~txN;X9nu3<5etL8^@jpFKjme=x7$}XjH%eljLa}~=t zA?d@7ns*VaojfvK!vgOZ>l=B@oQX9}v9O875qrLII8|P5j7|v8hGRNm$&9578QYbZm(1kPa}pY!C! zm+hK$gzsF8aMS4Ox#kSE>kPghY1)=8TYUb_+tIdd-?q*7sM%sz>8`qJa{F-0)`vPr& z_Q0BeKM)9X1g;5O>t7pK??0*gzpVQo)BRu3{g3PZCv^WQ-TzfRa9R(1O%FV&2fnTc z&gg-s^uRatz&GJI`{6cRq9*4)pUWM0(puuI%i~T0OXE)3O590Ri91;;aVIw=?&PGz zom2#0!bfix-&1h%nBXTE!A}c*M)2nZe_rrc1bdm8MW z_Hd0JVlJNb8fFy1c`t6A`o$}zJ0E-l&Nl>(pFvLBhzr>*#@l#Y_t6e%J@Xr-^_@t_ zPK96Mhm>eM{zq`$o{zZ$_J0H3fj;Ll^Yh@>O8lqbe3jktSHbbM)a1{CZ;<$t;MYt1 zIQXX|egqs}bItX4gX3pmlaGV1{G4C$XF%aQ6@HzY3g55rT?${P@V_I_vj4wU_&W-JUE$wW_`?df6`oRfzrwdEe7(Z|fgdBXzkg8p zdkX)V!rxT*%L;#5;SVdksPKCgKB@2_h2N_1O$yf({%70>@_B!y@N)|PiNar0_$h@K z6@ItEV+!w6_%4O>Jumxv88^SoKT`Mwg`ZdWS%v>V;ZG_2L50sLJf`qoh3{1O^$PbX z{4aQx$mjd5!rxK&n+ktM;SVYNh{Exz>Dg~g;dsUIjPF!9KRacAaU2h)g9k^(tYqd+ z_lNhi8O>z(wkW+VN>7Ut@hC9UuL^y#kWHort?9G{jCjmD1KG@&6`M*qqpizgu@+0i znQ>>b$1j)~P-gD6MxyF!kE2+lZm7Da?h$d%(XDJ2vE*}jE)XBvYB@*566e_Gu@p_E zEi=o1rBIpaq{lIEk7MAT9nF#Cl)OHZjadiB5dq02YtbTp5PL+go9USmd2}i}W+luP zOG1`x3niY8SX0y4tC--C-%iE2nJc%|s!Am5kvWkwveN zO|--;4o&iJBo*zk%zD+%l{|Nmq7FA>y62>l?m4iedyWV_@-hCq zy6V5HtNy#X>c6Y2{=2&BzpJbMySmr^7>XZKJYvzw9xFTBBAKyxiT<4X5Ln0^wrY(^rE1q|`}ghZjX+$eH1@~JRk+ZV>H}W{$Hh3>XPIdWR|Zn9 zvQXO}UP1|Ru@dYmKTs|%b`?>a3}3AVRStF~L*Yy|9<~lnO^kMN6?0E)C_IhW*TRiP z|B*^KTB(fW>bdYlc_CK}N2{f98o{iEkp|&d%1oN!{?I_ETlC?b9ZoJU6dU`H5;@|`iB! zRWStNpTV`qH8$Da z1q^V=*&bf&oAyqk&-UE57K2=`a+}*d0YSF+92|HT8r$Qtj_Y3qeRmDIw1mM!+!4(k zD}u}R&S4&(kL|JU+QWZ)I5ixA)83Wlaov*V@h6UtV_RJ4_c1RB&28?!gAm+4k6psN zO_JbtIZm>FYeC+C#{O~5$@Om!`fhB|rL&lK05&_(=l3U`$9)4IH&@W5%McrGGJ(7M u^81$C=Xv*|0Cl@0xLv*tVXwk-xV?@d?Bq(<`RmSOl=uB7=Kb(0^ZpH8gVa6% diff --git a/STM32/MDK-ARM/WOLF-Lite/statisticsfunctions.d b/STM32/MDK-ARM/WOLF-Lite/statisticsfunctions.d deleted file mode 100644 index 417ddbe..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/statisticsfunctions.d +++ /dev/null @@ -1,44 +0,0 @@ -wolf-lite/statisticsfunctions.o: \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\StatisticsFunctions.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_entropy_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \ - ..\Drivers\CMSIS\Include\cmsis_armclang.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_entropy_f64.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_kullback_leibler_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_kullback_leibler_f64.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_logsumexp_dot_prod_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_logsumexp_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_max_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_max_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_max_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_max_q7.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_max_no_idx_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_mean_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_mean_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_mean_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_mean_q7.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_min_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_min_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_min_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_min_q7.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_power_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_power_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_power_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_power_q7.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_rms_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_rms_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_rms_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_std_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_std_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_std_q31.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_var_f32.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_var_q15.c \ - C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\StatisticsFunctions\arm_var_q31.c diff --git a/STM32/MDK-ARM/WOLF-Lite/statisticsfunctions.o b/STM32/MDK-ARM/WOLF-Lite/statisticsfunctions.o deleted file mode 100644 index 4d5df0f61aebcfd7356caf8adb616885ec2c0184..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 200604 zcmdSC4SbX3)jxjUNt%SxCh3b%Xj)RI<^H@9V&B4Q?O!n5t*y3x>PHx3L2Fu+t~bn&voBv?!M@L&-47A z&whVD67Jmhxz2UYxvq0v=bY=Cn|n*km+(B#MgQZuY%cbViOaNNVc<+!o3EKUZRarmQhPB~!i zQY=&Ia`PYN8xp3)sV8JA?qBQBm&%GC9$Vb6%T3Kwr(GAHTisY@@64J~lI(8MnID#| z?@}L4HCUE38eZXZs~gg;&c51{v)8x!fZ^pX@V(E#af|SG?&Pj9hV}at-=A{00Uz%- zw0csL%O7kS+t6RGJKT}L@#oZjZ-T?&P)^}$6kg*}N2oO+PF|l}%5jxB3ey9*bM>-4 zsjY8#%d$A9!!dndWu9L4bhAvSR?BLyD$T;**_Qg7Qf2l2{dqMC-R^6bUs5klm;K-| zUsBclsaKcsS*EJSm5s$Fonb{*{G@=}x-Yv*k$%$^k2!RP#?t-8sf%Ufe-ppFN4+|A zMhTzQ6FQQh`kj082Ax__J0ocJwf34!S@AEv^I%<#B9O}6t*%fwy{W}clfhe&q>x=b zuGpYc52(52X&m<+jGumf=B2OkAMbH|FU`HnquQPA-tJZH>~hcdxOcUwb{X8&2KRh} zyVmEf#dW1?ccW^z*FE2;s;hMERIB!wRDOMjGvi#Vo~zfN3S_)%&ODo??`ci!<}=TF zGdi4^A>Ki3cW0}1`xu8Zca2>&f3%wpbYX`WZgAdNDX($3=U2MzUR5owTjcrN=~n%z zR(+2l1D~gOLswSDxh#FB6JHYtvh=5&8E0)IOix87R|5_$?rKh5<8j+7(XYI&&RuI) z?SU9vZ(l|)37m1NBJ*5pV%VH{szQIdA`^T_>@0m3Z*<#jB(tLut=#i%?&>_cGao9X zsrJ;Vc2~OYDpOTMHZIH=!0@agqc@-jH;Lk2)$TTuVOO1dzSX^_5=N8nQLDCtTT9Gt z9U1SoCiZ6Odn+=}`t{+~Lnwek&paK-czrml7S$fLd;2Ok8NUvuz*v*D=j+^ijH((~hB{K+ovq@uTzzJTIrH^a z{XlEtNj~!s{yT^?tdoVQF7$Y~Ng;KUev^pEe{KTur==azXyDBon z&cwH%SC;0yBk?UR;}fU;bRZLtpyNla8J!TaFQW^?YD+vH(1*>Mb38qz>k3U5TG<2+ znK0~tL;s$i5qJ|jTJ`6giDymvZpIly4<-;b>_{BEeou1UFS$M^xgL;Qe&(O~de=z=Gyx3y{??51ymr`EQU~;Glm$aY zBpOK@k`Wjy=-b_z(F0AhhMkgA2GtR@j z-b9ScsQ`(GYs~+514I+f(w{Wz2eRlIGlxuS%cNGe%utq|wDXhY2QSEU?9MqRIa&!L zB?wH{PK|ie$%ZdVKY(%M4Ic%_XS-YVqfPZTc$|BFi+h)m%`2OG7giXqJ4+9bKE?Og z)Vz=Ty>h*^899bemkFk*j0EK*Ov5dOF@^su-%#Ox1_gQ@B&58c(_D0DIAYsv$?tL%G7bw5xfc2OQEqaI z{ASl8hyl(%OL~jEpxwNvL0;&^br6e=NArPI^MPOaAwI1d&aC;+sO>Xo&RaEGOxiw= z=6p!`K7{dWzTjHGAz08XH?_D58Z3*@uRplxuxn8WV#vdqPs17rjD}wrwOcst=T^=8 z9?civLt<T@I z7}lHvH>u)}zvyM+YM4san? z!5Ik9u;@6Mos33f;auG8DmWf27%~JG4cfoJAWRHg_i@^YNArnYGmKSd0eWu=E^LSK zgJcSfHCfx4cgB_90?V+D2GD68r@N@rd~Vl#VA5_eY9dyxpQZ%|*LK1O&W@tG^C_X(W6IG z7eW)|7Qg0G12u#sFfZ)nU3xlndE52My*B^m`1QRdb2jFuP}BPM{c?H6Zou6gXuv=7&_HU3gL0>fr8^M zHm~HE`B-6Ww4T5>{mP{4{)wgPUc<@0jD9o4Bm>Tj&s!7w`!cX>Vt4CmO+?IdK8vog zKEG$q#O_SHh8SoK1})zdM{z9F$vkDw#Et+Cr~CB1P9gpodK1A_s~+J5b}1i;QIf65@p%lc02Kjg^t{SIrAd~do0R2mzD8) zAmfx%f7Ub!y}$d-5tkhCjNPHCD^u;ti$BJXK?R!sOGOCz4Cl=T+|*@RhOym!Xt#BZSI{q5|YAo-0`Vu9IE^lRh@Y4 z&NrZyOW<%9LU*sc8f!Eon6IX=ZGNSEyOD9ObZrL{i0Y8fAG~gqTze$fEs|@ma)FFBrWx-H> ziAF3p5gQ6R;tupRx_8=0Qy6CvNh_~u!`cH|iQGh!R=TJydMr~FRI&@iAv!d4?evj( z=VM&5RSUoq*LF8#CKViRdzuR2bSQxAT?`o+l``|h*?r}em;hzhP?aT07dWJ&Wv}nkWfSy2b=uS zt0*EW;_l)6ydmlRh~{&H7CXyDzvizYB^LR=gf$3t`a??E$UJnt#i;$vpuIR`O&g3r zJeGU}09_VDG6(}Cfm4LoYCkY&u`Gkbhjv4))&y<3rg zI9Sl&LIj1#t+2te5TR5P!Xd;ngKH^Qq4`{=xqyB-?WYJ8OeBT_p%-Ed6B>3Sz+e$t zlVu^T$s{)9&yNKy7tkuCLyRCphIqCvaGuKm!&qCUM4x*&XN`Id0yBg6pM z2oS%qKu!|5v8ZWLg9~ApBovgJ5Uo+PNl}wvG&UB0vuZFj-yA_9nre_2_$>>M1@pzI za*)**=-sIKI7G((6sEvfW6%*=SheS{RY56qFMD+p^Bwg>Y3mO_rE2bwr& zFRPVCO=yVd*GjSF7u*qZ9wtCfFav>TyFC2}pWiJn@C7C!#6^CN3vaN{V_Dckj}Yz_ zw!88mk(%m$hrar{^)f#pO01ohaOkHU!LKy=zADJyEzYGn9rQv=pq_iG=WJl z&o9@99tZWw9UeB(9;IlUR8WWJ2=bA`fn| znUA2c-BlRohkX@Ag_@9`3?G$gAG~0uqdP%8NlpsVsCA11({(6%GsK1u427}7IC3S0$H-?X!vf{-`S};D5+UUm z5zRRheeL(zh2kIlWeQog&+y~nOX zOoGUPc19FuST0%TVYwuB3Zaw~3n#uQ!r>?gK?LH#I#1bXZ5~#57MTpYiLfVIg#czZ zI3wU;`wk+OJtkb!jwaNpoQXo&3iUCRm^#cTj_FaQ=|IMf(h720XU4g~#o1w0?N+;Y zqF;x57h(@DiQ&&cs*dsw8lFSMf~W%_M;6uGSYU<-O+Q%gYTSudm2z;P3K+JS!6MHw zcddcyb(}_sg-{^s{+9S>2Z9P14Rszw=qTUC>_$~4e?~_D0!V{TX|YQ%8XF5#lQ1;j z9FieC>p;;40bw7Nj1U5ac#%~jDvkCu_YM{ok|{9OWNrEOHdPJwK2)yIQOI4*MK}XO zvi?5(DQ6~%HsRJx2Tcz&@nhUo3#DNg8e)vvSWS|9ODNRzX;At*i#nA4m}z zE<$~o6mrv}%us9QSu+WVI?pL8YO%tIq&y{*L<9~(C1kLEh2jk2Iuu4a160Do#Yr%! zK&4J{oh7+8ORg&<*G|cGtK>Q$x$a}v=FF2+#zE9QDU1LW*U-*HkGc@GqNLN8(S@5s zic!P9ilS1A5v^#&G?F%CMj%!wN%{3>om5RitMd-(uDdl8lUy{ZH)_&WRw{%5hKz_e z1I9n=K=n9Ur@~iOw(12>5;EfyYPPuGGCL|z^36PrYZI=?q~}0hFCbnd*&uC{J;rlv?8@_upCPMzK0t z4|gOs$hjWndt-ts&B8*2n)7y_dr`J~rx4aw%2~qYo{yTX&RyGMWOWQLeMY=0 z#9Al>SBI2OP>QYm(59-zVSq`66nMJ<(W$B(DrEP2IFa}h*5p@2oYc{8%-sLN zf9$yZhre6%mp#`f-W%6vU)z#-PtKp-H~#&K=3lP~Md}Lgjr-|qfAHmBf5V!2e|RnK zuEZa|*?+P0Q1O~KcYSy9tlvIy(O6jcMi6{T`$zSihR{Q3gHGB3HEO_gk zb$kAH;n5dQTCNUHDC2Q7q-F<8YKJQArovS+g#&sE|3x^<({pU&44g80hiG1N{($2R z(K}p$-*fd9kGfMi1Lxp$xb5KT99dV)GA8Lbc7R2cetE)EUHp&Hom$%6XY!j5$k0f~ z4ybgT)_C}_F&8Gs;Uvt!DbUWqW!lO<7#=HG zA9~bCd))W3OnDgRV4T7MUYvpi>sgm1zln3mIhhH!I9ZtAzw)bA4}TRxdyY5Y7RQq* zbO*W}IB(-6YW}{-xX~03l}$0(K3bYK*ARz!DpBFt=}i+oGTf19`oj13B|^Mpsd)FD z>VQG?QnJlIh)Wo*Mc=8(BeXbKnl(a;3#VJ41&qrZpaj$6>bp0+3oT4Tw0LFi_TgH5 zZ(~b7w6IFGh?{@^1ZXi+D&DO>jw3DNrCPk5xI%SU$H6BZ)Gae|$&=5^4ctWfPXEzF z`pxT)kro}eS zG>n@EE$mV)rdh_)SY%7J_^7p%w9rYlXkGp*jyF<|>_5!&rytZW=43YU28ROZeTlNt z3-|EcGbWD1)ZlV6Tl5o>$~{eErY}9Iup~EICZw9jG;!TrYSA6#=G4Ddl;3jGNl%5s zru3@SMwxL*1huNK<#8K1b&lNhKoWPhn+x5cbU5a4sfT#(DAu$eg6|x)L*Ln_-_J8&76c{tg<+;(r+}zF)~+rx16fWsNiRK>9)&JazlEw zs5_F1jQ_4m)q+Z_+^Pl0+L5fIOqH$Lc_|KTcRAc^a732I%26%OnMlGu32Xl1#|eOZ zEdoYFUaY`_!#e#b;q0+O`4%!@oB*%_Gg9HaX0Zf58=YRRUvvghd@$kVO>X-t9B;a6 zaSUj4*QU8^z3vIGuh4$N%JWFIkzWcq;v7RZ&JyYT?KDmWoteF1gf?WfK5Vzg4Gs-$;e+FT!-5fYQPx zqowaG3uYrcle}WdnYV*^7%X3Kb`NFe3mYlLUl_71?#?@okbgT=PE&Ea4IDQYt8{f{ znZeSSFkwt}Zkb_28T!sGH?Evk z8c+t8>n-NA6K~9Y_;guvN9rrK)IiF#C1zc{P5r5Ef_#boq$5@SMuL2u&N9)^I4>cX zlQ8CSU1E^4ES&wFnhn)8vv=&6x7j}1Y`2$G)K<(c-?pxzYPPw0%WP}Kx;txTn{T>) zw&nU2%T~;uH*3Kxqj+7i!a94!wjI^$Hq2g8TT#2Irgqc1nk757uB+X&ZEMZyQSQxJ zXIZ$qd{ga))hkwBKPPwf^(EI`1KyTxTkPAmZrED8(133S`nsuVL$zW4tekm@|T ztE{MoAZxBSuPj@$;yV^g>53JD-_18I{m%8JH>_M!decoe-ZXf-y!3{ppdNa2#j;yV z*Id7B1sGY%21#!)->~e4C4*mAEW2UpcgoE%Rx3+atX#9iysZ2?HP@0bHMQ$EZLOVE33KD`ocU{N zYbv)@*W#LZ;jis;a@N#tU%;;Oa5aApyPQ9FO>NaSn3;Wmu4Gq5^+vp_^on2(AH8q>|Y^b3bux?HDuJxEe8@BG)LO0i}-La_(H)^Y44Ld|(c5D@`j_>vr)$7b_tG2DXa|K%4mu+2Jb*E)(ZN<8EJ1|4GY~5tv zR*PxE257~mE%vGn2Fq;Aj2VWN#bz_J5Gk;J+Yaz2Ud3Qx#-C!l*X#r5Up@)8=J zp|e3cP}}Ue7?i52YW%<9jx{@W&B@(0f9~MSA)RZsSJ#pS*fl1{jvD)oJ8HLVsMvbr z#ueLlRA4x2*k3npydF29)8KuMTaqt?tj2o7k$}41ubiSTPH!@(x8la}fLi8IO;In& zXfn**iyQucy4Z^wKbh2IsJh!&?>G;N?8~^D?dVX~SK!e}(5kl^o3Ai_WmF)6}tUuF5P~!+ddFn z9I+H#3Kls!%bHExC0~(gv4P_nzzqNS6P#t;HQf5JgEw(0^BlhqTeSRCY&1x{=J_!e z)?^!fLGM-t>%ClOCBkWslw@+*BBA3iVb@sxDoHc1(5(CnP7^u*wGMh@{2lKx$0m&;e3U3Q)~v0yNwq+{xEhdEbv3I2V% z8}|thdimAfrOO}?9>_EOrF)uTM{3c+uWnPV5*`F6_)qLAkVso#yeI>HZbUx%Q%*jI}1Y zDz5j&Ze4$%BryUN8siW{BZ5xlRyFQh)^M`CfooJ6!AyZh;A}0*30fZ^v$X8r#hv9w2-^Yg{fP^rri38*-F^8@r8%PCx?sa^lAFJJQzan#>SO$1KoYqDJB}N5=%m z7e^iU=9v9Ct0#9_vctjGkCsrjF*|@sDzjz!?f2=lk2|!5UnQe2L$hj6w~0xXlaj@K z#FKU$h5{YgA}PUX^#vZ;;`Tq4V=lNN$#aP4Q$FEG>d%ewmm>Gf=Hc)4cXhrjn6O8| zOenv?bhVnMNJxHlNOhZPZIa+lN4R)D&CR#2K2(y;eaTdxqx&jr+}iDvAe&f;V~H&H~S#e{+W|JjU0L{ zAJ1`@k!s>Um^joayZiVKqt+n9ZFZwecDEllz9Kq^UfkH&RolSVD>&twNlG;s>d9fKaYmjIw%n3F4QgQ6elJ_>(HUSoa!c>zX%%Ew-(<*c)jsFYZrsw+ zK=!`s^yL2-??`KO4*%cFPh>Z5>59vC$g;ckt?t^l=F+~ajycmE_38K&{`bgN*`MIF zABB}(zpkUwYK;@VI}_8=X;&$9{I!p zbm7dz#W5at7KWS)ef5Qqyp1}|4)IT>WIK~9y(+K&4L+mIRTy&OQIleN_W1epUz|Ta z_voA-9erVW{f@522VVQdYd^j4z>x=k-hHC!n)6j#Q{SI%pL}KQ+AHfOUqQq_n)^)d z0?{tQsU$IPDwzupJOORNiqI&;kyjCRhF;3qhKI=n{cVL79@WL&D4UW#AkFpoFf9 zz;@01IQ7K2FW^=j_lAz+$a_`Y1vutB1Y8#YVE{r|@;pJB)fEPg!%oblC@eEU%F(^4 zZ9Mmxk>gh2dh*gP&+>Rh87{dCSbP4r<46R$)!Xd5V^O5!#?KDBme>^D11*NJ2RS2G z(!+B*72L)v%uS)P8&hZOpU`(8bHYk)wv6*1D``y11toVs$DN#3+|Bu{^qjh$pH#V#?zaCGEx0d zQ|q34+{onnqM?#9#{87IFYkYwN|TOf3&nD<)gr9n`cl*0g~S@g3gR(oz@muc!xA*vrVeiOeePp@cix zWbR98irI)yJ495vKjXvoHuVefxlUr0W>VMhyLV=rt~)KYXgRps42@qmnLkVUeiK|l zdFgk!-PaZCw8_lm-a!%`mJY{+cyOqYl6nNvT}0X@CAC{3-(*ZjI=5u_08eNm;T
    z zjVWFy`mhE*{GagQxuOq;hWPNU2Wi|SjyTMRmyokrM)hH#X%$T(!Tn=?Qn{Y)9--MW z!iNvw=GWfQeAuay_;9A+!>^MMm%gb;9p=N#!~E32s6PB?Osx?&6 zMsR zxi66f{crERckZaFT8q->nyL+(P?4#QZNj5H;W*K+laBfh92NZuoJ=D0$fwb-Y>`A86jU3Q;Y#b46lh=ge!xrC_^h%Esjgc&Up6@`*Y3 z({^sDo|5Q~$9bq(0Ub^FHD8{<w{t3bankq5Zp>*6Wsv+*n%0M-A0qJLApDL z`+8tHo9S##r~svOU%!nt-4bgWTNA3*(OnNZrG^x~z1?#N- zeN!RVS|PO2Dc4fhEGn@}V}_L!Gw|aj>kZPIL6-8fB()eb)H_oA6Nt<8ZnuoJncJ)%G_ei;u_229E_k(iMeM%KwUBqq>i+j$&Byb6V1>D`-ix zQdp8To-Ju(C@iU##u{G1uscb+V^YIT(||**l=QGbT1TYErKENX#HEqkmkNu$YEU4u z=%dI2;hjU}ND_65+R~?FA!I*UN%s}0-@w~=lB_O((5ecY!ZaszIyr}3Rg6nkATHTI z!*u^OmNYUT{;M$HT<8DkbuAjCj9k~|;|H(Nb!~y~Kr~(%T-R8tpL$9$VL5OSSn;`;2i3QCHxUu`tFaT~?xNz0E}HgPg4o8*wFF%xy-t zqOpa3#4}-C8S?BmS-M_iVk#sk(WTKWtW^eDHiK`ITH;z|eZzpONqLf`YORE2C5a-s zbtt{K4;j|oMoDzmB&<~<0wr!jV(vQYMdy^*%4!l;Ru(IIr6x#A=trt@*?A?=+PEzB zxPK-+RgA^qa$wpN&SB2_=N#pUNh={?+EhwvE(auB9fDzdXsE(cu0P5HhlUObsYf6U z6KO+xIc z3~v3l+BNp-ZR=wZ-008jE4SE-cWm6aq55ZsgQ%_ek{0@t3dC4$uY#+Mp3J|d(&Wfi z<%GH(a=a4%*piNta??61e;kmg^zS{)jrHlqe!r(g?SB9voOwx?f5`wh6T|-_b?$r0 z`8TO-Sro!~4&?fh7U}NiQIV-{>TG8`)nyRT<3gHq<9~|gtnp&;#M1Zh7@e_^>!wiA__3m|y6qF(0@ zD)>hC7@1LzEmcr)Y@MBq6nq^YvA`>&nL}kLCcRj% z$pb-Ah@_v#w?G?e0gmEh9huM9)pdeCtuiQPQPSOhPuSTU(QN#vgY6np>sGOV+QO2BLgEfh7MNkdsCC)dgIg@Xqv3u2#%D$9J2GXiBvnF>jGa&MpPQWvPM@i7l^z6K7qNw@KIN7s1 z>Di&-&&=!@Q!v^tJhcHyK*i*V1>}9U6533Uo}taOrA(oXP5NH`5$coiy*Qe2fU2YE z<)ddIF?NS)`Zq~WrkXWruX1j}O7#YtDQJq*DV1|;9mXE0&SUKxoI-)jUfL{;#KJN_ zeX^X4O|L*E+qPM1VELo)jEi$%BzxS_k&HN*ur;74Vqob(KhY;8)ZdFKe~JGMru>_U zGD<-%1*G%;9y?1F-y!ygi32ExnE#T5yO8a~X76avY903TftVjgR4k=BLxzebIi^wk z(923YK_R=Y$O;4F7cxL6&<|y~wohMxnu3~cdNDkK!If6+6!=;y-%+5(6n)dG)beIUv zNlg+Tu*I{4@)8-!|2KRDhfNjdRhMY0Fz#|@r`S}HJ`1vyh^6n^i4r}ZbTGgD6L@Hu zCU}-gS$oB#2PJYi1UW8~9N{5y*h`G+f3kJtBG!@e1?mz^w4sYiTQT#w$I!OO4+jy> zS|r@P@sE+;Fzz zQY*irxVEb}$I8!jr>EjD#qPDd!591DQDL~REMlA@cuaGnT;krH^*;e)?N9Mh)H|A( zy0LeY5H0k~9u-2|t#xDf(z8RX9WzjDw(G{;MbEs_b`IxwMCkkOtAsHAMrYDK!>UU! zCu=bQDkdjk9dbB%_B@Y07k8FxF49;#h`s9*d<@QLcRvr+uYAuh*~6XHyc$kzOErmT$~{t6#O zjrfR7RDDvXbI5vQP>t}_@Yq(;tcR2`4bzM*-Okw;2Sp5uV)%d1F-bDdBLa^QHMcSz zG|xS%<3qN7FSdTv;hq3G2nTM`(4x;o<85J+gN9$ar1cr-S?~fr1Qkbjb%TaEV?Xuo z7@~%~#5&Z~=}c{?t9ydXg2$)Rp;2iE+s&~yqy-S{1Hs_fQmt5R6&n2|!yeEE`HBQ#vlRHpM1lv88 z>tA(f_*<(c44D7(z%}wRMdi^AmG^PiP&O#k$finiNjm3~=8{B1XYdQ~_l*7H?@O9+ zi`n1R6jE0X6nISgbrW)vD@)>gc+*uk`8C{Q56O0No_kY$a-ouKMkQP3L6T&?0+s9; zNRn0|L3ze#)@?)vGk_#1f+Q(|B*~^n29u8rrWyo)20@O-h3UNs?(1 z2zDU{iXaDikJ2pUKwc2okt79>B$*eZi@U+$AK-8q1Pz@EKJC6S#U|uHUL;9=BuR>9 zaJV|h%-y`Uo@?(^nC)X?xzQT7q2-g|n`x!T@WSs0>mh-qG^OM$E7(9pY#$Mh(}kw< zVohVU^S!k+;w($B#xenRCLZ}cEofGX#4X+UAivC_SfV~a_~Wdx%I-7V#o3gJkxAGJv+oSi;33|V9yrNv+m3IL^~{zdU0owqY|GQ zO-49-ybQ}qVucr8s5VEeP{cSO5|_z000XVfPdqEVcj!?wFj^urH;P zK`_<}i8ZV?zEC1!o0*ANM9=)9)sTj4jIKo-_FPLcTFIw5#-$bKPyGxDhhnoXPs}~k zw>s4Cdyczut+G0P%H`r`G^gyAHVaCSPMqGzn+H{w}93y9I$aOL-cu zh-ND=n3Hdyz#(_67&ydin9)dkjbKBmxT{|V>GsK*fFEU%$en%0VB#mLad?2%#o_#|aGdPL;s-ON7 z_UtBm8mNH~EdVMiiJdv*;nDa%Hofn$(RSxz^GA}y46+VOSV!1YV-Xu$mqvRAtjtjr zizx&JCNTx9OgS;Qj>Qy%y>RhN0XExg|1||36BJ;BM|#r3~-3;+hk!=`jgBUd% zM|s9`(IPw2|F8sKS6daZREohu*LtJ z6kx1P!xWG{Gm6ikz~f4Z0#_X(c|uG9W~EUTaKt}Jy5p-}3=Id4`8%U4V_UFIBXQi6L?YKB4a zGdyo@RA}*&O)6DQd(SUf3X6Y6(hW~q2A$hH(?>cBQ;&syF$0=s9-(J;W|VJx#ta~B z*G5eo(srD34V_U=e=I< z*k2IO;YkcTN;x{1#Bh!PM~T??tdgDcg$SD$EQAk3r0B#Z&{zP49uC3z<8~o9j}6uN zju${Hgx(FfqCg{hf`7h)4dYQ_)=paD*(lM#an^D0JP5S!5p7f_eNt#JKjBc*Db5h< zA+h!FC645Ev2pH~wiWFW9(#f8(M`Qa*wCWt`h-r5%vl(9Sw?QGZKu}F_z*&+2tS*K zz&r_xqb?~XCr^pVNlgESAZz_RwLT=aj_TiFVb6X|&zJ;ImPbP&=Tnv@d#Q-?%D z(adCU2AMR^kaiK$&PyNBjU;+5&~J^gewJ7xu6a5BDNZu&^Sj6_UN-;eimGxm12~8m z@)AeJa|xwLJCs)=F9~Lp&3a}-gykhqQeMKdyriG<66JQ!?dxB)i+M@)fopN)qUS)$gv4?94K?9}PYJlB^NlSDzxtkO$oub?pCXskZFTvxxm z#ZYw^Ia?Jl%3kJMbSDPGF)G-Yd8pk`8j6wR-}btq=jJ+_)=0DyjME2@Hq(1gCGn7ZeofeJR53OLWa}m;&6^xw`5(tuH~e{Y) zIQB9Q86O%`Z=D27E{37*2Ekk}(#(-r~Dlu=yf{YnOU9iR+rc+`mZsnR0Juty`GZKh`o2r%*)aVrXq z&XXO-ou_Bv=#kqM6vyN}%%I`|aK7F7pCmCub+c$6A%GN*Z%(K6{%aGZtkGJw|2qs` zUJX>qYM(L>AcwN?F-0SSGE87Aiy$dx08~E1V7t>8+j{*%GRb=Gp&)VOGggBi`RvCa z*0K|y5zhil8&)NaJ_EY%PRgcATS_3ruM(D$@D;hRfxZt%n|5dV`3ByxFOCWf6RKH( z!DUp5$#OA-(!WP1pw}5G;l6^OdgLU+#TJ)wV$ihDp();!AisjUvH>@fy!D}Rxan6v zo)g_)FA7DD!!(3V_z+4v5~#~0cB*8hxF$F}+?$lfQUIY{%y1XYkB|XabRB(G>o5#Z zEHGUHqj63;LxUe8-trHr36)8pcZkPfN!&pxjOJce$#FeRR4k^A&R+d;MZ>-La>waz z7_39J2od74$r$Z-jQS3k^x4cKL#Y{TNpiZ%#_)+~N91sWHnej*m7}S2O=KQn(VWA|u{>Fdf#}kt=~2 ziN`L_)0q1ujJOP{u${S2g7OiMS9(Z8Ax#l|C74uVq9dw`E3bcm^Dlqehfw&DsX!II zndr)b&E8C`&%s~OAnKQ_q!j!)DZqlL=hg0enc8g^-LrV=|0*R{RGRNV18}%Jc^_ zBo=y>SxEjo@qQ5>!o;hj(uqep@od6*QZogceim_xBQljHo>@Ba0@p;?!b?Se%csOx z^sj`aslvoNICqYWQz`dg^iCWUMlUFgUWat_UW~BS^%$|}!v~A5C0mDYEE^#vla5Tn ziVCu{0i4D3Nj;vQEgVXcM6SUjoT$g|`?1vHe-oxoq(mCahO!lJ&~2d7M}r zr-2SJ%Zj5Nb*w)dVlq(%N7H8T8C$hozrk%S%`1Ago@PnlO3CuXFJuKlBTWz&^%I*V z32c^>{$%ca88=?lhgnkk6JeHEgjrH7oh9d4cXHO<=hWRWANOn6F4IGO81RQ3)r$V_ z7xe5N{n-vH2^XXh5(a0xUsA6!FKVJy!Ov(e57Jcoai|-2P&$C07@4ttOEeep5tYy+ zqXAqm9l+zlS<~Oh`e#5I8^97_01qvjg8{7L#*W-@^pXKIYK1BpDdr(Q!#A8SnAI%5 z#Um}vKEdz9=9!Rat8~>4S!h4fP9YkaeNl;oRr{E9)wXI`ZP7u-pz_pUr_ojWv+0Rg zwf}0q25aGG(}lIrCai@v$y#V$%(gZijb@PeM5jhHdgu?vWTMV!Ev$8fRlmWibw;{s zd4*`;FcER$ycor8&I|megQ|$?6ch|ZcgcN^%$+CWmd3yEFSeSmy)?+dSMZ@dG0a=O z_OJ82i~XD;DcOgdBY(}x#d?s4ThJ{{IPw*wPqAoj0(*gM` z%{_d{Yyi%%0l1zbE`M?Ua0l=yenwI*B7Mq4^Rq~C=)Q4{VByz^7WgU_*$WfoZguG+IA6 zp@g7NnC>r+{p4Rv_XSBGqj~Um_|U#HY(g#I>6w5hd?z-c>?yyZ3DrV5jc4>d;RZh` zX{6H+7k<>k60RX}lmq`(gbr$76!)%FVed+nEPZ~V8}>^@13LkgL{X6jR@Y;vag721 zjMc^cDJ;HiBH0X*nm&JHv*m5v)3VT_7_VB3=@Sy#2nVUuW}j=Zzsa05-GU&`ZtP;z&%={~WN*OOYtUBk#B!!< zIl(F$g&;9-%K+IY%+CDM#r4c6?q&9IEV4dMDug5|1a9%mdr5^Y5+^+r_Y1nkkYw$` zX|ZYCa3`&FvN3*#D7V7sG#tXR?~yM1o^b@8DGE`?k*L9k#(M6S6Nr}X5R(iy1VNJV zibS6F6HJ~RU{TbKgl))g$hWk{&bo_EPEyG6SU4$*2>s}>G{GBL{m3C^SRM!GGT##16C zB)(pibWJIJL>i0k2vA3I+IF>fMLQZA%B6l6Yf6QfSB$oo-hP>;jE+8nlZH(h&v=$j zuw*$RIZHI(-avr^3s8fE&KnuNId5l)k(Kz$v!i_VIYNwl_vs$|+DSR?U$|;`d>>m8 z@bR^Y!Y10HgvQM1q}<{Zv7hdNo3vrRIuF75jz+G{BR zEb@@Q_j;4}@T}^Qz^Wd~6sRYk#%1f49MzQHI5!$=%BRVqx3GuF)tm8-B$P^6BLx!B z)~mk@FE_>cr#mX5+FP?CV{K`W$W-dZZ7`08#6LBE0ttld_-7LFnolwvnRrK_qdhNY z;zFR+u9eh{Y!lxneOc;@ZEP$SEEd}x0z zQTULc@N>9d)Gm?NE-1+oXb)y0m&g#r-*d(4XAXg+o5npHoh%7U(}ZN<7jyHGEQ~L~ zSUmr5nAI{G(Jz*nE=l*k{mfkL)O{C2>`4?o!W0z_q@F>e@Mq%;(6`~$`j`+Q!LCB0 z&kocmR9v8Fqd9frh)_wpFBww&iYjTqyZ1N^51hUxy301Jh3~Ox%U2yD>#_UP!(7$QrUKm$ zW=r%q`Ude9kdw9OKsZMHd0t*@iajID7Rscw$D&iru1IDnYyY+1N5*s4_Rc2rff-{S zr22_h$QitnoWZ%}Fm)fM5BY3ZKpN-S(y1y^5U`o|=66A2Aa-e3@5-g<_VWJmb&NfJXppEOSF8HFl#GXLCr@Pz1kIv@!5 z0SV`brh~zxC9IxyV8->Z>;p@ZV3l7?g;m~6lurh4pz(7hUA)9}a8bgj?T^|>Tnn7r zj>P23mp1y06c=h7zl7r5$x|~7{d}c_vV<|Ay@4?SGA`4>idK@MFki={XXmjl$^qE#x<@AH4KK6N zqJ^#KP|S)Ogj%1Fo!=2om*gaeoR9_U&>d7LkFJj&^s&i62Gf2#%#h~Y%#)ZX!G&m) zVQ|QHL!=>jdCSrlsqtS(5d11mh?quJfdc|FR`{?iiu%QnTGs0H=9j;{mUTpRocf}? zq^L96S5%dc+3;E@`qO>}Rgsy*zdt_m&`;%XqQ$h2BdA5vbOc4MTVi9^|xMHPU;ub?E01(*nmJ1R~D63Um-nfsh%Wp zE(2-%j=>-iGc1UiHWhPFdSd6iS6DYaodi8Ao)y6oFeHTt2n69~>@+O@80k%XBje#~ ziINP<9RB$)GKZ=4G@$aw5laq^BofE9uVeAO^wjL>WY#_juP!xUaqCdWnzBevEbYofOF~ zaDP}ij=(U{DE0o{{r^GFXF8K~fY)>L@JD!m))Io{&ryfgzN%9ZEdNUbVfe2i_={&B zVfc>|Rwke)4F7Qp5#K|^_GBVnI~l~T8;IC+KM_|af!H~lh?^Pbw>?$sUo~bD@!2Va z!nvD>xdTMJHW|dN*B{ouo!hmHIOmTkU-zp2N+Q0Y^b}AyKOd}dVSe>iJNU%D~j>ND! zH%yLUbskQ>I)>G0w#KkJZ4EK3PRTS0R_7Xek>EFCbqaET)k%H;Se@oO39GYb9mDF( z7O^@niCCS8h}G$PRf5&Y1XkxIV0G$5tWNmnK}Fx4fa3gF#OmA*tjePu?o$xzB zMc`EttMibE)maRz&R$@3A|h5Nkbd8oj{g8w=XWAj=QyxBdx6!7h*+J#UH6TtA1`8c zGDWP;USM@D0jm@46RgDq?l&fYrGKtWNlVfYqtr1+30&5vx-mVs$P7 zs}uRn2}QsqVs*9wtFs1JodOZ769HByasdSIi&&jcfz`QP#OlO_FmiM(@C5eSM{oo&GCtN~W1K*Z`qfYk{d;6alMqJFq&(MXXLl#Om~o{r;GaD*?sXCSr98fYsRxtWHG4 z>IBw-;LjlVRK)5O0IO3cVs*mr{Qn56<6);k$ZUSF6dT-}1CmP7e;=!}aQh%uXP`t< zbNNQB&O1~7tyrD78zoqsf*jc3zk}78G#XYXlVNo}YyCH3bsjPhR)@WlGrDzuGghY$ zsp^Rvr7`+9Vs(10ib}-njiq#Ss-ASdHfUD^H?m5o@D@uG+{YaZa==co=!`KMrbZ(l zqkz9@rzBGV@*tQjlqI7cReG!R%=a(@^au!J;ud9Oa?|wPBz;p?v+%oZAB9wO9u+-d z_1oo1UKpfx9G#lxKTanyw|;X~`YA7oF5s4bDd{mPx<~lQayN<2YSf6CLxuJ4qi_|s zS}OX}9>(Ywpo{&=fba%Pbh}jaBgKyr)pI0z=P05ljTd1$1kZuq+Yd09(GYymaRI#Y zYvOT6$|D-R&0ttP50%0#7pOZ)WH*BWJHo6?{zu^%Hz5-tZ0|k^oQM;C@O++Bw^1}3 z&0&Ly76<8nJ3$S8^fo~{>I4xJ_93=K)_y{g+=S|XLS3-hy#TWrnqlz5@1dDA0){6h zDKCIxd?e|}XSKf}?O911{nb9?d0TQeWfh<|tkUXZ(zAivh=T*XOI-pKd5&RuEUd&y z$>iXitEV|P4d3jr@1zx@}LWsP!QUQ@S3c^LFX(ioR(a=r?h)#)U?%l^wH$hhI zA%CEZ_MIs$=23C+XO z)r2*8S^2*2Tm0pa01;vJ6e?s#`-?7_fqVw6(NS7tp`N7vlFxo5W}{~U^by6-k^bTk zesaxVG2x+dO8iBD=EU9p{{S@SEA_vI=6t1Q(43(z^NrA)kuLMi&>X4D^zk#l&1Jr@ z5$+-Q5)BJgQKK#zU8IcygytffA$ZOpZH2PTPE?bJx=bM(Nh3u?E*hd}Jt;KOW&R={ zq*xV{7!P(j#AWWW5~k=|T*jkqLq|moRA!3c&j^?KtDPyw`l087%Um*3Iev)C3OaS$$<{nU|RV+g~=N@~4Ewg81o3Gexom2fFxaRC|yreG4V zCqA;KH_Ae+ub7g5MwVv4pdrv3hMEbUBDqe*$}y(opNB|b3-N-$rmxgbagx%YS%A?9 zFWAbZ{lyE!4&dpX5@fMWfcr2kj9-+;G|~$W3Fw|&^i+hyQUQ##AeEnpCTrgyEc8rI z@P-8mZt!muv^V^B;W+P15#TtRq|5E7aGa5IepEP)Fn9LdO1S4c#(wxO0;-(PXgXV7 zC!_vBoPN>WA*YW`b>SJ?j<0E>9b~*@S91!G9UY-HB#mdFIhPbI7r4HYg>(!g6$Q;iW2fsGpoWQHSrCKD_jVp3tr!d@ zge$^vd00gKU8w`+F(6N{hiKm&bU?`#kf!;ZLoM&y_JD#M7M#6JJl0}TNK3N(ja@7x zV|X8Wjat;a1p>N=b-Y#*fkjI4g`cFe%^3f@xui`YYa_fme;wMa&KrK#92XzQA z8}{?+Fa@oI17NG>&@^ZhL+!}lp^mpj4Q`k2QCS<0zL^-LczmrGkN@|fI1e!>&XBEX zbSTcqyyy6+P#md`{<)oCLz~5je3aiNbeB@5qrD3sMg7A^poXDmEPo=3-59pohr(g1 z+e>|v|1L{~jAVXSW^~ybndqE}V;xa^S9Ctw(U7QZ=^H1o?CpoaJH=&B3aIu7pp^#N zsUuOuPjoNp7eFeH6Z6ZGz~3XlV<=|)+6H*y`Z|ceeY^xjJvs_Ux)C`htTF*J;1m{) z(SCX0T*JUVHZp5up+C_NaBU}Y)^iY^f)fjBP_s- z+DQ)w^av(i-8!C5)gzsR=6}^@Bx37(PFY3j$Nq~YKza%rr**O;daHt)`;d3sY&eC` z=sG(j{plMh-@sR^WNA3eVex_d3fXVD{W*lV+q)UJW4okvVn3 zmEhG-#hvnaD`N3YwGx!X6FHyIV{&Qjk*HINi>y-s!7vwQn40Jk@WgUzB&S19G>Wah zE&GqrE%t*H2HNS>H7M!zF26`(FC?B?h8~Wcl>E}cF2GKh>><<|h8!Lp5->o7xE_Y7 zIY$b!ygVdPI3Os@65*ks9j2rJfT7=cg~F75RY{Q=ZGs(`O23HO!R}%?qdA>01SjH> z2s;36+7B=s&i@#I6FK>R3c%TSrv!lW3o4H#G}ry#1>mUROnl}L08ZagbOZoSRwe;( zz7ha9M|1w202~)>BGG9m1>kJPuv$kB>*xR+IiRTcZ4VpP3nwtFF6pqIlFaBH0bBAC zO~J@;;LCFoV9jwfvUM_C)sSJeOAtCC39jlHI>n9->nnt-V#8Ydkm>jE_@wj{%s9~v z&0ASA@1RvCI2-}wNhiQkQ3IY01JksT^BaUl5~DqTlsP<|)oX{Kb(XM`+5y}z>XNK+ zo^+dYkVz^n)Jf@@m~c)(I4hCJ^Pq;1G1yqf5L!YAr^lCf)&?CYOvY^y0670E$eVYj z{5z31g#z+sNQrlJtJD&ffz#QCb7~OgZ|*v zaD%7v=Di_m#2o{K!?d3&l)I#*cK@tlR?@FqLqh-&^9b_NOF7r9)?IU5Kt#n*unZ6 z;$G%^n3|5uQ5#OB@&7DE8bt~uRtHTC`bBy$aS4?p_pPGeX}Wt~Mqt|r>qYbV|1#R9 zP(a%Z@uZPx8%(KbVpgG+>3`WlqNzcf`nROR+k~ea8U6w?jY~Uoxxd^oRHvjm)4z%eR0<@9fY$6j0XLI{H z;A{eS63(XX0m9k*@+fdN;dz9!Ierp2oBBTx&W4EZA!2(n5wD#LV&H5*Y`UL_tCK+N zoK3{dONdy+*%0yBDIf;U2E^O}B3_#e;wa9Bh((+Y5l3-0AXfi~h(Bh;w-e_}*Ael- zF%Tm(hlpRFPBQl@emRJ<`J)79gUfG!Ck~#|yF33~@58}Ab1%Wz%*hckHc8(<_P`Ig zp%@$WDgk42Sj5t1*tPSOwSVi=ot3C8BI6k}ujR*X#%VQj7@jLpg_!r1r)jLkJ7#^yN@ zW24)Q_u=$*C@O-$*yspCgZJTd;Gc?^4~&hP-h%oT2-<>*igg5`0YMhtha&^VWMua>2?%=d7F0h7uze^hfU&8^`*5DS2d~`uCkXxtf+iqjbimjw0mh~k7@K0e4~O1@ zT7|cu>hKm+!*LMUfwAF$v2o&kI3496I0%AyIp&@!5cGne2LxVVY#so{rWhET1|MXw zfuIuvO~BaX0U=`p#)b#RMuzv{G&~D}%^*0tw%+$V2X0Au6C`*3~?j7`H|KyV%ez2NXH z2pk|V0YXy&j7>4#htu$P5L|*5pM%2y2qGW|fuOHwy81R?Y!rmCnVxIbUAw;C_Xu9J zW&wo81B^{8-iIRt#%4Y+HtN|R*oe2;bb;V;5Lkh+$-?_^WWd-c2xGGt1baXb0f8J4 znsI>8n1QjW$NO*^at|7+?f^ju1U^7$R)OFx5Cnj+smJ?p8uGxQ1_Ymgpa%qPDY5tA z-03GYyX#R}*4fYRhV24A$vbaYK~NH&_>`MzhhVRe8TpL;BNpu4?jxU39#h2r4Uv)0 zs)#3h|4xYg@)Y4%GaYKomtt&u=Kmy#*=zEy z5&jV(WG@1UKC5*as7{(CJ$*M%VlPVavl9)*Tg;3?S@e$wtdgDx++(5v7S7HN<0^KP zC}3=w8O8>0I}#Ln z*oH_vd-D|g6|R*MyJ)^4=4>YfjLq)}V{?~S(H{k4(_Q=%(w4o-hU&G00~JktXR?>e zFlj7QqLDa4U?xKfc}dcd&+H7h!(bO!U22`4-%H9jCIKPDD#7fhc*4Nlj8gr+Rnj^4 ztd9*G!`QrtexeRws;4=(RyuG!g3P@1$T6bdxupH=>)h@%S9q=izMy0|$%sHiC*q5=j)hlr3g#TG>kinC7C zpv-j+XK<*f6_cjL>X-|TZPib8DdMb?ZP7999Ln#!&wZZcCJpt^_R-&Wub0nCp7Y$l z=iYnnx$pZvtmEu3j!OAi)xqKyF(7=rl}3AU!7~aElMf^$kMhuD?nXb_OvEFQM|tL@ z@+fbKAk#2clJBKa)r7-J_yl$fj7=06o82eI*eC+-!tLqgvZ?z^ZGRh$apj(;%)}ip z+T~nkY0?2>bG>}%TOWQHGBGseHu_7`3S}hpaKEgFmON%T+l>Zs%bBXDHJO(QjLo6` z#!HhI6O)O(MFA;4ZME~9S&xZH;q5tclC;osrVsC!J4UZ+Xqxfj5&$H2obZrLJ6?un zM`=oG?+0V^0j~++78K$`dT0u%DM&z2+_0CxOz&m-n}ERia2fi(TWv%2G_aa&sBxuy zY@(aNFrxs=u#bv>2Sbgk0yIr051GGOu(If1qKCLbrfO4~A+txcJMV)dB(L=|(JAl| zgBUX1p$RGLOVW_}h~X~Yy~t14J;`^TET$dMqZn%lG7&>&RItyGnaMqAVQd9dDUPwh z!k9c{j*4!02~z0(csHmg`C`bdw*h0*&yaBo!WyQ~!EleyqS}z@b+QT2fqYOTxQi~c z^y=X7P8u?Yy;u@WrI11QXib@B$oPeguoYrT%21Cm0%3=5pz8j!r$HciDt4gCPPCJr z#-n`1@2T+Pom;$17$?19UjHZ2yNVz^7=gg{#^v%%bllC=eU${_tUAdH%7DXPFhIsI zy^R3Pypl2jjoFUmtA35-Ur8JlS_QnP84Uua60Pyaga%BcpW+3kMPz_{IN%dZJ*Pva zl!wFPF*?jh9uDKSAW!{o=wbS`TAr~+aub%wzAw)?+@@w&Vu8G>wI{*JrXJwV z{w-uX)fP!!6bAmazeSs?pZ+2D zQzuHL5$Pmpcwyd$z}WP+2Fxgd$s=$&wVIXDsB*@f-^R-kiclqEY-TXH$IBVlO8c!N zhBF0s4$mz0UYssy17Ie96Mhqg1ukbn`C(vec$Y*NoAP9gjlx_v##M~5sTLTUHH@+G zGsea!FgA~=7#k%W#-?cw+sMl)#|qGyvwvDE&Jqvm?fqXAXPH!mruG?3gA>e>y5iz4 zw1a}3roM>lW?V#*Rio_rbq!${I#Z-Z$Y>WBo4(tir7O9?yO6QQjFY@Zm0YP|Y_3%8 zaT#M15YU&^^gW#-rqpT~BZs_iA@Nmgs=Awr?k35avtN1`wF&aRd4xJ=r`h-H5zTiK zGKy#!X}Fb3ng25YjLmY@B2R;{=@8JDwMZhWC871es$FOZ{h#PzTEk_Hc9Ao>Ovc!R z7BKMMf&y11FgCE4lQue$cJAs8BvyfoATr)lSZ#BEqhV|!X)rc!0W)EvFPe(6i3+69 zBS^egGW^{F;Ia*Csh`v(jiu%r%IJLFImEF$xzlqQ^LXGJAC7J1Fu<>dz-L#93z;xpo&P+EOlbLRMRHmEu$C8`+|IUZy19Te?5?o+Nn-a$%Ck*JDU`a7;7oq#;p?5 zjZ27hKqQX#$(>%v3is@R!vwNjU@m*hY9WJlWf4=Nc-;~gO6Z!csI?W3DiAvI-c8Lv zAYf3Ozj+|#KWYWIP};APxlnd;ONiSxPiYH^tI9PTN1Scdf(xaT#%O*K$j)q=+#`*z zm%c^O!rAtV|2tvtwFnLxY$+=aN;)1B$fhpT)j`E!UYk}}q3?u%-zhTRkPp%u`>5}3 zR@^jGy_-)zul59YlEq|~@gKTnTx&M#y^~UEtq9hdD6(-P8+CQmE#uuOZ=aLdceb!D z;KA%W+Cm@9dI3MZM30I_sUC^|m>fd}R&;A-pKdi5F((r?vBaFCs(0>mOQKu1T|R=k zwN&a>nc~L2oXZ+vjm8#P?p8<9dz5Yk=N~|~R$tqA%GqohT)m$WZD=2Qb zTWL3U68Iu^%TT6h*7Nup`}T@nDrnMryoW(Eg6e0lH29;{{86{~C^5mgMXxl{@5iHZ zudLuoy3kT#kf>?P&7SVTYR=@O_Fx6s-7Qhv92SLxCpy8uqaJ3rF9$=^DZ}Rw%QX(O zyQ+aIOQw#92+EzUD33KSWdb^SYog|xY0GHUX6Yh!6ExwYC}!!2_SZ8jM;&WVh(tv_ z8Fyln4(~nJ9Ybe2P)~glWllc!OB&BkVifi6yLcPDI3AN$1J8B5TjXVr6S>UN6S{($ zW})msIVMVv{wER~wcq+~J9KLp4;4U(;xstcE=LwC>6HNZcMUtbj{pHzSttw5wn^f) zIeY-Q=utBhC@SUcpzfvse&=!M1-H#*7B}NomdS6V~-R{+9Qd? z*mDsF<5-h6+{Io;HizYBqp0TYxzExA1PF6 z=$SNr+<-_77Fz<0leGVsp8WwCjeJouof9`G5Hal1=+s(88yPVM3yGURA4@|oD9BV$ zxQa%qg6&p}nzgc@vMsxX-kPw3BT5{#wUf6X1OEb~O@CXEe=@8^5aj$jrPJ-Vu!kvz zLWE~Y!}*`gs-ws6rhiL?KG<*tYMDgsWWVJoN@zQRNtgI-K9X%4=C`@b(ovVnZ{rex zp2E+h)VoBJK*cMLN(0$d{7?E#I7=v+Nqmh6-Qv!2NuxG&3(rnwd>hGZo!H5Hcj@AX zZavr6)vGmT-*ILaBDOdxN1dhST+EQ0P7QLiRkd?v$W26)^Q18;t`_?SF>7uH!A)l6 z1VI7S#Z#NHx+VY9sMzycG{_c+<0OG z-=m`Mmp5KEA#m<#YMs(}sT@MYrlE2)$c=jbF;;`zq#HUrYW7lZn-0Xean!lnH@X+) zI(Lc$x!KXdvYk-W#h7!p%UC(jZBa~Mj*!-dNdu)h@9$qUP$oac9@9Cg+%|gzDyUHA zwwcUCI#qKdZX3_-UHdX6<@DShsh)cRZW|}zS57w)sf;<>b2TtGR>s^swu>+~!D+zU zGy!vy@jGB{AiN&Jt^x=z98JQ6xglZO?GRp-Pr}4)L&96DA*^CAAuFZs+p*U2nwJNtw2jOn=ByJn`o|g^XY8gi@e5 zRIS}Aw@oAAZthgMZOVz;#!YdkbmwfzY2B`J+sq~0jgN3QBUEl17sa8{{hS19Rc@QP zguA&@<+dqTxovbg_vB=Z9zVE#k;-k8N8C0R;5_m`Dw#g%In-MCv zjqCrVI8=Oy2IK7axRke3#@&2s9+yYr4pM!l7x6P62ByOAb6=`rcUyIwu#N0L; z*T@)%6LQ*2+acA-4?|{e8LUU&L** zeN-~H&0kbMzl^*2Z*kjr`Ou2II>T_UKG^UTxNYXFOK{tKsCxO+;BLMtZkwatFXpx} z)q&e4bA{Y-C*-zih0g7fJMN3PZFUtUbK7WxB?(0EwPH`11h-AeC~1_RIQA4q`NlQ! zDE}gEo6U0*+%}u%{&%@;KC=jJoA+f$%!#>epocqTJ^UhWn~iHzxNXvnm;V;GjW|3@ zJ6^ts+eVBRHSE+nF(swrDE-F5PE~vfZkr0hZIe7?PRMQ3cgTDZw~ZJw32vLTL*{F7 z+n5BmP4bXAA-7H6A@fDtHe$#KZkv9F%-7r#sy!~_ZoX2OsiR)zwnD&K?w}69=lxY+W18 zM8!DgPAl&JXVqV3&{IY|9R*_)Kb`#EX`r7!vsic1`CM~HeZsUd7jdoKlu`oJqGi*z zk0BEb`bmx<#bJ?q&{Qr7_hDZq&vA% zCI|5P#^Xv=4U?4Ux$eO|XkY}VvW4JoNg6ll<4Oqzi8(xOrpG}y>Bdb|Ag9<=v!#M= zdW8mY{yyofK3c^5w+S!|fo@Ed6xJyLx`_!e4|F^UNDvZu87DNNN(SA$R07bAh*R~h zq-4jkE@5zoB57*qI;KJO#S{6=(xY1nPn2xWyG5`l9Qal=#lZkr={!Z!N@o|br-Dc< zti_&+gKl`|(KW0$4!Y3{n1Vec7!{+sR^V>JadRr@W~U&n;lNgHfLNI7PTdS19(n(v6O=Upq~MK%Zu;6byG3HX zBv!Fs>j4$hAZ(lI*+(?cO}c${TLXh*{)GhM;1~^b^C9C`!ss{CCz8gri3mzcX?nwE zT^lAizTc#urOnnQ(E^x$*wfXEDjwSV(8cQl^c=d@T z)ptwZCze!~pxhGYB^|fW4H{5xY5uGv%B|0teaCAjICK&cxmU!vGM+=d`GBAT6MX|x!ZufhBM1%(oj7qiXTP73rCYMVQfg)b~}Vu z<&!XBY)E)(HH5YJQ6&847!oFo4GAk-AiS`Egf)x}gtholB&=a6%aL;L zcOiU#4i$sqN0IPr<5A`=LrWZE4p%I5mhn)Mm`>0g4!W(EEK z=(!!f3knS9;kTHjB&+JIDL=ga%2n4-x#5O0u5nGVyIiwtSJzHC_qs*3%cj^@EuZ43 zU3BgBQ|#YAZ%Wm9KRD+HQ_h$?eX>>kd-e|;Q+{yW4XYMiIpqhdYgb=={pzb1U0;2} zibbohzHY_!Q)*W&zXIW*R$O<*)r;3$vE=lr30zI$H_RQF5McMpa z!|((85i7F;bhl%{=t#}5Xvd7kjbN+sRDZ0@jfTc%DTVhLrxlDTA8i`Ps2P9$u+Wy9 zN(nXdos-UdWXz1#F=s#W4_n5t%EcWu&1)@9`L>OQ&}L=4(Oya%BZPf1JZiNY7u41% zEv*J!Bd{+62iIGMjyJj|6NSiSB+z2DD{D#MSgWB#X~@X{DUFjzX>{h;=L`u1M~*j!W}ItQ){wwQR=fGnwRPr$BoLq!+(b%KQXGd0xL>z zL1V(QC5(F|i#bKwu=+W1flRW%l&qy#!-MJHt--sNq<3BJWq_FN_<)TO%xV0awHMj)TetGzg~|_n?IvJ zrGe|SKY({P$nRFWBW}umrRgHdUY(EZ!ve^}g-pZ+H#HNVo-X^gpFNu*GicM<66SEx zGo{2blIP35Xtxph3+gsdBYx_510jfw^Rb80lb_S70uJ3-%TW*}J>f9!Y?BZjdG?tk z>aM>qA?g#NOw17D#s@T)M8kvT4ny%p>rD(hnbA7OnR#m@yZW>d`f`&^g8TZMZPW_ z<#r`Bw~}Zx&j@A?G4qAix6#bf{62!|W~}l%Tei_#M9uSw^Y@9)+aF^6V+xbQQYK11 zLO^#_NljwQ<}T)o=l(cl44Nc=Hixm@Zn~FBx7zEVDApTiS*0$!Q4p8#o#=IPm#H1& z%w`gqZJ*LRm#XR!U27Q~M7N(kUrOjlBB94o+gqfBY?%O7e`1zu7L^ECdZn5LU25Ra zo~kRUzu&T$sW-Qq&XpQ=)Ilhtj~fr0pHz+S2|a{gIZVI~PMUzV?f~xRC24g?BoskH zRdPZOT_3Gp=imXxHRF47+H9ajb=S0dcB)oKvzf7lqaxYRf|^!q17hk_Dq4P13P#e;4$O&Dj5D<5z>4HQP=}J4%L{Zet%eUdw@tUhfo7_0- zLJI0b0gUr8AcJJQXlmUk0xs>sNc7W#uf{{I=PW@qikcd!yC3BVLH`6vnV>xJq26Wg z)fH08|8yLn_knR1I?s;7KupY6ZA%>~{&+t(0kd4bE-o zLY!~5H2*pVARbf&UtATRs8fK}u0Qp&hH&Z7xH5Ugf>!Z@!ge;TM?3SC(WhfLuS~Vr9HK#WFj><$nE%LYRx+3z0=?cD| z=v~ightaznE=dq*YrQ+&A39Z@{an&Q5jrhFRU=~0t6yn7zq6H#@I2+N#;ZzLcsuL( z9ZD8|x7uyX{&}jB1_jeiFZ$2|V^Y(0ju)Gxq;|op!_^2MAyI7{=gvKe4C6Da0OAXHIXL7$su|u;s z-f1)ou|tm_-l?}3fkv&FuaLmc5${wtgaeIMx+!!e{#R>Jlp8W(6Q0L5VK)6Xwz+!rzg~LhN2Na1LUGK-p{$G5GG<<$FRBuMyO(C1lMMmKvG73A0MsO>cbWTA;Sg+Z9ZnF6dWb-LKs?E2j zA*T{HpN#;~AtEAl6A__-hzJz|M4Ri|3_1ctSK5e(Fi3#t&^aVPfM^2|5h?_THt!|@ z0z_AWh|oqvgdrj#bpM0|2oSBfNZ>FDbdo@j1cC&JZY4l;-7c~_7nj-1uP&@p{Otyv zlMN~yRV@veot9EL0K%&CwTR);dR;jFb0{69z0P?#|-`~wjFqaU=jey zmi1??!Z2fIslM-E4G4Zb?VaoOVNtTbhFnBY>yI8f7TXy z5MQ$S#<5A%Oq8o(zBOk`P(_QdvDkcb$IUl7SQu$phDarWITB{WDj8%xS$G;ufzXi6 z#LJtf>-{Yez2OX1Ys!PVhvLOK!c8gaOl5x?JP9l0vUdvM$GP(*VPSgiLD}6^%I9QD zGAEq2>aOvjm-UY$qxJOARE?sF7lIgM!E7lg-%TuB#iq{&N!Vt<;_3=f*`sFnaa#YF zSw~Z+QQZ6iG=IWwqnpo8Lzab_YJWT6CktJQ#hA4&n9bB{Y<@AzLc-CRH;OkpLvTxb zVmKgn3hpdVNn88t!}r^As0l~6v_D0EZt`bof1YC*s2jVjed_T2F8x1MhC{M%5K}{W zmp2KHJnR?@FVa8M0^3jJZgqS>1rDRssrtXQvKhZJ1)Yd;nOJ(aX7Ib`&=1Cq*l?FlK7|xCd-U%29mF53PMhTFgI9 z)jOXMJ__L&9-8p%e)Vo=9an*Q>p0>DrHipfdN=p9RJ{ub;V4SQxBOM%FVMSRT6r`+ ziHhScaYFCvMguULq<2rUa2UrQBGL#I0>^~?>z#d~4|QfsBK%i;3rm9ZP8(lsm8{83 z)X+%f$5xxR)8H0K?kbUxldl5fp(SL-#zXC@+&*1p8^{xh4`!7=Zd>sbVY@N4s~kdj z2jl{>aQ|J^RmZzPzAw+`f{CuW2fP7EQ$$nm%XQThKe{S{#tq|uLu+BJtBzLw62A%(yLeam{?(_e zL_!w0j`di?w1oQaDkYC&;04j}P9(1ll#v#fKeemOLYP_TT(WTgUA5W!ILh-6`l14K zqgw0JsCpRtn53@q31Re71qP!g`~{=x&jIeL7wH2NOmt~f75Zof(hZenpwOAjip3Xp zL~u=Yd~jQ3F2D>_Zh%?``R1-V1NriJBX<~Wg`=-{TWL$m`wn!YcP~9m&(K3`khgkH zrAj6(x6OJUf1jh0K@v=^Brmt_5HDFADp*VZNCcG>9DF$COjI&sxSuK+lBZew3|>mi zd>nd=q>~gP^U_2m19Bx}dA~%d1f>Ce6|dw-%T7<9IWXwuii|~JIwAe#=D?3)+*=*= zK@zzi4XmO-pWd3;f+6BUMXJ#3$rU+KZ#hiZ23Ig29-lC3nq8Xxf T1rwzO{$m9z zQTP=kkH*rP*4kxm)5Ak>m5A!Z9)fM{Fi9P{NZ4t{Gyr`0AWOY|0_0%a(fI;paM^sC z^7JR`u2w3`9J*+hGc|nW5#f=Tg8bwS@T~o)aDXdJGjmt@VK3d-{!uMqZ?tJ4CW2B= z!lyt*%Cmb+Bs-DcNycEsK_shy3(gBWV7lQG*ru6Fg>Bk_-K!tP*D^U;#eN6QBsV3K zqau^Z$V6hTu~&2=6K1>%Qzr4Gyqr`S#9Qcr6^BP#`%)|UObX2yZJVnr6ZTL{kma_Z zHyl(lnI|PCERZ1HDM$_5fG*n+?kT_MDa$#ei*CkmIWnwSD<{6PBPA7c0oyTcNX13I zto!raKPfA{=+WEq66BkOcc^v1g>Ux^I!)}2vDKj)dZZlE8l z$2^j+6qc1MW`ll~C1(MpRgAfDgJVhV0HslWcNyNn-@d^yKG&V^)hl*uRDX9T4#~H} zhu?svE0*8&;GGVJYBpw_6TE9cm(n|BfU;5j%~3Ir8ZZ06ivW!!2qzBe$fdFcMKQpF;_yAkjBm?3n(HC`geAuk23K;O^%;HYeBnZ_^MgpmpHk-wIfn**7gKXslH=1l z=H41CqjqlmrLFNkcI1FrrWn4`4Q{Eg?sY&sq>1+^wWHpw-RF1QUXy4C=QwT$4tHKc zx`sA#FVR`4U7vO?HYiqKX6)gz(u1S7B=lx!&gIAtn}ZEas!sJNp;2#B;!Zj7;J7tt zWHS`Sqa|((l8$!PQF_4=g;ct3Qo%4?T=$xUeqq7PzILBqakE~3)IE;!dA5-Xq;0rFV!Ow$L$Pfr zw*3LMO}N<6M6oj_%f)`67sDdN#aa5tJ9$W=eqJG{`zuK|sDke9TUYyY ztkf#6Eu_)ebY)?aGts%hP4GGtM^NgRT54@@2Xpw{>-tVyI3;BC7+g5@)P#`Qx+ud;ZM#`KT-baDYiNH`I~N^lNf9MiHLBp zfwvU3gVerk8dsRHko=fa>Ay=!y`Raw5WdujU1sGr7;e!CE^M!u3@Uz8=DXJP1c2>^&R8oedDS|`6%aDRQ=-7TV35PF*uz%ntD$mUmdqGz7P}3h!E_2%qkH;nR(F%*;K@G}c!#`_|3%zs%lPs9Qa&w9V+uykLy8 zV7$NV7siE#x$_s!FY9V-+Wd+?V@>F3PxkqyA<~M8cU-cXSPt=!ROnf%stpWj(omOIDCzF9c{d8>rBvId|pc) z-=_=U@x7lszClCxKpgfD7+ilHF=13rAT+=wNA>H-uiHfNV{GKtjnY|u2mxlu zZEGMN3*-1QyVLVmDkK(56q|hur3eDoA&@3LJh-h6y{i^L07BMEE>Otyv)7A8do3rXx z#wsuYeDLd24@#}^cZ><(gWtaIuuxVomOy*w8dykvRGmrkqw0qFu^3vh7<%8Nhi0;C z=Ah3Gl{B%#Ry*q_d$bsq_D5#Mn2)eq7dbk@WNEwZc!W^FdD%v?(dKRy2!py|;_%yM zq{DAlH3uwLt2**w9Xa=v?k3T5~*_CQ9 zVhbgJVuxXUNUl_SQ5*emJcf7r7t_A8V(%@!V`DkISvhstjkG?!P%>(X!Edu977g$8 zM%Zljx9QRFJUxnef@&D1dw#B^lcuuL8Dr@iZRv6A&xFjU)M)C2rY_clchX{Vq~vZ| z-lMO&Y3?31RazL%i2XZ8)bik?X60~BMo8)xe7R;h>^F3AMw0GN!7E^0(H{DN7 zoWH_HTi97}g8yh5jg!tQ@>RR+*f^h!{qE3Q`msm+2v)gS_)*i1C-_7={7cHr#8)v` zHxhfh$bcbF;@vtFo^)!{iH6Dl%ty1>d2@t$L>?yI@;-gw^!%QL8ZL+AGI}KXU`C=3 z{?@k-7T4-wRp{`D_d)QB``}>4PWr-BPLB#W7sZ4k`d~P`sTbT>L;td>GplnTqZOEg zmOXBoOX8GRh$z!PHIwQ)BiX~aWye!<*B2j-kAp#9bsS6)hyz$k={<{e!`L`t2PAEv zeH}}#${i3WA`Mpt7#1ds;@->!ltwWe;v1lD$H}@?=#(Pgf(#DN4 zVinD;8ZGYO%LN+Ft&VRXZ6p4myiMZS7;A~C>f}}X{CgT` z6J^=-8}foUr?=_vP);&R$WKQeQ#<=Hqr>MTTvjw-D2(&kz<@qO;Y>dC=h<5DTdJ;& zZNUMFp)f%j3cvghhQeQM+yQ&3SV?g+G@Xd#-5A8Wp@%Jw^=4z;L>h!8CLH#-s(g9k zNYGp*7PqJJ3$dsb>FDHU-RgX2*cCo)x4w|Z(64f<^QGzeAHnR=lwt*FR8!Zv&`kEW zvujXz)Dm8z(9AA7d%@P?YdJwz_D#tN&fIVGwVps{nzL^p;o=x{hF2j;XDUZ6$-V8V zD$JzXUi;?x(3V;hHXA({?|#ov+P4MJ{fhVUjy@~gI%DQN5Qmz@2fOi#j@0FCU61!A z$RTYZH)^Tjgj4Gg;l*E1!WD!;Bj*~aoHn=pJBAL`ze*1ijc}&U9aS~Yn-QMXXSnr07e8lDLm+fs0qMKHkhp1g~ic+ zo&BU=1b0_a?1>|ot0OZjXB;C3t5C0rAv)Y`tUnpf%nv`zvJ~o1u4`0or5|OhD0B{c zydB7^>U45P&e&Q{197zMj`Yvoi{ea2amv-Ql z#a#tZcWniq#{hIUG7M``Gve$KlkS1oBX(d@OdRM~f;@or#eNnuc^ksuD#Sh@z1jP^zAlMhjYiX)nQR>LZ zCAGe{k(Tcz!wSYxiWkAbP*|G3&1)O}?jbK;#* zYMpbLbU4P1c}Ai!+h(&kC&hN5+fjo-BrQg4`XajRk*3s+3*xPqdD ztLY~S>?r#YdSowGtygXI|D+V}YVodt8*}MGxiRmKH)hW$-QAmz@@OgL2aNcdojlo^ zlSfPWfpWat&M8YPS|Dc&{alPw+YQl#Rjz-)8c(VL6O!z2eV`|EH-6faqjzWA1%;_2 zh3T+aNTY`6jOdOn6h%O5^22T1hF8%i73)$)#Nm3LJ(l9#2WyPH#TlO_iJ<0S=|GdA<=qz$nfc&`YCSw#O{8jjW#C3PGE8AAL72~ zM(tH+6755JM%51boM!OkT}e;QJtS2OT-%01_=(|AKEH5Ne35sL?X$>7Pl;@2mzL&B ziZlCJ7&kX9298bOiYqw2fMO{VE~Gq20Hc=-f39r$L-?BO#1QP_)+Wa9hv*7 z1g0OMFfH1up!LetC8jlau^5w|x27qRcez%a6HP5VkH*jHyL{OTX-?WYvVitaTADjE z&+cK9CMB9qV8~RY#wcquk<@F`X6TZZsz1>i6DlpQ+}D1X$1%m)xL_Yz$Jnw?u;uYj zT7u4GU8&9E8H=~1QSW_yZ0)W(w#r|m%!+%sqsVzYcSnl(t<9Tq>7yeh_avrO z_wDOqaDj$I+O!jG9j}>pPIcR5nf#Y-D#Y=I8T7Sc>2IChpZOXInlKD15D-(RNL$X( zf+z-w@+m#y0ZUx=^Sk2v&9k=9#%1HI#iR+-kIbee?cXrKw{?Hj&hOcXou7z;>Bi|L zqOWV6zqYIZK!pm#6PoDBH|v#w}C-Kk>0$L0yrPG z+O4nE)&;hQ4I#R()4Gp*eDBbGogyN$-ArV*%ZSX@NS)LS7aU^0ITmqB>{WK11z2dy3G(97syCVs?n@Dz~5z+B@<;E@rIeSrUcm z2biX}85paX!C1{}{5*{ZvKCW%`=^?L)!Y`6f(B=uW*k?Rd*QNGmZM>-qolC4CRb~+ zRc@44gh%8hA*IPIe9Bv~8FQAvRwkNE#a%YZ?J&FOr(e_mSfrdqxv)9Onf`4P- zh95%sQ%Tr8AVgDl)I*1k9YL5!1#BM007RGi2vf1P5*`UX zL=q;B`&%ywD<>m7ONX8io<5JAEcvl)$saDEoHf^J%sUI^TR^(7!2*TgjVLWulg-=U z#Or~RO%v`F!b>1rDhVs68R%f$pIuE}2)&o~TQvsYHzU|mSyktbWdI|8IaHh*ouoN; zw0?Ocz_1Q0Oe=D?P~R=?(|3=ue>waFi6kzn0PnXkrSCTN?YnbJ4$^1Ym(rtnK0Tzq zn}GALq`o_!g&Qt|@FkM)m+8A^;d$iy7eT2zsP8TTLMW;4b_(H_NKUqM1n1A>T(W*=MpH>ZJKBo6U42pE0VC&H!?ADN>;&AHD{i>>l?_X6zeB z5Ee0h)KaeY__NlMQg^@v)|5IhkT0jTaOKg}TEkq(u`W%7-?n9C5u(Fq!~v|YVRoXF z!J(I+lr9v|15->Z)ptn^FQO0QWDn2>6hxhJf0dlxclT%YD4c(AdAt5 z;=&T5S6H&wQ(gDSb+w9Ax{!)bPKE2*otIKqS5jR^EJVQrTvz%_s%yaOz-%Z_Gvy5! zpT!^J6Kn#L>*`LOW`goGRlL9)01RBw{*_Px$7zWp_G2)lo)--q9@UWvQ}OU-roKP5^3OlHBNc7*+)z$J*kVqQF$@w5ECBz zE2GFsEv2@z@yt9D;@$Lf^bR|lp#%2dy4_jevY^|>Gu*fV`)gY+{?Abxtaj$=PtICy z=&(9={cDagC_LyF7V?f^xh|X|SpiW!pF%+0Dtgo8tD99{$vbsugS?~a6<6ARO+QQV zdyPj^Rmvwqp!04W2dDAQnug&csT3Wq(eO!9DR=Q&w7e50lASb&@gD;XV=yx$P350% z|09VsG|{8@R&^KtB_;x0%`wbo0uD&aDAa;x@Y`T6B0`e*wHUI%SP5@4Lpj3f_SxbDY`;l~Xo1T?GO5 z80yhkaHPA!lQ$c%)n*%xy8c}1`rNIBOKs*k6Rdy7_pF7}JQmYS)N?y=)@)_kwtqY6 z2%1c|KkJP9Y#Vi>-L)y11q&E>?#58JP()j8qM2CB&SBmUzD@_zNBsqK9rTD7E>_h} zh!p%8b?C*6XieGLM?|t5BgrZyJBjfwRh_8W??}gqPK;|dRzMxc)==?%Z79B)NwlCR zo{23blc>l9hnvT3QYMqPpzI=)?7@WH87*L%uSQf=p|Lj`s=Klv_YQUD53uT%Z>mqs z{Fd>rLv@XqNxM}fnp4}}k3Wcaj8d~R$ndrw17uh?mO+NMGRW|5fDE(d<-TX}&3)y^ zs!nSMtxGE3qI4T}(WCgO9KGd}5P@*pzY8+FQw$X2xTS3f>_LURvG=(som&Srt~X}U z@LH2IzFM)-8CMfwN0jGn&c%d9E8o_`L zBI9k6&Su{rS=9+~IA}ytB@*OuMJ2==o<`}9(gTpkQY_nt#ug4&mu+VO9}yJfNLOjk z=QY?bTz)Cq=pW3h+fK@mBVC6Fy>=dM4A~1VARqF?s;6*>aSkTS%Ph0YX{>LXJv*T* z!NEACsR-h0i}0Z(Oz+t27W&!5Ud2XCud0Ft2XmOM-S`NMiP`{|x$^Jyi@pmV(SJ#^ z=FE~jAm}QPj?Dvu_LNXW+l<@}gZ8$2WW4Hn(NxdUH^r|ylV=d`!$F=wpt221T9u}8 zHP72>^mnJ470N(tfr>F2Hdr+urKU;2x^+d!FQEXH+gGzGSn`uZHwPE;;neex zT~816+w(RD=h!7L?naU0Uyx(DmSYWixOHUQFmnz?4|nGQ%%GlZ=q`>xwQQ)CcevWa zv`Hx1)&>(!GBoW%(g(?~CMk9ZvBd69>=4$-ySqs1+w_Tmf^=yOI@x?UI+50to8;B} zb(U<{OX9_EtCGSJBOZ$6O;Cqyodk#Q#f=#25^giexb#3fFPN|;)TS@maF)6f#)sLYm=43JfsmJlD++U-!CbO%Ft`6zsdYB4S8SXq143@$P?%LfpO_w{#Y#S3 zv|q7#_7U;oOX-6?QV5$!hp8|d+dz4iR;GP_G`}dLj$4wm*t(I!E-hl8yhhOm7kgo6mSmUT0PBc{7I zFB|6IU~AunuzwR$J`=&#N+v_NYvXKk@9u@LV>nX2sOpg|lf26y+(yA(g|oIY63ri`E0S2lZEsZlCQbIDD|K44ee2W;T``|k(7q5J#s zfNAUkp8ks+@6g@-J^8MV{8ftZ{{{ulv#U|Uc|Hc5=T6JgvFElKrr~CPSIxZK30XzM z22UM!p)w^y31($<4BF+}bY8s@HHvHfE5?pkrMZS(V-Ce#!``A{+4pO%;jWr9ivLt67y48W%l&D^QI8R)=byt7nAe0j-0pkEtJ9z5^yZ4v+U18KWa;BtqZ2KcN;xSSD~9ztN?Qs4sQfD z(}gi0-HkVAU5R(>G41;fi)h6j3@YuNYf}T+R5NgaNy~nr1KI02du&=$v$tenf);d9 zZY}#R^J*ORw^i{`zYBCx`HXCt)Ida0<%Je9$w!oBorT*-#>KWQ9Fpiua2IM=BMJP< zfI2uzn(Bb%%&g3+oI*Rhx|022t7?Y?vM`}mFwcmY7sQnFmun+j?1xCov=X9{v2tyc z8s)hDVZ8eY?bG)i)_d_CTH9heJ!41U4O(G7&$_88-<<&qRnr7?L}>u@6@b&Q$72R`AnGF=I%GZfw+OlJqbN(k(&2r_S;vz zNVPF-rw3~jn)j&J@*C0;&xS_&M(gEZHZv|TQq6*0tLF4Lr4hine==~+ng!s^!K(2I z(>9v@GFC&=4lM_uiQ5Y42(Xq7s>~}1*|qU(oB&=GMM|F_r3J1mItuPWLN4QQLhyKm z@IX?5e`0A~;3DHqJs-vavN#_G64fKS+CrypMOkfF^~BPbVi0Z1xDfBWZ_xut)Tua@ z{quD`MxxeBM#jnK(u%u*m%VQI3E7hdZCY;*THxDF)~z3wvorAzB$2WjZtm;Ezxn$4LhoMxdZNlvqp-Z^lZ)h&h7%;TP; zWD&BkbaOgn;ro{CPtaCKJT+7MS-f#_Vkrotao~ti3uHel;Mt3xcoQhi1@8y5meB8s z1l=04@V0*yk(Lu06{sNfj^+FY)`C~52pbydGuBjvW@Bv*a*pIPfIV!)qi;07^W;Y0 zPyd3=TF^EG(3EBwf9fB3#P%nMVyFQ?fsKsSCYZyX zcZSd`8^rjEW@M4TTAonLDW?EWs2McN-gYzpSV}(zWh*>5ihU0}$URhoc(Jwhu|ygUY6CEbehXtn#)jtm>_MTVLeGyblY z3nShorbWLffD4(_N(=F)qU`q}srmH4R=u&jo>uK~O>nUo=w!snCnLKG&PljfbT#{- zu@S&$yyPU2_b566#*E@!6_#LjFjj>abLm%sjHOt&7|3Yb6dhrBz4VW%xUCxA;>VDR zo(i$RpGW?x{q^uyg>=+I_pAP@8;lvG9!;9R>L>75eQKX?qy5_I zTG(-w+^X}UXjMj!dQ-rhx_L+O&IwB>Oa)R~^#=v88MRiOsI@9_A^X6zJRx6VXKbFm z7vIgGM};kAd2Mcc3GXmoR2Xyu;@Diz-%aQ55_6PiUN1@{@S++bTb;i=^IBS8LxK@< zUonIJgb?u&F1Fw-UAV9At)ZJ|n$}*zK8EZE>67BeQij(hm51r)27U)y84bN8=kE39 z{R)AjQ zW-@ATXrqpo;;&$coxcrZmUkspI1!M~4FZD?s~}5kLOS*5!*eP&DHFX1Xe&Z`cY_Uq z-ajv)MvAXy-)j;Cv%gWRuQkotTX+I_6HT*7YR{vF@;zvI8@f^2nneaj{m{8E7Yruw z;uGyK)>pnuf(>2t5Drm!*^8cXAv#?6t_h0FzL`D#v2lw}e@`Ea&l_-LKa12}qv?iy zU`6M~0eq8fn>2H8&%#sU=3d6VadU6RMWf^9o|97eN?VD80zdcyvX5%nYq;H~n}nCL ziRP>y2aSy&wNBvX-nIOu#;K7a(*)A^B5)Zax2zapnQ297HRu)J+*zbRpSo4-( z+;{TXx8XavTBbe}|4?JiZz&iy=wzMnoxCc1Cr6A;BG&wlb49HA*T;)k^V{zcvF4AQ zlN@XQDK*yorD#&9nI2j@j>d z3{&end|Mn9Qz_Q`Y4=jB`3raA(rxfca>Wpxwi;``j`+5h?I7MmHP(DdhZJjGAv*0k ziZ!pOvE~(uHD9un*s^g2*G^Y(ZRDS+Bmaz|#+p|s*1S%QHE(dMvF0=Aj;?`X&4XIe z;!~*=9V)eA-8_|Akz&n*TG0{|)QTBq^6+dYYDGnjH4kb(n%AX^HLq~2`TTD&*8FO>1+nH!#!FGCJtvGc&vblEa%9XC#+n~- zfq~iWuY7$!HCSsl1^L-}4seWbSw|p<*jtvdl67JgeAkQ_;~T`9qeC-y1huwc#$=#7 zZR|%{EZfO~54<8F$!r6dnw=q#?8nm*V!q|jcqurM{ZzgzE*QD~LiT}nSv+^H*%K`$ zAI1aV*?w)%%whY32qsn1-sEhi$iHKecN9=m9s8fJ%bJW}Rvt61lylmjWQ! zPf-KTlR^0)j>vvD$Xh~f_ParKWs*7T<}7?E(^kF+cWzhtAVc+P>$_rOv4k}h431r=zCtazbGF;vBZT2x_!qg927zJ=o5 zKkami@{qMQKuUPO=)JJ$z5hJ!yiUZO56L6`#Bt{_;xiwRNBjxn&X2H*5x@L(LR)Mb zb}ZEYu&J+VM%5YhvyJ}Jv^_p-${F4qKr4wjYuq_Yd_;-azDgFwT}ta&tFMb!DKP|R0y7*ki?qtG)3%S51T z+BBohSMOO8Wx@tuKJ$6cfp^{)>0yFi;oV|r$@WvE=}C7MljQRKaW95mLQ8U4OWGfr zMmHfv@cH(KgqD1(!RNETMDY1+5qy4QliYzP3_hQM4qPaA;E99J&lVlH^_3Q0C(&GZV7!xFG9zh0-v!r#Lf2@RF)m`JJ@Fn3xH54@zgI|KQI^v%aY;#4)5QlrRc~y1zW|Z(pG9)AK)|&%^AxB<`W4%bL@5cqeeS zZzUAUHJCB?O(>l&{hDxo5S6sTm+K|}rRZ6FgAo=%JH#~PYqG7^(9}-W(v|8aqk#%S0l~g@ zF#6SQ4o073;-wEp&lb|Mqy=^(S9~p%wc;S{3|VoL*QYa=@51Kj#Jh^?4{*D@jdr<7 zZ5K^pLJMN(BF0))jbdZE99ZF`Wyv-a8>x!T^f>O%R!3k2b4vR**%rMagpBl9c1<^H z86}%?CtXH!ie24Tq+3fb=lcm_15=!$GQOd_o#1lBvJ+Zwzq}q685^kK;c16z`iS%t z9gBCxH@{9C4$iD0UocdoL%Y!qO=t%jF$^W)TzfP3px!WOD|{H{s-8!O@-4Wn;-f^N zJy&dN<4w+WlAiZ&d=iOZ16aXScSKOIR!2(OewT>gnl+fJryob)@# zWoSRq8m2d1^C86mRX;M&2Gn!fWwZshWd_G5ZZ$YcVgsFpR3h6PKmAMzYv%G#?QG_w zH%-5ginmq7S?A*Iq&m9F%ZAfU2md_DZ{!^Q4jPHK-oeyHYRZCzZGui$1f)iC4u2OP z@isd+Kx(9`8Ck%4UHt?qVR(>zDIe8L5FqzD0=duGiMG+o{<8}bWq(3bLUno!UG0OS zD0oyMx%fwXgG0ofdP1u3Hc{bcP~P2Ad0nECyn*(SCMtvA6NudAP51=dLS#SsJ*u@s z?%YCQ2R)$%r6&!4_U6%{d~o}!PU9}dCw)MKyK zB?=J{?J73whFv{iPiQT#j6Bf4b_f8oO` z? z<&f!ZqSm+*R?(GO>s`B$gSct=tkhI()z2emJm{mu@G}rA99cD#1Id^J$WMVk~vd?*{2dQ`!_VaH^EW-Vl^UP(U5lI!c`j zJlE~yMFR?;mt)EA{g5XR>@rPHk^<~67(3E(ShrM4_a#;Jho~Q^hNw^4mZT3+&vAK@oN+htu(VKl zk5|ngo#6dXk}qpP(bkvy_hs$mV`M>-MzlxC*8T_Fy;ZROOo$RqJCgzLq*^?|QGe%I z1I3`jd9l)`v3UNAUMZ6QKJAi5Pd#XvsP_e@3C$Y}(cG?A2@!{=YHa~NBPHBSGi z7EfN&N?*r|(5yS>(VRHaax7yl4b-HwldVGF;5>B%r`gpv36XqI+9eJ0)vv3;>ic#1 z`bFUP8f$8^-NxL1wva>>>K6g!7avPMSSE0Ud+*6W`}B2IHRr{2#2D3|w`xi&nkoE4 zEdi~WS~~WknJzw0S~{jY0$J}52PaIb(CyKzArfRZbbHsW|AY5}IZ9EanDyX>=NO
    mzkZ^wu?rgofzl(m@kB&@}(Ct>4#5dMIL zFGtF~--Yn~IaCaaSx>^RjYpZg3`^rN>uK{9=eQy`331rhw>Ep!_@vGCB0j0OYC$|$`3h&Q(U zZ_P!yXVs0rdTO(Bxj_JF)&|C$vTJx8t7FeeV0CKd?Mz^GE*zb}>a-S|#u4s;)ye;{ zBZ1Y~U7x_}>=-9ub*v@*Vs*-Z)wu&$on?&GS;SbKDJoWHuZq=)saT!vUrSh>QH0gm zOIV#XDpn`@MA*=MEz#w_r($(3C#=q1!s@J1u{zPWh)V0%h?7cGT6d{fomqs{Sw~o% zn2Oa26%m!z{}5K^w-g2ySe+IMgSw8eIx!Wi6S|phV+~cYI-^vq&N{;C^b%GlN?cp^ zC<#1ESe+*ctMibG)mcMWonFG~M7Izlzm2YI{fMwSQ&gRdrsotY|DCq`JE=p8Q`?B&GPb(My@b_SqhfWUzafENkib=A2zfP^s!3R#78R=#Q?WYT1MkUcJ%yk+*Qr>YnS|9@ zM_8Shiq#1%B7yfv;O{C{XC`5F)~HyW=v%MQ<-5U&yK&3-mRI;8OtP!!p`A2ZRo6=} zYZ;bS<{Pu%Vt};l2D7fkJHA5J_uVyk7sVE!?>qcj5lR1UIlg1=GavAvl%MV1xwOE2 zXA5n5wPoQy!0N0_V0C6oK9>_>b>14|yp|R{E$5(}3PpsKC}k%s;J)wHq<{ASzT;4( zeZC9*1n)M;-_fU_ApE;5AN)IsB;@punMLl2@r zJ5V5Y6>9dcYFOEe88^)biXv1%Vkx#47xF=*_%6|KN9~*@pU!FTR^Me|tj-W=TXtfs zPB(2;H_n%B^b=xrx*UcjROYR7aCB-cKC(LOqF`@xE*2i%N_m6(C{xRY`2-7RjHx5Q zD)2WP6Howo$e27kqX7P>OXV})9fCfNPaBY$mXNIh>btC4LXd22<+cc*eRtABJ7EpF z^!ZKXZ#Xanr>18<3bX{r-c65n`MJ0Y$T>bK<){r_pTN{GbclV_G?*yJ!8>qgk#*~qF*l;eW!r*hyZYDif$jO!gNq%s`qMyC#JpdK53k^2=L0Ys6H+{ z#;dQYS7?G5|8SmG{S}2us8?tLqh_M)CjVdYP8kMb>Yj}foJbkSFrOoE4LGq0(Dtz5 zK~+xF|8hVL?t24}j+;dhb2m~Esif^vNh5U+BMbK33z$vv4nsGIV`gw^yzqHQCDjQ~ z3^N|}eYcva_t~XQ`4N%r8+0b4b(}*$Z`jis;L>x)Eun*hjq~M>dxo(*RnKuD=@IX^ zI_TU&x#PM-qjTt5Y<%O5?y6#)yI{y~$j9jMj7k|S|2aPAY!#m)kMa}ab1=#?FO^66 z3Gq2yHXh}>=Ty-sUlIc%Z#ReJe9M{!;bP9)4u#u+_H>~EG?mcYmfeiH3B&(s!gv5c z;;k`F_Q}+g*Oy7Kwnl-u`4Gv0ltXJuWhC@)zpRJWJU^uNAXA^5X?W0V=waq%z#6=q z^-iFl@v<$9BM}q(Q{c$f@{6B9oyou&Gqy!l$Vt+|(3yOfmM<3S`LKjs?S03KJMR&c zlP_{blglZM7ZsXw`Tq}~IiGw9XwD}pG$(1uoDiDRcgUO=nj;UHZr#NGhRi>lz&(Wb zqFdPRA#IWo30482Im#MBLa=yfeHqn8Zu?NukU5)s(#p4F&_$Y~E7Et!{F9evJ$pfs zur@DHtWRmktakuY)X$LdnVO*$^*~F+=)XQg=3g$Z9Or}gV#xH`r=$*<9)Y(x6*6?0 zmYoD#?)C-pQ2#fl=Y565;xQtUMhZ|l{fv}jUS7vfK{lyDV~F0?t0>p2i3P$|@I(Z9pk1k?fGs~)@)DJ@3mA=!aV2zNl0r)nVut{p z?Gm!sDd0Ygg$b(VvGpAV+XT92DrHweho!>5GyNpbF#6=m1HeL0^o7r#p4&j_Zxyw- zd|f!sTVsNiaK$f^r`xn}oW44r7LFrk**TX2_k7jB_rJiPD*F)XT=g1S`;V$iP+J|! zy74Y7-tlrgshL)gvZ1o=Dj+*%pf$AXdg&Gg0oq|EJD&znQb%TF4&a(C(YzU0#OR=Z zD1+v_PAOJ!=)5+lEZ+*O(m*qs?Q|XkYPbkh(-Y=*S6c!G6QPf>Z}G83eYrdU%NfWM z?j!-z+iD9WnM{RwA1UzV^|J=dEMw#B4WzMb}>(CK=;>5Pe5$-kAbj#2GJ? zEU?(@Gq>_Kix=bi@J#8Ov-unS{~{yY7+g@^gZO3@*d-Au^_c=sqb~!V#rQ zRk&&k>Mba>laV(wnIkS4q7y|sF!M@P5!cPQn2d*{0qPbon=aHp3S$ATRh~7Iw?S7k zYDfPTiJ7j`8a&CYD_OGZcZ0&P$FEfF@vjfXxl2HCsaGnTVAORPyXY@?fpCEA)o7K@M`R$X&sO~b}3R%(oUNB~3CKr3O zq>awOOwI`QU4lL=c`Z87PSf74)Zh_y+LHm*J^@-`+U=Nz_|d!whXqLGQ6zp$GW>l4 z9s`^4xRc7G1V6^!F6O67|mQhHDIeccLKRM$i zioHZ|i3`_VLq8{0Nyl*JCSe>+K&p!U>di2UBB)CEG^$F&;77O9@VW+6nA&K5tPJ}( zalK`yHmKA|)+0bLJcJoj6aVM}e5A)&v1z9?i#6TK$R@s!!l0clbA1->E$@Wf3q0?V0(&4p42L-NHB=<9`gm+4rRZILl-J4p01B*Zg+@ zI7R?)MkNDqcBufIQ6i|60N|8$CmfXDH~`0sMMP6W8Gv&Qbt}i2O77P5033Y*+)tug z|JqL7>Xp0oRY{{e1z2b=X<9`r6=C#@hlfEghCvH`DFt!}>JmxDpg7oW=er%v*8n0-^ z;J1j6q(*yg7Y}$Y^h;7r4_CiP^5Py&hcw6eikzN!A}3*@wio3k3g;1pb3jC2t`)A! z$vcLwY9O2*y`XJ%*iDYf_T>VA^M8W8d27tKiM%;mMc&BfLVDy)-@^AGsV0;*jc%R$0(uskzKWe<+g<7-q-WB$ z+ausK4iY-9*Wfg1e7f62V%U{c?ABaMs=XlgAAihx7hr*Y9J(LqP?j(@hy<)jwwyz2 z`EC}MqY73qxu#mzkX|LZrUEZ>m7w7j#5uAkCZ(G;jrBb59M0fL)jsKIz8-uasI?Ps4t&PF=%39R zX2z;2q-``4ua%!hR^sZrVgq~Nrj=bG4k6%}KrgUeO|xlt3X$0pRT1s1Mm_Kt_f$V_ zspdv5SHZa{1I0i3U$i__IIL7IZBlABzJxlw0X$`2^FJi8InMS($4UNK4QSKP`1r2_ zZ90>HHWJPzMx4Q?BQBdc8*$k_wye1WIGZPT5zZ!h#xuDs=9Ybgvq2EImMRFZhp?*v z!V5=}FyU-S*mgUFSLKtiX9|S3Rzq0D*+BTsF(gbl8xmHwKzLyR32QhT2&*_72x~YS z5;ook;SX5&a-`h*T?pTwL&b=k4&m3vqs(1WEV=)ca5nU3|2P|Zk9cz579Y;acsMy= zY)&uJ_;BvtGbj~f)A2olv8h)vHmxeg=6)4p)02X+*(ESG`ROq>FFAwC@N6@P~? zHpYLD0EMfwbcPM~APLa&VW=gHP2DVt1h<|jcR*DW09-eiAr?V#zHdfi4n2JUc&OZ0;b8O(kJ$ z>H|~;CkeEXz-GeOloLY6Nf;X)VQexkC4r|&;2IKmlj7MuM*?d|z)Bb!J7H{!2xC+K zJPF)HWr&f$`y|jw0x=Q@5ymEiFg6C_!y#CJ-8_3yUEp5A*vtonhCn#m31d@47@PV| z5_p;fmXg35B(R4B0)(-#6UL^9FgEpXlR!5K1c{cJBI4PtfY1=e#xs*b<`KrG{+}dp zgao=Mg{MitO#(K8(9{yfrt%gN_%{jkQY}8B6naP?MgkEM=&qose1x$v0An+Ls@*(q zab4iS4nu7fL1=u0vDrR{YC#yA?-0huIE4h3EGG785_psZ9E7ncA&gB1VQdV**vuk< zwImQD0X;!zh7g3tP8gfI`>705@3$Zlv$GvQe6?_t*tmJ^>#s&EWHa% zl*~-V*!aPR6O%DEQBfH#u#Le)s`YW1WdXLSTFxyxN=?lfK~H3ijrxx9H+|ndfbW<{ zq|ZAK*MVHh4o9T6udeu{pQ2mDcZr6J={QZs-)Qevdo1vi=F66ty_msQK|ayov^D2)3EkA0lZ3HZ zZ$k%*v$GxaSK=s9U~GJhvFXrY1DWYCHjgkg#``#G(JZH-+GmyltYrZ>S=Uw zFip|R1jgp)+DYj3s!w0W*z9TLG#IB7k<(CB$TNY*znhP0QZP2235;rq@HT^e zV{Bfae6#^ztAozf${p7!8oirpQ4y1?q8`TB1;)mnI#`l0HqS>{$Jt>VmGaXx&OeZ& zuljf^jZC=U8HI<*2eL~Z<)O*kjU2JCirrfBC~v<+KKE;hAk#2c@{pXVdMp_b7@H_C zHh~jkY!m@^`TwYU8~7%xvw!?flO`!GO-cm|g*K%K6?sWPp(4_xfl?KO2US4>t0Ab}8u{2ncM-#k znplQ?RJbR7ws~z6rs?=0^O=oS7X52@h%031T|Q44JIZpdmAtd(y_(3R0yg#)cNg_#ty# zbi->TMcp6mhPWZ~po1_rrx`L{L0H2SIyBs)v#2&?`rT{-v{OD*Bytxmwe{=B;T<<* zdi=B`TJlJSx<_luA%;v)kOgfeF-7eh4I6Zj5ePd2$*TJ=e+UGEuW%<-*-h=F$GRXN z@%svc^v)~Z#f+2w7GD3S;$2|~4@Mxcy%C2%IO*l;z5#(Kt4{oaGOXte10h9IGoc!dFtQ7!}6wDo(U8UFirM-`7Yr$wUR}ypQ*NK+$ab)7o2VsOb&C)9i(hJ z22^`8H;E_$Xt-fq0kku4y||Rw3WHPt7x>kMBaEio-STuB5>O?MC21RgUJ=X0OI|`k zokr&s0f326YrC~1RD()MXZD_6eutyurMAwZIRjO_b^h~IOE(^cOXO9pCJs(E;}CcD zFG;pjZISpzA^Ee@Eef3mw)^H$HXbz_ZIja38Y_Y)W|8|@+d zom47~NGDFiGg6Nc#^!Wuz(qM^@(7$x*?^5%_=;9uj;IJO8Dn!1gL_1v$}(xcb<}X7 z;LhQhrP@!Y3)%pf%ijpbZo=g(Dd-`L4eyeGu_=hh*eJ|(V_wS`n__{nS;rWgAY*LI z0%P-C6=S0eg|TT|z&7%o)aXqEF*YlFRB!)p@vy9ts?gYW5!2v=j8a!z-$m`9&`wjI z$#pZ|3~jEKJ-?n&3`6HD868oK&ERd&$~D~JU6irLj1#{`<=moSY?iC`xQwxB63~|l zd|%i;uvV)WIplwz5?{-vs&^L{#p0|v=Z!s7n-K4t$EtJo5c{5eqWSKlj40H$>fRS< zW5&V#u8A-E_FHV!G*7P2nNXo*g0^f$$#);5gp) zW8`l69+j=0E;Or?Ux%m=XKa@M9L{Bp)t}NAt0kR3E-FYNjKj{OgHLB#B{S}3^U!-#53LWs!TU+-;HOw*(oq2q!pGQNW}{X%nKK9 z7wYIK$RVbiZN<{9tI{!iA7LN$1(}hIaMNbkfmAtKBb^%7!>}d?S$Qo4>uDLTW2PHj zW{l}3ah1$;^Ia#I>wYf#V2}^*4f{xm|2dG4+No|)$-`7huL%kV##)>|ZIqa9JVK|TXiUk)+9*xmVB0FQ|tTgA0zv)(^-K&=(QS`(pc+>}k7pw-i@;@v54pX1qg8dw+T!R$Ml8itHN zr=MQKqp(h@havzbCny6ub!+0FZnb7IClhUAPkLe)7h9}bx7{=r-I^zLt4wj@ypzk? z!5U3lWVu^QGdYwt=ifx#T71XgZhdJD)u)BFY(U}&n-0sCHB;_ZqPXF1#cu8_qPTfc zhB8HrFVokwZ!hdeL3xtaR)c*En$fd&;ZB06@U4He{jMHgViGJ%=b4 zJ=M%Y6qWLJPJbnsD-VdJ<^`IJ(5U_Jr_|h zj<#_Xn~yskL>6D$~$!+%^#2T1>(!=7xmd%caQL z50J3ZK*HrCAgp0-NLa<(kg$fifw1}8B>V>!zKK%qzl?;RNJ23>W|HvR6RFHyQq1mO zOPHH8a@z!3hTyiTz8Fv(r8oF*h~Z68o7vNDE?ax&vOD>2MbWrz_IGZ1Rf*@esaqv* zH$Afix6S97g4-tl5y5S*?L)mcNvp}MajhPyeG9OJfWzo|XOZPPE|ZjPLt zAI06Iy;c{)-JGb2aohAvm$+?qoECSphj2G9KLXs%;X2~BS-zaOZ447s+)Y5m-4v+Y zHoDg(ZW|N0Z34jEj8(a9JcvW3yR9~KFNeNevNUv^DxosW>?#2dgn*x>Frh6TLIcX}ljY;LUv4Pvh0d5zHxH}aHU;3e@gfeD?vjS2=ItuC%|hUA0>IsjRk>|Eh(o3O zJ_O2CZkvU`-8`&v+Z3qWHoBz8k`l&GOs~FK<+e!$w~Y`ua>bT!VE=^~qY>u5W4%Hgm0!h46K9N5Yw@p`Z9JdXw zkZ??-|2?;jg}H5N?vimgr{uQz1*z|=^4{W1+&0HDque%acb%GX{h#8tu`svIg8Ss6 zpOV{#i~g}(^fPhWlx4+p+kB$>`DNVA{}s25|CeOG!mBfy?$tNd{sY`L3+|6`+kB>a z`G>&W{JXeqj{DEdZDXk-ZkxnA<&HZgw@owY++Mlk&ctoACNrMfMjI?~AcCJWG^J%9 zwLAN*kc+&rY)WSEAiEr`*h4Ugz#_P9y5&)RYHk}E+%_kQMYOs9 zD{h;wZGzk8W7!dNYHl0mcaZh)Ox!j#_YL5-8EU-zUvb-r!?PjB%bB=s#CQ?hHVf|m ze}LPj@bBQZDHPl`@k8d6+%|)U%$c}t#E^+`+YC8m{wZ!7i{Q42A2O%pwi!HR&ctma zhK%60In9vyr?_ptwh3;VxFItnw+)qh!F}>jKMl8y7%39B%}^udf5~kl4!MRLAgAND z*}E{tZL@cwn1F^j?fh4A+Z3Lj+vd1maNBeom=KHFFeJCl;8AcoZkwMD;I`=!)9*jc zZS%DnYU)*ax*d|+X0XnmhTEp?t{At?=?toWgxkha#oRVmWy!YdklZ$d7lqSs+cYkW z=e8-!`oHJ4;X@g?ego8qiTBF`@HE^uVhK>WZBDla{L8p)zP9~c+%~*R8pLhW=99Q> za1zBtH!`=)SjOEXHveb1ZN9cKw@ust9h%#QJ1uS-bUJPuu|~zXZGNiS<1+4MuYj1` z1ADFTpawC~j!^F0&Y&K~-P{eOIqqj}o4BQEXl|Rq`<~Nr+lVnLxNTljE%N^@x6N@s zbKAs?(V@9*25)pu$8DpoMNw{>MltEz{!h7WyyR&gi}TuWmV7JuCJwz@%7}|R&HPrm zZFnJ4xor-KiQ_-dZPSE$M7eDQe~ZR#vo=a(1ByLv!#Hl6y?()MBR*noo4*Kdo3TT4 z+t~hB+%`22{`>B_cSn!Y?|1!9te$Lx}6T%4B9k!hjx?M6t>f44Kg>7)_#{p+pl!3+f;S_r9ah%M(KF*Z|Q)wi2)x1!cQ@z zwBm#W8i#hbg`ISuy2{a2V+9rCf-QEi9<>;&mm2iQsIz@Ut`Y>+eTxA^q&O^cFEy1%!hJYb3G@NCfE)`;rm!I*wrB>$ zd_W(edQ`xgO(YAXu};EL*+lt{Q&wKBS5jsR(9OB;087;s1>H1^7g#D8bmO~|S!MXp zPd|`V#x1edgcx+Qia<9D>SZj|PbK;r3Q)^%2#?Fgpqu)VSX`<6@f268P{fs*CTjIve&~c?y1_>TFv!kG!p~g)_Ag9<=a|{IC^a~B* z`~%WieI%3lZ?~ab0t^Gtjim@-onoMyJ^|(-9ghPNgauy4O&Z~n<5aztLzfgpEbfmb zB|Blffx#V$q^aS%m#)FYvCgl^_FBL z7~@x3s9i08mNcf-^a}l?;X|n8H|_um8=lq`p>TNyQDXL9wI;Z|Micl8RDp(RoSx1Gqt>Qf~2iNgU_$I_1@u4B>eMmVGc}P#T&mw5lASRpqGc z#NRCtJJ&@?a^l9v@0Dx<+@EHA^obBvOD<3WMOwDu%||)gUrFJt`b6lf#TQ5@p#A36 zZi;57SsMGzcdn!N2S;S+@+DrIP$pq)))B@=cNbx7B8;)wPZ%5TY~r=)V~ouQgs~yv z2T2(5qaa*99>U~xl2ncH!8xq#y zM?qM_*g)9)Z4&+i3*SU3_g_ZBPb8rjh#v*vwKbmbJ@P%$yksGFx6y@iprW%8HTB`p)(nQ_ia!eXJo{x8?c;*4$Y~#iSW>mKa8cJ6a9A+4Ydb)$) zFslU(jZKBaQ~?fS-fMT7E6S>rqs<0gq$a7TBRSm=I(wp70S!%+r_rVyu{)LavMTFV zz+vpQNeQ3=Gvthe0N^my>l$r_&ym6>NTCA)O|?lyU|cgOfWvq}LsRttQaFJWP9TL7 z5KsVzu>lU_1{_AYWRX>Q3@J>?cPgdjRm!ebL(XCdguqi1I%lFed>I59k;068r+Ebg zI$Dv%CS(CPi~=}}_X=e3Gz8`%g$?D%0s_irWC1vgdkV6+9x1$tEN+EB2W8QQEFcg9 zPfZATnJ{>2lzm8HZNAg`2~y~4Gw4E~p$UM7#tk@(W7#6B_cf#tL<&VWS1I)e3^{pQ zk`mmYp-}*bao&y;euWfvqmVa1VBZ0Q&buY4$O;-7$1IfLP89Mz2)u+8JRp(jK?D8=2!q^LQqzNhI+WblUuK;3P4Wq9>rPjgtI2_qj&t{kwnUq_OL0oR;HJ5-T(os| zorm;5|2(DtARd}BIuaT6yDU!Rj3*HiyL%iBoW*r)!AG043&5f)wvN5?M^(H zd|FL(2WDRzIi}vUPoWERr3oRY+x+uACrmR-6M`#^Y@BHw;oOkr3Z` zQK;XwgsvT|TGMzM9ZWeR<~&uk41-kbcmh*bd`9D>9yw^k6sq;B?18G)FN8Vdb3hUv zO0|-AQj%QsQn~17P_6D#p6%EXz}L^zsR^oOgu^O+3O>TZe(D;*60Hgkp<4AWt|u3r z$h5S2o=LSXY~z+DrsT0%HT!s1HSsa5NDZdNTW`e%^WM#Y&_E0tHL z9vZB|$5bDGE$~x?27n-B;nBvbRqdl`B5qCJCE^18je04BPofRN(?r~w-Xt>R{ic;f zH8qshbgxc_X(BOhn)uAiefb)`h$EvxUyk03#w(N8FW*JHv@-#Wp4LdMrFs3TSt_qz zf8vDwoG|;Y)P5I@*S0jaes#&~m({|NgxH%%=3}%T<7_*1bO>;tJdd`!XlPm{;!#LW z@AwAlk>^pnNVx6&57Fb@6|2~$3ogk_2{E4w0dX-{)$L+=_{-oegCUNBy$y%7qf+BvC#*Wi6+ES^5Ck|4 zz-Qh_1OfVy%H=6WSSoCKb|^&`d(q9^qv-DY6d!&MY#a%@u{5p8WIGGObxJXQv1)WAp3T&xVrBhi)tPkL&aCi=Umi5<>O3R24vzCnb;_k z7Acd~`JBlul*y9V4$isgFeSyDH!au|TFKV0S(S&FTiTGFk^cusxH|EOGVuuII(WbZ z^Ug{0cH626(kJIT=@b2L=#%%J@NcGV_#r!%`^BTY*o3Q%L5U&!a5y~cEL{<)gYKgZc7%s{`kQyH~SJ>t{d z^l8Tc@*+<?|_2 zax#Y@Fs#~xx+}QZJ**097%VI7-~Km&?ygihbg{qLb1K(kFUqFd5(+Oy5t- zaI`cn!{5A|M#rHsJUa9{Fgh08L8D`wt)O6FvxG#|IntF)Bht~f4t<6nQPo#bUFvA3 zr8Yn){Z-0xFSQq8DYW*Qt0VhC&)yCE5w|0n>rAC(lhbkNXqWrKcGOnO>Ub;bC}&k4 zKbxOY)e3#2P9k#GE%x{U7rwHZ%p7*exMq?&*rt`Tuz{$uw9jHg{Dk3c{4RV$Tcz6e zrC)^K3lCClOTjz?>ozY3PWQGY@{Zokh0(uHNl*}D-ZgzoPZhme-p*Gia+`8nY>Tfb z`!~G03#tD_06A?^TFkppJ9#c#W7Pid&?Q_(w}0adA>H*X$<7nMCMhi1d*xDWUBIPa zUZdUdr8wor?bhww++4#u)f%EL>}8_{n${~>QjiU4tV_bokF$INqh2O8icrJ$X}Tx2 z9NQ%~3zLv)da_#p!|ul?g{@k_$Jshs7o%C?7Oy5DNhiWF>L%X%gyn6u^TwkzwnFR` z(g>Q)U`Y3k;vvW081n7#sgwJXpka_BBP2MPwo@TGwc@r6AAblGS}`w%UD7tI;1a4v z%bfIRX=}A_mB^90;y#K{OEPPb2c#zGmN_}NxE!ydYY$Ne`ai`398ju?jvM5KvkMxf zIx0axD?!{&aIll%a1FaUzJpI-CT+Vpk`yposFu1V##+4%~rkV#XU+_aEaH zBXh~JU2Cz^``w(&yfpFfD&3-?;W~6x*B`}LjoigwLR^R5O*EL!}2|?;2+Gtg0M`wF`Hxdgl{F!lXfly?elnPxy)|B)ni4O zf&XqaD8(ZZLP0zZpu_ z-LPu(fgA|?=a6t+EeU@`!nz40ylp-Sf18Be=_I^+O$kKc9q~ zHqOtzapSK^*po@Z8(cdYrn>Iqlpi7C{xqcAT}Z->C6w}*BnUUG2I0{~z%VKY}DUUPJ}{_dj#CwkH1q`D_jUe14~Eq1({Wur|_Ap@_Td?c!{$ z*E}y}j%7k1Xa2_e>OYz`X6W`7PH8m?1&k-kbYlqGGJe9&pYuX)U z==WJhx1n?foyUE?iNZqMfb%$aRbNdrA~WC;dS*TTaNv*NFa#DDi3kjn5fr zcXF7B2n5m(`Z}wiQQ>GTvp|7!fo_B5C!6QIG=MNOhop@i>&+C zH`+43qJGs(hO9;!NX);_l#A+!(o8zvpFhI;mM2MwO?k;Cdgc-O^>&(`#iucYV;#-J zg~6}zbg<11wzUHrv6x=G7}lDW_p`ACw$e&DAn!2wbWXZBZ?^D}cgt{}5$kE&#o}bh zMJGdlwu6offmF+^Rzy{8N?b?(xG`u7Iof6?X4ut<(P1p7lJ2K6vAL=3lC{z-cT;x$ zr|_`cj)&~@Uhd_0+vpwhGfB;$zI!?P9ZxlunF-6AelyyiaCGCk#x!q&uhFg)r=%)| zu-9_XeGtO6WTIquBH_x${5-{$wZhPwRhHo&?cZ@UfN$Kb5yfFm>5rte!|_nLj!e7l zpV5a%RVl~ZmGZEel6%|B$=yWBy{9GT&01;5$S)XgHR$IR=xMi~?Liw?uB7R(tXYY^ z^Ap8U_UfVE<2UG`qz6ZGpx=nG+6 zlVU3ucbcJ#^KEA1h7RKjddGGEo4(>eR((f)(!%3p9t?hWD}BdjHV!sfdFP^jN39~> z*`x2g^K;C`=ai%(C$#dOaVf{$xuKOs3ab8Gl6qdsMGonBn7u~HGfC;dA}c1&nnSL- zqse;|s*YkJ=bJbucFSjw=5N@LPHU@l5NZ|a@OiUGmIXIrCT;H4Sa@^iw_u zV%c zjx==$XN6cD*z?54k?ieo^J!lHdT5L90 zrPJ*`QKTPGZ=LR44~7UGwp%H#cH82-g4o>qKp)3|`99?#pLGU&4vdx;R(i1XndiF? z1Z~MF3l*E*1^rnb2GLz4jVvwaD8ebLn>c4)JGB5iEnCn(%IILUtj2naFVMSc zOjxIS$K+usGY3D7?~U&W1jqA~KRq1k)tv-Im; zDlhL)sU2s}{jS^_ZPDJSc*OQJO!K&=3{U2eIRQ#tSmFbka(6Rl!NERy2Qm<brFLo28~lx2zg{bqD*$>+H(bHCJjZq0Rb7-?Sa99NUdCa1CoiQ9J!X6$59!m@sg<$LuNwZbmv`;9wLB3|x~> zS?QYc$<66G%It*t%c?UD=`-_9@4jWvIBLY@U&EZ)=UScBGaI z@~E`ZriOc(RPv|}-H)&a)rezG>K*8{yoq<2>FtA+!!2Na_qNv>j3;b0W*sWz%Or$eIp-tZ6%fq!oj#X%>++<<4;0uRwq@ zkTr$f;9~k@JW`+_Y4u293__e;fCy%*mRGrZ5d-bF2$B{8S(6@IOht{0to1W!yZ z-Nm*mfPuS(CgircVyDBDME^rO zovyP81J{zk7`Qt`5U`L@3Ieu`Vujf+v%?hz<0sM1Av|s8zj7RNjc!)hfn$nw~+k-7ud3IJzSbs4K`+fyEQ->rRblI_w zd7yMTZMMYT?MvmCBy}xG72zTFZpE;W{HV`~4%7z@MHnPuOCxN=#E~#bPDEZeD?zrK z!WKf*t9Da3n}bDlu;nP*O%=Y#aWWV!GLS8gg@ySd3wKa#fSN-2ufKMFF+!w^?Pu_;6HtT7M&5+c zL)(77>rsRAa zm1Y>m!`QAfOvFVAX9mVx&WLA#*c!vD_4o!!cXkVi6dMl0s2E_RPcG$^yiYYoDty6L z(89jB7Cw*RnGs&m*}tfcVQ%5Xd2$Q?(8`88A2qy)!fRtkwD5*l3%83Fo*5#wojY2m zq@-A}+5J2R+KfFoZ)ochucrDxh_Y4gqXL$x8`^2skT;byaGj)q%YCHOCfcTE(?(a* zKyPXo34iI75^fX;(|l9OcDI&rN(y+=`&{rF!|_Zf(}iv{_t|@Or_c3;rgc%@a&E%-U5$ zN+^%~Wu>I0!c{<IfcM!l#;Ezk^0jEQ~dBpG#`wzSI}dD22zV zc}nqLw2=|5DtsR1#J}}PQ%I;~H$*Js@hI#hX|y&Ub#j2We!rBk)xrt)Q^M?P(v*92 z1~wY~wZ%|P-e^2j8G>p~Tbzic>Tk4EIdT;&RY$VrrD}Z>HBT8)#@Ev5*P5p{!FSej zjM(@YHjCYH4zf0U_%Avg4>7~u&k6fDVbTsQ;Zx19Q%*O-9(D0#cP`Q?gli_wm2y;n z?k=(w*o^{T7A%3uUD!0nPp<-S9HC+6k89hA+_oJSp2Rsld)&G;cvijfbhD~C=_ac4 zO{jTg0eb*>^&hnkP1;#2En1EV>u7>xZ?z7VhGHvLhL}tFq9W5EQ#MY`40ZGxewf-0dvs{2j;rVJyVh&C2Mx(^fp(EHIM~=>u5w$5C99Z;k zvfZ>(q&JJwn|p?-bL{D+&KrulkVfs(cw~Q9buz!~h$P;Pski8ew61uPC~RV;s8mgfjH#M= z6`%RhsI>eB9$ZB_M3@;)F30bMGAQPa&mpJhBIc3u(deajN^3| zq|DykvdBvZ&TqQUlFpq2>Ay$q3K=-lHvm)yAXXfszSn<%2Lf!Ja!U4$;@kKgsK&_-?3;LgI)wpY zn{c{O@NN<1#dof=k7#w&wiQ3=q>a#aT18DXYiCbPQDzu!#w4AzAZ7-8r}j{Dwo?_I zFyL_dkfxks*7aQyopnbIwrfKRUO^h-B25%!q1gzY21_O07FLtqcG*X)qId*tbIE7^ zVu~@H_J8LXv#j&;Qx{#1iAId6%WX$n>B=t;kb@(}!c~5$aFu61qg3UWmbDLa)6E#! zRepHEt5k~bQD#S_K~j2lKMj(4JWe*5;Q#ufbqTP84I|{8@lrPbO3C`rXREWAyJvgh z(?)ImAGR&D66=2;3G2V7`8jM=Beeb}Od2sJx>eP`8X=u>k~*2L!chYYbsz&>ZCgQN$>l@*kW8QhyOSh=QQacTMt(TJL z-I(cWoWX4zUiX68j3oM#CKfA>TT_NLnQ@x&B5PykwG1*^a%kqPEs%~w|5nZx3eE1> zt?p(e=%8KqQ>AD*ZP)F1?nC~j1i>0L zH%?=5J3bVbd73mdi>7JcWo8dOo!?q^OPbbbq`idYCwLSdmWGyl%w+m0LS+dUrIB%4 zlYu5gPNdX^k=k~-jBEWKhwTyh{7@YR!hz!1v0ZbZBuuhH|B{;%(D~c?(9ZS(+V_Vx zRmb|?eL)FzBX1jXMh)ou#akXn8L}(zsBHJ6?;p2)n&3-~K!M_{Rj){dciql`iMY2k zMiU803go@u_Ht6$+IfHxE%pq5l#-#fu`-C=3NMRnm^4^ohRIi6GEBUqV3_pyCqc=48Kh1PvIobvY8x46 z3iXm+i-*;b*qn8kq9w}Cg|Lw1J3lT>QW$f8m5sTM1Fs`3!S~y%DG^UAk=V9k*n_;S z@FZhe>5pwIs^o2jzN?fPBTVHpEmIo<%~rZ^%V>XdT(b$uG8(Y8nxrs*Psq*IG5lMQ z$bK7-N=5SmakCwhn(ZVvo8xRW+X-$qw&&UkWqa<`L$nDHcY%5a%+FBj`acykQ49@MUA|0x|K~niQo}+A@;rF#I$s@9$?Pd^crSdw*2r4NL0= zs=WRymh^=*HRj!ObGGcC6e7BIP2?1s-ZAEMn*QhQPuPepnh^7X%}*dNm}3@Trpy_{(r^^Ks1}Jspk#`nv0F0;c z9D71Jj!%@C_)nZwUXQpp#(WCD(`2N$H@y_A$Dd6RbqY9EPZP!J$vK;X=E}@i1HmqF!rZty@n4Fr z{a=|)QO?uT`RPJFKOKeNfQ793zHY|b3liU&8_{1}=Nj9o%LwSkHsR?UYkk0GS!J_S zZ~H^?E&-HWtLSHV^|SD-<7a@MjryH2h-43)Khe2s3;vh!k>lI1z@N(RLn$ubSiRz! zGyNNP-?H}Z>G#}o!R?;uPLF4PSw-3OMR(m?wraX_?VZz0%Wl5o?&;2}FQ4wZ{F+Oy znSQ~v+0*Rm-}A32oqo+-_pH77mg(12lvUhzcg1Zt-(7sq>YFQWyKD8`(hdf2K) z_tE}!+v@z=R?k?r?CLA8UbgI-#n%?)&%*y+zQ{TMF3(J~i8B>vcw=!)IA`%W<5ni* zDo+OdPX_f~ovk@laZFO|&zma@m-m%*Xs4tVh4x;v`&$(qzo=J^hgW~|oz;rTYraTv zJfk>H>T4cWuDita08S3FPmJxmq|hW@dY{G1ma2!9F%w2r7@97f)B9Yp@|Vb`j%(M? zQ3{m#ojPSvqOzV|c1+k4%=M0RJrTV02L~r7jB}>%$+#}%)*X|dpRr51$)I?L8v>c- zS7knB)|I5=5V*H4D|pF;Jy86QMmQI~plYAw#Z+=I^Ovhst z)?`IFa8A|3-h?N2(IGJSRVu1eJC=_tPargBF!y`jiGS=Hp#aTk7`G|y{QGLZ&1lZv zy87Vj7wrd{GxO04${w4w=CRqn#|j!AyKwZk%@7^ZGBeg4bo187wX+eE;>1_rFFv3N#;_uakxcQzK1#-|CS&ii zuA<8eU9O!FeA8xtxxdEZM~NMPl^Sq-y2O`-Gu8Gqx3;s?jXpuvrj-^~|9g1wtlb;i z6a;*g^efpJg}cbYl5GD#m@G8Qa(cI$mifW&x?|tL_2He{XAXo{;I!_m%pTuDWtc%A zGWitA?mr3PY(DI9Q);xzEB8gxg4xwRxHbDM4W=xewxfDmX@y9w9gi4j)UhzO^-FPJ znXl|U27wsrbbaf>=yq;qCoOHxUr;hHQ8F--(&u4${^T=YEOe_^u09ZKoc-g48w>?G zoj4Ns;73`<2-I3fpjOB5`ILMoCC^u@c$Iz7un5VIALg{@)l9_t?U<7xe#%V%uG4>k zmBe#6N3yTjpWUBkk5=kk2UW^6gWerMWAN#Ls1)bw9$rGZMmQNZuE_X*a6&ZK#9yen z@=u(reirA-^)&q~nrlNW*G?(do*{De4lkx$_ff9SXs)fxDOWX%40`7~fQQIpBJG;h zcXoPLHt-$Vs338nbt@{c+wWX-APV+fsZWD^_6o|fF{%dnqtns!3N?LDG<^y6URks_ z6)`;Vdv0#Uv6KuktBO`!)E>*i&sp3a%OZv+RTEDbPkm$b7d}x#8-lbwb~R2@QJhFymyy5+#5i6=ht^GjpMh+CEI?DF-Me760!DxlFb- zaf1m=z$adAumZ}J4(+5|J)CRvc+ShN|O{M6M_v22#?!p;*#H zAX_Qz4|+wmb12)>y(?C~t1GC+&Tq)Vx?0~P*fiw8F>(5`I zoxyKQTrm+lV4{|7yM6+G)+Xw8ZaSvZKaEF>?njzuy{2=Kec@r6=>pMt*4?2a$97rT zr$oqXU4Tiqx{ban9q&lUpVD><`3a>|f~HB7N++eF&>n^W)2kfSPP+oX=8bkt{D|bf ze*r!LS7$kHNYz|FS1RTG9x7$aGk93G<1uhGXfjQq^dpphNGql46>1VSU<8m=%RBn+ zJo=828O%T+fZU9VZZgH*d1$|jcY?ci#rDResMn}3yopN1$i+G&#>b9WB^|~DOxkbn z$WK>MFGr@>XW}3{mAw3KkH^nZN1XpGe3tzsX;L07F+B0d)YxC>B{lZ_5)a|JhZ=Wp z+-M;`qIq)()bVkj9e%{|Q;hiPL2GaxvN(7|%EFvDlCmg5>XijUWlJ%XaF;-BRmo>$~&ZPO=benh`@$`Y^}oFKrIaWo?Ug95!Ul7tkKMOIQyMZg!OEmzo;Us#OA6? z8J+a>*#KT2&w=GYQ6~qA@CRmKy+va(V=%DvOr+as;3}OfD|e~Q+N~t z5u^|SQZxdjsInL->_HYcL!h0q0MTIqhz`9VI&=`xA&{a9kfO?JWbpxI(S|GlCAFW8 z6c89xxd~f@{SdexDf|TjCm>)3k6|g0qM`F40HVVLB078?0w6lH64Bv0@EC&Vu$qVt zLkJA&eGg?IqQliUS1Ckv*a3kiKuLpul2!pqnm|N{-4FoLp_PaZ^Bze`0MTJJ5gj@} zbm;v8DIA5sdq`nFu%=!MbX{A2Znu%6!}Tm4r{F$39lxQ6#y!v83YqcJ>>V`HG9WOa z+B?C0xJN$S3XciVn!$KGR~Hpxz|q<6Fin@1^^}puZ>Twec89moy9ecW8u#IX%Ovi@ zss9Z3VI?I?$GRGjMVr%fV|g`JXioFLPbI*drXIZM#_}3ym*3&aHFF>4%LFQ|0<5`{ zGU<{s5!{EZ)e>69Ca|J>nCInYR*$|_zKvE1Hm2fACCsTRt8yP6mW3T6VMdPy<%F60 z@TznfV>XWK%=K#dfa#n4!rX_6SIB48>)fP_ zg5&2w{H37+#ntBm`Ra3zNcelyetg?r^#(lWAbrARA$9r~~Rqn%&_H4tS%zY^S zWbQ*|MEpm%4}+9_gbLip)|{$f+JvI=8~%t&`eQugYoOncP$TK-=jc0?`><73%-}E{ z(|m_dp*@(^D$ISDCM#xmazC5K1UikoN+T3ApA}PZAI_8&b8qvrR0?Km5C@j<58zfB z6qC6RFCYcdCYpUhn4Jk7Qo{@8io^0??Gv<(T=Y`8=wh&TQZ>EIeOPn3e5A97rxQKd zenW8|#*dR1SlIP55~eRsGfoZ)+D0xqZLT$)Im0+{PGu~wgZ8&WaUaHw6Q2+cknmvc z!}xLXQwIKuqQ4>){S4zIki3J`E=VmpH1}a259ycjejxW@ylRPr_EJI$wTGr!Of(U% zTGPZdO4V|a6|2n^ajM1KhjFTPym=Q1AEg4<<1ekrp<2v+7^hnOLiiX7^O=w~k1=0f zylM&BMlL#^gK9-TgKBj^+< zkjkr5gjGw{2yI6Dw2vp3S5X8p+5mtVXA|6qaclZ6aZTfE>PtRQjO)v|HN8n>%CH(M z5{{~&w5AL0!?s28H1U~-`|>p^3pqWczGUvhtK{{|cM&h`Rz57I#aB~c!Dn*0yng+O z6LxXJUq}gyaanVDZ2jt#*DtGO7uA+QAs&1dt%t^aSRl`%?fM@;#BvU$&&Qf^4HS{* zQM*W(Nfmiq#4CGGY#v>3h1@`21h|111VBA1H4t+j#%tHbJ820Ea0B6w_y&sCu0M0a zE>4)*QcL(u6PJZ=83*B9I0g4%AI~puQ10Xf7o@F%`!G(sdi~sZQ%MG18wQw(j$9;n zBVy&o>FtpVY);;IV&x1My+PSeRz?q z#f^e~@j@y>9QR?o!c5}yT%2A!_hDa5c@wXcmG|6kZiTn02m`ne+pdh`K4d{Z3l8Ky zJTyW;{D}K-!DR&Ti*g^b>8Wua9vVT{>8B9)VSUXRxeuAZ&_;VYm&6~qfBtSN>pW_T z824em%x;s@G4I3HyMdPQo!ceHYVvh!c@*yJ&)U(voVr3m$v#`ljf)k}`>IQC2q5 z)ERyXV8`5qZ@Ew0d?4t!!@tLri9?0@JVUs-aMaV}Ox!!`QTp~}-#H0%kT%OUe|(0Q zj)abeiy)HAvlSU zmLj(@4Z4kcl4!P~Y2(MhV?3(w+5Eho@j(AYJ;s}sPm;ORbJ4Hyp^5C+7(!e_fHrkf zjl_B1Oz9+}SzJ7ztw44#hKjOHA@pG-8_hC*PV@M2d=OLstNhj!))=JaR7hXq_|NM%WpL z)>iF1$RO1|i*>0j`58)yOIb?z$X0Ro=AA+t!^(ZE8U*J}wcc<97@db2GwuhtGF_=Rn0|DHkLxi zcTAM-#`%S$kH%T8Cg~kUrMO)#MQQR)RM$SLm^Z!@Ov%cnx0w#jYr9!rW_xu0llF_}g|NJiX;rmiPdVI$b5A@0Q}7(WS>QYIwOC|EmQ zYCdoBGRpsNRPZLP;By@9NqbSndyhzxbwaWP;+6X}$z2Mrw3bhjAY=NU^|5{*hn#7y z+P1g|M%90YDitt?po9?_g6N&b*FG~)`jbuzYEM@M9N$`*M8`Z2mP>`$wRst2vM zvQsO>#>^{3q`;WHthmbNwrG$oV0o4tsVi%2ROns$Ib zDxm`TEUcmr9+@~$MgPc?gy~n5#9y>-nM2)DCwGf7o#6;}N-V6EKy*tv=^AdsQn##- zyQO0@7wl>(n4+O`=QuKwpC-+dyQNb|(p*+))+D)Gc1)DJf|MR?v{|y-N3#^?*2sWV~lYNCrr9yCxY-AOPkz8Gz|v_ppidJ_=#Swbo;# zl~gImcp9tYC&^>=PzDv85%Z>NRei!({fPqPb2+KCwzT+#WSAEHT1`@n)q9I19kOj% zL-{Yqqmu4vGV)nWjS*?AJ|mCSMSfln-lGo})6pWRwv?WvXZa%Iq)}2K{@X^y?dC#U zrxw@!xZ7L@pxpm6e2d2465nEk`4-3!D!4do(Z3hzRC|B17`DB~iX?Zx;O)2_|1 zT&JGxwW@rJ?bBj>i$>yGtSBJ9#b5X^6J4Gv_!iq61A=ccjrkUDDOdRxDOA}G)0{_# zJr5imj{O>DbfkU53hW#m`yKT?yu10*6h8LrNvYU5vX#+#*4$t)_ebt@aqPEUKkmN7 zZ;4~S_H%pdrZ8IX;c?v!SrlIFG5fhoLFVH4Rf|%uOrAh&i{}yBVp8+jAa-#A0{3Aa z5N;X-uDq;4RZFUzX>zGyLo{|5p&BckV1ZU=3O_1o~UtiVItc5Ak;V!RpakDw)Vv&{}< zgK8Hmg0hgI%7fpL(OuZ|6`tBVPYGafiPL0?#WEXZ?|%vp%dPam0MpxQJW9XrrDQx> z_FYyF!Qgs}c(-CF7~J+`+H5&$tVW%V*cC%yR|F>RK~ODjxF)32Tum$7lwAwgcGVEs zxs7xTQOI;*AuT&?7ht5#qriA{ka;4V#<|5iHtWTAv~4+N&vh7|f}I{(T~|9=muIbu zt$oVyw@+Y_TTC-jm@RIa?T(Zcd;gfWaRw%*H&Qp@8_ke=M|lf^wHM+c3~_DxQt@iG z;e=(clq_;euGd$r;k5kcI~c@6^SiK}*^=iSi~sz^`1NGY(C-T9I~~0{mwO0&6{%qFsM*2Tfyi#pwxdSf7_$#p=&sH?Jr%o7`pZ;%mkhNk!Jr zB0ulRGS2#XEqQgXGK;-ox?kew80rnCiDo{y_5Jh(-*XI*Im;`g1$fO~eU zYm0K0SqgC(G2TX+&Xfm^KjRDbh=Etp@n>@q`2M$!8v(*a?Z{%k?@5SQ?xRlx8QaZ# zzJar?G@mV_FPyoHbXKrYyicO!QX+=HT;oDRl{;j*E65{{HWik8@DK+%>N_ibIN9>! zA>TFekl}YsV8-_a)$hFYouA(^ff>ImIjhQT9~Q8k1wOH>ejRpLW+l{5G=wmapR^hH zY?X`b8_T2BO1ka(5oJBF$ZI)k5kP7m)m*x&xYog0KTF@O!$TX%UfjJvIv30CmAg3| zJ-hMmlVkyK-N(SIm#rW;JUGMbq+#Anhi*@n!Y8+2sYy>Xl~1}+Y=?0p2Emte%)Q}a zC1r0i_G^#Y(Vw28Ce&$47@tP`>~}f#L;@HXM;Z&1YWyg3BkHmbQZIRFWDAxqDWd$B96)viL)+;j>@&K z`o&kzQ&R@`tN7yiviVe)v( zZ}wBpJtrJYU6m8S?&ZiiFc`?k;$|O9ma_=v=#B|lV`Db$b?jH$PSaEEZ}8CQE3_$S zWzALeYsJ@?nE6ai0zbqbl7u%ml zOFl%l!|)an^Xyq13DeWF>b%j%|^bnx~(6sRkQIX3#CT;xzxPVTC# z9RI+k)ZbvGEPRx@iF9LN7Qw`C(678*eu*$|F6uZDAOgb#$ossv5HT@)p+i+bW~GmbA7cnTihLb z1M+O=k1$+{KY`&hSpL=bhS;>9nJ<{t)qkwJdDU&6Wou^5Tvl<{GUjh&p7pfr7F!l8 z0@K$_c7M3O7k2-7bz{;V%O^~qJ$KFyOUpyu#qB#6;U3VucC*)V&gkIlmlzdsN$5$i zvg(tTn;UX+w_ll1RICWXb!-4;VgvBbu)VwiXrv9me~Ar1Gi?C&7v0GlfECyPOc*6L z0Cw5{yx>900rxi=8-OQj=(>)7Pww1za_4=X>%RJByDisyd#!G??%H`N?dD){xuY1j zgmeqb4cUukG;N}rIMd70aTBK?-R*Ku1gj!;0#(=vyhO2&UxFRK9?XtkH5WQ0#9wnE7!85=TLr|Q6^K9gwpK%#3(SrIApZP7{M`uZN5i*~MLtLqLH%eu2yRG( zHg3Kbh(8?weFs4OIO`c?aWQBYLH*cN(*~+|;PtLTXydmK+PL|8WbqSZaR;*KL>Bu& z{n!ZV$6^5b-U6Vnc_{>5fdBw~)_w>8&{wA4f@%WL=Lev#=r#x(hQMxQ0YIM(fIcT8 z7gyy2ulF1Pea6)&1Mqrg;Pve7pniM;aJ&LgKW+zJ?@r+LGVjNh=}Xk2kLV|nLOZA* zcY*qGMK<!I@q#%7DFk<)@_fiyCLH$T6Y=OXQO#V+DU|rchEcLfgJpfgary*d*``! zOa#VC^1Crul{0fU88tz2{kG6_!p6$MnAx#cN9(h&T{*T6j1|o!+3VxI*aK7u?Hvr6 zl}x@nZT?K6?qIIRm+eS#+X9YvtY@yr)q?A>FOKU`2LX-i(Ky9?3voTJ2gL_-JuZ%N zJ>F}l()!sV+dX$>XZNiU$d(es>8qj2J(^@KRqx=q3EjB{gPoFCV$oeK@F;m(Jfkf<`oGF3q;ru$Hi zhhUyuVZ`eTPTbDQw zUuE+#-!4g?pnS6~9H@L=A3QziRH$>M4=(Iy^Ct~As%dkHWDS<_LOpHjH6Z}o^hP*AZ;q84%eYc zq7BhbJfaEX&Waty`j>LTVNSSDN|^bU7R)&xDkpeEcj}A5&9;E{xpU;R?N@C)R$s=q zmL5Db&C!}El6-3u@7ZaTVuuLwm)j|{QoR1X#;J%95+6&c455EsF%SQNXH|&)!}^zD zVq5nEYNHZLKpSz>tRHyk3}THul-mVi=z7RyAI2koD7VT(IZq@Up@eDFM-%3uTpt_C zZ3WSxTrUr0ufTsCZlvQ*=61iED3^Xj1V{E-iO(iDu9RxkPAXMKCDX>%%Z_&aH)u|i zR}7C}_+|2?AZ4evuo$!sdBuo`ggYqV?Q+6`QAS!ZK8>yz4f2ZNo68=8$e)mao)XXo zt!6W{w`855diU`dWqQTzeLxy^Cre97Sfpa74Teijg_n|=>GD!?JV+Yf@){m0?S@#W z#ZuylEhP#sB~=MfDUZCA{L%L-d|TUqM>fsOno40QxhjC&XVF+0EV02&LtjUug3SzV z=-Y^1dDt46=;?D#*z_nROqI|Q7W|(dbwGK<#yUSW1Iy@09aQS0fTU7=g7r8L-xhMj z4_m;oVN)I42~hDx&}@!%;_yx)BAfDS@#GIP|;5H4XK8iHp96` z{Fpt>E>bY^fwd)E1iNN?ato|pkIRO@tq7|!!|Ech+qD_}X&Eh!nsBym28_*5(n?x9 zEz=qe8E{s& z`sm`+Rv<4w3ATcs+oobl= z-Ank>5mM)FIx(sxL=e?i^X0fFvFAmAo@goryODR;AX_n#bQ9iXzm2h7X=Mt1-mfNMB zU0}gJ`iag>3U`6Y%`+uu6fPx){1-?T*QjpPT*Sa>f(gqT18th$TEhvfp#*j#0c~`a zj{1l`;!}_&BP!x?DI8?8$8|oEeluW0O&frGjb8lmU0+W+$$MCR=75HBk0NRWvH1*9 za&-cO=(|2ZAGxXX^qJBqUg4w)`?=^e5kq${J~XdhY4Y7jH2aUFFP%71>o&GbA-GF>?MkE| z=nuzdZ^UN9H$p$h+;h#lZesA26w^DE6*EN1gZd`LyEBPm8BJPaM3+x8%)GgD+x*d( z)R#C$fXHcEbr$~CdSrjw&+wI{g6egc>s9b*ZYXJj4?TCOG2`JLbHGR2tgR_yaNX2q zqSYvGbiEEQJibeN;5T^GnHed081$84+Ti1(Y`n9-Z{Iy1>rrrdh+gIz4{UwZ9WXtR z)`!_{%?NZP1v1J@UC74IQhnIybalDa8bL??{c}$tTXH&Nb_Rk2M0j$g# zVOYXU4qyuXst(x_OITI&dKmJn(O{AMIYd0Eg8bu#gd!5ck>4N=~_H0 z-4Y%uIQ>g13vX<75}MQhYQ`p7v^fz$S@~co6kN;a#Q0b~C7ONCi}eZD%>=R~-u<>h z_bgCWW!rmpu*=dtw8?-M+`=4Q$qHsC zEpsumCapkKgErrR1N$i%Izlbn1tT^#S16JZ?_u6lhaO!U=k_VsvK}91ufU^nrPj4C zJ9_m$fn=P|#~~zXI6`|>?PR}H z4%vUTw}MWgh>Y(F+4x>AeeTgdAKJcLILL`pn)z2!*=i(zvMG7M(sp>`m38!@bQmvR z*S8yq{)1hd2WMnlj%f$pv0*9Z)77>gLW6sZuTe5Rcod${x{_DB!5UnE zwMvn`icv`pZD;GAJZhBAb(Cn&c2$rVTA0LZX%?((LxQUi&-t z>((qAzAM~|$4O7B+H06ilD7{uFl|Dzog}@Q~t>K@!4_3AdW zSNFUGjJJb1k}J_};VmUKZKYe=pldVgLH|^mf$(BT)3QMNcBS_1(0lN~rloIvfCh#e z|DDv&Rr_8uz=BzKlTmh3e;zdIMMJS8MN#r`R(GSpf%wtHo4CQF5EY_>c@x(WZ=(JQ5?&nRO)R^Xgm*J< z;`K?3^mOE?l-U&JJNRGV;-*;fzr<^}JkP*5L?`~{^U2g!m1893U(RcIcg6A;$B6om zd&|};&+qhuXC&BgjroypDB?_VtwD)0iF`IppC4lq38Y;dOuJSviB#2Z)os~XC748( zFSo3mKT*mCoWa(9;kyt&GDdu#D(!@`MXC*cBd8fbXV?lfOoR;6r9KpV_V^G1x% zX&Mpw3C$#Y+#$ARG;*X1*B(BAYGomwcw!4|=!f*H!pAYZt0SaM9e@yv%K~J=*z-V@ z)q2)O!gHyo?7ie!MR&Kz_5de7DIpI*sflwZpopy{g;zVNvVX!@kB{BOt zbR&J3^EMu)7w};33uh&w1NU+23T^FtytShp@9)c^$Tt0t2^wdbN1u`{K@?QDR#SKE z5eWWp_yNQ%MyWyYm3(q>$bk{v@m>ji{;Ba?D@?aCeL|9vWt3PI7>`k^ZmDrYPUX-|2=MSvQmL8_w6q2RO&R|8Uwys~U5)9l z`i~XY+;iuO%Wo-Lz2dsERrlQDxn#BH9*V0D?i|E3?@#-=+4732)9=tZyK~Ell9gj$ zd?;A_;LZy+jx;>H>-8tS2X>F&)sTJeBW2*qTJ_FEe*6D5_b%{JRoB1xnatz?gk+NN z2(M(q%P0^gfDm40CJ9MUz~Lbch%$L0V1O6`Mto!@4-znx1koF+#R;ZuRBD4-jfy&X zkVd68T7DXBqywTi^+#>A)N+0C|E{&q&YYQ`ZU6tzz4xCFoHJ*iwfEW2wbxpEJtimP z?ZK8e9#!8yS(kmvGpR80nt^ln>yHH|7mc>_IeSyxtjiH6RYlta7h4Zrs9ehD>{~B{ zK9Dz4oU_{|x%wyFw-T!Y=j>zm)y4kh!9%QQd#1YP+v<(vU+3e5$_Kas;KHH28;9}% zwE&n17dYuTWy)c=;Xyn`a>G+$!lAqyZg>K;Zg@HX05?1V7I@il!3~caZg>J7LkSB| z!fups2>|^7P~c@J4u|p<9LfhghZFNV60OP^05qR6=n5Z%BOk<4?jK1SkwWB^6t%Wf%7g(_$2^thmRaLoaj{JP~Li}b=%;`=T9i1 z1$8LOEmJOb8gzZ|)8hevvIw6j!6&`|00K5D2-s+J{m!7X!OM;tr}i$K+PiUT@3;(% z_ay-OP(sh|3~?<0sKu$hJsF=U#V4+y1k)^QNJ?IrQqu)LJ#|A;>=W^cCHTaCd;+KT z%8Xg4WF9IBfZ95A)FgZ&9iKRWPmDieGAsZ<2}-!!Wr({B0OM4A0udbpjsRdbO4tH` z_fW#gHw?P!hlT{0uR#ebP{Pjvum~mW0l;M{;SH1k0ONHiVGSzzBr3TYB{ZRutMbc~ z<8K;tn;#xh=D7~egw~>x#{sY&CHxj8YzM%FH!&X`Mn6o8GPr*-HKg@7_(UN}_!zne zUHN6k!*3aMN&jO=N^Jr@aT`AI3QD*eCHxH~oCiP`09*jD&5Sa5ZbvI#!*Jh^623(p zzQ!lMeajH192pW|niW-H&%=Cp1Dnf3z)SLI^G*wEoBkZwHJl|sM0?o0Om?%-4`)3^ zBXKGkU4~|%AKpzH%HVedEHtfu;2m#IgWu%=$0xJS`M|qgbAyiLZ4D70klJV_sf|{2 zuEc2?YezJUUF(%jSjW{+eQdPBd9@?Xc!erzbo4^{jMRXT0+;8Rat|-ozLVM!fp?}| zPudYxM%3hZB1#n65&A%lc0{T>NF%G3#dfv+47Ca8kd<_{C3Gjfb#{(-QJwh&S$~#5 zy@C_x_{&I8BYUdv;0fbV600>Qe&lV}X%RwwH`V=u<|E2o4$#u>pq<`9wFGY_C{|E$ z@t_hPjMhys72k!v?dUA_0^0;I`( z$o5fQqJuCp#SU}OStv$~`=b}QRRDWvVt8E_0obUcC>8}~R40i&44qCd@;1Lam=$(v zNho2a3m^QUucG&HxSSVHXc~Q43^Y5$@GAVG4u$1Uup(@8C#|iwEO{h1u>~|c?V*bg ze^NKs7THzi4xM-S_xL%n$>|7Pc=#jy3~-gJslArUfH6e=ixUq0NNduFkVISD;Z&zl zKhi=EIfd-^v2dtY>$h85VY%dg#dKpkD{}lA4bp0P|H{aOq0hSsrqCxaDcssg;TXzp z&5l#~?8`{@3cJ42@uUdS)1+wDPKu^7;-$k+(Cl;LA{PQCMd!5`=o8ureOv(ZF;2)oN=3$I3`lyFl93y*J4&!q?liNvx z7T$;p4dVpUqTT*OWf2ASQb8_ai)BGSK2$wI-}_Y>DzdHB3{_-||4{V`U_JsOSFjTJ zUkuetg*4lQ)27$)OZGm7suJQB-=TV$fm2Cv7w*vj|BIoTDICgujvVELNxehmcn880 z-=Pw~tiIB&1^)4&>L12tBK6d{Hfp`>VL4Qk{fDYX0DnrrG;JjCzZj}dnt7;RL56Ue$(o^xu0=n_ zX;H0nK5s3x)ahQYLlV_iYEW&L7S%e!=!`O%BeQw%YoH$|m};Gb9-h;pherM501qW%;L!s$c>B+^&|sxY8&YZ<1LXDNi4{vX=Ia=aeiJQD`XN(OUE^Q%t`4AOCW+Ea5I1t&7x0JnQ7F zpI~x3DY~i8Xq^$(Kpd})xP0G+{NQN)llS)W*O4KdhzL1aj-u{iCiF#br)H~m2tT?G z8VnEq4|FY#zZTJPZ0p#S*o&u^ucQE?cZOmUhijL`#&m2ayytf-ZAsKUtz%(41`{Ks zU)*~OHf)=&FWtgX>Ci2qYYb0C7(2ZpmG1CgP*1P~kD{K-W&fRp2@?`ohJ$P_{G>S? z2eP6>b+&oJ$(AO$o~mq72T9IEk0_R1I9W8ses8B@g(oGlZ|gN)(33d(Ld1U&bv!u} z{Zjio4GrHf{6|*PM{Ir{=@%d2Fj6+nN3ImoN6O#8g`KLX_0<+-#5-aKEPA5R`dTkQ zH^xRZnlompH_C!FlNEOzB$aJPhtlkoN50lfcCf|%qsn;+k$7JJPB_^N)n=?AQmJ+W z2F@Bo17aEC8E+_>`Tpy6b-R`Hbxp^URL|>hNuCUO)-!q+OjG_@z15)DLb~Acpyc4M zyaFlLWY)y8(WK9&(^{AIoe+vVgp2hXbN&oy;UXI0zw8|z;mdw5I^A z6gATEsgBNEzAJ>sdUH}6`lUO(z?;P}Wj&Tyse`gu)hxnI!T#@D8YlPbkNp=Qs*X^V zI7}<5yTH;M{4g5-^%Ea@&p`|KttFTo%y1E=6&=H#3HE=_Rmh?$^l7W0Ox-|LU~Z_@ zrwT)_P!*clfkl6KzPHwvskc)WH#d}&V9-$bD7fE2K6BEZ(zqc%A`Ebd(WokbK+pl5 z(OD#}R3h$xJAZvV`N=T0YFfIL)uO5%qgK424vg?t5E6mDhHw{I_8V7fvTUFD9+iKT zvb?NjSzuB2-H*;mZ`5>-dtVm85W7~|Pv!9=#=T~Vr)!H;%AyAJaFBr z#;5SpV2-L>cVpxJs5@Jn`eCzp#{cXZ+=8xCDz`j%N2kjafgyD1DjvCvrhglaN^KhQ z*qHLN^kev{bEtcH#mQHq9jKJO4iN z1iwldFUcMaGmd=;Ew^Y_hw5yG7!TFi3~Js*9&ZBD9;3!yFb!I*!Y7P~BO!dkuu?dA zI7z9%%5w`3CuxT@IN>C_W;sWsPgSxy5H7ue{7UVQcv4gIOA~tu<~6Fhg-~r6vuQt+*Z;bT1T;TN6V3Jp;O`yB`l!mac&_zm}hVXI; zNCkzfY7>BDR65ki8+$i*k;R}vrI&~E!tO+t(s({y#fvjDH6v(?zQy*=dj`fAl~pLHO%MaTF-+oEZ4AAOazRL)IMXQV(CKe#Ps z9o&O2#8OC}QaElA1or}qsgh8I4+U!%kkr$qwGxuIp<~Is4ZTaKV*mGU4Fc|MC-7jR z(qj8$cMDYUZTY}9)RwH-hV++Pct(Ct898vMAY#{Kp^JQpSOMuIND*QbkPgPC7Dg5B z3DiZ3mTt7)&`(`N6v>r1K7AiR6%#ddV~Un;Y&v)!pkoOmmG;PnFR&C=zK<^Qp<|l` zB+af;kA&nd$`L#Dr`$ymTDrPF_0Iqgrbfhm>)S<{-krKkyHo4G>){!x5Lr(Ql5Lb* zKZuC^DwhhbikqxXgy`)d7xhFce@ndJrZFHwRR&G&sUB9I6Sf{kS+>N9ms5G~PMPeb zKK0)-1!gtw^D<)&4wGGa8K1t=I$>(4@@yb&Zv`EHA^JR)2BbOSc*X#4=1%^a1_XB4 zJ{!sQiq8OV8BKd_OT;g{@JbpprRHTSyrhKn#za~wx_uPMwWp3)&ecaSz*P;rW z^Jopm>-yW`T$?{@QoBwzFYUsgkv-Tj1y%kwxR?CDwPn0VL`tQDcEH#pRML<}s7hp% zFV*w1wMy9QK2D1zDjx^1x(#2kz-cr@$(F_Z99e->TOv?HMIT6OcihT7UT%9$1#f~OQ|0_oHDGKJJyeUv`%sI$iLfy>hOqJzSWZ|asH>$oR1Wo9)}nN=D7W!fXUsm!ByYg(3-#x%fI zO^7P}s6=Xl^^kVLE!q5W9iAQRd{muhQwGnoCCjMqYd2qQp1Enb&!->ObXN3y`cmt& zva{qov3GE-PE)Pcm2K`2q^gp>3ectX1v%c)azy-*+GKuI(of!T1#CQ5}NK}7jc$H>1dYOw5;C_Tw5J-~7-K>bZ9vGyGPrIjWvs^g3o} zaQN(fR0HSm9!ypqawLpi=G-xzM#4vQW?IfduFd5y`i=z4 z&CE%Ixu@lMRDN}Ni+3c(uER+9vQBgBsGjGBUywbeos`cHo|N;BaLt;j9S+Bdt#DsVOjly#CD`>X=?XF41d`z;s1rCtUHP+REuto zTE1m;U4#+Cvm#F;QRxv->2VUOeu{m8NM*x0rk$`eT^E`AeyjO!V zJyFgPA8MZ;vX4m1^`caQe*o`Y3dwFS*`aZ2?i)tU?Vj|8_j~;harJL>`hTxKs!f!? zJ>SQ)7wc~<-U zFlSuRI!CkOY}UG!<^yua>Mlpk-45D;0u~?AxT0G50Zqubkv6tgMKz_gZKZXME`hh7 zJQbN))|_{ZuxUEV`0zYi;2F)vlNK1ZN_Rc)I`1_uLt}gDw|lqx^n5xZ3tpW`BsLM0 z@k>Y+>=^zj=pX$E9o22_A&tS%s&iB~=g76PZehmW3n+HKPqE7?so1JDc3EsSZDtea z*25raJj-WuCcWexHp|0D0UmpM>-Fla>r>)ZtjLF_@B@DVaiO-=bPGACD77>7?Ufbg zm7^qg9Cc%gPgQUEg7Ltp9B)l9b@C$&CR&CyhKleVgb4?j*--RhjH zR@IU?KZ+K}_FPSaN(9vQ1_>3t{c^-wo_E(1iL@>#Wte7OWJRz%b5wz>sT}Yu9lg+v zF3^mydM=G**u%tG}+xru>y|2HO+te_+M7Al+_?83zC*35 zQW3R42d_8h=RTsD`2wn8e5Y*Cx&U%pra)~PI@1p5OlQGYaZm#M6Q@R#Gh=hPGUJ8N zdyQ~rtQ$ejj1Q*}z%AtY81YBp%y?@YfXfpI_;Muye?q|42?TsHm4NpUuq&K^zb9b* zQUcE0N5C`VKI(cTZaM+iS009u!m9*q9818fCQ&sC2)LGgDt}Erm96YkxrueV$B<9u z=1BzHNj{a^e@4Krhp6QHA_44NLnSxgNDi3S6L9x50)BG}mHY|;H;>+esH@))aCTl} z%m=fYmQkIL5U^|Zs)Ge%+NJ`y{7(%0D3$y`6o6ZcspK;&sN}N*Y`cL<{_q+A>#q=S zNZhq3IerAyc`pI?+Nk81p#V0fGjKeWJU$S>El+TrN4Nqp5<*w~V?F7r-!z@EdNzMBC4&4~HZXB*1eC^`vY3m<@zjO!PZwfCE z|CHbYV=&udRG~c9XCG?#KNjzm%r6FfLaPt3!+_4Zr*2jB!8MAo!+4u@)@%AhW)aI9P){rywy16BOlYW+x9JU@y0y(i#3#CS2V2VE zdyhVGVMNK~QMbd}^za)GUhX>RSXy8GMt$wlBlSzaYl9!@{gcU$w3+-!e@0Pdml;aAS&fQEVqyuvx)HZE=gyrDru zz2Y7KTtW%wv&%dmz^@#+jcZ0E*(ac(UIq7uj$ydzzke@k*5549-uLtI#YOylFVhW!JDZ=+f_k~qffb+%UHB=j1-Rr?O= z#4)6;@w#wD||3si(ePZj_<46z&K!e{sPwxsTpZ+8+KKb|^ zt2>E&q=Z3Umd6zA?sO@y#KmkixGW~`=3aiiiKNr5AK_Bff(y$YtEm%uG4r| zbvqSv0evol)vNE^)K9@zf9K$Qw^6=L0Q1Rb_rBxfcP21v5yZznBHC((8PTz4%AGL< zhUn!Xa6)XKV-4XR5Dv8Gag|%&r%&I?#e;XzR{1QS69jLhcUuQOE!0BJn$U(T!3*gf zAK}uTZX(xepMjJst|y0U&9l&tnNETS|MVug=kWVVNwPw0_=F)akNS?el_K z!Y&f1n+2;ZQX^9M{JC_;qPYumZH|wO;qwA)CZl)q=q5Ds;v?Ylv$%-W(0)MqXp$MB zoL276T+|z4R@bX7m}frwI`nFevyWck&U%-N5yi_?(7m{@c9$hQDF72aBBV?gd&c=Q zddJF&7ij>+;u7y#DIxq5bW-x*cbtzVL301se)*huhWRY#8+d108B*37zoyJFUUft5 zfg5V0f~IdSGqPTksB(#$tNaob@j4Yj`c&E~dxQ%3|D<>9E<}S5JS?{lFXeaK9Ch7} zJPRK&pPS*(&)%O8wczbBdy}pr>LU8z=bPnoTNC$jd9=$iIKy+dRV%6w#8^>m9GIefIxCUR`-(Q zh*L9#i|Y=ueR$nW=x?eSrLebg4A;~-&}Xl8#$jH*8Wym}9iixFw_rJ#hNPnJt}!fx z`<}=VC}$}J2hfjg7Fvg1MA>>BPfikL$G`Dfpxk|$MpSM}f0gqFT=crkO-ifBL ziuI4ZlY5ZuTm)wF^7sW_Ba2_x@`iAnzk!RK617eX2JX@3Vmfq8143!pw=4r^mgJ{o z-paoq5OgF>nY*G6Y1I0rvmTPeSF0Tp!<0g>2pa8$bqZKF!RiSm`S(ee2(rt%=Il8H zYbJD_=^6G}vP?|9j7ZeROy1Q)4@a-fj^0*#$vI11K9+sS{fVg9X_k@7|0(L z5qP!lgz7F=o-2fR|8T~j;<{1yW0CMw9@?B$j_qd>c^r3791avZ%g7L`y~Axy;IQH* zDx{sTqHJ1THvDMiOn(7R#)V(P&hw!t;qB-^2wFNPj-b-anEQ#NcHn!3sR)F4D(iVG zc_0k{p6^USurs+cUKXyU-=_T`9^Vko;860SGUC7AZljVDqAMSx-(mQj9vW&gw0gqc zi%Y_R`}yfEG$V8oBckY4HAv#^b>Xg*y1e%tOl*!wYz?wJ77agCDcCkp4g z`a2Vk4wre%zf8@$2h?{XO3<5#xvrUZ^VzSRo5segg^mf7XTsQ=pE{lNh}dW3_KANa zmss$xc53+=ESUO0)-A4R^!9-(?AO!>96f^fY}p6(5d?c7?U)y~S-`T2H){+?Sg9EN z-?h}X@>g*Qe_5@6`|xZ>m=?9fOVon+6#2FAc(7#oBF$p{tB3pbH7bMldbyb8pmm46 zLKXIWgLiJaXbktd!`O{KyZSKWmG3(m;^c11GWZ>%A6EIc)7I%zW^pqufOgPtL^mpd z6p!Fcg{EITVQkC8MOy$hFpoGMLCcVv=n#*5DDHo>3%H2a$6Zkm!wdUFHno};2V5jk zdzUcUVc(4&Dh#7QG_W$!5CTjwzpl>lFd#1V9=!j;tSA?8|G&a( zOTX;QYtK%6x={~a+a0kc%Rl~-r)xU%+JzN@*G~F!So_dp>75nJEV*kVIzoP0+tHlU zQa3B{A!}g&L=iOU9sT&Ffggg(*)XvqbBw3@y6Q^7DSxG8tZkSZq)=R@qM)RAhvo=Q zIl|_S_=)6{djo!YvTV8FlntW`FN`b*5X|^Xj!DhlxJ={sv0L`kLz5OfD?PJEPF)Z_ zxi&6sZ%xIQmEfGuEDm*(dv+yV35?R41K@PL0ZeilT&EuZ7wtkArW3=WDh`aE8j?N} z-q|;T#XSe#>Rs7o_QUOl?Aa<8oeM5H2w|9B*bRWc0pJ1vP6OZx0NBArPXZUc_XYsm z4}k9gV96=7SHSst6mijTzW(uKlmI*L7Y+kp8vL4r&9|luUIM@_ z0Q3Xk0s#I905=T5Kc0yaVCVfpHvpahz_MI;i0_1lc-VQn;GO-6>ruj;VD&#hM?DXK zt#H2n5xlc^0icza2b z&hCc$cCzz!!p^%BcHW`JBc|wyv#jRyyfS-zm!Z{G2S4|)^NxcVcM#0DYsSJm`#e}k z7XhFj0DS=X6n5T!h4YM*dXz8=CFIYt_MFZuGr8U{v}QeoO2XIvub0EW`=bDu4}hI0 z;WL!r0YKM7L)u<|o%ey8A@X<}pRfVo7)nUbFEbr})6i;x8FwAbxR>7osmjv;_z3`- zQ9@pRnf(j^nnz;2O7y;k{lm8(#ljBXfr~$Ct`+{_nNaMfqje1$trh;^FA$qG_#Lr! z$-O`FuG{Bbuki@mO+RORgDgV~DZr7Z+aj=26cG2RV?9R* zdQFH&CX;yNGKohD4>w{{35yH?{gBb@1gX+KJY`%h+0njbH{w>f_X)z zj{fTJgxIACSp-vye7BiG$*#Ia>mJQ6qVu?DA7vI?QVD6~{W_7oUkC0W4lpSb9H5+B zC0s4x!TABI7B)MDNmeU1&Z6c4H|+6Mi7rx(AbI|=@squWY2}NXSuS%1xvRQy5ptOb z#gfOz@LIRo`WqP5N){hNryA(^$i>*PMn}|v|BEK&k=AHDN0yd|z!3Vzu2S5x^CFsvVdTRtM^OcPnSh&?*N!F5o)q04o6~N4`HEDpAIC4k$Jb8#N zw8mb5&@e30%TGJ6<+UxSTO#!axB@#U&$bEE2fUT{W`|+>x(b6evKiAzcE#@c z2XddIixlJZM-yyGmBzKSMZmfVOh;=^phQzri>gT-p!E%Zpn`A3MR>ncg;6GbIr{8NJBcnh;!s?8t_1^@p}@Uh?T9VQHD`Wr6gw0p_5Vw?zr%OwlRZ}{j7DUj^^)n~;E*X?9|qOp0F0X%wJ zfnT8TfstvO(CNU5{y_zN#n8L8z4E4x5LIvqF0t?7B6~$Ss3RWaZmq-d(*kx6!S-li zUBe6u`oUvQjM3u3ofcY(aev08iq@kXQ-KFdY*<$bN)_zLWJW(N`R*c~KAvsm^NMEE3$J$5^-qK6m)US4v_uaGXDzBX9HLQ_GhrDQm_~ulSHdfJf!ZrOL=tJFC z*_S5O`4fKAoh&r^J>_6$_7K3a*U$Li2Z7*(;G`&8PqalCb@`iG40bW4mbxqS;^)9dH$L&V3D?RhHVn0kku8V0kzveD1$IJOYv} z5*j3=c9U`M^WS7bM9lj4s1ixC5|zJ<=rvCwpD2ZE zqEK0d2Y54K=k~FFE5tVHa|^xD&(2mhEIMw$>(}T^38zijI`Rll9vX17RAyhJUj=5a zWR?j`T=+z{OIG3e zB`+g6ycCz@(>|HL5?q+p@$@z)3--@aj-8O77dy?3aNC=xC19Mlx@e>n+R*e9)TUZ0 z2+MxVShjE!uxyRC7nRZMtA#9=uGnP-e`xE=9K7`C2|{@q&Y^j1RA!j|?&cDMAw9N4d{OmOkBCn9g&Vv#(c zPm*BumYcdy-7J^>>Bz|dy+ND~@9hdP3>@v9>P!f50tZZ;6yN1t;{9X4rX|k4rfkE2 zE2>pV{+$?`_ZT#eDeMt~o7s2faqGU&kf6$G_Rl zbyR>jNqm8i(#9*KqTt@kna+z$-Wn>xA2~U^xnidp7N$0jrSqK{adg~F=R1iU3t6qZ zwV;pcE)Hwh_d2#XiPMatxEcUlDbzIBTEGof=rirw2A^o<`qCx*MNNG>I=Q~=w!8*z z%LS&2Z$LPW4X&}kRIZ9V?`O^9y!~9u9?-F>7*nN8nLZF>VNFgbA`b{6-8STn^Z~_X z_go6DSQl2Mnb#h zTu|OzH?UOnzIc4#bbR7*!^%$A5j`BG$Tin3 z)*P`brHaP>%rcMd^5T(xMK>NFiaPK*;>hJp{fw6x9f!|O3&O*OOmcFKl;mZNn1})IF^qzgsA3C_S+k>xSX38>>OHE^>GHTTkhKMEh-WQp7b5(K ze~6MwlO%uN9Fl?tckP==yvM6<;Du|>a-}Hb$9x@6;^@^l+Kp+>XdVl`d4)LIge^#yEJP-UW-dDK zVNSz_m%elH(-X|n5CROpsF{oP!AxjhrqUW|E;?z~6mzkEoc~;O#9Tvw%-4EkU4LjU zHqox>GZ*cP*}|(CWn77iQRjzy>!7))|0;8UPYk}tZ!S6(Z^lYf*}~B@7xyMC*3QMd z2IgXd(QR3b&m1n%MCIsBWjip3{JtFY!th5>tyt=&QkP~*x)&GH_mmusNn~O8qXbLz zMrj{xsqN}IaW7s=usoK|7}i45D^{~d|4-L22cDIow6|D>oWdL``#biQfGLx`THgB0 zS>9%|4f4R=a$Pput_ta1Lu9TULLXfKN%wBdMDP%~E&Tl*s(d;AUkU$Ta&MW)d&~4X zO{|{o44zbFH(;cRhL;G=61xallS9vAew>b+ew(Q&U^_s*&SPA? zkB_y|7f+RFnv{2O+>EP;^-5l9r77W)K;7rJ6=P?pn5E{Erwi)5O`->2uqKLO?cn_BosK9ykq(7JK_N3JMh6v7HTDtQ&Z^lLf;XoVk^b~|DH^J zNdYYo*X^=vt|FSSMeyP>*ZVDe%aUdIYN^MDh3KNVf%}CRFS^d3!?M?L3Db}G5XFO~ z4_BejW*(xZm9LFbo8}tKSm|mwrkv*k*;#V^nGcPN&BWYpAAbIwi9~1cshRmLhXr(6 zcVOH6M)Z3`xF%wwvxY(qU$F!wsUs+fC}&MSz34ti-sM!D$~1}O_Q|!n>6-O3>)CPH zrbsXK<*d0#85NXBypkjA?l&sj@L|_z42_F>HO+8rq?PJO2H1tZzd|;26HuHcFiVg0()O z4cDowXHLQsL;;s*;Q@7h)gMdCL@Qv*4j{>M`}oJeuMS#O3l90Os@zBdPaQ|>?SClO zFh9gXp!34^76hJp%rQY5es}hmZ%}?i*oU%Hq&KhGTMjPT{2(j?!@OcWWtqQN&$OI$ z9!u+mf$hM~^lz=If*kIN30<;jn+FT@x~FbJ^^z~PZcyi?ocG;RziJJhiRpYg(xgMs zmDxDwSM`Ag;;y(k?#kyJcO{+zo)mK2m6s^)igP>#JUN+4!1q%q6?-IwQpurED*BrV z*zzESQrSsyS6XKhaAP_Fe^|RY?=5o-0ryS7i`H=wDt(ZLS12vS&t#t)? zZ+WH>@cAiJ^6LbgbcBEtqX67cKqb4EQ^~JVkQUnl=N2@D|FTVra)+LDly3arVmGuG zU->_+%S2%>2JD8^2WdBSfAqmp#n*1A`bOw7nbJ!-rUv~yII_z)F*QBqpN8@p<-=>@ z^98$91Xt;I|?575nOI zh8#J(@5q|vq~&ypw4CzCLd&V?PtlGDx8d9{s5hC0Mpf)UoQr^I(AxP4^nQM0wi-8Q zmzmtqf2sxmxJ*L>^q&F{=c1wz0DS;>7wS!2up+95dXoj}O>tx-1ofuZ9;i3n_r2NL z|2;}@bQr8X0B{4q3iYP8Onl-HN|*w@pY=IqrWODoj76CvYJhzF|C`NFQ`%(Erf=06 zz@;}tlFiVyv7q;6YqiU3S`Pwggl`9CQcZsZLYDhzYqT5hudp_dupRPlm95b&^o|W6 z2Hq8>dYt&)%BYq2-gJE&4tJI;Cq@oNK@&pKU<`EHsSItkR2qZI;tl$dDbXQ~#?UqQ z9We#9N+H77TH36H?#iF=OOmdVscUSlr&RV4FKmar`saQbI#G>wy+SX0*-qAenyfYo#hsH=eOFs*x#r6_oFI*au(6Pi7;kvg% zHynHUv#H24VE!#ke?$zSmnfr30fT*-Xf)_=P;G}gs5n0FClwN9PZI+Ec&ZWb=dxq? zo1jceS?j?{LLk9osqcD`Afnz6en$a|OW9px_Iv*MItSia2i__BQjDSL&IrR3pea6E z5Z%|1?s%s{A+sTb;9wyHSGh!(JKaZBZYF`Af0aGM`>9&}^sZr`cvU1aoa9ZVteexo z%~8dV&opgEc+-7bfPD1R^ytUOdp3EMIL=#;>q^yPTtrAymF)5SbQ%p)y$1Ax8-sZz z_&x&d$4_xM4m+o=+~+`>^bG$3d|+UJ#$Kb_`ZEBFvuBoUg9gPU=n3gw98it}oBXPd z@&g0wU6}rqAEhk!;v#t_?7L~Ekf8fi+o9t$>AdP$mkK9gaYZ;y(f!s5d@5+C*0-Of zVt8?>?`TA?*slJL?FTLNPX8PKd?bpv!tdIjk?(Yom8R&Llqn%yAh^!#GIzt=>4_ma zn*UQnNC>J{*%3_aMe<`*<^KQ1g~dRcD(hl|=^}l)-1}*r1I^LJ^da>MtG$%}8NcMZ z-Ea=4Sk_U0gl2Ql#vo89c_)4!qV(#5Kr0_Ug#f&T`vbv~-aHw4e51|ibH|cdNNk02 zU*I}U9D&5cl!%IQgoyrN4&Km6i`_;SDX(g&W7VpAPH3WiI#M2^+B{87tH(ti@RK|< znD~q{LY`?R>&lgE3bQ#tk9zK!5K3*|oI)buG0~v=dj8wwsv4&=o6Cn`IUF*By(mm+ z#;{G-p=U2ujzkr0UpQ0kK?v{N5UxvixO+;A_VJl@9d_^e#M!|By8$zY{& zi!fNpj6n5&Nd_z9&jlrA!|H$xqK50x8_yZYd$=twZ!b=_LLNQ`)x@^kXq;;GN8gxe z`(k*|T3LzilqRYKTblK8C49FS-3(S>^iV6xXa&NVVYCSM5I<+-^zE}G50#97Ao=yT@F6UY@EgJfM zAH0?zS{z$xZa?=200>vwd+>`fizB9(3_%$)wp1n~Io5{yl`9FeE_YX=}z+@pQMS4a*KgXloUCx_e-2 zv5fxqThx?=hB~gCS0rZ{-BL(jBsyR~y=WvmU;ZGI`$U*}?r!BiDI(Z5E$kQ@D_P8a zMB^moc5drALUF+)n;qB;w}))k(JAmBt0BnQG$w2k(EGAnI&4~Qh8&v>Rng7Mli2E7Snob!qPi4O$dtJ8TsrWY{VzT!N@#npY!bx7+Bn(AJwN9bXf`W(4_B@OLnqem+KbZAc zSup}=7KhxP`<5}B>`N|N--Uh23d3(;SugBJ;@FPF_8-}ie1^RLS9mXJN5Z@p*^zvV z*qJR6wOQeZ6v2D737-wUU4r-8eqkyi2_AsM1BxVAT{rIBgW0F-lk!$g9f%~Dcr18c zWaRUZFpv|4SuGKDY9zs$i>(K0(zbCV!JG@B+Z!i$s0@^=aMIN5D8WFzR5@<`00WiK z+qJYuWuS`ArQ6!33O@}g!SfD9lAngXhK!=LjNKI(dpa^6Ff1F0B$!Y3B26!Xld}Bv z6r3^)y&g^(%+{V1a6Z*-hU{_Roh;y;a=|-|gY8Jo?Xa169lTQ;c&A!8SctD0(zXd6 z7<8~5>0AJF1lW!g{1pIa1#{I3=Bh0nyi+TJ2&RL%3We=R!8ZW-8USFfT0`~?X`2e$ z5hs|d&ZPi=?MT73iB{uU7LfbB>qY)1-q0^l_0YF45Yv831QsT=EKRM`~d^ zQV!dZbl8r}{WDlZ4_L%b0M!1@kUb5iA%!tfhE&*&bnXEc`V~s(2f%p%AVy&JD*$l9 zb|enABLT1-sX>guXv7FKr@^oTF#=o7bwkP;Cc-oXF#=O+PzTtKL|+fXj_oiFxc~qU z0N#P^$nRl0@~4CNgc$&NDB;b#vgRXi7_1i9j+{V@z;f7*+zQ)~ig^Gi0>Gyz;S6j? z+yJP9?Z`IRj*Nrth#?sO4OEAG*b=>Iu*So7#EBSzlVCgY=u-e#3xMAMz@A@b>VoY^ z69BTOVVz1*?iLTp`Sp+E@&ooG>}`V0lLy;~FcI2EJJsNKq^*(c`H^>LeBMd>k!cZZ zKQf)-?j7%d{Yc@vV}J&&QCfOxEc@(eCaG&G?M;$^m88sZCs-Yofh0yv>nP*6?lF4D zk#PpS6ZuF|n=Idf*4o6h)^b5>Rg+!%T&A_A{G~$YC^v9Sz5*BM!ou?IpgcNMngw4Q zFcUx52iof<3aFwV88j{Q6DxFzcAGB*CEBvxZRVH`OQj?9W2>z78RyTbW)1Xp;bp*= z0JBcuE_2YquZ9g_n%+8r*$LK$9{i3?bu8L^5agzgM?)5Vp`pKfbX4(R_0^yVwU-8jkdPg=nPUTX2cJ5f@2M38kZ)Y;Z-Y1;VIYGtMY8 zSpOv}6MT_eSjSv6JIkNOC7kGLNu@e|#})`##4btLCj{(qf@SR^3=ExD;s|TRP|zIf z7HNPR8Myt|1pJhyV4-ZA-+=Ml&>c)1TO~L)v&x6rhu+JRnpiNmmJeecB=fL4!Ns#$ z=g{Y%F9>t*08;Bbo$@oUvOs3#4ah89hfsWo0bONrwDtDHBVJgWfGq-;P(~t3mK>}k z7|rug@Vnht8yjaVtc4~QotFQ6+Cz$ zV(`|{;7!*K-fbpYl5C1nMY1Q~!OQXvUbSZM;>RM64eo1SEeGu4bl%&jp4~tihdK0rE@Ff`YELo>r@#hN( z1E#)0RiQ~BS3Doh4}GqgP#?RzFh7`B54uw5wEOf*Q6}|D+*w=%gQHGq^^|M+A@B6p z?_}5pf(@oaC)tC20nRXrPLQd^gP!qh^487cPopHqRN%oGj0fFh%=5e!558;tFDjl` z=UDoXFCN_L#e)r6Jh)!lD;M3=EBe=P2`8-$*()j@T(8B0e-*H=6D;x35>^gOci8Uk zzad>u7qQ0f^#DPhTA@XdpK~dxT?E5Kh>A@Gf?UW5vM>w?@?wPsK`P;F(I@;3AnU-O zGlJ|JGJqiWA7un7^xpSq5oCSUPmnK`HOT1e14}_5$Op6t(j{QizelHbYhZyO)0~L0 zvA?-QvmbqSj>q9J6;ER=$3fU9w50%@vZ*lAU=DM|xhVN5UbSQ#EHB%My?$UrS>2 zm-8++6M)GfDjE}-@ay7Hh0$kHaq^KLhYeQZ)ywUi<66oAuNka0CiF0-dnt?4Oj!uq zMzgjLOu|NEGc9^4SWu0wp3BtqaN02zd((MEEbJy(zxHK|qjIDFW);0}J;AVcN2aw6d&rQ59uKvVLcn|zLYs=U%Kf6 zRjrV#rsfb@6MNb~Hwc@!W7l-riMHSX3P-ib<#u7C@pBXr`;^+{SKE%J?xtCMnMRP~ zv_aMZ#qy0=n9UQXAN69y@_Ifxagva>O0_2uc2w#v^zfiv=!l1RA=cg~P$?AFps23A z9%P-c1kS2y#X=F5z&YBP*&}Q;a`3(6Lbb~U<>0&dEN93ltKNvyMhk5cE7xdxxNHIuC&`OMFf{ z1balJspnBftO&$Lo_^Sf%7>im-^ZfG4`~XUq$S787CVOp@uB4|3&;xu880EVn@l0u z>iBFjZ7D2Xe1R(QHVAm86NMg&W)#+IMq#UV6lw!sqW+=^CO1jkBkZV-YfmCq6++fv4hfjltvr0Y;Z69vU5>_8JvimpNvU~P95Cl8uXb2)= zXZP=O(3nZ9Xc{-YXVq^I?o5eZm!tWgjE^ zgoWB(bXRf(@1+X%$O`_@))z^RC5QcL%v%BziqX{9R%uQG-NJqF z$L_nBUuK&R9j(tQX;0#_-OU)Z-6ecTh{8x^H^{ODGw^TGlecp)U^}5SJsIa~tiF7> zwR^u{I-kgz@CLc&V9Ln^scCh?wTjs2wA9A9Pzg z3^XL+_h9ja&g(O5A&a?eJ|CRkevZw%_F0EkW*@IuJ@yaKvEUk5v`Ht3Td+ih6n_DI zNU`+US6Lh%<5?=>X6f!yYiG8dIB}C@ynf`~Hw{^hkF7;$e1c{b39@uk8Vl_rgFt0+OYiWX-z+0kgJL@ zm2vpf15%84qRR)2Q`1tP@=7L}4?KYH$9CXS>XB35#$qPZfkv<7$TOD>G|Ygz(jd)A zqzZk@@LDS5Z7ReD4j-b;mxvjjaBYvx$@LBlB>fBLART91bj1vPsK6@+IR&`O? zV`d;(*k`!IyxXJmo(>2SuZT`oFXiQ0Xob||@+ zBN3=$?Az~CLY(-2rRp}E zuI?T8@+Ad(an8(R5WKAm`o$2im9Iu)Twk^*`hVavmXDwGE{wv14^y!Ld(`jSRoSe2 z@p{xtFsZ(#9$oakIOy+b%tA;(t+b!&0DZoJIJ)|O+6k7K(BM6!pQOgR?k!Ki2hB>n zk;1LcIZO^=-kt;nq3&8uvpwZ(<%<= z3sRO-&5F6T=@?LnsV%Vy1QPnSKcc<=5=|;gHQ6QIzkqZAj$NY_)qPjZlQTprx7UU-gWx01@Eoj1`LUzw z)X4(|ZCyX2P59Du-*ZX2v9cr|Av{LiPY9pNCMds_DOcT11Lkpcs7#b#2WqtJ zfO+B^>XFQAF_Nlg`%5r0@#DxQvjIr^FQfs8R#b6`jBud_Kal*5 zc!?Lt9@#a55l(tgbMRqV!i%fR?x)tSA32|# zBZPH_4b~lEcqFaotl_oj@wFHE4ZfRv*xz0x$Jbtj4NZ(F(Q7Z_!!?BqC#hBNd&bs&^wgO^lS#N#MG zw%fz83RLB!VjP8FZ;W%AE;-KL9b`JQ3z3(au%d?CPKAJ@YHgt;I`aH`%Obw@i zS;7iS2>6tT`~`fO4?RmG)#sV<7^L*vJ4H33IIL?$5H zC;k`W<*Xs#rW?rwWPRP%JVdpjYIw+Ar2RDlHnY75BIdzth3!R7lD$X}+lyE<_9Azg zpsN6Vg;`<7i51G1qcWABI)mOBTTZhwqp>^J|=wVZn`)-nFI z{Q*5E!mf41yni$x`q1+09Fz7x7myiw(=^AF-nRlaM=qM-xaPA@0;*^IJ^@wXzx+@| zflay+sXy$AMoIwwr{XdT_htA`id0$nPk(eLoeMiufaq@*;6~$KgZo{$hf^Z{G1!H->BNaU8c`Q+9>>&cbUEvX;g;1Q#zGTb*6IU zUBc%fjlL)E68=6lUEXE-VWg9LVtJS8&mfI-)8w7Y$9G>sS_J;fyM%ueX;dG1XLz*t zJ)}`N@-E@esp;}A(=V#&@-EZ=iS(iPFYhv4M`Mh1d6(%?NT>S9yG)N&)8$>JPgB$7 zU8c`NI@Mp^WqOL5F7GmZxtcETGCfO8mv@<-i*#z2yvy`$NFR=Ld6(&X)O2~5=~ZgF zyvy{%NT+^~cbV=&I<-sQW%|=P|J~bSC`THr*vAs zka@5laCw*M099^7N4Mh+obw$;;mR)BwlRNuanX*#dp2&GJ*%K#_qK$BEt~R-w`|K# z*jb!iRGd&~-jXEJcifRM2qu4f9z*yPGdsvS1v%NdcWx}m-;z_1Uqm0f zdb)rw*m1|sUEA_Y3ODBMDBf6Dv?EXE$j%%1=>?M2LI$cLmAoyxL}sC%Fs_rScg;>5 z_&HDh+P-7smOO8c{Os)mx#_pe&cD_C{96>T#S4v}5}1Cfu=JBb3wPY@ZM}FX@ArY>K-boI>Z6XqqD z)!%8WZ8KNz*j1F9KXY|)cJY>-#anWBrtjLGTfAk*_MKNtOUR`Kfj?b@Zc12GpgznP zG!Fjr0}Q&QQNxBU7`6}#L?5r{!^UHk87AY$4a0_E;Rc2)OQRqq7=@cYOuz*XJkZMi zJO1mbXvF{=Ag~+V#b3ZG+;zHdbOMC0rF?yWulMuyKEB?|*FB1XvIgk_Z>QTXK1fIn2z*by> zelJsp1O!uc=`SED=z{pA=%NFH|EB&9SSX4LQBrqoE5u-KFJ556AercK3)Z@6ZbIVq zrZqcu6f7`dz;g?-x8Gs9_4>TLdGqI(&9^E48^?XaRptW5J$1o`Wm}5#H>_T>eDc&#yu!L->56pk^XjE5GHzLB)fBg8$?7#5)2&OF z-Lh(lvZ-K4cCon5p0%-9*|~W~QL(aRdvW4iJOK&diYNNd?}2qhN)o-EhfBc@^f_Nt zxVdxa)!f;*{=XeJD+G*6HmzJmw+KH|fe7X+fw`z#e#wk&bCjUr!OKIGApt{!!juSI zWWb1kk;-U9GZ>>As~e{qubZfwtedJM(viAf7mOc99nDa^auioAk+9*o65T|^uo=e#^gnf(bw$R8lr7sgBqVIuBC>4& zhD7fH9vkdc{m3W+haf0Uvz#b4!&j2RIEdawbhwccBvViVG6vaY! z5XiI~0)YOP(H(`ZraQKdT)Japxs&dgIu5!c;Asur!Kge$cYK`Yd;m69{v?XU(asEyTytBASNhr!MQ1fotzG;V&uzg2yenQ5ITV{X)%)L{B7Hrv` zuOtv9kdv!i9kGIBEGo{XsXmLh(Hz56;#o*Bb!aY89y9*apEFpmyeJF7GySCh6sJQAmo!ALBq2@yNw}p*px^SZ z5OCT*`7Q>DcvC3f5!_n&fV+fSr}<5GFq71|^22nmf((mhq-j?L37+Z(=YzbQR7D>QCJSbAwkLF38f8M!guPLqAFrgA9s zP^`s~-(h(}NH1-Vo1HSdEA7#~bs{c?*s3_jeul;-T%L3NGdx09$EIzD?OoocIKvL7_t6^d_HEuB zjfSQaLsN~mslc$a0C8_-w|85YVMmR&+3n4&@izJlEo?a1JnBk1C0K@f%@@WKFZL#$ z@Fbr1o5y-1&nKHN3yEwS*mirHx(quxhn6hER;TtBL>7wbwn7YWc6u|dhHW)%TS5&x zY>0cayR??XQ@!R>p2)KZd(EuXeAbn8!fqbzHJ^7Uok&g`?Ts9SFrLIqf|WRIPcgWy zhV7x=EvVP+-CAJS>LW4yiSPC&LSPiU7giSv0)-G@6F>i|nvKg3>ok)DQH}Y(M;xM==8@{88Q zF58nnfI_$!h@TLW#*z~+jGI-gI<}+sIPc~fQa-5yeNEcdZaQFSwn8(!q7hVjm02z) zn=g(-+ezo0&`FY;%mYRI=y$^+7P=$UuszG$LLVJ4Y^O%OV===3u8bQ`q4zzJ z@3_sEgrrd?wd+@YBO)W?NkhqrS12iIq&MljorHYXL*4q0KMC0`I+Moa_$9X)r9=)_ z`psh=<505k3eRxfVm{?c8udk{K0TJ`i!q6c_IcY9RI6B}rh4 zUzUlNdG|>iJk*curKAfOv;7#qw=ngN9T;&nZQHjQn#ryVTegvh@tfgKFgD$h?eNWg z_LIBGVcq@@e|1ZL#oYy5mh}r<#D!!tdg1dtRonIgZ*!x!$>Pm~D}bZuR=Q$?8{U$< zJ$Ws}lQH6w;QHR`mA$>>^tuf@LcN>T!tugi8FmERrpdd*YG{TNLq``F;LTVRYlRz6 zdaKub84g@X`ap<-gGCX;qwxPets7pe%Znm3@1(#ayr`n7+u-B|-=FlMk9-Jx%aBWS z9emWdBi~_(A9~DZ`^o=c8v39&@g#)AAni4SB3gCOw}|$va6Y2-QU+h-3Ct8UK+MA! zk1%hi8B8GRm?HiwMf{>7en}Chp&^(3KoM8+{E*Wxx@hDM+LJ!QfEuUaKjbnJTetaL zPtuqj{)aMPxCK0k3MI#a{7SD9aHkUsift7A$#8GtC|ZhA{YE~J%^$$|zypZfWR;4Q zqQPSPrSwnM9?WNh6qU zNLoGx$PrSV=w6hb#KmU>(Jy&qcO*^?a{kNcN5S#|rqpEfur#f!?DGJY2Q=SpwQ{?1 zdbeU03rxzRHk074_aFWh`5KzyCmr~Q!?~ry`Nsn39}A?haT}PqaCY2`_2eyxpT9B0EQ9s4yCuboeP3n) zLfY>%6?nIY8a6qx6x3n~(c<)G#$h*y?O0$b$WCApZa$BCS<<`M>3B#ChMgm}NNyDD zro|6!Fyp)}w4@}lA%9cAy<5(sRBt3UfNv#Z{}_oT3}Q)-_4623!hF-3CGNcb%2MiF zZ_;@v4ckvU?L!qCPrm8Hobm4cpw_mPT*0v?&g(#4dSg z4gXFrDIaSC>TBADN1p6W8pcW+jY38C+fNC83xM7iku812TZGev$-yUbktZCcS<$csm+u=+ccXVrsmBqW&2g$u#EkuL~ zWn#};W7z4}s!(bU^7Hcwt|&uJutmy(VjGE))u(N)F>Db_^=U~$t9J*CTvlnOq*AF; zNZ8_U+XCg|EMgl*M;kg~C)5i)_hE#BCnar7@osIT$TqCKO@6vqJwskEDy>Nd25 za;0(2Q!^>z4Ms?EY9RGmwA*tqgeNI*z>+x@{}K5s?U;d?SxlPocb2G+N{sK>qONpB)mx%|R}%H1&G>7Z zvEF8U-x>8j;v@QxO;I1&qUxQ-t0Vf~nvB=nMt63*cJZ(yo9VLmwJkp0mUG0B)#pg) z*5(|sFK*W^@o5>c{kX*Vku!=obQym$W~{pvk=c#E9wRZp`O1j?5{s%IF^=1!E+cM* z6WQ;`-si~fvoAupPiyOPEE;xX!36d>5=IAS8(4gd1YW#vAZOT-;BU*>H;}b|AP0O%Y)4j?)@dB~lg#W4m8$m} zf89uwdNjdmymr<2(TM(!UB-)$jrj!|7#^`NI@XpAZih)6pYf9sQrb0> z5vL})1ff5l^hzcy!o+u|40tkR-T`+I%HdMN)YsRDfsZP#0jpE!+|pc!7#r>HcE z>6Wy&<-Fj?9&sdeYIDwMt<*0lavlASqc?lhxFza0CB|#$niA@xPb|<(l>auAI?}e} zf;}6(-`9Uz*FcV6n{bfYWgZn04hoAdXtVdXEkP36c+Ro7140fg?n1Zr-!|Ho?Qb&= zYjdRdfVm5$`b7>)px6;1`y|d^kinkN?#Le2CLHl(b#u<>dN6^gejy()9T!bV8ixKY{FcVuL`?z)qtmwEF#wi*=){tZIs5VK3 zQge`>_p+#{F-42G~~~!wq=bt79kGHA(i&$P^tbLpCgM}=ON7p zBBVM_8Rn9s6!qEw?=>;5O_Ye*M7h1Z@2DSb=i1;a&}G@85+<7Zswj-OdTZ40oZPPb z#^1riux|Jhj7@Eyz3?w^S5IJZrTKteZi{Re-Iqlu#F=^+&ekWl+qz8=lUtpwR@3Cx ziW8>LL0zwDmhPwRtj9ESvZiX8rN|VkVUts(xsp$;TQK)wl7$baWsEly2OTDF%c#`= zr}0}Kg;#xsW}G;~1&!!m=`wB`(ZBCBwD=5LYz8=lO&0iQitjKPn%stZlW_-=GJnX6 z9Hz|I+@|E{%AtbjXxohTH{LfNTQ~2eocejEfAihDzMuVEeC@Uy)8Z>1-}BLtAJ2KB z_}B0K#|!U%7W_P{ zXjvGGWi}QHl8vSKyJk;&epf83VQ!w&%}U(KQ?gTZcOjJt7KTUgF~{t!HB(EOPhc7w zC`@A$cCY@EWx;-4otsEHwo zIX=3mt8>z<<%2qhW(Er>HCC1A?y$Y2%V(j}`a^BWvAM3;g5KDo@z|2&DXTqG9_*j; zu%M5vu-WyoHMU-TY@KafAKPe4HpI5rJcigEwthpb&z4LTA3`P10cM1{%_qmr)v>~o z4o6n|K<6@k%-P(%DFW*SaY>R9u?$DuI2kopTSIuZwuE6VO_H73CBxe~TN({Jv7#*S zHZ~e|G-6rjZM1mfhog;Gx{Tx2s0M4)dsmHDON<$&lZo$Qo06P}=vhCXN_TD4fZ;Qg>E`7r43VxVaxRpu-)qRmSa5_$2UgZo;g?ud^l$O z#E-R!wgUS-he)#%RF8w>0$A%o)@R10Q4P@;T*Ej?7Q0a}<#pL@3B7R!y zZCs1xXIo1RHU!=l?6-X0__xZUKI99li(NFgk6Vma&RoMF z!vl-^97~{(8z*uuVkQSV(D{0pcRSAZAP-LU@ZPN3v+g~t#jxbT8qhkU|EwLaYo=i- z`Yr@0h{rs(`b;U6Ice$3p6N>dX6Upz<40lW z%_YC+H_tyibIn%g%%PVIr&lj{J7#WCYtGZHDNnwXvMM%jRcvz6&*pc|{BqIWnGpll z!tc#_>OI?YEorOv&fN3T{6b`CpN|YHV)McWieiq;3~e=d&-C@Xt*7Ix&m_m`?Gdlr zBSKqehVC{0^jY)ry)z5<&YYAsb62{_TM{>DPI>NZ!M!sIqpdm7EH!mrZd2`+s;1_7 zWvh!b((~tU+g4s+FDe(Q^U9jmuWN3p&q%MPgc?^}>Uzhbbw#x;RqJ^Eb;Y?W=Gse( z9UJQ#H#Sr?v@Eg!vk+QaSJh<6Oif#0S-o*%-6D&tseXN(t6_uXp#_zd3$y22tzZ6Y zwHG$1Yp>bd&|JHrp{mldzQ)x=g03!ftSHYf&0AHTW-WM7O}Ux0LY*l$FWr7Jf!6uX+g;;N{tDr{&eFDzZvP+V2Nv1xm;tHHIQsver> z#ATbC^Ie@m9JjQ zX*^!KRun@*L=iYr=E&pOsEyg>BHz`8#cAcsN>{)ri?ewur$9dhMNXWux^#KD~hGJB{w)tm_>CWxv~J4aXHO^RIfg z;4G3_lUrD3UsjZtw~|89YpmYhSe08g$C{*eV*{V5EQJl# z8)eE?n)%C{Yj;#Nx42qr*OxbKt#q}xsv0)e6S2Hvb8Q_6ElqG*b+r}cn;TqPT(xyH zbyBruD73j*sucFJvA&_UabpW6P`;!ntF3RWtFk!eIqtsOvU-`_&e-6f4m$?MtgY_X z`a?A(`Wd0__)ZJkU~OeS5Ue1?C3ISx^~mu95dJ^}GYFeNc%#4IoK-k_=uU?H7ds;E ze*8r9HI;MPu!44U)e6R*6d3!2c0{N%WB z)Pu)bBBW>-73pJC#LA0ArWsxC*7d_Se}3K2U|nbKB;D29HZY$a(%18HRR32Q``FCl zZJ|yS)urVAGD|0fm1Y|4?h`FfJ4`;?8X~VgP2~I2iM*y0WOpQyEB!?Nnw7};ME1uM zxn+pR-{84_N@S*gizqHe94-2@gsiu5_WE}Ssd5wI)SoA0gP#z${#`<9nVwi4@Wc_L zK7A!srb+1Tr^I?UPdw(ijS{cw>v*F17*E{93{-N+W2Qu_p@k>n8w@JB+07G2JxP?< zXn2$-CSB)=+gTWuyzEKgbsF~b#M`@1P|3&KJn_0`Hm}ogkSC@b&HcAO{9zRnY0Wk%l4R_vV6&ajI-@$T*cs`E8BPdwJTh}Ri* zg(uFzZW4)ySQKw(YYwk7{2EW3JIWKk@8*f4txI{G;Wv0<>UEy@0~W*E*;>Hs44;`s zx}Dd3lGy&(%@eP;-plI@pTiTgj`75CHkr4xt%%oYoX-=pM|t9}-8`|oZ6&YMn8Onn zxnC!??^~v3T+}pN+}&_Rzwz4cjW_h$+P&L44cqs5x4&T6vERGnpke0`@6O|fM^Abm z9X336&imK}!{Zmdk6$r7an1Y04MRtJM@MJqo_!sAUI=}1f5(#tL!Ua*@zn9q&XXOT z!=X=~>v;M?=rb2Pp1BhG)oUGJy%E~ozNfo$QqR6UJugi9+WtLXJ2>g-*;w;O)7T>xd;~p-XZA<5f92fn z7cRV!8|nOhTm6vHbQ{sFtF$=)+ckQGep?7$frw-5q&AKjkh=D2-<>{ol`|J}WPAh@0 zVvliWB{D9V?Ia$kGz#Z|FS@Bt>p<_Fk9~CKOUq`z(lmSW0^9niqi61Xd{rRln@dJ_ zzj!_GRb)nkP&9Js=%7S^!vvvt>8SpIn8OG+Xxsnfw+@DV8wpP@b>I8=pLtO(`20Vy z*`Izg?PbV^;~!{Z{tY(!Pa+|O6S^Uh?NyQy%^ta?J#h6u%1?&Hu`v)L+P{4~?BLKT zBwT2#zYtTi4+(f6^LtT8Ju7@9Gc^f>kE4!0D-qCq5VSEzdnE!C48r7?qlY8{d^ZR) zVvhDp1e_s&kP&nAj6^`gL2$$z9be%)gU+Ez0EDubqraDOz!`(EKIW*fQj}v7z6+1d zU58dV#_pc6Y8eC_L9a9acS&Zhw>Jw%^RqnqV{Q$bEo%1O(cM{|d{T9~sM&i*2PHx# zCp>-cs6JcFu}I``?`S><)Rjv`9xFz7OF2*{_0EdXL5Ywr@>nsdUnEw0ugGJ?X#OIR zX{pGgXmqzkxL@Q^G&(2|9u#>Ljp`SR)jllpC>qTNfkdwmc@&TCmI&1%kK)n6#bULO zh&+l%^*LgZCXq++Xud?)BJx-{x;sZKvP0yta&%D2(JFTIHzyyp-zRF^MK+T3+PNoR zyAbxBi%)*%O4xU=-FvL#^s%lLKj=RF1K)}t9ytBOz7;<@cKS#D6~~88A0IglQ@YY# ze{iM3lm;dr?O)WZFr}YOK6*wXXm}^TH~HweL=a7>IqK-|C4y*5A5T6iEEai)rlg&E zG+H9SlrqeJXqtC@@4QdHH}6LJmz_oN5v=z5>3#jIbN5exxNEMTju`lfyJ!dwpaLrc z4Dx4RuN@P{ME5danO2=PEhD03)70j)fs$!8b|9Odow}KjM^+JXXzG?UhZUVa^`YbW z3GDmO6{^+E$(WqX=4yx8u*v^=#K1%T;V%zckL3+tcs-(Rc!-dbMT7`edr--Zm}$xoPR&L=D+9GPb4-bY+0_Z%OXB4v+euJ7jHl$lB4iC8OGh zj(HN?nnZ-hgcSF#1d0%4El@G84h6gy3;w(8N&0{MFGcUP8(M6J8aCDEjQYT5IOvS} zgU{H4IH5-TyJ(3?@x-JEVbc-z8l?#0^1l}U`?`C5pZBkQ#WCH#cLYR`h@_x$vV^@qRGT)T6|x7Xj@ zoVGrE@z)bxxAg2y>v_)Jv#*e6zT@eG!%sKtvsLYm(6g)moZObo{!%sMjALEGt8kgg zFoy6jd=9eJZP>B4vD>i(3yD6w8y;xAWFG8|q?-@)#b+WuFvU6X)RbS97%$-+c?rEc z|JW84zPAzIdg9AteA9!klh=-Pwq?KIpcl+tTKP5efJRTJ%lP6PXT!Kmav5LexcWC4 z-y6~6R32x&kl_rL!x#?CFq}iLxAEd1pBLcey(6n@fGpN!VGOHqHd$w%L+`aPmhd*r zv^2)l%c8?fFR@7}-I_ZX`@I!;kT!k!P}eHG4zJD_qa8*ej@7=se|_aPeO%8s8slHY z8@1efwd`R%%gm`y&e)oqu`M}cdveB(Dyl zmQ9OY>|zlKCP$xcvYtgc-|Mumn(BC)`9lU*#<2l?ZuFe~cEJ`hnzg=U|LY?etg-*l zWWB#n*rZ!wb+OL4*cJD-_6y+~t?NsUP`b6E#Cq2x{Tp`=^>^BZX~e2S5cbcEoAX^{ z8Kx|Kb%>2p%w*DHDq#=l+#8NY*v2v*(kEZ-nLA**KKy)d=%dxAow}umt8AJV`4AU% z=0g6-ItSMoTo#-8h`Y&tkEo|a;9O5(Izt59m#in+Nzxzf`0qe9!cLighPJJw~;oop8x^Q@HjKtCzYeh7-2|Eh_KG~I|`5es#jn4Z!* zP9VK;AjVMH=-(2|@$Uxhl(9wYOWeyvGho!0WCsBJaakB`-vZ-< z`0F0=x%+1b(P8m97$!CzokCG3MN_(4?|v& zw;>jI*BNJp#w8Y+`WAItoLj7R|A)?2_jtcfINdf$^NIl(qLATsWLSy}k0Zlhkim08 zCxq{sq?r|l46(>?7c!J0!z;+J($(tjMTQl-)|^dC&(U^vmLD4~$C_~Z%L1FHWeK19 z=2l)xt<=w}oHeg9e_mzvyvp74Dqq3B4V8l%DlcuQ(AQPYs;kVetE{f8++A1sN?qk( zUB#ukN_~Chtoq9Q`pWA1%H8#quhdrz)>mGtuhchG%xb92Z>X$p$c)%LBV*}d*MWqd z|LKWz{Bmgc;kvjF@~f{`43sQP|JgJe(ud;E%h9)Ke@SMsYRD6f&VMOwB$E8V$#fm8 zsWzfTi=4V-g9A3aZ_u`oS?g>OD=6o`N;%uiv1DBmo8&o^^MI5yw8;uKuRkKP$?Y>C z=NTzy^pHSozC&y}<4iWVj}u|A#yZOUBQoxKiV0~9AJmggI2+&_N)|q}+j8LRbK6X# zZ$9q|y;6PJrrUbBDy8*DzetZ0-mQy&hHX3=QP72KGlvpTjvkjqydx>wXe4N&E#&Gb zF4?GT4otGs_>cQ~K33W3!7sAYncyYYajS8F6G3u60sc9MdUAw-zh-P7cM3bsUW;Ir ztl|Xot!B-GzLCiY1yJ zR*X+tQhkwJ%d1+Ha`mk0k1tiq)e`1>D=zlsmmn9p?0u)Cu?p8J`)o@F;`F#IxF-rI z*B8|FNgeiMT-Q>4+&zH+xtMCEptX&>g$*v3aT+bRAYW<@5B7?>Xf~hAJ$E8fBNmt z;n(_L5%0w$*F9cc*|@6``)jMO`22x4b@q~7lUn=WLHei63f0Vk2=YAgvapuskxH6J zj>+?g4NknHUvOLE*4FypLHYpC^c~6spTD1*(D9}YjN*klO&oN1eEJ>;pB`aH*Ihjo zor$Trky%3Z@7&h@3Ci1uiMEbv!kA<2eE1)<>in1iQb$G^`##NDc|WLIuZ3VCm{GcX z%g73z$_TK!KcRzIH4>|~39J_Cs%WBm{Rg&6nwQ#W3j598PF~4(OQ@3G)Y4xt|D1lX zJh);NSdJu{GMX;2|BWI~uW!#=s2B zQ3KIK!_RvrRZ|kTOIjDmc1gA<%~NW-}o>{h`8y@BhNW9{b)Nllzb`c!y^6+VIf6q8D|{ni}mN+>n2% z@>3miZ`#8$@5x9{`PrR})y16}ta~f}Hy&Mj`%u@jCDx3q8ScG3o)?886I;`5VF#^t zRsn&fwau#@Zf-SXZpZ(CZa}xemgU7=?i0XYh|mYS?KW;1?uka`CYNt!p{<_p>(>*j z7pQO9e7E_L}8Hr~Od6VU*eQ7WlyM2>8EO5<1-g`4L8@>-V>6 z592Gl+ebgemv#q!3ns2XA7g!j`+-*HpwHbS)G_BtH!F>ac&QXiEoZ)vz>bY|#J6dx zt;jXL+i7Vd#Mj}vTyGBw?pyAZjx*0X_Ctme+3IeOn=WAWu39?t8km|7ttPSzkq3S|KU%>X|n4r$t5t+cKH0< zrSu6i6!M6+L-P1;JRunm>Em-`%2mkJ^Yi}rcW#m?@4vZB5$Ka6Z@nWf>CY^}K8!Ev zKUXI5M-z4Q*?%c@6oYiBfB3;9`Bc(VuJ}GPuYq2D0V%7is%k9WSXqU1S$F3T^_-s| zr#l-PKvGkqUACis+POgH3oCE3BOlrKh{C>SD`XY*N3#0Dlw&U_Z#$PaX`;NjwaqsR zEZf(+hvNV1CV6jgc?09oA&y63>CNNuCV6rE`-QqCdlz-93f^>8W^9lUiaVkck6Y6PYdcb_f~eG(5oaY+9K=qkB8!~-z4uzF0Wdz$@lyX zdi@+bFx}b?PI7r~|6JX=8Y(NcbIXbS)_ zC(5hTZ$MyKzozfSM{5-OidY(Fog-uqYW>=m{SErPX&wnP#e6B&6PQO#kWIAPb^|TA z$!0}IK%eGSH8kVR`NjrERb5@c2I8lFuV<=KM(jsz`W2Yn^kgkak(zaa`ieSm3^*UWLpz_XjtrHpZ)cDW=&!4 zR;z4t))PHer5v&DbgTu=oR!XI@?}S^m|G_3=4KhD{H-#i$-0<-B7VU{8Pc#SlVv#c zcgQgHZ=p;I|9Dop`zNf+y-?V(6IG~;sg($?F(_#F1$*5o(H5*e$)Wc}ZOG1`4jygcJ;kYcQB z(h)DhAFcb>;*TDJH2?dk1Mxh8eDr?vc|ckt&>0myayrE+KRq-@)A_FqvEuzqfCxA$ z!L7I;Bzh?5#h}qTLWV?-2K@oh=!{N=GQAQss$YggC;knf(V9_)a{is5(R?67qEo$3 zgNC2U3P73O3mVlcLz&M(i7rE#{%@eueocl%CwT@Xx(sFd&n3DHW%@6bt!|1CqAPKHeWQ-(4<0d(G83Ci?4 zC0vFwJwu|)P^RAlI_X)4L=T-q6$Zxv*(1-d6KRCYe=?nHjqF5*oDcHTxS>M;8Or%V zVqZoBjsjxLzDnn4!7sytACTd@y_Twa2fpKT)NNe<6)}M(6FNR7xbpr&M>$THKE4tZmpJ=b`Ns#ifIAii(Gg5;2IBJy6EKF?VcisIKMZ)x1TX{2-B{(pj1!NLcyJvMBv*YDbBYc6#v}izamkwW|21siqzoFL0T{> z(iA0cPE-^Otb{(N?1c1NuTXf&Tdxr)3F_w4v?89Sh^N=pZK+SKtk{sc#Z|YtDlI+L znrhup+hVE8oL`wae|~yKx~syqFx@&ot-_j?v0!0Z<@)UODr;p%b;ZJp`BmvHHJj@z zwk)iwpNkI)=QiM$!`v!YMeX15{UaGS9wAz|!#FuaYc%N-Fvc|Vnbuf1`JRYH;fvvd zwNqK)WUWy|bTg5*G&~&i5S>ssIXqmX>r+5CV_+E;AUCESj$?)3~-31B&s3lBH*a0?H2^6*g}KF-4@c*y5(Ch)k1 zhy0B$)A4u+5A{4W@Gz8zlXw`$!*Cu(@Gz2xMjl4-(8R-N9>(x+G7n>UIE9B(c{q)S zaXgIYA$@cShtESZ4-0zTGb1pUFmtT&KSWmge5=5MunH&j)9|&=2Qi3TJ8fy?)ubCf2Dm7Ug;jF7P`~{Gxnre+Lm~De5 zmm{2Yjiw-&QmZKmB9CZR2a&I6)^dciuG6dwrqpYkL8L)b$q~+aqoyX9(x_<+BAYZV z!E8;MZNZdgjXQ|6XxdpM)o6@`7BqZ8!$J*{EWpC%AfU(aXHB@t@W)_(8U9DnUxrT= z{bl%*)L+#oYq)_TCNil>jl}O!iC>6a2sec+R#@B;rNu2(THHNKi?gA~B+idSX0)yT z-`~vpdoBjDTiy&*EZ>;&o(7XIZ%7&VE1p0G`o^Ch4otk>cM}Qs`_wdC@Kceb8-5~H zdao#50FWx@N%yVbfZyZeF}lbn7AoX7`IzED9=pYjJa)?~d2GU+Jf^yo#}v2n*iF~+ znBra@lkcj~og5apna4_`t9eXyH;+xYoX5m_HoUBSJ&y(N=kcg`If+NbOHe#2UVq|I z@!}K1C8UX$^pq5di6W20OF@xXDkwK|7B4_4Ig7WPn0!}{@oRX}Wjzs*FI&l&B+G>R zdb}a>g*}EVVyYW^B5A^vJ&~lm!6q^0rKKxulu2qSVp0nclUgQT))O!8F%c1OXAu&( zx+fu0O5pMy3*6pg{Jxh|J&#Lu^0=a_f;afM9R#oNG4&lj5?p& zMN*O?PtXMAJW_MyYkh3Oy*{$2z{NfhQ5swDYM+=U-|dr?&4-<$_MmagwM)jW$Ro9s zcdV2OQwiMgBU1@n@#FQV?)WKb(j`Bc6u9Ll6GZvsdwxv5=*Q%neoVTu$HY5(47bA= z-3w#V{V-Nm%0S|m#KbU7ayYV|m9EM$x?9E^g{4fsEk_Z48;&CUz8sUUuUVOVV~!$X zCOIvQm6zK~OYIMomlZykSHAjzlDzVA&J;J|I8O=ERk{Gjbk32dh_~qiDH*&hzaqy= z2V&xlxt0S|6#GQog|Nyx`WQOj%y1>sM| zNQC@{{Rzhk;cr=4{M9`8Y)hHvuiierIkR*X6kq!OFRq-@aq)!T07zX=2XGTfHMC!z zKoWl`KtUF-_tW+xkT11{(BQ>X{skG-se0-axQ_k)GeQsZZ4AXo|H?9eDBoHY zUZ=w8iia|PmkRf(@PjJ+-&Oc275*P8d|ZWppu#^@;h``&rF@fBxLJkMnXfW`x(Z*S z!V6S*nF_B`;agSs9u@v|758dQ6DE6;b+Cj^BvC49RXANDR@z6j3ZJgR zGgWw@3U{gS1{LmB;ZLgYeJcDLD*S*7|EbvRf&Tw@Rr;_Be@BI1R^j*{Z-PD|q#e0z zPf04=s=~8XIQ=$|_=tk8R^b&YoQ?sM`5#x|Ppa@2RQPvPxL<`2tMFf_@JlNEQxzU5 zo|8dDu{}vDe6|Xoufmt8@FJCe%U7kZRN>oI_!<@dfC|UOn+f_9zdj|BD1WUgy-tNU zsqp7i_U2Wke^rI!TjdG$cdPJT75*(1eprS7ScMO&@V8a?Z&mmwD*VqXJVe#LC>1_U zh2O5i?^59zDtw6wU#`MesqlwXc$Es@sKQ%R_%;>Zs=_-|_%BrYIIK#4QHAeU;jgOj z*Hrk=Rk&Y;zoo)u>%mVA%25urcwgOGCs@uK@YLA=-=BWT8Z zZ-RJ{euW@jz<)##jk!+H!q{I3CgUU@K14Ge$EO5m&@KdUCiFn?4!ol$I1ArI5xkSJ z6oM&?r4qzP8}kX`ymS%4yBXiT(3k(Tm(k3{w;}{n87n0SCDIxa-@vek3F0GN~g7@1`F~If?13`LogfnOb9Mw>}v!U;~Nu#IgGtR5S>J8 zOwCfpzDMvL#*PuRF-B`mjh(TR1eY;JYfX&>9y)8KbqPW(6q~|BCQ(gdmI~g5XNn7s31RTa5%u@J$54Rrs!%ApT|(#H&6l z!PT%cf@>JdA$ULjpEiPD!gpT;@xjeXg80~FHNgiNdywEmj5!IeW2~Csm+`|P1RrLs zgTM}ix$XCesW{vp9y#`+09 z0=p!L4bs~L>!3e^^^CnsumRtt5Zs90RUp`ieXbU86YPy(6TbN%*bF@oY+-C6!Oe`( zno_d`|8E9jO`})G=4ji;4`pKf?vh`NrGL_Bf)1G`zgV_7|#TsV{Dw@KG+$-e`4%U1fOSY zN(kUT<6C`#-S{4hU=O}GA^0_nH-cZscTfahfSw5c3-mhhIq=Hjx)`me}Y>uMXSSSo!|P_aI^c^7{ek)?uBi>m@~dp*Jb#e=S; z^MSxq0NaAP0}qBJf1(glTrZ*wE@r|5_420*pa?H93}=&kSPi_xt^Qe}Da^9G>Ss z_nv$1x%ZxX&gYzG9?!_0BNB<2?;~RI?DidFri1*6Y%>27&jRjv%2>L=ePK!{V=_F? zQ)x%fU;5ta_5VJ4Uu64eqbOO%VpWVi9LJcyIF^Y~XBhq|?4zF6w@c^B)ydO$i7HfM zWXgyb=@WUj@Cz%Sv5?YUl3GMN-+m!z!_~bFtX=cDho{I`3DC{FvXo*a?hv zE|FRqjwqS&i_M(tb$XRL5+`LKuLW0h<{IAc{3#v=PB z-253Ik+WuFnPQu>x+Gk&-CX@hp=4WG^>(YGBwkTcC@INQJe-N^>Z$eBWlqKRLdhmu z_0+;@t4mSJI%1=`EitD=n)ZgM^S#lR8lqe5(dXPzJq-cpVx#&*(X0$)>#IwgiibIc z(p1G(v*bS%G9|j(3N}F5Ts>8*C@XYqQ7X3Ua9us!DbYlqYKS^z4>*JGhA37Zb;c6Y zqL1osh&pGBX^D;QZU{I5X6(_IMOvb;EnZ>MDz+)Bx1e8J_0~+qRu{41j=tcD2E%B0 z*%EV(#awELIuRRv8FYwk@E^rCDHU75GppHxR)rE!pprrfhOp69z13MgwYj=f1Kt!Y zkhMf#Xb3ptiS7Wk!2{p!tlkbDtks~f`C#=H2+dV(RVzSk+ikf)Xy@F~Egmos&>@OB z=K=#Uog$ZF+d(j(+*(FrC!z7Vcr;#tso8eN6!ht1zJx$H8@O%}#q`8RpYM(O1*w{C z=smo8b0G`X-hU9RBX~hGQ6WsblT4}eX&uOdLiwYb7sgS#zxBnP28Aw#SEt0 zu2gJGtuArV)RbV34l1_Mpgz?^VF6s}4LpU}w+DP`i@Gd|={D22F1iD8(b*f*9vgjy z9>sJv#GKO;lNanXt)IGMQ0Xap>+ZE8} zp*pxY0V0;D9?_ud*g@C&LD!Z+*Y-iz4TG*dgRXn|wLa#AJpj_U6g#rV9&-WL7@dwD zwf9E%f%D$zb`ZkD$TMWC{fVu5e;rAj1eUmuRH3TJsQ2B)fF3c z*U=DzMeY@;%O}!?0O}MBXrlXF(R5*pzJT4~3IHb%FXVg$I}|jD$>>wP(dTi&Vp=Rw zZT6TmxYpsCM0%OGx-5!r(NlLM`GE_Iuf)RVf(A&lcG=4?UHAD4`}p*EDcETq*}j2;5MpOVe))`g3kirlY%Mh_J3AePET=S5x6^KEXA>5d(VkzQzsIZvw& zsw3)*2g4_pF2}}PvfsIdeR|-(Q%wr4J;2^22$?8Ef8>eo{!fL_SEoFfkr-%2XetQG zyFGDj3B1HItD#^^%#KogLuqKLOY6P5f|N!kl#gR0R1nnKZPn3%&E5d57|_!j4gJ#x zHbl@iR?-`!Wa^>!Xk%{7gfbK~YPD4}AX2uET49}>>Lnp#DzSt5NQVbpjm2Vy7P3jW z`f`+76`MfqwoX>qAWu^Jq`q{~Up7QT;X`S(*`u*B2lOXbU)E}?^?y)Vg3xF#bR$pc zV=h?koPxnx6xUXpq6|7Yb>INbxMcDl)plj!#>-s_0u0hua2VH~P0Xoh6 z+F8BP?3fy^*wzkCs<+}=LuW2{C@E8H$0{mQU=+dvusW-^G%3=4aZAIY`eYjjf^rWU z5nnmphQb(8g7Iprx0q?95Y9~ER z7pKz0@rr4niEFI?G6fC6hVxE=^STt*SUDt8$2Q(-o37f*M@Nz$xFFFFGPP$&N4f<9SbiGr4nFX!y z4D8hf^|5+GYEx@kokZs}OmA+T*>0FwA(?s9KHY7IJZebol+0lLL^kMiP0;t8!auBm zSKadeP{`Ei;wsnxWq06ub6|g`{A+dKbsJr8(kOH@>I~ECB$4~^ZJ5ra)AzTgRX9?c z4AYN_W>pwwG#Mgm!Hi^Phr~=2zB2_fOW-wi;MeGv1%2ZTys9HMY%`BJW`bcf>}X9p zDoSfNOs_S}=l~sJ8~jgam(_t+z%x^WpY>>H4*c$pQFl7hy1+xl%wvYgW^xhlzO{e@jXW$n-fuHLF*So0qZ?r*!hsI{L8)ktShz_G@H>A2HY5N^B_YO$U zODZYox;pT)CK?E)=DI2HGhNU(nxOTXz#Hbk%O(hoI{E>f#xUuu3P;*r!}LxFM3UAe z(FUR>n%smuVL0l8dNn~`m;z}YO*Dx&_yoB}pw&^wtn>QmNA)wCJR_W~X>Lj6VH#Id zw((eIT6qKtv+5;joucW` z(NsYnSpmsQB9Gcr>pA74o>UM4t8P)`z;)Z8>-Is{9fPhr2VHj!x(2rcbk6hZ_SRJN zTkA->1WsCK;@a67MPxa*)Llc2n)uVwi|V?9bZfS zQ>-@!ZPeggqU-HyMTt$ZULCldc`IM#OF>*kL|d2^xX-M&;R_hzwEv6+mjuW(|&p)@b&jC*Se=%h!q8C zm>WO=2B1!JJHNEV<QKJtZ5xAFy`LC!W@X^LMn9%zI;KRSj)Byvn0>XOtOh-*QDkFfw%AYRP7WT2 zr%a?__=x(MA>lbQaV2JA9ZCeQ%ulc1kEcv(Ly6GiwY-01#xg=hh&QE85uWq3{KnH_ zCIxjdiqtGfyT2tMK28P>G$>~HCdOj!17oJajD0zKKNz#&zX8S;?KDS>V`>o-Y0!`} z_OdqEQ5!0D<949hCkJi%IeyGInA$_jU;gDV!`?Gmbia^BLP1PbsLf8)@!`@4`zTvA zjIhV{Bzwet0i2se`E_~8quJU zhW%*$O|VW9p+Kg0r2qL&n~7LTV770+!by+1fQ)Ty3N3UT) z{ulnHC~Z+~y-}+}MYC!QsTHlY>D=PmRKHOL6GJ%sQ&j12-J)R^uhz0$n%IlHHKgG!g`vO`M-_5P5b%s<}pLMO1(CMx6 znRFVosyou4><~F zVx!L6W6t*gFNywA6tg;f0ZgG8d!eLFqrC%xty%`7azXZWXAnss<{|EB#S#$p7?1-n z92?zlk>^%AQaf5_HW_9?Ah%l5F5zs)ZENjuKXT@_f*)Wlz=7)R%Rhr<N8Z|>ar8TT#99n_0q{=&HnCm9R2tX)-rLEj$tBy?4@IlticfD;Ri za~JBDhu z84ELVe_5nA@*&)=*jxzr*HbS)5^*c3-w0BN-T}_ z8;V!vZnG8`3szH!_ma9wnmVYfO@$juN~tt;<={U5UkaMmD(^7UQk-8@;O&H@a!0{R zJ`r~m%`M(kYAIe-z$I8(Qeau{V{vZr+EOZ|zg~jb8&<93>j8hgMGH$Ur3C}CLO0$A zCQAu+$dc6sB?fCzslifIlxJDBj_xxpMXP^VHb3dtCI6{jMoGzrlEK9Ed61j0KzO<# zzhEIBNxEfIfj&RKq+rt~p2u~7a%epalJ32ECHJj1Y%U?W88#G?t^#{Gmg04{C(=*^(VNiC zDho7DPQj)PMVo051N<#qWhth{#8bw7hJ^)1!YENzy0&=phRvINPv>kd=Hs^%@i`QF zAL!P&cGId~HQ{yW3DFSP%P1=?C@HoS<(8~nL#4mE&tF4p3y-|r=M-$*T(GHh)507> zPLd%fdEtChP7-}3XWcg^CyBoJRSI2A&dklp&dpi4096*C?t+|@EPQ1dQPGHcM%){7 zCL41MAZN%i7;}tUEhVcpiv{M41$mp-Xyz8L-ry_QN?LT~rnTF_f~9ors+A>M^D)&0 z#hcet;mW+tYl~1&S^@=Lv^H<$=3>hh%i1DKUQxjyP4FLgB~B2Z0vLRP(B2>cZ{FnH z7=_rZrR%L+K@t<2H(83eX{;Nt_X|oktS??`-B5}Z!1evYwd<`#1scO7!}#%<+;qJj zmC*LKYFV{mGc_wJ+Oj^eXu}3;;^yL#4MjzX`D-^3&$(;Y7i`#Eih-^}S0$x|(8!4Y zt)ZweW7=|7<57yW@=;0_QLAAED5&=+%WWuFJfc>!6vz}P zh(bXL3O+~0 z5mvH<*>-T=7?r6b-xf2Mi0PgfC|ej|JCVg$jfgo%3WcK_!wjI+IpRGSDdc`Iw@#*3GObCZ7PF2` zEZ?MI;#xzv%gjDg%j2V3QY%D$%A`PxEj_P8*H*c_L-t^;afq~k*c#@RiJ9&}p*vMB z+sSy732tgqt996hZ%Yapqjo=dOU8!78{E#jWLlynp)PuqDauDa9zJS;Sahs1idpT9 z^$ZutNy6Nt7$>CW^+!{EO)#;PeS+wRCKH(h9p;Lv!dm&-x~jFr6&vvd=gPfSAj1bD zqE^dWROt^LJds(aV_Tmd&X#K!JB;8lK5kXzgIA8PbjjCY3`^wfyC@b>WM)xrV}N<> zt0F)5I$ldBa}QzK1(GEX7PZOUU9z*CO9d0-I~pkr%~#`EJPWBHY8h!A27RrYEmvBPQC1^$hTRKvY;EG5f|e zxsZ*RWFK}>luWKY4S8Mvuq_O;h!1EzIl?w4+a-fZ+$}s_&qjR1TwI!XycxC3ShGS` zMQlWheb^UvRw(fa1&$*=L@3fWXTB?AJNTNx3kaQACUEIshd;=!U`-0IkiYgZ+j&e> zcwV}!SrN{9ILS`8sPK|dppjA^oo%ARD?));C}uUkg`(>@aQ9H69FO%3sZ2XM>0V{j8Ndm3qFjo z%^4{a1oDD_kv4g}P!J-}7->7TZiaRQBa z+ldK6!B~L?YD^Rg5(FCYHu)r>Ad#^Sci#t(_22XO%?}>8W!BWR*ElnG5Z!TY@;~g& zcywT;9Z*NUTQckhCNErA4=<@B-xCVrg@WI!Bi|PaQiXzp>c}3UAX6wfq>k(r3YMV2 zu`w=wS6sr8xCzO$lAV$J8-~5%TO8&?>BR>n&f6>58TnGfut{RyN}k;4j6B%D`RNvj zA9hB*+%W825a<&M9&$z=ZWwmS?ps|=`r_uQWnEZq8eyq)>5JV$ff)s=>L=Wpwe9V- zotaNU0Q1LRIuWy<&!<4{RcGX5&S6cr1@H%Fq)RC93ZTgu`JzzZ6~Jp?NhlBmu;g{? z@E1i_2DaaXOLHddm;FY=NF0#CQD@{)p?;xI@P;$;kIrFf<*Y}<_d|Dj@s;#tZs-Y( zm=~N+FTN=hn1zC#^x_%=ui+93E~OXO8J6`c#WsH|XYI7|QPcO0nt5i_>}#XUA!GBB z$6_tN=>OV+;p=Eu2y6Mn#I~vj5A(?v)^fn4wiDh0VJ**})Fxjc)DYJ4lat!!cngHJ z{NbdwDsO?XmIG4TPIwE1wLCwyO} z+ji{j81h2bju*}k`7QA|vu)10ra}Ab8+GKXp27R8Umf`ep@5cGtLv_ZTkc9xjSUXE zE2-5Ma?Ki&q8gVJ6ra@U47u*4n*~AR=;nJ@NQ$&oC$k2LY5c=xAIpejTet#DQNDB} zG9_4SO>mdmlMFpUDXJe`2@{f9-9e2lAn|h(ZVYb*z0wl$%9)T?E{42vE#wvP(8iFV zufz>)Odk45`p{Q$hQ5+Fw6SDpO4`5O2@`q~CVrfd>PeXXTEdKv6J~y#ke1ZipYY0& zp((0AoDpss_YF-^x{EuEO7~%w67}!Sgb5#0tHh*M(|B})nl04rh%1K1CS&7KV`HOsVW4E#Kys`17v9ZV4c*)qq*XW1qC~inOs` z+IUOaXp=S8WHi=hG&(aH>yE1&%}stwIyCIvq@l6wlDI=#<4=8wi>{o&A-LDy`WbA4 z@c-q1(TKqnY_cWwvL*PoRW5C|N7~9G@!c$nw^h=GOSEL*o66}?B0Um~u*t?Z+sC;b zk#5Hf{9i9l>y|`!J7(a2r(@PZM`pJqs~g{r88y=Bu8J8o_Q->hESHr3UonGUtf&!g z2YuTrGre`Bi8U3o=(>VRoDOd}XbL6x9#q*!c@Qa=w1(41(98S(l2UU}VUK*+J&IDD z;*syraA2qsw!WerUVzCuweaMs5zTJa^RiO)o}{35OkUD4elas6Kx` zB)M$0DYh@M)*EI4hHXN0w6*+l)CnYyAeqGzjbxBMj*AY9zi0})j3~4z5Fq4tx*-2u zRxN>_+mQWH4+@>Nj_G?16k>Hse1X7?hPJ3 zMrZ;`mnk}UfEOUJWR}a320+-!;|dlHW2Iu4D$@BAD2hQAr!>?MTbHiy(qzu<(r7d; z{erp-dspm&*yOR|IF!r@2%+-qA!2chg03FXMTcrK1H>_Pb7W#UN_q?pxf+dG7l7)< zF;0^>v|fCl^hwbER?FBz{EfS(-MPR|Dp#Rzaua zW;bPQb(rVvx~$)YzrNG_U{>Yg+NBwti3f7Vw;qmL@=VUt&#YP;d~DvKj?+z9GqN%s zwB`KkJKJL2EI2yU{3jVJ^?|gnOp<*gu3semHYee|7^1c!>+`=jEQ^CX<{jGqu(l(i zylmX=Z9$-Ko^&An8;`b8dF4RvPqV@~13OQtJ`1rtc&#C~KYyB-g+HVGYG2TSeRsWt zBT}wk`F+9ixVCw->rVYn@lG&vHydk)JL7kof>y)P3rK(e=IN^5MavG1nMx{6`XDk99UG8M=GymXk^v>OT(V))*j1h>}sPX1!K|VNWyI1WXFyn;>mtP zrJgB_jgl)^xmmX(y%-VApf7(!V#O6obk(jhG56cdUE>kiG~uRw&VQrOu$?N+L|)n5 z+2O1;z^t};YV{xA<^K+IPmm0irpPmX7ygx){TR{W4muX$C|_I;ZSQBBZeglvQWuk_ z$Yrl&el2FNt6J0@;h{(K4*Rp(@X+~L<@%|C`RKELUT-4n{GDBuZc(jP`E4F|UdE~d zYILDh<`&lXCllhUAd>OUgsWoKDmSYABxrQh|575;&eMY8)#PS>W)gKoIMP=RY*h=>45?3%AAeb~_*lEY)h_U%mk}S= z*Ek*bmsLhMT0U`%UU5n0vV{+o>qb^-hUHsMxU4tK)JZ(MT&wT>(i1l6ns~WQJ_FK@ zR2#HX)O@^VrmJ#UGYDM##4(WwFc5IdHd}|vS%iCUQv8Zp%@;FP*!(L5K1UOuHnsVq z@X#YM%W0&tViy-o0~gHo>VC(AJ+c+`N%5;^X&q%x54VZUSPX46==vKye9-DGEi8Ph zX0KT}R%M&5`qL!D$@G@5JfUFF#4tH6pE|~andY9LQeLx)*ZfI+K!dnZAY}21qCm|n z*Mb{A;^vQDZd&fe%9FPRt2`B=&XI9p9lcR=Ad3DQn&-GEPE?4r=hat4;aCmt#ngBp zQk|c6jmnSJ(|q)J=VL4%XSf;EURTZ0^UC3TxX01i#hCo-7w-ETi$+wUD#QR)dbMijwxGC&Y5FXDFYE87y`1!o zC!!lnU6<3^U~UY!z93T#0oVW2T|)w_seB7~ib+-Mir=vjE9T~`J~V?uNV2fyI{#_>8>_rCnpRKGKQ-^5hkKP+J@sQu z;PA1aDsb2nTG|=mh`Z!atpqn?O!5RK4&G&v-a?C#D!0Of#D( zH(a}8Vs7ebtFnJ_miGj`%30ndu*@pGQy%y2P_rlmtL(He`67 zVYdqV!#GZm{hHJvN3=Vzcvt$BiD7Qd-l-aqMqs>Fl4C$?{sH*{Wl6zltshm+jz#6xaniSr6l7LX z)0cT5~Fgh0X)k~hz)a~ z2$efywa-p$ok2X;R1?qNsjr;c(-2es;MwH!25a&I@<)|h3QoI&JgEEwXX9?7tlYs0 z-c*eaCZ0zV8+(n!Mjf%y6rw*>6tOIhc%DaDo`HE7=>ix0wwqd3M-Ae_hw8 zb*DapMW=&(xE}VKJMqAosjv@C&*VhBU|Ak_5Qf>#53j)Q{wzfQ;Pr-F>xo>(x;4lA zk7cV}3w(RyfS!--7>%vQXG>vhKAT}J`vC)P<(1+FY^i(5Iy(N*1njZbRYp1oX0C!9 zuCLLZ>cNI-Uu_!BT&$iB@3n%3kmTLsOFCHqY^9?ST{0H0!THx&9cz+I#m<9afUT{6 zk)Pi}^-el|%h#*6z&5&|gZk>N>IrZ|HPsiWtIQ`{=12o}W}B-pCq#AR{(8_o7I99- zen`o-vJb;UA6aw z+OatVO;Cd^Un=LCKolj1Zos*Wfpw$j)E5BzYcy~;0x)MZ?}9F<8dZjE8Pa`fk7Wp! zawjccE3I#n=x|ZQ%RP(UR4uu4?T$fv-`X8IuojH6;6ZBvYuC3N2G+yt`*y$ntMyy| z$^UizuK%Cb?-1@U-OtxAA&Sy2ok+aus(qMNuR%2#rHwU1HwM&od`dvdETMxpTe!V za3J?oFA9x+$WtAmA^QHU&e=cK%?5g~9?z=T?KuMl?=qWw6LLKF|v4O`@a;yyya-8`hJr6yS*``_A*gx*qsSr!{JRQ}s4u z!DP`q)>t;2HM>Iv!@ZV_4wk1dLkoSjNdAqO4X^Ou50|UxW(+-Ol}kfaWH_$) z@EykVM5}$>w&5(iSeYv#7w+0~7ob}sYRFV;r(U?TQ@L$=Kd3f~$o7;=!$_OxLdi_O zwhZ;FBE|PJm+KQ@U2+6%hj)~Qv_BX@&=t$1afPfA^ z(?9}h2+X&TQoNaP83N*JP!!4SoJhgWQFC+d(eT6v$T@0xF9d-^?x-&B;SSU^>06|b zD<3^8dKb=Zs|8xF^3gHhiCG2Ns_s9$xPtYFQ(>j`l+W(w=H(J@1+E_Q6pYpPcY@wB zGP3&b&m$LeBszy*a!VXeMtH;=QB)sv9^NU&@T#Q2VD+agfmJN1l^>u_*=8Ev1U(Ef z+melBh>4UnYoj17_&(%@e$QRt5jCw0vyrg4hg@myweAkQiZyz7J)J$8FY(2VH>|ox z!%x`&^T3#YEpG*bMXbEaXS!TM7Ua~bOa5%R*^m6kY0@v4oVs_2Wf=IAu^9NXavf?- zpDO=1Iv%alzEHl(-#=k8OxKUO=^C}L@==^Bxq4XMQJd(xx5^yt#xUDo+t@uECycco zH=VB~;U>Je&@qFiIQ@gG=9SF6r{P(0%|FmtRx(>ZgFjsP)S;I5AcjY=h%29Zi>|GP z{y;M(xvx9*ia%yNbs=sp)$g0cbXM+Ge(?5V;9xm8@Ehsxz;$nxE`~VhPnzCG9F)Dp zZ>rGAW$GlO{?VVX4&7d>Ihr4-9UBz9^bM<5!K&A} z7~GQd5Bpg@R>CrVeG}K_=2*8vi`CwBI7|n18nJ&I-DLj@+cJ&J|BqL%Y{$6X^x_Q) zz7k)T{uw^}>U;1+x4S3A_DJfrZ`MSE+V^=U zuw=-JH&uCL!uP7k!ab-b$6_iO+DzeTEQ+|SA;ThC3g4pBLFVvdYBwqel`lD)T$A1j zvI~?C6`XeZkMbnF<3L+8fExwgrm*P!!P>3w~h2gN;H3 zv2j%m-b69t6>vKY#PBv}Pr+$j5LLdyE6<|J?TT^v7(ab18pgKa@6f@vZfJTK%-xWu zP2vnZ`h~44m6EM~`;6aU_2Hl^_#wh0t28UdDmxJb8nAT-+3|yIUESkV4pl7_YnPl5zzR70&?!<< zeqQVLrK!OF2&YP7*ldRaU_bsCQJ0cRD&Z4Yh|I4BjjmgiXUqXOj0D~6CM{Z|Q}Hb` znG|v1l-38a{icz-+#G9_XvyJ>sG!qk*@v(!`~IYP*ORi!IwRGbI>^@bisu`69&EmGl$n8DJXiUy?< z(Ss7XHG^%|bT#HT4(qlx7&dDx*{n^pY|OM&jI{6$lFhpKPMh@w5E&}X<#r+5B=T!w zVe`T8GX-?b6~Q(nFnuZh^cVtQ4XR=D5jIbDo&kRaf5)hZz_o7;n2^~t2kCzU$!B=x zv-vjvb@&$Vn)u1PCbHg*7Hqx?!kTE=aD1odLEYGV?iuYoi51iHV3zE2C#Vl zKO9%{OwNMo1>EL4d_IZWeC9;hd|&1ytXc!3ZTq<0uSas5@6@?5Xucw=^6Q;p#`kR5 z?YB-HPZ61FZ;>C?^dJ1NO&V^` zaX)N=*AE+LO2=lqmeyUQc&30zThuuA_FV|Xlb=-QqRlvx-V3`T1}?m*1qRu2?=j|gB|t5Wm`>1> z6VcOfC&&D_l}V6Rv)|RB$(6r z$3_=w8|)SG;1QvZhLo&RPG?#g-BfF9~GbNDb%$%7lMrt|5EV0qP=t^t;(3u>)XCaASx@tCg@PX&Dm zp%`v@68ps?(IEe>PtZUEq#PQs8&zRwzp6r=)e+&;pj~L7)xKv|V7|^q-|#K3oWfe8R*{b(>Q z9?&>9=AT#@*X@J`2k2)APEEfKLVs<`j&~VbO7_aRt8UmUwrIig5D@OA;z9&B-Vwtt zblt;-ct)zvSqndFc(Q8huyeqL2Oxv`cK{jKdblAcEJL@0cjlQXbfy_thU`ND5vB!| zSg#QF;5P3b%%VMbi4L73+!7x1M+|PcIY_XCZwQvK;c1F*oeaQghou6w>$OviX5e?D zfUG$}$krgdQcZYeJ=qbFVy{oN4vL!=_n(96b*v}-d)J+3%v<(#RQd*;F+$VZVMqB(cyxCsfezM- z_-cht&C{ig8zPS$hs}5BpEy&z&fX3At3;uT>e_f+@(p%WZMUr{ z_79LfuQm$D6@Cs0F{ukpfCoB6Mn^>GK@Iewk&Gbc$tU)h!HMt5*V}!42Bzg40is`^H<0E6E4@gqgPM^?D^r= znS;ZgRoZ?>@d;P+yGFs!{lU!WAS1QiPL0g2N~dyiVW&;+kOfrCRw0E2L0QlC)4*CL zcsOGu2ve}KY6R?4*;f-G(bj-`tsgmqw_+ww0?6P|&EZjML>8F<%}$W)QUMM9CIYpG z*LX0Hi}!a5W-i5eaLg>I;W56?skkEqE?won0p<2x82d%lli?f;cszqp3VU*AtTSCSbV#;_j!THJ2;6q9#87l230(Q~0hlg{ zRvrV5ET1N*KabfrlPrX*KSB6vg`oaQyg*o~%k!ZYMoS6n-G3Dv8hh3jSXuY2E!A zoQ?FcXc!v{eKk}nV6Qw^<^PVoiX>ujSllXtX+sFH&D0Zci|9j!&OXju;Flk4@zXpj zzK83}h3HqX%cU|ku6??as-0nmV|!Jnpg%W{3yV=9bfKAa;EaP(Pk_RHq|IC%CVIEdZ-g8s zd;K#xYg;w2(ZA10C=L(hKx{#f1IR0s>cKo4Xg)G-_aYUbSr>@xviB^%Gmu*U%(^V4{68SFiyV6UJIqhv5N{PxV5MFo?Sc62#AHL`FOkaYCmY^}t=`6D zMdcpYS=u~vD&X6{OzP3YBKImxSs?caZc%F&{qzx`W99n&s9vN~J|b+rs^0{?3foQQ zu#-j-BII^4N5on~X(M2M2Eno}vlce_^)+h8-8Z4__Q@P4s9hcuC=5qaI2n#I$7!zJ zNLe|{vD+tx7ja}(G>-6)kIOwHkh{{6tTbZJ9yOa`f^fG%EO%Ta?YmGgU$q=%JQ!_* zlIk>@I5=BF;Oyk^E)O7BIbLA~e*yBmem)`{*Ef^HCj;S;ilEF7dd%B6KD(Ik*_|U) z0>qk!jf)p|aYF_7%ON@FnOq*DUO*PxL)s+(Vv$tCA=-U%$3Ng4@3Fhc zh~m83Vn~Ijg@<2*78H^J0_+n6i%c7av3eYKj?#JpSKG;>(jpnb2fua_{JOkTE~s-s z6OOFtgbudJ+CVaFHsLgEcf%0tDkeX_C z2MJc@9v)O*TD}T-I;}2Jt}^muBIU43-7=Rzm;q`euTN8HJ%~9KGbBr|jtDW5Le^&b zuv$^I2iUCD9!)m#JSRHe7#<3s6XxNeDeAPEqsY^cKv*YWk}c+cd5dt^G!o*mBGr?3)0C&$gI?=g{cZRQ!WFNO`vEdDSHf#sfyGX6v|=Arj+d|E5Bud?IbJ?qzLoAzcV=Ur zdv}WBulO@3e&q`G{Bd0vx=Xw%K?2G0B&z@r3-GMAH+%@|=?P zyv`dKrrI9~Gwpe5`HyrA>u1r9iG9Kzb)9xh8o|Krd2R%5Ogxk1;PIaCFzM!D!gOEN zcrhNtS&EV}Z_(oI?+N0#@Nu0a0>Y``xG)3)#5OaOp|hKLHibsQhM%Xc`d)ay2*|9} z&=f?g?Pgx5AD+FgIzz6|d*Sgvi`h}08Pbw;GhNOS+bcP^R5P2qcJ*T@j=E9xlv8B0 zC+NqrTh{*1Jin3O9e&H%KdL22dAIVG&#ehh(nhJJ4*v=4kIr!<-+_H?6c66l`w%+u?(3|^n%b+$QnR zU=jztB*qIQ#@|6A_YM-bwF{Cx2(SA85yndiAbym2kxdw{WW4kZfXtPYWAY|pyn2rD z_VWY~D`C94KmwmTc;lqAVAnYa=(+X zL;6@W_}y3h9qPq}!Zd_LFiZ7Ne` zjD$&a`zJCTY~IDeaDpzaBC}!hxZRJa1ekBvZ^%vn)~id_0;+@Ol}WY-k{&E4&0h33 z`8OUO1+VdDaSZrBhlE^*cgO{)_4z-@bwC2Zeia46Mh-qfMIwHJN`lFgp6xq9Ln*Kc zw)hDuBs1)MOf?t+?i%E@bp8qgW+&ZN?Dn1j0nE7IwL~psi8@s(?4Q{xMN}I!M$#_* zZ4`L4utj-*!gaS}isB4%z6Mtypf0ib*D!HTayXrT0m?&xa;Q}ENwW>1r7;@pvuB8H zWI5@hvUZj}vXN0x?KjXx-9$#WuR*T5Hp8${EF|3V%VSFqa{2mFnWtVfG zo$?ysvy0NZOro$%U;aRkP83&{fxFpV8ofyT$=ujbmrf*>hu#z5*QGIuLb~RN#Qg7K*=6GOiAPnbSB61b#`jG9C3d@$Zwr?!N$Folh6<`K}Zak z?0}66B3-MA$o6qze~K*h~BB&`IP*`;W*{9IcGnn6@LZsj`IJa6%U)W zJLAEujJqV=+-7Y${dV2Xd*yhC&znW?Y3v+jh$pyQ8Xk4`YZmwJ#V3W_>Wb9x>LKY- zdt0TkflKnr)e3ErzQ*xxy{65xGFyGoHD#=FqZ*)t4@bCR=MVwU8S3`!BZdH7jgWu+ zsEP87g`A)^k0+Fz*DJ&rew8r_p=}@$R<@N#b3OklVv5vitCX< zhpew33~v4S<`%+=OCwC*0vS~zAsd+JGM>Hgw(27dH0Pd%O!AQvC3n{lR&Mo_k3fUX z5u>RAN9xU9?Xy~dQma~_eRjUBx{lViVPp<(;gyGXd&f6X7@u`CsikK`G`-6|ac{M<3SqWLEO(Hu_4_C_xfSv3pAkf7N7Wa9d9*V+{g zp;eBZwAMH@c6+U*DoVlo>Xh4SP4CU0IA|aY+6%sU3_GatMA{FB2XC4%I|Tkl!~_7{ zudAL2LyI3HR@kEnpmKkxd27IhI4oHDlvF?g8|{1ypl7wS+v|DdO>;<=ObfI^Xb6KLC{uu7QZvsHDoT(mfU ztKT)SI12?cT{BWJ(`~=S;tco(8NveWGx93#sUr$Qv#Dlld$TZ{2X=@LnPoV5FDHWvFi(b-Ki=I zo49YYd?}WuNlf8GqvR4+WBG4|_0=~-SYOE#u)eY<>)_S=kWx``pRKJ{|MWf&-m~{A zzix{VnBl52JSqOUI;rDuVkTHD`?!ZiFjuMO1eWBB)C5SZ+W$3-9Piw?qe=JFk7tPkB#q(SJlloAEqQ_lH%Ar7q#oN&{{_@|IW;!lz$LaZ!)v6r z@nU$ArIVMy<60eYbRt^(km5Ez&<#Nbzod^Hkif;f!4jCN39qNXTzR%59ma|`)%D|g zN?f1sFytfs$R3V0^gM#7G@Y8ni->iGPt}N@^}3PIC&gcw9B!1e(i1M*pEYJ*4!}H| z=MPBDh`0zbAz+cMA;>q^`%!F$VnS{T*c6fb;MO1|ToNID=SYE$Cz}^hX1XUpj3j|$ zl&MmcN)a6m5C2>t3{(;h)tM{&7sCl!NZd zp8Pje?~@l};mPKEDN{whMOlg@8J=u@F%}8(jx?g|swVjL29H9GBtEYg$n<<%iNqTg zqDQ7mW*kOj$fC^jvK@r3PNw{E&seJ5!-xC=L9snUsq%?9qP$Gngv^I0D7$8TFnI2r zNO}5g8J!5B@Q+2q82yllFJBk0K>9FKyd8hr{-h)Z!=N8V^5rqy3MkBY+-rMcRS~X8 z8K6JOdAf@EL2tT>@5uO{^3F9;QX#en)0*4p_`^RI4Pyzo^6^M~d2wAzw_s-c^I^N$ z1zQS=?%P~?-|G9<7Uyr+YViJ$laJrXz(QH|ulPL`z+d;P`akhI`+ws1Kg-l8C;9q# zz2nEBQ0~K{rv?@tc=A^~`bGYK;BnLc#N(5L@OY9ba-qkClCKhG_*bX~iOuPvIGz?w zsW0T##D%7d#(ud7`OxWI8mn$roiRF$e3xfKy+f zx?~G1x8)(=^7!>7EB!oFc0uu)(n6n1W(C~4`aZ8rTm?QK=OOZ?w~PzR;hsg}f%KQj zm+n50n==KzwCGsf&X>uThL7{~C$1$)9Z#G-2K3zgOpfOhH@p?3M!z)zu)b?WR>5*d z{^H}?H{Smo5-OuEFe3o1uBuJ-4 z#)AkT_%-D6+?;o)V!azg9v>I>8WCxlbl}LFL?r#C+;dY+@SED}cK%iVfnRz4^2^AY z7JhMteolhnCDfMOEidMqR}GD2VfwY~3#SU?qDD)M%tY6$s z@1*y&Rq2;6DY%QaYPMROQ?FqMw0dQ`=#Cuh&~ZE*%5$&{zriWMVN#qNURXcF?{#um zt`n@^$zgpQ_5#8hIQ$t7x>3BFip{Qjv;uChk=j z$+D~Y#Jq#Y8Q>COtV;r30((L#H*UZy<2ZKDL*`EKX7(4Njc*Fk#@1X4vb-vn!=idz zKC4+q;opC|W^5u z5Lu#Nx;gnnZdSv}8e|Qj_Ru z`4?J5osYjOuf)A~L0;8j4gW0RF3<113zGZhzq5y(u}4G1j|c3bEcQLfn=DuOt7oHj*3@PQ z9JZiqBq3e#XEgzodZayQpV*FJOkgx?BgIcs{`V|OJpfR3tsDbI2tSes!<_d6OI3i8pjn$rr#XMYghi&_AR_T z%F2_Sm5-z(^Y;Z=TfFWP^4c@b%7;)WqqUW%G8|p++M&XRFj5TV=z6U@PQtGHF&Nh8 zrn)6h6VyWa{BH)_)WKM8jy1#Wpl5|LJXH!cgbwfsMg3wMj>ia*UJ4g z=|riKTx&|G&p0ptfM>V6huNM=opG%%^TkoMPi4*s`G<1dt~K~biVo0@T0z7U#i zf`k&>ag%Y3v9p6od?}C+59cJ5!|xz5?G6%@UY$#irB265-#=2It?a?O zqX*UQjy?J?@y4^sO+5d7F(u3Q^Vo=)!W|B8{yW7+-c}>K*)zO+86}mOz(y&>Mml+H z(BOMoe^7J147^>XF zEAOGo{UK-CAv5||G>rX>zeAx!QZL-(-VkZ+(SFqiM z*a`h+i12%x+`aJGz`LIhIwku;V&k!s9$)O_`Xg4DRmidUT@a9DydGNqJt4{G4&-*v z7BKCde^Z=399ITR``*gp~mo@PbXf$L=8;pMpc$6(-zw~gEVm!OJ`J6wrl z79>m?dENWM8h#g~bapph)|;xlkvC~*MFG;yIwycB{etsk7e)c~QJ&t9(rW zUb9aBLb3#rhIY;0@w*A)!rNHchazstyiW}WCqpAq-yMKAHTQktSTz8`>V&g+Bb~*J zzaPfm*WMGWtKc>9ifE5`EzruQAV2uw7KvbO^!>?-AJ2i>DjcbS)f1`)k_w2RTNE<@ z5xCFrEY)^jp8hB(lg`=w4p8|?{bbA)(KHx5kLun4AmB}CMb;qdoSB_Ub(U8360UkQ z=$4BR1j!l2IlPYZz5Wc0AOY%~%F!?U$^=hRn%K7jf*TH?}edJzkuhdfsPjh{6X4o$AQCas9i8f=(J0K^{{zZ}PzWInp8B zk2W2HL8I}$M%L&}pV~;#^1msbK#ImT%A)9>o!NtP(keuXm0B;7or>Wd3nGj=*s%{; zb?xo3^cGzw7xdC{m;T zHiqN4$h+ZKMbFL8BFKJsJaQO*NU0S~=zt{<{BOMh$CW1;diAQxKEVZvpllAx^eG(8 z5##hVmy;cqcXw(ZY{4PJa7q;3twctxkn2Uxun^lam=ci_3mn1_0{vsBoY)>Ia=mP% z({k<5R7cF%=?@Ybkwi*HCl{gc6sfP)2vT1dOcorpSQ_|qTIX3`R^lpVFcek54#X_>cBs}`y6YE;|Fu={Jv4Vi`QL* zw-Uns9<+r#nU=SkPD?Ejl|#g(e!l(qwDA55kAGet^d39*W3NBv?e9TmOu!>3`BAn@O#f;P>J&o&g!- znm!f{V~gwvqFil)uDLdHG{goI>4eMqvpI*bq>W7&5LbGTX1C$Ke3coop5SQ2J1As{E_Pvfp zvC}cA=aum<^8FB($06gB^ZNlNS*aIGFk?URxcuH?!V(ad|1)6;I9p2I_QvIxPZQ$u zr@kUA0de^ufA_`Zul>;*rR>TWnKh6 zsaiZO98=|cL3xSe_Ky*1HtCGfUX{#V&w(=;LE7ng#@_<^26VVT=ES$`U?87tFJ};cdWjm z_0~B^5Nry)4`ZDckMKTTI>E26;rNre_aY6zEvR|#?-Z$Ch%w_Vxhg*nZ7x)3M}>j> zvKnuG8N8bQznST!vV;FmXV(HBRdMd;>}EF)l4XS;;SpI75F+G7$O91b0uu-rAPAK} z*d&_}3P~Ckh~Bm)M6lXd)L22W##=#Z^>;yhpkN`8Y7oKrEVaEF6nmlCik54!wtD~n znKOH`2kgDq`DOFX_nkR&=5fxp>sxc zY~+cVJbgCiyKM%C>K2r!N4BCnDZ=0B#2P^essy37pTR;K_bd7|Ul~>RrDs5WK;^W_>`v>z~E-{^on;yWV2SXTQ+$+058|uO+Qa!~1IJ?)lm= z^%nQ3FEFNFi1V$tq$Y=Xa<4C|m+u+RdGE%=WgMjTryMWI_o?qYeuP%M_g6X-Y&LjzKuYLCGmBNh~n+luKvbIx!Uk8ON+2 z>3R>3BAY4hnU(qi`?+7;YhbBf`2gUgVMfs=HKu`fTHIgchvD_Q`dS)LzrLnsT_8xi z`MC4$U4BPX6^yEm6{1l}%SFrL*A^t5bwHIka^jR@MvLKl?Q)SiML=@ex1;Rl92m;W zJJ2yFB@&}u`@IX#ey|dp^tpveeIrNiy`yEtoN?P}ZLb&B_SRv@bTm&Ka+3#VL>g0e zq`$%;6WSr4<*ZbuBCcg7&<>e+*34u|A~UI&vw0^oIfO$Y>%r4IZkc$`ZV$%YbC9>b zMV>x)XW3iRC~xiQh$)YJ@eY4=)B(tT-pC* zRv#jAGIi@q_1j+_pAS0{OJT(Liqo^NIWT}WnT6QB%eZg-(I5X?^U#x+(6Jn3g8ipw z&r}IwWL7(uHx-P1$CZiPkZYzlX>a%%@#jc;TXmLaQg{N>g@ekWma**8XQr*KtCOMilxl*>YO zSf5Xc+{tman~7RXxomsh6A)2Mj&x#yerdZITbbM|1-3fR$&r^yN#*E|q}=GA!wy_? z5`*9hkDI!~<_Y5{C3{`KG5`6Iu@H1(W@_im^y;y$REV}-H%!wRjUFF`Wi&+wk-_~G z;NZ}@)FGC(3Guc;)AFhosuH|E^1DzaNa)xUi+Lp>=z~b(d~LRKp^w7G?QJ_KY*f15 zjzvX^_NX4bPvj&(=;U4C8isM|t(*%Vk#%{@NTT>{&xtN>o*QXkuxG}$Chfx5wdX`$ z01~IUxv^ythdZO7N1R@CIM>x0+urzu?++N;1j;z{ka2y2D3h8extuRgwq#2&#?8}u zTPO7%hV)Ar2y2H;vm%35fK0Q3U24J%_OvZKgp%g}+<8WdN>MTB)uyzg1fyTcTaOYP zD@~9{myv?%UD1O!T<}WkZ9t5~7D#)0Z##8r^9)q#PdU5JaXD}oW~~R?3>@0q_fW4h z9alcf>4i$di9RRR8^T8`52v_-tbEY<#}z`fO&6`$)_%}=Jyj`=M0hrW=e@A5^ehCl zgT`XDR210;@n_sdib9Jc-}yCnW9N+g3#P#Avz>2K=dQ>-&GEk1`$)nW(QzdA;rBlE z?c*H#7c8u@T|b8%JZbr(l|t9uh7y8pAKpnR;m`zFpM&U^;$9^&&yVJQcS2e=sb+dffwHq@#A zmg>d5(+)xUm+Uncjn}F@{rcT)ODPS^f7}@dA>GfqTES@mfx19Tvx9rt%isnu9lAdg zUxrflTX~~yjTI|%W75&y`_^~5M?3MAL`;wp=zZ(Y;i7i}`RV2lJEMnD7wWuRT|VP^ z6iNW!a-ZQT(Puo+W;P|J-$~4c)Ntr|2VWw0gi=cON~Ppb7XJv#qKINcnFWP-@qhP2 zS@Mqk9`{|ye-qAr_I^m6N^ni*op(h`mWK;s=Mf_>b>HDlqe{S7o&3;;Bqz~jor@%2 z_*-Kvs@R&XHXU_6Q;M)9QUlep87WdC0M`iJ( z%HpZ8Ec(K;*kxt0q<cEXJ9q{{GFDGzt>*GH5Y^5C&bm54+lpS zNWKQs9M`RJZY*5m#yHYD3Kx`>e*V=cvMsnI`IVahC7(8?=UUQ+zL4>wQ!^}nB@e!J z8fupmUZ>a(ax7salV@4t4_2+JZm6Z(pQ5_jRUubIB@G)x@!~RG)=B5DUt1Yy3d^jn zR!IKFiIrc55Z-Y9X3n=Gzj*I$lfNlVCx3H#N7?tM(-821j+Yw9nsnZ~@bf>DHG%f) zsYKeIgEirUZGOdAWEl`>BPhl~R2(8A8H>}$z*wAjfp0ke-03ZIx-G_H_=cl#Kl?#H z#$w+7(_7}M1d6e64{UOde@`&23p2&S4cbG~aK^Zik?; zMIAvc!EWuX8&~!W5G7e9L0ZMVDnbqOvuGsGC9w5)-kS)E z0_AB}ZQSJf#HVNUU`%)Xx@~GZHxXeOObnsmuy6W4sB|WqHgkK z9gfGB3K#>xl=|05Fbrw$c8yiLbds<6YhwAQ4)J_qw}>y~uG`1Cama3hc!4u|-XK>4 zX)7sJgvOK9q5r~@jFPTqNl&Yio>L{AAsMmf6Hj!;mm;gakA3kvtH!(p$gR5GZ5~|Z4hqK zKp^cqVoe9TItA8xck9cr4~V^!UTHX!YE&f@DLyK&-d#egnUfBM*1M%OSnp2U8xU=| z*!HOOK8AZ^c}quL3kJU_QA=xZ`jof7<-=Xh5fOW+6U5;636Tt_Wo>FrtmKZAHGa*S z7^)?~(3*JEz+TtO7p)mz`svrK8Shkbn~PZP+IJ&2A?xwg488ZP=FS`xd<1Hu4`cPJZX@wk!TK@4`bxxB8wdMD{WS zurm7qu|dv`37nhw4y?vM9DNWa__6Po;>SQZ2EQM$(Gj*I9oI^G0kZ&k?t?*JXPoI7 zcnyyVL5yf$8CWm#e1I1}4N2!Joo&H3&`{G0Kx1ASl71QTSqK{CzZ&ZF@a!Ty_-RNw zpSuCH%ka~Xbmns#XxN$xL7lz>G?rgOP45JaQ=*2N{w!#iScHb8M#L!r{|z+EWI{tthg(zGZW@x#dW{C1eW4-gEKdRGKF~GP>8n7e9-W4o z4wV+!ZW@x#_PiZ*mQzF0nekT8`ORsl>AwPWwG0hr>Ckxb9hb2G(x9 zxp)CW(=xamw55?|R5VTLCKgLquw-h@vwr%rbv#Q`D75UaFl*9hHN%DGYWTPeRDVs1 zimy{))j5Z$jcTGQA2vByxPBYzCfa}R%_PZecso+l<6~10XBVyP)Ru|9F5Q;ui(1C4 zaaP1GpY3x z-Cya?N>9y5t4vLsGBqo$x+*661WEffmm^{p>K(bL(1a8yqt~H(NkrDn8AkAqmv8><$&)k*1Q$bxX`UG-s<5< zH*ko74Nu{9$!x?#BvbQZ1cn$jxDl%0;6lzYu2L!Fe?$Iv$p20uKlvy_rE?tYpH7%J zr(c5TtnE*faWh95kC6YdcP$_9c(#9H;o_bjLqx88dSrzAC@|L>l8DHwk+H60QN;d9 z`f*{!PefSpSE5Ap6G-5WM8#c$WbF|-8d>VvYo&Us-`!95yZf0aE6u)GEB>t4ia!@` z#rG#z@dG2R`13w1{_C+;{NMyDerS>v?@G1ehcm4Bk!&m8J;RFsCfAC;P-w+pEVAM~ zC8$3JdWMK=Riipwat%+)O!q`wba9)5!Tok*k-JOY?LecsyHyKOW8D3M)k5wbRl00+ zp8F+Lx-XHp`(>+i?h{t&+`WauwN*c3b!4hLM?OP#(RB3;8K0@1A>+BK7i7FZ^@5Dg zQN1vk&t9Na!^~B!i-m<%YCUu8?~W_76Z8mmK{xvE2DMGCAAbQLtmszYV^a&+i2Rs_Mw zjP{`6U5yGA&IXTr6bJ(i?^OW8EqfY1&>JyD)CZ^e;Q87|v<|+jeVNH=Q+;I(4Qr

    rZSycTGJXw`1e3Zr(v6YR&!M{}q`>qzYnx&nLF z4*T~{T)l1+?6?B+#tLp3@+GV$jMlc||hV3Ej&*<<#a^x8etE3I#z z-)WB9-5%{=&F_;>=xm>V(LBFF9@8M7&>)ZPmd8T-xIM1J9OsZvXk^75m(91Z7FbzY zey@%HvyxBA_}6>*KZ(455b$p~I5#NowGMu-)VrUuA+~Y5&2inB!@>5LR@Q_+1EnCM z71WRe=lRF0Vr=pW$K{|;EVR2I65UktuPgaQ4d{BE67)6je-`k;<*z$9g983d8-J|? zDRWEWZHfU7UGf;p64NP}?O%`sh%%v$RGZ>2Zr0Ib&b@Oyjsz{h3E4s+Z^Bz^t~ z`GhWc>^}4S-K?17RSQA-iS{_xsZoO>?_WTfUj9!o3z2s(wf>1I!*> z-yRDSfu(WCW9nH8PMCpJ^hI+_qa3D*1oeZ^3Q7Rh_;)G)y3`vCGNAOfMZ-uS=>dDP z0x9+ai#cweJO-_!91OMKB3>YB4Ny7wzm{^r4nNp9Yoz?2Des~dG_Q49E;RZ}y}{6z@&}~cJ_z@Cz*+&ae366mtrm@e z#x-C9s!}d(flFKD(w4Zir7mryOWT!aDaJ+K*K8cH#=jf92c+IVL0if%!)*Ho_=A-9 zzX$kz0D_(lPA~Yd*1O`dTRa_56|FKcl*wgAu7qTcfWUmU{2y$5LbV=idqe4c3j#SY zsl#bfA`~Px@GBfZ!dYvBt%L|c6EH8>`Bx>s7-l zf5ucloA|wWcF6L>4Qx7uNU-KWg;%YNRv4|I(Fq!z^CfEs>qsr}{!!xHt3}%l8G+v2 zw0|Znfb9nH;e;9c6$?BccCIrx*f=>1Dy&;7VVKt1j@`iF$mUH&QDZPFShTIB8k%@(?DYLPZTL#=lN<^*+?1`#SR82_(zi$eJ`V(rHj;cVY z6NbwqZ42HF`40Wvec)(m96!6@+P$)_;XIOe*0;-x= zbBEh?F6{=FcB4z%>e6m@X@j=Id|Gk4p?00tJXgrw*a@1{Zh*E39RWZGLj`vecu@rx zWTQ!k$ADk~snb^Oe-D*P@w%apog!S!K=O-Ix$}T0w88%?xM&I{D8IRId@w>AJO`Q7 zyb)7vl-8Ew*&)jhH<0OA2x-Kc0~K1eTC!@m&5fl&Zme^@cc4GEXI8lyQt&|yF;nZMZ7wZSF@MJ zms&+pDR7P)4d=-*)!H_ZXNSewC}N+o^h$S*stT7W_l&A;OB49#RtuuXRIjS07L2Jb zXrog8i_O?M)zdL4oo5}z>_`(>_0?1&@R$uJy-fw&buG2?Lu=O)6Bn@HK)3;dMJPm{ zT4T2a=V>dt4p_O6%r;551_$RgltMT+wOpf>3n>Re{5lah4r*@_a1ACdWUoyWJ@`jV zatsX~Gzo%(^E#79kCuj5KE3&!;o~hO8}CHD@SLV>)8?rW7Y2sr#CAP+@4M13D{9%2 zZ4^bGd`WH8{K*^@n}X@1A10?ec%5TXqg_H#=+O?_vqhf6DjeOVg#kP~K&ZN7Ki|Nl z*f0Pc-UXDWO9 z|6$laD|$@6Kt|-6C{XN$jS_>@oly#8!$K7c_ipiJ+5ke6#=|aEDvdDA1oy+kRwqrK zFRB4O!7%VoM8Oo6P8}Tp))2sdNNH@1cg8H{CkMlM8kB{9Jc=V!J%1*Tdr*LQnE(QM zFi7$Bn=BP&VpB{hKx7s-e12g}r=(1ZAp(d@cJs!BDqo;;oyDgK`=yVW?)&`Er6M;_ zO9bp`_1>MkXU5~|xxaFHTrvYx;f<(g&&cyQh}2@6fD~Rqy*92p7mVyiF{Jb+lVnvfI)Ex8)UXLS-Gp%};4%)c7k~7&Q}= zHbzZ=(!p>GQuZ_4CMr$LnownS;?le+LgnfjKV|I6WlvA>SMm=nAL7>hq`b>_8&cMJ z*E~=Tc-K5snglgG26Yttco*mt!7~MP^akYnG8WXyqb=?A@i-KhHLml7>gWe}++^ZM zc*esKFAx$|zQhd=Gf(JZE$Ealfa<-gq8@VhW(dg1kcGLMN?GWXC#v=98qL4ez=v|K zSmJ$c019}1grEZjrS9NBIF{y1w$49cMn{0-EGW0)&`D>&7b5fK0`9y52yxtb5M3dt zYT@Y$cm0qXu-#y))$nT93DMK1jg3_^(Fv%B{|h=Rv2r?53MPp-;EFEb3|%U4zJTLc zr<@!v;9M5HTOJK*VR!o+5PGkA{zcaOmUhq6g|(&GwT4o&u>c}`tx;HOwAT84uJ-;B zifSbv&I{M1-n}CJEtrKUH1LWYW$^RC0avPmuJpijmB8;ALHWWZ(JlCt)TNwEPXv-k z1w{KLX@gL)18vZ1Tml872UgF(69!N?IB-D6$*M6&ceT%NkuLy)+-r;Llh3b%+xGgC z?x@Pz0Q$f)8F*gQSheyRoJ?)#@c6SN#C{&i&ff9ey@6kY0?B^!Tg(fNnqwD1{ zUF~tL_84>oX2o?SAIH@lcO4ioyVmcYr{KAZ_YXbf*yw#-Yso9T!K{)nim%q9>1d@_&{rXbo;lkPc(XNg(KippyW(o+ODkh3+TEu zJIZg=JN@?XrDu;Nc=^IQs;f-{VvOxk@zb(2R zMq;|}dozn9BK|Q^SMaRV@_^Ip(67&aUvqUpyHwDo^zD#%vSb2wn4nt3W66YD!aO-L z--Ier3TT6VgQl z_--4NZ9f>fGtV=VeMM#wJoj2chkEh_xu0Ol6H9z&E#4^{lOReq&)l(7Qa>1XiM?d( z?H)#?An~1q3kO1<-9K}?PFc5qa>uuV#KAQ8W5ONr2^ZA9zVC?S7GZ`wAuCb&X-?#l z-3g!Na?h(GpJ$96l^D7_%$L_2ow`$0cRsSl5bAz#rP_D&PD|Pb)6y*m;-0feceF{* z>=Ln;KuDlw&z>VMG3e*04Y@1JjB6vp^CK%NGzGdM&Dyfk*}3xAm1%`W{YrIKdPMlj z^n}z|GF7^~WNm3lvA)(`bTHm2)} zb*uDi!LczIUtX4^D=#89OUs~Z)joN6?>(&xN7l6>a0ECSTK)AU8e_$H*xRkjVkR=d><+6-0@Dz;U zwkn&bLEjJ+@QqrYh=-v$#%Ks%Sn)7Tyed@#jTqdE5wH(>C7dvlrCO|!XQpGA@We1} zHfWQnL4!;Q8e}Rd;qov|B3UTXR$)2N4tK$!O>ng`h46<4;ki^TQ)LkhH(k(?#R!Yi z(CVTUmK8y0#9}hD7Hg8!BTEi?MLQ=PMmS-Jp7F5q(Hhqold0f=^o(7WY#tVrq)sO* zqE1N3bXt-a8D>KGE`^B@R;V?+0&_J9GC~Uy2sdCK{ zv0~_Tcn^SUgu6IUI7E_R2SPVQ8Xo0zAljyAJGsahc2uXH#8F*Fkg4G{Bz@om5iULe zZPoCiC95@w$}kPY>&Ky#3|C}$B1kJB!dl7GQ*c9-L4rY&aw)!diERHVmpzt#48~j% z)R9{~mVR6zI;f98&X_uaz~Va7&j^TP@c&+|veH7L7WmfVYPmwRS|gBCtw#|$*E|N2 zobnhroNGq#;XE<|{XeEqW8qpdEwGhFCeKP)mZ*^@%Q7+&(==fyW&H^r{vYs&|A0sS z2V9<(C{vMI1xa~qtz9A7s3VZms3QopoNGM-{y(mDX>J}dic+5NxLU3dt=0%Mye=b< zQ_~TI)-+9-u1S;NYZ$(U<7>qKgKHXe^dhQNvOn1I5zOhGBjcR0XjdIbbG&_I2e-mqSu7a>_J2bS`b1Sb+HNv6lr)N)mr zTon%aOa*{Stx8-BW=;nXF5z-{qDr2TrBushDwRqOJ*WfWstEWO8K01)N&w1q8PwI# zgdr(vl~R?cTD~+(C6}e8#H(a!D(FVnc-3;HO08C@lT(tiR4_aEmjM3&f}yG`S(2QL z1^|zTS5*G1mL(?4i%(TbRB#DHmvD56K$l2#i9(mT=rRvoqR}M=UBUv!Tgex+WRAK0XTM?)gnVh9c%TlSA zz>p=dBui8g3b-f|U|<4_OMv?XRirX44avp-QdHnNVc;<6HJpBpK(9`_Nn}cTfRYSw zc7!3y#0*SGULxSd*$WS=NiR^jz!8WjO-YkE8xgqSB#lI*qzi~2>57U6XOWLA>Rh#2 zzE}klqHT%GssuPf6dDV4MTML6c@UADXKCl*!}Tmp>adaF2^(~Ve9GzAx8E-WoE!iff-p4EkGON;a(`5d`eEXs6U{Mk67lnXOi4g_f@cX9xW*OlpId3gqXSs4LRiu3dp@&a9PvA!sw(4fyX zf>o4yLt$wFJhp)IC|t>H0xY_$2p)l;gDG@8dJncx8VXAcg~p9ZDM^{>aF1TI3P4mr zNl_jU#Frb&jD#0%%8S6NO6bqk!BZQEwIxO6Ncu2ib*`=$Efr#xm?>B5i->xF84HWc zOUlcfy-DT8SaDquHZxi4;YCg;EX(}^2_A!b5D(%Ei4{h@p&0Uwp>P#K|8SqTN)Jm3 zU9@>j-lLB&`B?_GGL;S3?DIbR@~u(V<@6a#fbVi5R?>+#%~1z{v)cHIGQuX#M~pz(o;N1sEm^ zU64ws(^V&A31o0EgHs}E7QnvDP^;s0<#{TIFT=YcsSx%|JOq;zWDLjyu$^QQr^y&sL#Xw} z@={dqV-Rr|zM&b#C#utmO4n+1*seK{5cP(Q8k9RB0j{FqQndV#I19@(g~f0wGeWpF zf+l%@Yf20A5MnUu*XxTlC3$(8d^joSjTM^w!u%2q(5=-~ltQKe2=*;x-u!%6IE@Yh zItGgL#rQQBtwNrDy#}5D;y6*3TvBF4%Ahb`R)&HJBGeb7EUhnI9ew_!V4MTvQ z4z(IYPypvQd~|ulF>sj@5BV9M-jTIJ@fgxo-bhcPv+IBDEBR$>p@6`gGBTlphjW;V z>*1Y?&s-?m)8aE=dqTAUD@A)#dU*wTV2cKj7V2GIm}e}&8BUg)3yBtOn&mo343Il@ zMb2Hn+@LFcw1@1-Tqtt8x(gWf%7Qg zsWA#W@yoMR@mX?JK^b^fQY`v(5JgF<2O90XwlYo#&)dIVL}?|}l*P_tiU6<)0my?9 z2Vukk0R9U`#1#Q>9Dr*Gm;gX20385?Z~+JazzD!a0CEu!2tWk@eTWAFf&h3LfI-9q z0TThR0$^za9_|2y0&oz3-2mhwU^)QJ02~D17y#a40Nw(i6@Z@+FcW~I0CWNn;Q_!b z0Nw?l8-Owd%m&~C0QwORkB}wp>?6B(z#rp1DC(j=Hia$uqJ$xSVFg7kqo^YjT(EcH z6A%009R-nG3`%^W(rk*G?vx4ss5svhdu1GDg3tWuU9pb^l*yfKXAl*??pFTi^y1g0nc z#^^_E;0+G-30`9and{&k2rNn(K)*2nX4)aXecT5=G6tX6&|%&bnt}A;bp~aEkBn8) z??FN2j>;wmWo1)Rj}daVDQ5ugz+d(u{on_Yn-+cy{jftP-S-1ZET z4x?IK$Xhg^2Cyenrc6-?RU zxKfTAQ)G*_Fx)v3zRuJZ+$FNAW49-aVrw%h8T-ZnZ7h)hbafPUO~47AjK^=2m>n5E zM*2(vUz-&salwaZtCq(aKrS=LN~kZ;i$)NGP>C(3qw8r zp6KQvLxOtzdFbW{1NTf2qMPFkOfw-A-JD=VAew+^bko6zL^J`(=%$N-|oBsGCkhGoiiP{^SIUnQC?)u#xgxV#GSJSaA#`|?(Ad2 zdp=;E9^c~5*$wST<_Rqy&yyL8WqN#%JLk0E&Ym9JX<@}-nf!m_&S(ej?9+PVd9oH_ znf$xBGiCsH_V?hx1XXQec_<8Mt$P3+^1~!JQqfG%V9Q z9CyY!aOa?Q44x+|1IzS|#hnWVaOV&;4t4gKv%4BRW?fg!aZ`s)QAZ@Iqx9-|HR_l{ z>iH+t3$Cjdxh>O8S(cl)Os`+Is%BZ?p=E1ME?awjS&7^7byJp?B`z=5FW*qJeB+_z zn@=wP>-FW8ZcjE%dGbKwlZW(AzFza>;X_ZpdGg7(u0Q#Aw-raHtT>jq;$8iU_iI*s zaA?IxCs%xYeZ?nkPxVfD>RRGc*U|2z5O#?<}y@pTe6Gg`;F1-kb5sIA+vY@J3A* zAnFDSVilhvLN|?;aI}R}BNWMTOzk>?qAnDbjv{s-WvZhR!~4^95m(x8&59{Pp^ZGr7`>ux``s`Sdktwy$n%=ulQ8XtQ$FV=Ayv0?Iejp z5)$^2?CK0meG5}FGcom`C5#oE8esu$ z>g2}0mmBv*E}DE#_C&Hexny-BBLBtCypy1sQU26VhcuJ+K8Uj z?G%-E5k6`FpGU4j*+K$+`lG7Dj24$Z8{Ma5G@g8do)en~pW1>vg$LViyZ83D8_T!U^tsV^unl27r*Kv>?5l{O`#S3Fiu6lE}5ILzcwDtR4AQFyvumYV0j%HAj5+zU1>p6_X0bfLFnh zti3Pod>K3_76a)8M|RV|LIBi)S!~MY$#@g06W}6!xeDIOD}8gA;r4jdmiq~Bhstowi09h!r zzxX;0kcHaiFaCuF$U+_P7rQ-0c#wrU<}V&g17xAv{l!ygfGpGne{mEIkcGPDFHWQZ zvQR(!iSt!;7v7QECp}( zz`h4SJwf~~4G`<+P7t4@0eFKwj3}ERzC;5M7633$5MQSODER=`H9`Cf4Z!InBI~{N zYg+fL`S86pC%#zok6+jHj4S?jZgJnT;v4IW`}Y)o4!=tME7DcghyE$&1QrsCc z18pBI-nWi{Q;Pcpuo7VKl%mQPkLV%5&?!X?0YnV6)gAXvDYgx$21QD96znF`_6b5EY?GS z*3*iUG!Hk-7X{^3UPPn?q5Q%inMD)}Y94bxvYh))}4eP@`%Eeo4g7BLTGo;a#HvD3xZ>pB&` zC|rHLzEg3B0JN_+bSmx>fcEv>orKa1oQ^zARh#TbXwJ`kZ;|^N?L;zM~2|?qZkYor+H#u6AkdRGcLM zZI=_BigN^@?PBXxTp|D+13EetU(q~>p*lMiS7{yu=;~DT(mVigrj$^4(y|)N1wY_P z@(}S0@;Cd|Tk)fYh{^dI))&0Ee)aq7i@sc6f>b|zR8?+wQ5}-XS8igL@YQ%qag_j8 z;))kRECG55(A}xHP5@f;Iu*BR9t7wIPojB{Sab_KiRM9o z0q`W62LT4blQ>w@9s&%3ClLT1|KKp%sn|*Yc+i0W)2E8<1h5jo@~Pr^ng;>uK2^Lx z^B}RP{!_(EG!Ftae5!bb=0N}m_)Rnq06epgv_rzkGf3>esjb^39#A z-&8%-zxC_>=f4ImAR$~;Va3TBsi%r-Qr~j%>fEW~7ifTZb=g$$AsQfFZJsKAmj;Me z@0uz;Ndv^I4@?zbq5MELBx_Y9WhO;r$>-9EuSVX zrvZ|t)zic^v{;g+bEk=4phrMf2CpugCO$+1V=-W!CVrO&;3*wSNel$f z*MNjILmWkq(2~tzIionICi@A+fAgwMuh(um{Q9OhPj7nbyG?)RZaz9~^RdO7-(9u& z{o2hRyuSIP)0;p3Zu2MHXL_eSb8X&Vv}t~xHt`GJ?6y;_syk;zjo;ky%AyWT0!M52 zc){KCtfZsiu+8CV_IyPFH=TkvI?>IcZRn=qjV_0$UGhqfBY|=YV%OO-F}IV1+r6^_ zDq=KKT50pFdb3M%eB1qV4o}vbS0;L$mGn$}05^Xfm@%|iKD1muv`Rj-K|WL~A9_(f z^tycLefiL7`Oug0-@b!u{LpXmA#T#p_@tp}Nkj9Jep{S0v^;5ORnpLgq@miRp%;^e zUQhb%{iLDONkd;I{q|kb&~HgY+{F=|cWWziBipT&KnCa2BXB;2li1Pol^-%3uu=<9Lr@M>Um;Z|@#wnhCuqG7P{=qn3i5g5yND;^AS=tXB}wkF#y|2W=VaDI0z+ zh%)xugA>;{RPG%30u8L^_O}OLUE{zh94dSmaTZ1t5g-Xl{?am7=g6yBgx3k8)lVxTI zDG~{h0XluaL(vD+Y+f9243n7wToGA}5Lpp(?eWQ+7n~Z405bFR)(&+>=T1Hc;tJW% zA+v8EAM?&Z#9K?p(A2nJEHiH+bHbBxRJ`>0WG>*Wv!`kFA=~>fD@^4ZxNt}0JhY)4`?1Fb#{v-yH&}1=ocrH#k73)9{g6B7 zKG&T9bc&gCe;y6cDQ3?7*J%K!7}KFSHzNIQ_+dQCrG2RnPqxjxssnE%le(4q@LU^~ zNl$wq_2E@Af}ZvuXhlYd$fSl+AKoE=mH_usA8u_YJP2S)d-y^-mV%PJXMF&tOz6-l zYpVh|6~aE9wbmZQd0IHA>#4O%-6Y{mw2{Vr3V*NRN@vk~+p*g-l(SChvg)lKp&TOt z=$d>gYnwgrq6!`TA=9D#3p}jccd^B2dxTqsgR)u3S41#j&zexq0bxUqa$D7OPK4+5 zvdYLv`LB=1%inOk{LL9JhrW~lHhytL+~2FWF}x1tDCH13n}w!x_iIK!*gE>b_H&-* z8JuRJ148(NUX91umJ{v|&Iuk|5n)fC{J;aKli_!b?xtZ| zb!}UCzirl6Iq+8;Vj8snR}Jr%VI|9V zqJH5E1ZW|Et$yJvG=o+WQNj*n-YiZ1P$;E32@rlD^Irt$!T{3XeKy{)o=j?o9g=`0 z7p)OW4$)+iXvMnv)p1wOBfvyz5qZSjNbmQJ)x$x~aj|~krFIh6hlbUcx(~<9*8Q2k zm8O0NF%v$p3-Ka*?t#qrs0Ii~*eS~4rGfli4+L(1yI;NV%Fb90&*Y5x{TBd0PLu?8 z@41*$`mAg==Of`jj^o*?Ih;>~4Y|r4RWY1?;gMY14zy2OpIvye3i}kWhJ9KVaW{ec zp36QpN8B~i0NJOzBJLif0pgbjBJN(K0lZJ8$0F{s-3bpj%(NZsKm#ySka8j7ZWawd zDHtL8QFtPkdQKL{`M0nuSNfc60Vg8i@70x&mdYqg|3#bq1WN3q_4m=0z2tN@#DjB6G!A_K( zBHyWgs^IxlqtipRHC~%OV470VNYs6-`l;2=r%v`WalmDf(|EmY9lxRvr*0_O0NB%Q zeKC;w2~34;1BD&6FAv=yOC1pRUmy2@WhaAaMV1nT`0dWq?vyD|{*IX2e~H_Q)(Ubez|KWHE?dWo2>>~+OM4{G zwo{hMneBHXkJ@GTJo{$PM6X_rSMRG{z2A8CPUQD$_-7kVxX<83PTnQ+y7~?O>Z^fg zC0%)7O)s$Gp3Alu)2WYKtf<`Ow4x~;S+R5%u_9CRua?v`!&W@%_4FmCb;OEH%?~Z9 zv3@2G+KRCCsC~}jD{>Y~^An^+KNEv~lDhpf+w!J@0lOZ4;4b~2wl5dn z+KB+V9=SGm;F9-8d3#3c5r^l~_uFUV@{X=YqF9>Xqp8zSSwrd(ueWVqCf~wtqQZQ? z_@`}r40YGlO*nFs)N_c=M5y%00{px%YcUm;X%%Y=6M5A zGyskrF>{??r(W_R<1d_Yzixf$i;Sl@8~ytAEic+Vzx)%2?R}Ns`whQ$qIa*xyZ2S^ zvjcB=f&X57QRdZ@7}BEi z!Ap=VH8_|+C4BSjGuu5JPJczNVnyBvj$@`Q`I0fg)P6rS`w;Q(*Qb4)w@M3L$XmYn z`quIp)CqcnNF&6*|1$04ti6l^3Pzw3`}Wyq&W;l0py9ZFd`DwFpZdC=2)*?|k}m6q z6Ke$fuwOm?i-2turw87Yu^J4Een8%ZdB2aH@$vD!j0#Fb5@64nXSOTmpd!A9F5=HV z^UA0OrvzNYufYtEybs^e96X=;caV1%%|*7is*uy{*OKqp%P2xI=x5;1J>e3A`frU7 z9B_?6{kOiP0XhZ&5JX1MF{uC6ixUYz$Do_HzMM_~9D_RZB`-79a^Cgp&M$o##UN{r zR37ek(Q*F=$Nk%m`!(n8|8UMzUhG_pmv(GB%tqS?n+$K;;k6jRYw#9`*1v7rAy)LsRVJ!`Gm-Mq{+#cr4D=TU z-bCQ27R*C>G5$*H<;WMo+j#yu;&fx?&v=jDllLk*IX;b9WFI?_(?ngtfdw?$eydqH z-!$eK(CAmP=jHQfe8KO*q2>Xp<}dEpW@km?hw_y~jrR`Z{7hw_vlDL;G3O7>*$Yf+ zFBEEn!Mi;(Dmd5u_N{XKt!gvpC%?{Bl2`42<=pY>U)Ay|qmpyq4;kafx>Yvsf3R0U zXu&(`gOI=37Y+IBLtog9r@y0uGpRSVbPIf;4KnDl`QQ5Ow#>2nF}1{+KY<7MU!!qYKyq|`OCVKRS{H-c| z2=5%S4Ym(~AexGV&Iq9Dx6DB{v7!?|S0zW}Zz&M%x7Tqd`|mE$?zeB{g!&&WuN#QloduEujP0B#|L%g)1B@MnxSCBIUo$fOAj74o;M@QfsW#87rSQR{qsk`HZEq(pYIVRyJ8G_gg9t zSSk-%w#lZSmE0;oHO^T{|HKFP9T2EL_1>|SC3THD3;mrL;9ddB09t<(b)puDFU_|_ z(|Zp^ow`C2WWcnY?mO(vnC-)W_R@bTUh)>Xa@EsZVf6;hx$j6b@(}=@2X9aNH3a93 ztKNG#|E4U?hec4AugT^R zHnYh#AJtSS;1f3F*>f(iX^<0ba4L1|12*3iHvNQ6sO2?A9AUE%>S^j^q3Ct{K914< zVxjhR`zxFZ|Gq-&>-N8Kp7tLs?0Mb(D#z+ia=Gc{D%d%1;IK>-aDOZ7PTj6%l;VMv zpnf&v|859U5$r=FG%&;0@XBGD?e1^+{#1YAFb9%9qOq=)py!?3q~BJVgmqQ_KYpJu z)jVNx%ZA^^ng!jg6^(8y8f%_t!M~&dNDKVdJOQ_@RTE6r6IvPmrkV-RwlcEeO8+uu z6L1)@vFKhC6B^SUjKKf#x4$ar|MPDsM=RqRrTEw8KGMd>05y*8l5&p>w_S$~w<#A| z0PW*`wesP2lh*xLt@rovYjcNNXb_xSwZT52RgeD4xcf4!Y=xB_SgP&ynT>8+*_-MR z^56VE`#%kMoVL}HI{e%HT(Xn->__=5a=qh340Kdo=L8_f=p2u(-R9UjMqr&~K`UF)&5CQaEI@26sl$ks9n)%wwK4*2 zX6KBsp<3$xsH)S9gb8iWO%BE)V2G^|>tMXv%?Wid(y`Yqu(A9dRmt7v)Mof)A-N#E z89)YTlG@6Svsz#bOz;u=o7_qqRsS?q2lX>TP1Pez$l#vpVZ|1;xG7u*fD|xbt0md$ zIwL%BkUR8;8Fh1BYT<{1Sz!9eAZT8JbyZIgTUps7%QwJ!$|(pb3{xJ!Ga6tma#RUh z+|v6kso1=!aBFf)hbiL;rh^&lEXib9TiNLt+~hQu)8NQ@&p5VyVqyjoWzZEYV@?G@ zv@({|0Tx>HKlx?vGr1|yDl@XJ7GYM-F@rw%FADO ze&2m&Wmk9G=QonV&S&2L;<@{m|LeXA4^9aYmmPqyp9Gs_)VC#_@U@S3Scjob3Wmt( z;SS%VyTQcWlwH~=UjSbz*8<-{-Clho;1qmAEqr6HJrKTewjY1T+qlqgmHZn6eE1GI z_(HXxrQRMpOC{)w+&02j%r*iCN4+^_pB#Oabpy-!h1Ts%4*F&|_{LoLUN`t3AoMo{ zbn5Ku_}3g9_(nbWo;J|o9E5sQV{^OW4w~ohgDz5`HGQnw}W|BryzNsBuhmT)>) zP-Ic)(>Lj5rReiG)Uj>0rRG6(jc(cK*3}Kq9F`o}xnpp}B`W!oCC!Uel!Qvqj-qm+ zDAT&FjyLRY9MsG}p9Z+*Q?=B*G-c7!(g^r^INsm=ZL1|R_}0`$QGI(f{K=1!a1Kbu zFsNLK`*h#+r>w~}O-osnFibK|z-I9Reib!Js3cE5#kOnjy7~VG-wR)S<>A9h9{kT| z9_rdC;at%crLcMw%j9m`C!uC5*JEslmN(TC&Q)b?RIBOSD3-R{6kQz*too@gxa+WG zO9h_Rb(C8!l4u8sod;SXI5jgokN8uzx~iilkx+U=;BL1tBsry&h{sXK^tFOW+qDM| zAGW8!#d{3L*21XT*Ct34QU|FYEsRq8F^t*SizPZrz-om%x92m2R6B<~#uHdcJ+*Kb z!a2pP)>4Pe(}h^#+y0d4bD{51LF;PxCdn{#)Syc|RO`eCj)60b zVJBiAKz!l7`pn6pQcL`FsVxCqU~(Eef%XP2ctfaEi5x>LO-`1wC)HDePG4odd-Q>$ z(@kBz=$*I7M-qV#?IUbBRAL{I!N~Ge=Oro&do19+K)`CyCk}Qrm1l$`>6lHi7~#%wuykrnA)5d zj0eAYgCo6rJ)Xz;kkV3hHXmvb40X+?X_>hp<&%{|pHS4PDe-S{ChdCWBLRBP61dzl z&$4V=7!~(eP$GoGOIj zt>rx7_hYAenmSI+&s7dE+u>6&N=gXcDB%hOy@EQk1mNl=AZxM;WW@)#6Ip0eh&C3u z$eJEhieyn`Xt!ZmcrPXA>(Kss67MhRKQUH-_g6Cm_E!%xW16Q)$J#$?3#e`7NZ@WX zE0-aYn7)^dt49*IWqVPgm)pSa`;=XZFt({}p z`%BjX*A|A%EfMWGH;m<4F&6Ab#x)|2WJ~{U@;Fs5lkpReaHs~AZk6XY zLuej^ELyvJ!Q&y6Xghi+u*7T62+*`;XW`qDYhQ)`zr;i^sg9L%w+00g9_u2S=(=t^ zc}Mjmz>*1OaA2&J1RSPIz-bsj0VlL}(lQp+-=qtHFZ2ux?QYt3iK4c6)lq>Q?J29a zb|&tv62n)bhlO5t_KI+C0e2SeZHnL;q4$cjwV-lNUNyt$^DPQ;AsjAM zt(ClF*^3s$@FOgU^*UGx4DUHXFd-I)I4y-WdydK(O`Okvz~m#er;2=YgE9^H3i65qW0mXUH>g%(vMB zvAac3cxC33l}R>Rk*g|L(pMlo*jRAg;>8Q+p`oI1muw(vEa1Z82)4F?-2Nt+7yQ43 zz$UwzS7Pf;YA_?~WlSmq>uoB?W^HPQeaDxWoZWC`nN9B9E?{=WkLH^y=pdWRR zTDG16X2iHJ3Q=fQOIN7eb6 zCrzz(2?TpfYa^k@*hcZ{AmpGYhmb2w%gnP_W14eX^mWX8{|ryAf)vp#g%q*WTDfA2 z*aj(L9AuIvkAafUdfeU=7Wvsk*(bH12{`r{oE2Nbcz{beD6yOu!C0mx$bqGVMUj}%yfGMLgG z(WV6h|MnSdNK@Jx?O5|Hvlz>IDj4hIfyU&aG4fgLw?K#D;8Kuf^RSCiwL@}RF}#jp zC*Yh0hi_EZJeJG+A;sZDX0fmnnq?MdNW*kdm#-)(S8W@Qa~OIOOLAC(8$304&0*yG zTL63WV95PtQPlZ4)8ZwLQ4~wc-a|=Y^FfX};(zd)4B@eDkfaB%ZH0p9I3&7tMW|lkh%nnatC{TSH9JGMF)gs3CRC+J>#IYb zg-T^eDz&uD>X?!kYQuFasZ^w>QnAdy3tjEI4~iF{azn3DsZ;{R%Wl6>X$-?_R4nr) z=C^5#5=*$-k#pU?J^Ahh5pFGBFNAWb1_l&0exA;zO*q^%jWne$%{jvZq|w7o(+En9 zjHO~n28@igjWnfbz~T`dNE7Qonpg+Y#5&MTB#mw&X7tz*6zf1xtOGq@WGvQ!<`CPk zu^Ik%JMh8daN+mL9q?IYlP7QO%Qrk*(l>CFn@FGODZ0-JZ*9W+XC1sgUIU_U9C6lw zV=Rlu=8vs9!nygI|EVLB3ZS^t=EBB#+y9`Z1d2O!lDM`#hnyrnT;e=QEc(KF(i%m1 zQlqn(lmPYs`wJ7-d`Ew%ffXcE(SmBCBtS5 zRYdh)(*E&{G>^UU5Z*{LG=A}f*r#MCOGAuOYUPQ>f`62h@2fSFV_%Bsk7%N%#-fTj*@b<=$!~5?n@H+ zlqm8Q^UhPA2(v;oG(EA!#zQv}RIce*-+CtHp!i8 zSCIa1p?F)5{>MF}Wa&)CB}*ZNPilur$#Rrq9}OkTagJ#|lq@aoq-06MB}`qO*jcTM3SSO z2?y4O6$cYwn)Si}idSvFIIamz{>q0SdtfhI+TmndBu$qiwN=PdzkpA+lV-Xv&FnqE8&!dxqnkHV@#|eB)%U@ z5XsYdghY0$1IhcjiOB1)VtEdyJZ2`6w`AhWgqVE-6aV#TL|j+ZYn?2tx38>ko0qG+ zvKZMKRMacok^OFA8^HZv8!*cOJZrdr+KT%J7Yk8E-OlL<>cc8L7|kInHE};cQchM@ zko)JkSY@}mBUMC;9>#2>)#ynDJw)V&Qf$~d9x^P5Sg*zR^*mZcLZGP~&2lo679rze z!zFIrO|$HhVZ-VsX=#=QqV>K`%p!#2X4$C~aZApPkKnj%n|utWUn)1bYhj|+WIuFF zJmzT@fQZnYXjUPd1Q_qIovfENP2#=PSRqOKw-+ZsmU zbD@sYCn2qMoY#>N-0HEZ;S|Yz6Wu4)*E=<4;H3!;(K|J^VLNvv`w_b)1Powrh@3=E zn!)YCEViGZS)8zAJL*D;Xcom}V_X@w+k`&MB08RCVdq@KEOs=|ERNW*7EK|AG>g?l zi(5RW7XAZxb>j?}MV*Y%G+EeLwelXA=3K~DH2*7tBTOM!XTeU+dtld@av2*Y`rKsf zV~o)-KC%op^S#b>5JbCte8DP>zVG`}(hXiWdd7SLOHh|m1zoW4RRx|l91^Vd7~IM! zO%5H!F-pcC85pw&nCwnLURp2o^-)w;1$MfLL2kYZ8SEGvj^9mF&B(=av=RtY0?ow) zq*swoC-g(GWp_Yrp@)Af*zgEwmf5E-w?$uo&NT_>bZ}4$^<-8H94ltPqp$X{=&XUx zH4gOXYoK~596+>!BT$0!%;W<=I~-e9aMZj(AlVn+@|=B7@K|Q4*L$9C2rO$_^w~Aim2hwqv#=~3|o$Cc=SA)9g3@T{7AW3hH7~@d6A;N1~vXq zXI}yzMUl2&-7~ol!X<|w1A>GrWO6`2luRap1QIgN3=kkPxh5o-gpdS8Ma;o1YE)G4 z42Xi@0f;NQs0rYW5>OOZR-@~>fT+>M72Wmo|GZV*)13nM_x->ACH3@ERb5?OUG-Mg z`&Lcwzb7_)$i{vR*-F8a%$~MceYvb6yi?_4NwR9nb1`i!dC^?#t{G+a9X-Wdj0NUm zk3FKz#r}M6Vg8Wa3p*0eOdY@K^UHiD# zkshH)rgE~6)yt`c z$|>Vjqnys`<@CeY|5Z8tVk#$1W~4S?df$l(#RW%fHJ{#FC&jLG$C^zLQ(~dFxg!U% zqaO8)>e{GF)HV8jN4>5+zn;N-;OVE?AVwt$8$@m?CXns0L9}J>nKtqGqL~)kZ!?02 zTQ(}fdbJR9iN)*KF2`rvK7adUNepYHnXOPfy85jr6{SCP zB_|dL)l4#l1s&=293OsiQ`mRSW>eVJos`*_uQ4$^G#!pGg)Kf{Hif;whgw}sVVn8v z;&2Z>>54F!!d`D01ydOA_za#pj-I;YNt)C^qZNdw-nwSC>8ZaSe1x7lk)C=Xe`>DL zeeyD{{0-qVsp>*LlNu7f9`n(bQ0`af;C^a2*ZhW>Y!cr!aGONwdZ=Jq)~wFzQwP;; z>*k#(7pTJ;t4Oi?@Y^&Ay`uk)>pMufwqPfzYoYdCUWm~34<8euBjR!H}*s*FMv~mwozX%J2%?5!@_S|{a4(R2aJudin`$5yaa1eU4BL6KOKcOD6v3#TC@1rt0(-dv1`*}o7Is}h(eHBgQ#Hh_-QbmmeJ|TbewYS z={>Ql_6m#dR$JPcUb%Pop7&Dk%OG4AJXxR2%YQD?6yq^#Fl{!{e~p&^e61#6p~0Ko zbiR0?CSPBEUdY#Ox85%lbe|ke*cCb-Hr^g{VdI4waJchbVdE_=gBoxzY`oFEg^(V# z1^V-L=+8%bh5r2e6)+SR>~j42L$0RfJKqn9JPR$~wb1gBE^+AsB=Ry6xd2^aJ#>jT zJc2|zk;q<8K$m!;-+Kj1G+kmXT5JB03kzKn&upK#1vLTo-kZtZd+$K9_vQ~d$L0F9 zLax6>a{cJ7B-a5~PWh^ov5J%x$?RiWzGZRL8_Bkh zTk@O2(nfY3gsSaqAyjSuLRYZn7IX!cYhgehJO~El7BZc8UH{N!F+>-lS>ApSRh182 zPw7>){yCTpK8M*L{G)YbAJEJOiaKBZjrrNB>wD(L~nS!`!zt?9P5oUoHN8a4Ci-9fZc#1+i_s)f~hF}GT`2W>(J zs#&dLuZzaC`HO3Vo~3DXbtYyKb)DS`3NXYdcD3fxwEReq0T`YA7P%ii=zQuyFKpk> zpN99KbHi#)J*f8}??Jf{^`y~*ZV=|v=xWkMepSGG%P%ADq#m>z_dA`+{Td^94;pRi zLGz0S!m8hRTlhSBX&uclIh}49`VQ;%C3@1%#}3j=ZCDCA%I^IuPzArDD!9W%3lKE> zZ?B%`OjWS$FfY7Xs)BDB7UoAL@hVs>)U`JXb?tRRUF#L<+GfqdTo}Wn7II^>R*Fg8 zyOHuCEr`@1S}E@Cu`nj&XO!Y@mm^S$kx~0limM%19uPiYWGOPNEf1(lyP^@5?J!>+ zaMeTgyb2zr(a3?o@_@9+PF@kyEPOrE$u+{@oGc8^$z*WuXj~yy2*fw>x|qPDYJ;8Q z>u#a8I$$JUmeAy!mKER9v}sw>#M2l%q^$`j{rUYJsF*9tn(=~z7lzix9B$|gvJ42X z>DxZIuRV6#MyuuOwd*i^5Ju(u*=Bp}!Prj3Mhg)3x+KURtTCDmT|$qqN;UmT3XKa| zNWXF&jSEI#TwpPc3;s>|6-*VEQ?!HJP|TGpnOt$QFb0c|8lot|J_lWHvKW6yku6tP zkwSYDrGE=W-qMW*BNrT4#*gDl{uyo1c7g;2B> zj(*qd?*1cbah!EXuiQPoXT8*W&YAX;y;4iVGcCMtq`9u>8&?KnKhi~gqdq{<=elF> zn3CwaQjAYhX9sM@{rd^qaq;7t?f9mBqVLPS3w>WFCMb^_M+aJj4%FMfw6_Bt=HDPxcbW;s`GMlqQPdZ#!YAO z8Xs|$XfMN3sHO3WURF*OJySV_vGW78QmI3oCInF!2`4UC!WZ{1LzaptOPSkA-t2EV zF8T#imL3g;e5&uyP2NwnBGI@Qu^wdYaI(XQ+x@4ULeQ?p#e7(wYB>}cp3wKOO>b9a zYp7k-)3BSjtJIMNh#hEGRNwUR8?`GNwTHe`t(&RdPea29z6$lbsV5qMLQD2+L8$00 z6fKXU?M_9sxw^TW*XN#myeD*Rv{u0iy&BD%aja5>Eqr$x?B-nMR>!B%Vuh!5$i~9f zPzI%2$@!$9<*Q=;!o}IW+XI=jV;k#(1NX#Tf7CcFhR; zixhLv?I+%;64NbH}=2&D~nw z(l52vPMc3BMNHyD`Ij&>=m^ZG_dufa`LuW8TD&lAK3%iMZR!{Q(m?a+HSsjFoUhHN zz3XXl)|5y-pZ2UteJY>k(;t3u^Qzg9!L!!$`Sh|oQF-3zZqH|88yxhFZuIQb#>Ya1ghbECOs zZXYvQ=B|0G5d*5j-eZ_noNsUMP~$M&7&r3;j9FlrYmI_or_eJ8+O2kH)4ljTVOW;D zClD9fePt|}eHMG>^3X$%M;t(ChaM`784X&fWnaXr2>nVA71!SFna8hn9*+179j<34 z7};MwBkRz_pC}W$+3e@)EGXY*^v1-W<cUy7+P ztqVRqsLr$=?8i09^vXJDSjdd^U{_Uh<7yo5hf|xlTv+|)W*`9uct{-P;j`V8Edu9u|Ws%)r{ z-O@zs9n*{YJd2Uz(^vzfi4{D8Rzbz{8Td}>`esqz zIGUDQ?GAbt)9jb|l)DeNH#Bj3LtpD8=;+_EbsQ^rx0x^D`q0*n&h~OzFkIF|(qs`| zj}@(HEJYtlb3AE&PU0ku#K{f^R*CcJc{~INiH`z^k2+d96ZA*(b4_mO-Ctns)c4OU zPpls$&(D4NTK{+NEDA)kmA;`ueu9ws&AIn=SP9E*fPot&YHy zU72f4*|#NYQ}#`>v?+U+IXk`2SoI26Ql_>(u1(qtZCKK1DsQo7ZA03KWn5ODpNuux z*3}rF)E>n^1?Cdl!B944VSOqrB_7rfQ*$4e*HA!;u}8R-R3ummEXE)Fiy4~Grnx%* zl7`0hamZbV4<^~{;ypmL(i*nsuoR~m#H?;}N`7x1)&uqTHW|UZ9)3}RPTfgvkniR*B zCGUX!g+(8Tcf?qh(31a&@;d3YVm0(Ld^PkTJ}imVmzlIf6l`A+0vENptT{-HXZwggN( zMRI#L-x4q_Ah#V)bGco@Af2lnqlDbHDI~X7a=*4wLT=l*bX#eb+gI-(x&7fsopjUG zZc*W*Tgiky|ASq@=sh61T_n1X&HtG5Ym!FqvC$-Yc@FKX;L>{xNpHn>Qi~A3Hx&^w zj0kO`DMI%^grw&S5Mh-OLE$M3_I;wIkg~7(JuF>Feq|xsMN3Akr}$Ea6M`2Nq`>rB z+!=iwmaO)VdA@0ZMV^W?`r@xp^){nSCa>X%h|Dv>XciF?(GLOtVY{I+|v+v=TE zoA0kY8+w27eatm@n%koIRouX}YHbiFm`Fxqsq)ZnyV_CE(5datqv`{l8Z{QIktH2y zPs}9R!rZWWraE@Q0velng5)i%zb!Pq$am0^*3q=&baxP$eqT-{n{Js zXWrbYG_Q!Cbz6LJHQzzO*HZ9Rc#rRK2HN^o-q!Pn>|3}y?aV(GOzuzCx3wn6`%3=}UYA=H6t(0&qqI@%DbMfB@b4aS#Z9n3Bz zRVBORgmI9lyRdm?D?~ABidC#y>YF>Q(b4Zh;}*nUETUk=05_s`L+&p^jw8>63UVF}SOLsnY1C$6oZ))evA z@h7n#tH-O?<~97g>9U5@WqTr5_I)F5)3FIF`~Q8){=3($9PsS4lUw$$ykb=jC4$2b zVg3oQhh^h_jAH_lcPY0om&;kdC6Y*$09mzj*H2Qe>#o%8@-G4FsXx^%$?!N|pR318#Kc#;^{CeSE zM?L;d_)%f%$o&!jMfi2czm9r3Z^4h!*HMrEvF5L%9{+3jQ+ep9$Nv$2bYC5H|KH(9 z`3xWeLXjVuJJFm>M?L;9_)|W0B!7C|aquVGq>g(0bolp#zmB^9Z1~f2>8Phus->@^ z?th~eUq{`4wH9AT-Twjj({t&l`$Jd7-=mJYf18%Rj=F!lmcEX<{}=G5dZMH5{{#G~ z+;r6aEvTzhK01;=)i*ly`b)_Dt-_DeCqF{ne}KkyB!7xPNzr|E)cxVbu16wUDcrL0 z7bMN-OL;zgYcJ6!zI*7y_X!i}b7sDpWqb@oyB4+YuyQWMq~>GV*;rArv@))uyc8eK ztMte5&%VV~$CsyY|B|8waV7p@e38)KH6Ffy#$!=JrtNP$Ql-DL)?deiHa0_|Ut*PXFF#z%PS;P7a@!C!a2hY4r4r zoAE^O`Azx&opyz3*#^b$vOHMK4JIYzS>Kb5r@)U85Z3$$PyhB zd^y^Ln#3&8Sv@C4T#b&$av96&X^94b)V2;q$h8p>I1REYSv?~ngnw`>{0BgMgzyu{ zwa`E?(g^E@G@ynHBn7{So(jJjr;$;C`=ub|sAL{HTEPt~iEv^jB7h1f!ADx#;fLNIH2-wIp*dluIhLP8MQ? ztiT7w@UKQ&g02TDme;706kC_kH}ZI)iU_kNbO{rlK?}^D{9NU(g}YYn1~CyiIGa;G zA!7c8y%LhfwN5qMt>f+z?k?r-E!+;oQZJ8XP0I zJCeJjxI3D=W4If~-Lc$_=dPW*3EWNOuK2KDGCv>3-SON_;qCjK^o@TP#b1iUTa9Rb+=Mo)J{z3CJ`!9WLi9%i1CLlL(52n{l8~K zk9PGRMbjcgUh_v0xWAQ!LI1_V7x2PD*m zYLK~Vq(SDXF$T$3dl}?8A=PTNK^CiZMzk7rnGsT})*GZwUCE;8j?v_FqspZ6fg1}A35x-`CHj|el7(d)hF}X8={7h>vA8hF7uYrSF6j+%{llRnq)3VA8!}fhiYXtK2nyj(dA(w4|ML}7`}ZlP_Ftfw+JB+a zi-p1V#lny1H+e#hXbHK+Q~U2BjQb%Q~+sLcl1s%|vMhYPmdVFrK9v$ZD@rAj1d}*#8e=}E)uguls@8;_9wYhry!(2U1o2$ne zbM-iDt{&f*tH(KW_4wA@A$@1A9^b1j78ZhX3-8Gb59JhR>6xm8^tTv=Ily9StOG5k z#(IUt)K~{uB8_Wo7E@y#Z0Ti$46*bz$dwjTI~r=S86m?gLk)73CDtIrEu%T%9b&8{ z-Uu0CNioPs%Op;MF~JELWl1G1i;y>?Eez5F2WCkuWQ+w9AEP&nH_2Gc+? zuRf=+3SWk=L|qcTX-jIS6fUXI*vwi4nz(0{v#_E<#7y@WEm@GW2->biHNwXQ<&n$(7L6F(A)2C`tW~GZ0uOr7rMGcqdhLkblx&A5Qwwl9#Ysk1c5qI-=KKBS`K&*yZ^ zu%l9#h&xZq4-azZX?fwy7gh1wB+$-?Y=bkbRSM8h;Z(OQX|xo<6d6(RG%|9 zhx=;@bAP=sL9{2unf8P@)1E8~U()quXm3&wx40ijf;j|43xRS@yAjT`v}bx8Zm;Ic z&&~d(S7lH3>A9zR;DFk*Qrs`sI~A5?Q_VmoQ}i;hYsqmxtq_c7N%5HrL3=VDRWAh7 zD;+OxCuAM94o`YkZlH*@^2dW|$eeUV?*j4ocrV^RKaoyeavCrg~UXK1ozifg9u zp{|AMku1s0e!3(#`~m{h@WLw$bRmYXA#+I~E#JiKr{Bcz3*4lum)>1SzFMy!`5N7Y z5pbzI8QmJ5!{=~%vu0)ZoSBXpGcvM$cHVtlA|T<90uuiyAnA_+oY@%;x7NGUo#di2 z`{_kx_yvl}@WNT(eHgyRvy&cQzKPjSzlq^@scQo_Hlpb_&UWSavf~*CyMP1%qI9^O zK+ZuIPdy7J&%pgmen!4bo-TK;H^b>gi8|fPm7VRJGS$t5ljUZP%rv{()Z&0%ciB% zCFyiUI{Br$lUO>+0)4uEx4>Aqwro+27!BLUVKkhRo1Wojxm1b(k1Hbs7rJJ;;AY`J z7yoG~N;wdPr%l^9fvmcv&bXmm8UzJrm67$j(YbMzY;GxQYO5Hr)r&JegTj5XVb(%j-eT zy&m$$H8^$9E%m&@P^4vfD2FO3@>we zoD21?Vy4;0maql<6~+974t<;|NC@5`J)NM8Jmu66rs{WVMdC5iED zs*`Tw%t{Y^((YQ81mqwK6GluqN2hIJs*`#9%CaZ;HxMa#CoH}6#oxp;M z(GL8}##AP;;HZ$CP!^_!2V;Ss(nIZ~_GW#QzDhr(zjC=UKpCP8RjyKK9Rp1>xxFez zq0cs37}kn2MfrDh6kLTYfW$F9HBVvbJiVrTslTRntTV@xQ;) znB9)esw%EnQsOs94g~sWpdgZ~vf%1t!D;I|-ugfGpSmMz2b%}qi#9b0El6alO zS4(`8#CJ;kd5O15{C$a^lK5GP|19xv&BUtLpMerjmUx=Pvn4)D;sp|~l=#gOUnB92 z5`R?U_{dC`_p?vptr9;X@lPdwR^tDbcsJOo#3Aa>5Q$He_*99{k$ADhD$j=8-_}3EuS>j^-4%HCxJYr3b!NqDDgAbGBl~`9}gzIKwJ-w+S z*+BYpC0;G@l@i}1@uwwDHeqpylM@pEM&ee@daCEIuf#`7JVWC1C4QsCneibdg<%f7tF<8B4 z=89&{)Zdp?;*k>XF7e(Hze3`}B_1#Fi4u28JX_)mC0--(nhQIpDyuSiF+iTC-LhgUL^4a60eZ>Vu>%6_$?COEb;Xc|3KnfCB8%A&q@46iN7N8 zHi^G3@pmMCRN`X&0!aiRA3CJ)uO-%-$-wS z6dnf?yg0=3q)OpViBFYyw#2WKc%H<45-*kbLWx&Ne2K(wmiTQFUnTMN5*MpkjPltm zg>RMk(-Pk+@%<8iRpN&w{*J`kCH|4bPf7esiJzAE_Y(h?#D9~xRjLmW5~n2_=K9i4 z;x>s7m-w9$pD6Lk5>JN9@LrAvPC`$AoKp~U?XFPC_g#PPdVUD8`7 z@mnR{DDl-2zg^RWa7m0r<@v{>DP2y0Hb}6s! z67M5%+V~+3@jk~&To1K~h2c7d+Jb+)o~aYXN=Fs*c3NkuVm?ay^VF*qeCPv+`55gD zq^s6M7&}jhhxwJzhW#zjb*Y1~UX^eNW4#Hl#Fi7nq4?Gw;V{OA6JEs_>F(6w z*gsAf%h&|M5sXbH9EtCe5srfHm~b@8g>a16o2SNM{}^!;VliPnW2DWRL0&WbTD?5FpV+N4XRGYJ|#>Sd-Bu_#{NNk3S-|APQ@ZM!c6?80AUvP z)Dcd@-XhF3)#=zHM3^o1p{hC9V@iAmV*?3uv4@w?g?$=?s61l{-Has@dKkN!&_^|nm)G1f#_ zz}Py%LdNbQEMn|F!eYiAA}nES3!$H}?S!R_JwvzvzadUo#@I`Q<&3owE<}AKyn(Uz z2`f;Zgq4h)BCNt^;RqKoc9yUjdm9NCGj@Tn2IWXti`^R*U>$Z;5iY_0OTwk7mxMQB zZ#LmFlpor^^5RMln3Em zs9%K5s9%J4<7@AP_b~P^!h7-Id%}(Qx8nak+LQ*oAN7dv0n{VHO{hnNn^6vg4>E=i z)HC%V>`^9s7<*+2A7N}7;iHVX2vNqQGgMpf{DfQZF)hNaXlI0vLmm-s!*dco!Pv8e zPeT3>ZpR*C!X0=X!lxMfl5i)!D@3>p`6qlD@+AoP4CE={v&b*ubBx6k?uPs%+=KiP z?uDEne4eoq!hNW}gfB3*g78mx4}>o=wux{*+B@M(_`Na0ml=DF@Xy#GM0fylknk1k zVJ3VP?K2qo8sr>dD`TWPQ`@lDmGB_SkMI!6jqotai|}>E@(JI-epkXb@nchjZ!uO+ z_%?n>iSQldi|}2>whgnz+%CHxfcmGBhaE8$=9UI{d1_@%*HNqH>|%bfbI(g#y1s|48^Z^oN9BAwPtFM}7#uMtKqb1Nk64 zjeHPN{USVrd=Q>RJ_x@-J_ygDei44l*z1JfF?O8rd&WK|#Qo0^BAs6dJ0Ty!fInc@ zB;k*EkA(k3|3r8mzvoK$6XYi0zZmlp{tOE(;RVL32!BC8L-;HDW5Rz!{t^BMdz=Y> zL;p+oKX`wHzoR`9GKGCas3`1jgsQ?i2`viyjSvQ~@Ni&|!uk*f<3l}!Aquk-hAQk@ zLUg{<3BwhZM;M_nKVhW8NC%@vDeN}FX#7MYAv)>JgfR*u9g5mrVb2rxP*^J=HrT&M z*h^tw5u!6ET?f6_{~^SCrTwq!WeV#{2*cbkLcHe$!oCV4U4q(AVcCTJ6*h+ugMm`Q z0Sc=n9H_8*!YdSZ2jL)vJxFL%*mgn;8uk$mQ5emo)hiYDKH*S>eM&eCzwAzUmBM}^ z9FFl6nRO4UD z;$Y0LV{yfcDrt={i-TD_uCT7Iro3oLoxfI(Tw7Pe;;>a+ySBWlbPu6W z80>8?zCn*#QCGg zm;f&{tH4nYd0<0*#w+5#FGBf)SYUDZr?lhoPe=N0jF+OtIk|eidTZx;yt~maq!`fx z@v^jddeGa5XFl}jxB{Li=W0ES;?2?tP>(mqbWXv8&<{PT2b#jx;asH%+R@s|SZhy_ N&LqUA=Z(St{{vrGlimOT diff --git a/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_pcd.d b/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_pcd.d deleted file mode 100644 index 5e4e1b3..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_pcd.d +++ /dev/null @@ -1,45 +0,0 @@ -wolf-lite/stm32f4xx_hal_pcd.o: \ - ..\Drivers\STM32F4xx_HAL_Driver\Src\stm32f4xx_hal_pcd.c \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \ - ..\Core\Inc\stm32f4xx_hal_conf.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \ - ..\Drivers\CMSIS\Include\core_cm4.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \ - ..\Drivers\CMSIS\Include\cmsis_version.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - ..\Drivers\CMSIS\Include\cmsis_armclang.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \ - ..\Drivers\CMSIS\Include\mpu_armv7.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h diff --git a/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_pcd.o b/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_pcd.o deleted file mode 100644 index fec06966d095c6de56365406bc0ddd6f05436570..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 53108 zcmeFZdt8&p@;JP?kOT-J+%!ml0A3<022kXtCIl1^HC(jX(wcA+TP_h$(OOLim#EQ3 z#U9#f8x&i#o)$0dp*`9rTr4WKXg&R+huWajQfn<*+e2I1zBA7gkb2JV_kQ2M-hU1s zc(Oas?Ck8!?Ck99hOJ3y3m6Or8TnxlDS1>Qr062`#%UJyAti2qdP_)x(t3TiHz7{& zK8G)xxOnA<1;xLfTI$y|F_97BM1uH)3{NA(l^H~sfHMyMxcZRYiY|5vM;H;ahf&R+ zoR zvT9MNG}Iivxg~qI0&%_DtJla;+Xn6E?Sr z84(K!XR0u{K|YVYYwsII&&glr|1GfC7MQ)Cta$QHQ0zf@hD69?Gxc)#;4$?IoxJ8k z)6|32=QdpZZsAiyU%MRY3^*9#a>apyAL?Bs*s8hgo!&O^_d7ownlb$RnjLc!7kV3M zX?i3BQnhNL(k@%GU8=dC`S!0$i|@FD6rBN)K-bA6JQ;x=6+458_<@KaP3TbU2`2o+ zbG33`!f}22JdqJ;CPx<}e>IrRzcMwk%`ESElh0u35^9KuI8WFYbc3jl2#J!&-}Gcl zmvM9~`CA!8OxU_7o1`r3Q1FVWL?92ApGYA5ndH)Wp_X(l=j(zPa>APVw7!iIH z5}GKXMVR$Sgp`=%Jo7!P{FUI;hRuKZV#_I~t@j?h(!bVR@a*xrK_fGWk~N!I%_byW zM92yF^c$Q${0ICn%*M5q+>PeCG7)!^x^8nJYhz{ICM~y2$}KBol_hhZPlj?`R6|{* znY*cwRj#XxDy-95xD}*3NZhLlIM0xDHH!QC{RbNT+w}g|tYUkk+qEF^Aj6+j0@;ST zGBfviib6#+cY~VsAAp1h-E9CifU>$SO2(}$G_2=wH_4$~7h`5g{LeRv&+FYT!?RIL zs>GKy0c{F#Z=?8{E}$*QzqirtEHI<@zr~Otg^g0KPR8BHt6LBK>gqNmb2nI!4Xgik zn?EoN4R2`zu91L&M)BDo|64!@*#`c_q@2fH4?Gj&dT3S10tyHzWWf;DTIx2K>!Mog zDkQ)gmk3Ck|Mf<<%QpXRpf+~ko6L2afCp_IP*~Sow;qIMsnZI%KyBkAxq;BGS^e8= zz<^sfBjB0^7zpTLShyRTfdSrzN)$T^jnc&nQZA@w8#WEU20?&`~pYBM$0wi5LvvPQTzhXkYL4xlVkG5zwo~aow;ApwQDF z&=ur=2VVvBGzMH#Ad}bisMgP|0f0834zQ1uZ|TI)il%VKCbsJZT|t676v8!$_`D{d z*W%V;LmVia1tJ=;oiU~yG^VT=Q`U?r>&KKE$CPbj%KcPX5pY)T2GSS^3bgA3u0t6{ zC&yP^{r-c%dB1-b04W1#Qv_VI1q|sPt2$UkEz^QxxIY@v*oO2N0RrQ-im&PYp%-X% zM~B^YHwJ)_J49+35ox0vb_xtg{D&<5SkU=jhuL9q15QA^Am=+ULxCnT>3_c8zYhu| zpiLw0&<9+GvK-1N(pyxkTMYj;1$Kv$A1!D`AR0;+I6&0eEeFF`9vlGnqX2SjFH^U~cN%s$uWEe9tCicaQ&HzV$(3fy?WCynAkaNvx9 z+YAvnSZKoC4F2E3(t+19*Fh6pccfqvbnCy2Nk<|CN5S`0*h zRr{FojWOkcG38rh$~ZMf+TI>h9!v8s1s~Ah%ss0JxDOMmAE$qpMu22>;_LbVy8`?V zBEWPT^(2o~(XjyjSg$hbPXFAH9wY5fx*Pp_VWiN?CZHy=_%=8n@BlQm5s}iJLXVdj z?$@A+#jUsBzXKXlP6n#zb8N^Sl=~XRu;4<$9wa`i5Dx}n87v1yst&+b>VO_tZjf7Q z2^cA$=xFyc){|(c6@m6k`Vn_TFAXF3gP=!-)5vi_KTHbZ!T>)i$!WmoFD z4X}!hF3P=fAydNfGX|5F+^WOD?Jz#wMY$a^pV-NNgQfolOaBd)aOydNF58%LKUMy3 zumnEy|Ic8F<4Igq#S%}Z(BM}2#zS;o#LAE`e8L6aOWg0P1UKdGcg*g^68G;_?sw&a zujGPax!@bM`!`VT;rt+Uzb|($RttuDINu2c4|D=uOf@U6TNy*xG4+PH(}ws{%IFrQ zUjr-tlp?O0HP^x-NcIPn;J(@&DVPOc+XY4c2}l%zuk6SMP`=Z{xkcQIdj$P*_dzJj zxMieC8B?!}X;H+&vz{e4D`UHr(MDEWz0$8o8QsK+J7tRoW?I_g$t|EDbN`A6Z%N$y zdyw;?A;C9x!2@7}w6@2!BExa@?eX18KdT|WzCF6BJs#*F+sbG&OD*WPBG2R!wkoy? zzS1J37=|DdJQxz(@8SH*EEoXZKzec@cuEo5YKQ@9V+JmVfg=xhhXlnI!H+#Cv`T6qxsS@NI_|X6uT>e{+8%#OA7fSe0Rug(Sn@#P{v$BZFZg~$Xs8Q|`&XbE*`HKE z=5{IPf2T`Up&s21D;$xt2r0-XjB z_S|Yi{C;IjkJ8V?ioe2=p-Na%kVqKi0xy=G#Tc?D;VPyWtzX8T5S`04KY@OxSJL4 zD7TBv(8@+rmgD?nv2v=IJm>gnz<WIR zvI@Qj4?`NjpTOK?wdhy4$oWyyZuD91I<`vgPhM!z7c%YqDB&kG@RYuijny8qpGy9v zKt3x3@{(TF@&+N>t6I?@<1@oGyy~0;S(=cOTO|{ERqFs)6|RUjJ1ZqZukCV)DX+~U z>0k#7U2@ulye@XXaI&{SJ@G=d?q%UDFZRL)Su`^|NIRLoBsWd6|5o^9o*=wYTV1OI zh%!yMzku1#W6x3)8l7uGMCT$jT6S)mo%bob%_D#Xyo5pKYEvP1ZA)F8v~GQ&0d_Hv zL4pL=28cXiBO|P<=#_E7WqoJC=a7Y44jCnIfIXZy%!0}u&Npgqg@wCb&INb0R>G~M z%A17TG99;ADA+_C$akqGClT4BZD2@{{r33u*WUZfS3mqu{k8q)Ota#D-@%XgC~@Pp zXI}c~jr;eWTT=RIUcrQbKa%RMt{QH*Gr|1`xfSF@ctk=VB?wQEI=M66b1E?t!jJ%j zFswv*bmzh3Ai}{K{P74!lyQbpz8mtKP{q8nknm+q*H}|SYJdcJMCu>$&A7|`@Yh5traK|u~G@)DRLR3Kg5o1xW?R<=} zf0QPJD4;urguoBQ*zk(j3?2a;%MnB|_AqE&lMuzDLlDJScE`^kF#%LZ8N0{(A7Bi* zV8S0Iv9`U_`GAIk7)9$m|M}x+IphBwZ8v`w2Ra3%>7X^;X<@-MRtB-K2~!Rrnzz#2 zeT=C9Aw+^OY6zE)Ps|_^24TtpLZkh?{NH3dFy-HrzOzU9xNP?{-o_!o8O5U^9Jo}E zy!}Za51RKRGj!~+nmTiN;%+8!hT)G2#PiK}_<-g)7OloxRL_`7R7}Ewp$5x74(~kMs6h!vixDMm2`F?I&-;Op$^d zLFn*=nUeqPfNu1yuXUr&S zw(b=_K8h!8>L+4A-H1}^vm2!WbQYI1Vbu8q_D&P zdXTs`$RAR|1+r}r@5lAPV$O;`ZHUKxz>xQPHTU^K?wmqM*>dOTFh!?T!^sAB{jd{| z-Jq*m1^GV_p8RZV&`*cZ_^w^>qZOicRt_Z3;K&6MGw|%wh|dQ>2HO9;hVwZ@c3sLC zh#KJ8+8$j`NB!+Hq0@&|aTpD?^FLlwr(IE3rZrR)ax2w!6{5NdOP%j0neKO~t;T>^ z0MTy0L~sX2A(8s`*)X|y8B(75fId5%Y53n}1QdwUVeb;VAA%IsM8`lN160YaY)DUg zV0K3d1Uwr6=LwLkg51>>$nFEfLH^d-z>>h@?8M7Ztot+tr1 zb{J!Pck-#VaMq;euB8&FzW+Q6XCCg~**Qa0=ndO~pHzYp2=HaNz4*b-fsM-m1ne?p z|Fs}Y%nUIo&NU0JTy3ZKNi%zK3l(dG=ua8jqnt-JN(h+(zPT(QS)w%ZeVxl9k|iN} z)9&4u5-mb$UVL~&!Y*^rq2zHBkJrKM*r2*<^Pjb#YPzY6``N6NeHu}%f4rMlQjtu; zzaidaS>_wbXQ%V(%A{RurEGQpbiOyBh#hKE&gYxsUlP;|le~iD$#teR!Lda-|zohbR)1p5M3!9l( zwq|{PS^3P&ti_QL3uaYTu1Z#jeN=u7kC7QD0+#*fMYROZx z^YZ4z%#z8T{(s{jr6hOepE=0OF9<7KS5m%abxD4nB)3pgh72!VgwLdmjHMY^NLZG+ z5%r>h08J%RwX7aPf;eV zTD&wd39HFVGqXl3i5ZDh8QGwFUZqfGr7TYx1%x{Qi}KeLty(`j8?=jm$~482h1m&f zO0vVkve%U47Ol(62XW=CDX9o61PfUQg~*6i6{D(xI>N%E#=y!8OUo!|^s0(6<5Moq zOv#*?n7@8aZa!G%BjcP2_JAFY3{*C!vXUO-(yWE6K+{PjdD>FwC2ONLKQX@mhl_7$ zQjY;smOO@?v?42Il>;edaYoYOjEtrX;T@e?)a9z)MMPKE8NNfbOU1FWsvho9e7q&A5}Mn12`ZNmo_?xH!hRC~761gc;NuiNTJdoj zA69&H;G+v4-T3Ii#}$0^;-e2Ac6|H2WXpe}=RQtWyo|%O0RlbqJZ*2kJ_0vs04xqXm8tqayiSBYd)nqa@F?&Sv?}rCI zz=6m&pQZ=$-d8ZqFcLiwcwqkD%hFWNbA}9XN2oClPo>Ue*^+fQDh;-JhLRs(&pG}y~L^^=n z(_}LaFMba3)ij{lW_(BkEHvPl&8S;KVc2Ovi_KU~10)QJ#wi=zf23&ufPZ#}HQChF zW$Nhy`mHvj^%d$Jbm%o({sSk(^SQVC1Jwiv1^^Z}B>1_vZNUJ5DFFa4Zb*a!1Lgw& zLbxFb4h-0<10a$clHmZrv2Mw5Ok&>vgkmx-ju_r>}FNeVE zTp+Oe9qbc+KtUJ%O~wOfI6HtPXb%9l08^I(023Mji^V41YiDY=0tO`h5D;KWevNM8 zNda~Sn2Zhp+|)&)K*Ir;KmptWO}y9XCSc)6BhZxmI!zQ@2LN^knv4#>WST~xsmswM zl%^py@tSEGVKfb?DcJ#tplL`=Mh75@rXe+TIRLRVjj1Ny5t_z4n#NR9@)4Sk1e(TF zlhJ{(005c7eAKIAzbVm~vNRo7beY3}fla+B3x4yb3FXXrM9M%DpVcf2ZvKffG7-qL zTmBobp?X_`$@%5_Z7=V4ex+&KE61E)J+D zJSSnw=XVBZcG%&(-IX8F9_9soVbn=x?wlbgW zmNj=yYwF}1p0rkBC24vyR=V1r>=yFD0ZgYIz$vX?F&T!zQ}SFs!&H|_-UZpAby~r! zTSC=X>6SFRyw#n^lfMOUU5#tVjRI1PFJNk_zi6wx!W;sj*V{huSLZmBqa- zOdXgu(E8E!-f=J8D6OB{D*Ko^n$}`P3nj!49724b*#?98`y*30BMZ2t@;i-S+P2)H zO&`Zx;k=_8BDz0l=C-vL_cA1ulSj?=%K`>fejim4`|}jHD+Xi%m86*pF2FR_0hdCs zb$TQ>WdYx){BBf{K^l41SF(WHD#|W+;J3k4iz!omf7Ddr7~|F>{%*+vzE$~s&5R%- zLTfx$ue8o~;A&2qn&?n%9-=iEJE zpp<&8rn~J@Za!9BRLx_8IU|0g`@Me86O3RXfq}um-R5>IOzWaNn#0A-?cgr%sx^+f zxSex5>HvT~k9Khv0b>abpk18XypB2t09;(RwPVNWZr3wccAV*R{cvE%hj&~*!nr-K zgB#XOk)a3ji!kuCZ0y|rRTyZe0gk!-P#AcV1~}&SZ^FQ@Xn{Cr$4gkHp>`XQB8t5ht*{7P49RP>y7nzI>0KL2{US#TW0O;jq=OPpDWts+U zmKPV9k{y7_v<;-0j1B;}8PrvpsmlSNmzSMsCf+NT&b|(7GrhcAOfx0FLKAhYCW}o* z2LNY$rmzGjpzV{<38)1je2S8wdzfnKdgaoBPjylJdj;1nau&eAmk65sQ}Dbt11{O%4@cQ^my71PB&{wD*bPlmfct-eUd zWT$E0_?gpLzG7*&Y$);S=}vz8l7@><>J#8w`VLnpX%=UrsL}Aq-)lis{=Jx2Wzh0sku5-bM=AKwc(np4{NUK zIM=E<*NmKNrn6VgXZeO}`>~VeomU^g(r~T8ZB@656RVFZ)kkUdQET;4#rmiseN>q~ zs$3scp^sXpk6N#f+MtiB)I^o&qc-ZJHff>`>!aS#M7yxkI2 z$b!mzO;l;P@(r(oAI25zoluZFuHevw0@nC~lM~9?Clq>(U;Wv*!uD~6A>#`p0lPdm z>PUFIYz|;UC1lPqs&Z%oR18sTu>$y1r3`y0*gHOwM{rdlUlOY04OGWSs>Ber5gSl+ z$y?Q3Y1PCikhNPvk9h`1dNAd&;PHk)WdpSIBfXEdg$4x&{~qoYMBZd|%evjJwSXG> z8g{PS|1v4madITouZ{!d|JAR=fu?4RE1-VWnsEjFoD$+vV&}lGg^Yt|A@!so$41KZ zD*#rE;I!H~ZxHu4)b2l71Qlxc+oQi0!ELL1u-;Qj{kBRR1*|e2!RfbSpB2_`*r}~- zqmi9zp?-T9Z+b};f(kj8{vGGvYna>2n$W7B+iQq5R?qEar8XOq0ocRfjtf(G{iO2* zW~BX|K&pBXylt&s)XI)&Wj`~-nh(Fm>f}-4x|(FVm$A>5{{#dCihjRmY`^DVqhQ=Z zFA{9`5cBq|~& z_vCZsYn~5zD|cFXc&=;QtA3q4pLAcJjHy1EDHQUgoyWR&mekv`a5f(i5fTKSt}gJ+ zqYXOlrWM)-CQtFd5svNdX976J5#&-8)ge1Xd@WL*0I)}}MAcTyh>@m~#R#V8b<=o_b zFKB(Xz!7s|4vVyLNr4aJ+osfH@yYGFbs71ScCAdZ#~x|(Y(EyPK9IF>NA7YD>yo3^ zPT5<$6;qZC-Dp_GKCw4+V|aHc^Yav+OCo6gUDNhL{>kl@^%?oIYJJ+jGk!Wuz1{ae z`1Wqo`RCxRWJ88i6uiA_Uivfr_KRqE`+bv3DgUOYeqBlMvY+%YYSCYK#|&OaF1zQl zh_y9QePDmG&sLrXAyNDfe~7am`a#b0O~~s?mR=Gy_{-ltYrR8m&*qeHRjuLLIGuBq zm%A6+FmW=^x++abt~5x+ww%b$qEqrgXHe5qYA~E4r*#b;$OOeiM zq+|RS6oCLCRGX~8_()D?>a4d}aOQubngR69Oc8W8E&P1}>5kwj0ryykEtd57wL7_d zYEtxjUig++TNoYxB2yZP2c{a|oC$k_(p!0kh~{ zi-0_6Q&qP`=BiHDu;PeZp0&Y1q!9`6J`J-s72HQD^*EOJV8)}%-u&jKEdiuA)1MXDJdX(Dn3!TRy9+yOxe z)n=EYW|v5g(=*}|FmCo)823LVPS$Ehk6(wVC1KjBwrU122klO}DTVNHM$urKW=)t5 zMF+Z!4LCi1i4(fore7J0E~C&}6f=666UL%ZQ4fH#Wb0V!W(s}S7Gr7mAB%pTqAqQ2kG(P$y@f(I zC&ab>8NC|>l%vj?>dblKX^TRpA^bV)p9lvfXw`!@rnhU@lsJ#ENINIde}4ihe3QpT zRLv|fuxGqavPcEDHau7Luto&>G>JsZQ>zr~$1~;u=GNU5h_=>2CD`d7?q|?i2k?uU z4r?WURj2{?;Jtqcu>T*i|C++&chOK>Jn>C<54_1@k8cb3SnebX8eTgx0fv<&NU|SE zJ{Ut%Uh4gh&iz-(Ks(sXg!=C&yE>hKc73? z_gExGl5^G)?H1nRPX>1;jQhzJxP5s;xO9o(gg+5QNtiI9`P)Autba0e*QEvez~61d zb-sq+*-`*#Si!<=1h6wgPGa+_albGo?lJ=)Yoz&Bk+w-!Ci8vp@|VyBSJpL-iSiJi$ZSx z8H%G`#{0C&vsKd20h8Bn-(5<`#}ZM<$B%!5LcZiWdAF+}1pp_~0Wd1$qP!1SV|yYw6uN$L>!W^3^X<6~j8B?$s66ZRJ(@8V=4m z2t!<1RdCiawDaHwVwqEGR=K_ha%ccG-+(0OmqM;K;LtjfTn{` zicE*Ur`YOs@9`5YQBT!|tax=syJySAmu$|T7hE7tB`5QntNwO1B3pm0$oGW2_&t7; z@EE-HX1;qhqA7vQd5W`wcdOun#Fg3jTp!U3QhF!7745q(NvhVu#!c+h(3 zuNV1hgMl&S685u@Frt1m5<(_I$&0Os$M^{LxsG_LgN+H4k$7owxfVkvG7>ZSf8!Ux zpkt@BoG#aCYN}F|_i}!GsM|jPVZ6T8AB5!1x>3;K~`{ z1j0K?e(*v4Xe5MWL&=MsNX2}}FT{Ki7J0Zi7cz$!+rbvx!D}@eg&%^EvrcjyCKD1#L7o>^UG?|{xmc&|_+@2n?r4xSvt zyR1*e#`vg@OT|YrjE~j^((%z*KR)=7trO`*N`Dt zM!*`!uORm&D&pgibPG^dgfM^wIVc&DhaZ?Ek;m#LE(H?; z?Xg78!1%G&)9FeNh>$vear-MSU>z-dt4VQuOFJ5$FwMW05s|Ap^(BPBbky1I z#t6`XZCu*&9Dx<>f<#DF%Af)fs)4)F3I=p{jtv+SB4b)*3uT+FuX7Py&Zp4P>SyxL z2S5Op^l%2m#@_5(umo>ShltJT6NtQl5g{MqKiMKV(7QjUgNo$Q?%#F42$s1OqG&P~ zgSIQLe@xjqhJfDlVI)bvO``0)BiDsmOTUyr$BR@zW_S+Tc@~+jXu(Aq_Ze0QB9eZ0 zqCE`!Oq@tGT&VF(bWi*?aLzHx6voBw8CKx{iBf( z@&**W*#Bm0-pMKT3*4B&l&ysT&VSlpW>%DH9d_m;J$j`LwAeRM}|T%97zm@J^G9D~C2dy-n?~Hf9wAW|J(;V+D6gMiSd_oB`{Q zEbdgKLVH6~rG``O5x=#8^11Dl&wcMt_Qvw$t_67+)mjb+%3Fk+k{r0M%;P+7=H?@+ z1+7l^PqaFdfXbF)+dS3VddfWNK>VtLvzaY9Y)s&pf)gNqXsagqRoIA!db9ykGkcOvWEhpGd-h7 ze%{IjgK4a?jWJ<;Aeu11CRizdnF#DOt0i36*_}~jZ5iTThAHr;778i0I9{cHWW~@N z_nxlpUM_&%UuE;8=Ex_o@f0P3Nsmv9h24msP$^^MEMtJJo>t4ikO7t+inEMiu#MVg z1T|Qek1kDEhVdsxZRmr;4GJg;g)Hie3v|5jxNTl3xL{>J3yXQxhgT!g^k|!QSk+e! z&3USJh8i3U^`nsxatIbZFZM$rUY^D^oGoRz)F&vs6Mp_%;1!9)B4;p}Ob+-(1;ZzK zrMDzGynZ3$gttU3XK=ie7<;bBw|OsI$*lW)O8tVL{|L-dFqq2{pba*m8wX!W*8kyN z|Hndr`eZ?@?LBF2;R^}r*anc2p}#~Y=p?~gD|coF*Qcyxajpz=gIuaM&!&B`3Vbp( zKce{0AmbTj-u&JEsSA7|Xd3a$DH-YXt*tV=8?!1wk(mTnT;SeIS-uvYcqb{pSX;5N zxcs>aDA4z=RxMpuv7!L(OyPBzihM+0ow-Dnxh65Olxmidzxoj-b*)N8-DpBgeDOpX zTwF~;x>=PApbNNI6B&_HRJ6W0tf;h98@8^bthA^oEN@LY-d*ylhHKc)aEYd!-ROQz z0~c-X$`pf!4Gj|Zk(SihjQn-FtgALlX{F2&Y4kWlCP@$J9AD1I$AX6sWKC^XL$1ia zH*~dV;>qn~b4HDuA#b^dap}=h8Wm)KhEn#$@b|1tlFvJmP^Sogi+6s?vY%utfXL5H zE`dlS`t_`D+AS|HV-M~P-GW4{n=?ASS%u3znwK8kpQELS_^d=C)_F%Fb|8^!Qjno{qOBbVzE;(l&~uP+!o zIHbpmfRFk)#V5mCaDeOCB@9+BNZ64p^O&y#KIb3Fd9e*#)}1NK?xrUf_}G4HN?qjA zc`Vrade+($4RrDI-q4v-G0@tQaoD>?v)tp((xVLr&A{jHDf=?Lx35gE-mVZK4;g5K0g&QePl~UjlW^_ za*saM(Nnp|_;8BPM*eFnlg!E^5ii>4K8L721&34TaV~6ctdoZ}fiQ-fwkLC797By+ zzUM4JbAEkjs4qyAm>@N2C|K@Moqn_>4<#C&y6-PYQ?}p;b1%~Dk}fNXFc$bId=H;r zjGC7n%DQ?^{mL@->iW=lU(meVl;Jc38EZ;E+LVusg{S(wheTQy9J%}w66ugGvqyk1 z6n=+OvpE-z1q%;lHD0iTZcFP!*ZHDEfyhk5YBZnk$Pv$~gt%=MhxxR6qxnRq?mNwY z>GKQfgk1#CcV*N#p#r+nk9J#70WqmQ0p73#Y8M`1)+U_D={nS~=g7(=$-*O-Yf-5W zq|5qLqUHR}vodGRev46f`p{qDa_fKDv?GLq7;$^ML^gKJcD zzss>-GVjQqw-g}GoTbsiIqSB31Jm=%q#GwPPChzm-ub4!1Yq#0sqIZYR z6`3ITx#?-!06FT-vLV=vot;$m{XpW;hiW?t~yFKEVw0hc{!7+&Ad) zBt6VyGk1E%S6tQ~gs8KFhd=qY$wkD$Q+Mddzc0g;RQN&FJBT^-K=yd1FRT?TnrUVciAL`;XO8 zYmaN>ey29>a8u50bbAW=6@9QRD}0e7Vfs;zL3B+Mg+Hw7k24F+4;!5u<4 z9eC2wuQ3SveV6KY?KYwEs}x~zG!3oN}`(d z|J&0}%i_D1#c=HTjAii}%g(zE7w=l!zAq9bx)hhKRyKJR{xA;CAmNa)aLgfNp>n(* zXHUYbtsuCv3NM(9OgdT|;7!k%#CG~ahy&>ISkiQ!=RzMRm_slEbqqkVP_sL9lC;4K zO-He-BY0Vw%3(UV5EX48Qzg~A4=)2nN^lG2!!dgMy#@vKFEjWdOdB#rzn zIqmWcIGj9eXp@UC?S4|Jk?a~wKAfkuD|NqIuv_bhA7^)hUu!R(&g#zADw;q+QT&ND zQKiQ<%~L=r>uMNInjx=mU09xN_9>tHaAsB8mU{2taGTlE32p+Fb4yU`fpyj49NSV# zCWATca436o97Xr~RhiNIT3091y)`2W4{eK&Yqao<>mBQAp^1n+|M)K1S^4m`bw;Y1 zD}30&wr#6(u1X*0Rc)O6$%gc zppkr_9k*)j;vwcth%@Jk_UpfY>`=zs{yX5hAA$c;G2b+jlh%Dt#qVBM?W_a>HcvT3Qvk3mKFBy=VBVx?WN!r!? zEtq?(+Kg$n2)^J;1_{NqT6DX_IS|uoZ`ZR8VNs}n=zCuQ*xMudo^a_W z0kPHXa93mmdlzEcnH4=$n`o+yF&q+_Ok%~pj0#|^SC$NwO&Z>1n>)~&!{2oezNySC zZzHW1|B5!ujMu7pkVag|g!H+t-2#`59Nd&lH$pyCV5r_q?991zFIuXX!iYz`)F(hM zw?=xgE3lVX{;vBYy-3`~_VSGA*v4qco8J(yj&3HpD(imPs9b+y;Qv*t%SO3YjFGFI zIll)|lkSFhHiL}qL@wn2qznAySCG8*D`=tl7@Og+K}k!jEKt}_GBxW5hR#*4n8Md- zc1e=cY(v~U$dR_Irv{SfHn)nimce#)0*tkUcb|dD;d%Ve$qPjNQcw)$OWh5(Hba(l z`-+~r<g;X)q+mMwUNV*6E_Ht5=+B^@EPg*r>$^)OS zwh6(~wu%zJVUetfu$>Fqu6Su4>0u>0AZ!e-2rGt}gc83ag7 zNI6gb0$BkrjVeS`*&;I#Y!Xcbf@c(Wm??H9rs$hRr)|H0m2Nu_E>(p~3_s$LLdc>N z+3;|%8#4-2qYAJ59IgOgb^@_vgm)o^>o0N;%XO(WXi}yAC}<_WgP?6xf$UVB_7#Cd5{)VI8$O0ZAfNHImPsX$6t_rZK+v^PgVJW@@M@uP!z2}0 z`U?K``wX4lg_V-12jY3*$-Ov{wojX6vnY20TC3F??W2TOz~p03wJ|F&wLH$iexz*q zlmYqcsN;BeP$wfp{zMW_F*1%7TR3X5OJ+mc8{-VkpvVzJnPP|OymjbnXsY=Xi8u^p zWHwh;35H?Mwzc;M#GqIyVxmE0^N;-m>FwGWH4qIRZ#eVpjVeUbP($aVPW z+ppA$;gD=oTSu*U9PsS{R=@%%1brA4HqZzN2z4rhrzYLG|W<-U}w)6Z( zFq|;X=f7fdbjS;nqjz%bXWSw8(53f+KL0M7b(cjFT`+_6AVVv!UAqZ#b9xZ6=F z{27yM5`K{qea0%QxmC+lG`eNpJWS6 zBpd%Vpg!Db*TalU3@kZo;eBk`9Y|WI=$u&+!$C-S9f)QZHjV@C;Q+h2QwZiB!ui+< zPuxq_o`-%|De!QAd&G;&=Ksh7A&tjV^wUXFd>4X3YNdpvA*yMZIJsBX=G(pWw^34B z29jz|M)Y^alFAcy;Usn{+H#vK3XcvGvJG>Mt}1jt3xMKQ9cFl7>J#11M*=P5Ihq;0 zP~#;r1h+uD0m3RgKS@Zp7~nN}GOV=VD-Xj>CLHRqJ`kdNYHWf>9p;!Qu{D4t$c}SG z&^9r>3(;L&z}ZJgZ^J3@Hl=VpTuf0J4_Y}tWX>l}`WWis05#-+nyEmUF}) z1#d`CF|d9?mV`;B?FlD9&ipyjf5|aZ80u0FFSTb=2DM7_cr_$9DPWNukh&up^xl+{ zsy^t6cN1C;njd1P>;kBqI;h3UUz(~RLG+HaP?Ck*St;&LA5?L%K3$pQ1a>>kMSvU> z$z{{RF`qfzWg+h$?y^N{Jn)?Ek^~j4Yy2SOhIUjCo}-w zLN?XL%$ZElQSY7*Z{y4c*zmy~qyq=163mG8@1XI|q*vEG`ykP87!GEGb|tKaQK`(Z z7}P|&tX4y%LMN0^?1r;h)S16k@f;@T6uX$~C<){}g<3z`JHt;&4A8 z8}0}Ac5$DyLYKB(2|3uMXM;`4@-?#2i2fx_{1+J5bmbHdV61&HAqVV3EUK;n-TxxZ z4yD+T`5_yFha_CAPjCMk*r=K3vd9J4D3Y6OD=<}VdokgJgF58gUu-)LmHi?k5|T{K zn?Vs$QG|3&axT`VD@8g?uj-n5T4>4onmbXY!BeM);d=#g7&3JtR*ZTZ+(W6iy>KeY zAE45y6Q?7J-j_dTKwpd!?cY;XPZ_sK;S0uCv&d!T?=Z=>;L;40wPtt;2H1)Q2=z@^ z?~X8!AiDzch)^xTTD#vCV6CHRXpov1`B3R<(qbiq!}-e|wTknr$M}r^?Q7E7>A9n= zw2y;ZIQ6;eGw?4V=CJ>cJtf6(N`?~PJi*FYjbr;t6b~N!U);58VH(76-1rRZ%L&Ab zBj+R?kdfH6ye%Q|u%K#K!Im9C;9wT;ce!Hp+TH#-coA+Zy17(%P|>TTHjg*r0%h)- z@!fTFfl^o*aZ!})!Nrz2qbAZAtXJWd9(Ja*WO=;OU%rsS!vII}EXb!6@ph@e+2(!1p( z*U};I$dGj}QbWFtL$0KTj9y=~CrI6D>v0YTSA8u*SCCw*b5&QZ=5K$`yGIQIYt_uG zXbmcKm$j;UAq0OZ$gKyj3)H$9+h^9o(x+S0XaQ*zIA4Z}aghcq5c-5ovdK4jdp{LC5~a=n7ML9o~x-crJYn-R=k z2%OPZ`5U5JgW&Rj))0jol=b`oSpM6c{?Y1dX!AF4>WFzX@)s6MZnnB0JpU8 zddjhI>aapDhDr6SXnH&wt_e?OvcQ1nb9O;AWptb~?&x^{mS(*UkM?V5czDh@EFk-1 z_w!)9zl#zfyc*es&Pc!;gYC~FUJ*Pf1BG2EEV}!7=#AbNt$+ydB)_}|*Sj#z!e0qF zUV|q)!M+pcv4K!0ob2@IQ^A6>&ExT0We$59eR|nGtq0wmG0zO&ZW3lwckK4q#1Dmd z_3sIA)Z9=IdHNp3110WAs8cI>NG!$;z0`$6d{EQx!$%y?Y8&?eF}mUL2erVk!Iw?Q22Ahf*!*H43^~~aI5J!D52E*J55MTPRQS3vJ^a1~HPvkw-R}5(_mJeeY z_uGCK_!R%cwz0L%p$6NF)N!xy25@Qk5*{OJ7>S-AcCA3@A2^m_$5{4TLcpIM%Tw2Q zpcrFuT%D<$XGGAaVOk%K>sVfo&V%%(Rrvv8PDCLKBEs*Z-z@E^wMniB* zeC1h-`5bYBrpYeduy>klh>(PkRypq2dv%s7^!{&Odhyk8X<vV3v+koL^&Cp7W-({AY5}Y~X6|NOd7^w1^Vo0`%-Hox>$wM$ zVHzyq98@oGoR*(SHz&VpP4Nk);5igL2UqjGqXmLgTpQC}C>PKkECc4lE-`a% z|6baIJ(EXyu&Vi>)z2eVT;Y*Vlpg4UBU(PET<|;wy5JeC=oKO0f_Y9VbiwcO1HewE zv3%bL7d*pIyOY>^C6o(pf}N9p4BHYMO1t3JF4_e*f*GIAZ)x!$V&DBna7kL<6~&Yb zZblc(_zOdP3T14nl7ft#vN!N#KG%;2)bqx!`5!f-M(_;5xeCRJ}L2;HoggLKCsyur34_+;YL92Ro0aT=0nL zz14c2HobSy^dL-|3L4trJ6+2rT<)*1Q*nBRvs{cCu!o|N*z@h2wWxu&)h?)kzn}&{ z+f;m^n)V$|`7TV}$>EQ7aAk=L!GW*U!$lFrUk82yun@PhEOZR8Z6+7%(B+0m_v^)% zJVr>Vr~M5{bs#Af{Ed>Lvw_Jf>wt0B$S%+D)cfB7O3+z&a3Lo}&rEYj>}Z%&l7tHf zSA_5Gz+)@S$4!Uidsj5>iyzz#f^w=kylapLNfe0Ju1l}vEicM<*MjR*EA(#x9VvAW z5VC?@AY`?|b`)R*zS;ehkezCj&^B5Rsk&f}(;_I31T}*`*D)}A7Bgvf=$6{bl9+=b zmN_nS-uHR>XT#Gkhf51o#yiGc5DvjLMNx@}iNQ{RY6ZY_-*EJp3h?z7KqGaBy%iI0 zlI$&*cLxjvx;H++K@ znG33XI%}FGfvVfF4ol7EjIhDWE*s%y53%}#s{17AIe>7-VmasG&p63HVnvfWZm62j z1@(!TveVQi`1avT_R&YAtn#Eif33p%>NUPD`PzjQY)Ib}x47g`SJ*`^UI9Q{<&pOm z;U0lclP$DW5t=Q5OF_{Ov5X#n?bVf#n@0a(r_d&{*n15ykxzK7;6v%f-_$U*o{od@V*O*zD)&}pQtJg zaR);rEWCHIT3WOLr-_2e0k>Ico|$XuZ9_+2Ae{~`NX#}^5}F$2UjT#t66$__kz8&g zKsu3w%SAK&ZoGu{>;+W9QoHR#*xfP+s>A7*DwyAnd1(7+0OJoeX5)EmgiISXOe_Q6`7>Q)vw|7h=~xd%h&q>^1V_!G=VR~JBl zy+x1+&j?ez0sbv@pD!j#HJoM$L`EDUD|tu(9#QcqJpEWefWBXKWZ&2TwBGtRuRC4jJ@* ziN9waQn>+lkq6VJ;MT{F*`P2WdqLyW7%0IZG@L#(FTt~m;fs=cu)a-dhK)(iEX?oP z2b-Qi)4XUOhM3Tff%zN(>|HyaZmeJ_`>Jp6ZTf*q*Uc;j9CK_h+ zq3e2BfYH7A2nM8U4=N&3Awsdhz4^{kj`J|F?%V!%m|h;QF)~vhh2SS*UIiV+8;;dG zj#k3teh_H1MGmDpqjFARpb;F;ijz))K%>hk8~ytbe_RHtu?o~^F5>2a`TfB6%!C-V zDjQVT6B(W6Oq!RW3X?yzOD2)QnjqDyTj9F8l-CUrf7SDss4zi91)2rU6_6xVeQE(j zQL}9NQ}QyXM_-coLY+S4dV4dTTUD*YX01UwKl-d|y?#6x*o{Oq4BfMxXkhVfdnh)_ zfsG}z(2{m7|HTPjc)JO*m^%J0kXyw~Vb9_(K(JFMsG7kJ@KWXOgNoWzqP3kKq{0in z4gJEYX_)wKE|hTq&2ew;+)`Bp7{qptTPPR=z0b3K*|)tH=kAA~1Wdcbolx1{Ih*#* zfvLNLlWR#Gy%uV_j&YUkp#Cr@=YmXd2A$^g3F0Gc^7S+ccm*c{_g?$nspmug3#<8~ zZ=W#kLR)rg8#LR1#S22ghsffFp&bA|$^HoaXcl|o*RGNGTww#$kDntu%cHE@z@74+ z$l^B+7Ol=PQC!n%T@4_tvoK6_T{axeflqkJ_cCbXCAPk|b{ z6moxj`waCKlnLTbGL-Hk_NoJ8Ra%H|m3d_ zzifF_9JOOeqHYzAsOfG8roipEl(5dBFi_mE9q((3nv<()Ei{id?HflW7U;0Ns_*In z2&j_`&+WtSNAP+JFxVdN_Te%n1nulEF2P%n0=%WY?=!Q8L3Ck-eq+3^t`?L1YWj`# zRr*aZ`el2EL=Q7;fgx`n89n0YkDNz|pcj+_2OT{Z(M-Z8=-@6rBqFMYjqp9bAWC4g zzl)$=S)JA$qT>5-wi4{22Zhz?5SIQ6JV`2&dsCJ@Vj15>-bGLXv6i6El?r@3#Bi?Z7Ke`bc6K`t}n zHN2oBdCLR`@`8dm!%gsl2xxdIW{^=ZL_{F6+rS{H*$GQMcFGQ#rQMA3lAWvrie_nr z$}uwQj2`RYEwN0oI`w|nex5z^Fp%f1-}}e!`Fvo_UVA=5Fn-{W}Eo>n$a(q%pDfzhxnf6G@OYNn^ zJn`CZNXhx%loH4I&ZOkmCRnS#8qp2^>inDvhD%BC-cLTpw$(m^u=(S*bswYM-O$P# zPRn0^l{Xxrv|Yn#9YC?2G@Rz&Y&f6G8_p*^x@oyFr|b-HwfZBW z!UJumA%0T~I>@Iv!R54!^mT#C-{iwOumh1RNn-hg%<0sLE%?H(*4w1ApBL&2HpCX{ z7Qw1TxpEQwzP$)m*AtO8=WbtpMFyW zg^8VsVDH_PTS!&$3AY1*XN`$8oW+j0t*|K~);MD@{DtXr#hADNA8pDtZZ45rMwm;r0>a$Xb z?Q!aIoVLypFnJf7q8e*PPZt$fTTA+RQh9S0aaoeYm{<(esU&XJ}KjQf+;&swz}eOXN4piHF$>plhzgx+;R za6)h2pnXASr#nYE$P6>mW}{Ktx1n3laE1c~?G3XkHSk+ugCGtHH}_ei7(qr+R~i&9 zte(!+NFVJps+RJl29L%wCwimMU+U8jsQ8IgU|Sazf=3is!&AN81y-&*FEhh-`jFAG zeNie1QDDB~%cuZ%Evjx{nWNe357J1FCu(yQT1UrXLqL)XBL*B(*{R(k+aJ#;%x1!jI+Tx#M$38T|&WGJ#qGq#F?mW zTYO@-7lufh5bLU0$Z+qPjQ*QWzzEn;KVynu9>S7%M>8o@fwi&v$TP<(71Er?&(*R9 zW17;cg8}u9CIR0vuh_gxbrxai+bb4TTsfc((0*V>Z)$hDB|z>^n2S! zxWN{+XuX;Ov(^{oe5rD&r_~mHCC|7-vmS5BG4^ zw>@V+fRmN^sUQSrA4MClA&kAnE_dZ??`E9cDT?JKiL;wl;%vrSj58~7cJM>Zx-hCa z%fy?g)TM8gZ$qWBIV;}h4qi_k;(^HO4{2rqi!iqxehLHKJNm}IOY^mgq;ksbTjhN& zQUBmNFoA67k2H@X!z(QoR6tVBiYci2BbqKF6~g+pq)Wp4j`|x7VB5fVU4CIJ%g6o+ zrt1hdFJ&>S+4j%dpY*}|L4i*pb!_0o!1}CV&CJ;(EzIP^ zb`|S%+Tzn7+LoIleRC3KvGE&2TCp7zO7vbA4*=-xI*jehtF;+qmxAKi)9V4`;v3>Xu#hT4nL6 zh3CQ zajiHcxz6`O-Vs+f%Y?-^E53bO=z$bESl+VA_57XyTUSbXgc7IF*Cl`r%`V^X!0>HE z{2e3C@_AsVJ@<(k5Z87^j_SuKA3PP&nwUC$uI4*R+0noL3mjReBgb(M6C15h67kS( zO(!#}9a-w?m747Z>(W(`Kl2VVCXwA(KHnTXGKt8Ut0xmWqrsfcq^=oILqUCaxQ7$S%` zWyoHf2>sY+wg{Ev5|annoFXn}~(6ikAG|Eknw{#+Q`A#=c#i+!OF% z_tPJ5P?RXwo{HF>VoaM`)x7q4?h#`Y@ti-D*!b3G)NXsrCdW&A0{-5;32Z!6@t0E( z&rK&bu61e5J5n2?7{9ppIY#^+pD`f*D^<#`-D^&47+6U%P?Ji;z5H?)9chhKOk3RB zIt(eR0@Z~(?WPwfgSkCUBjqP5o;?-u+Kj^Vxm9EQ3KktPOrlEpW?0?Jp>e_L$ldnZ z7ldtl0?K;0nl_wyl1ig8jfiIuv3YVmQl5Ip-j_q2!_}x1>x)FZgAuP+K6fgjA8S`A`v}Jt+j5h1;opV_`njieYZX64I=)U5$_}7y?0X$wh^%@i>mv=o#t(!sz=p0 z;wijI#38+qa(M$0zm`eFxA}wEFrSDQi~zCoPek0ph$j;9z@tnyW)mBu{g0yP7LwGp zj4-_#>fEGWfJ)g(vaz`r%GuULMOQrsMW;#kN72-BE;ISFu+7*!d4uD5Q>1=d^ zmd>s6^Pc3JKDhv=@fX_T;Fdlc$1>e#@=pXNYD=WE(ykIZuTuNTw5=bV*I=rE++PLP zCapga7#oj+KCu_~e!`AzD2;k|AGB0Mi_uUUXID>)00zh zMT&Ghx&y!Cbcv7sN#a-5&ib)Bm)hAl-T!?%8*mHx=sa&e?4mAf`@G?HjgFb){uaV@ zi3`_s$lK~5S>A9_@Bew;4)(c4-a6MI?b~PE65N_G-y{88wq~GxuInIM9}W8>?Q{4o zcpQc@=CUvIt)nG9&gCjTZ`ph=Hr={zHgw&F4t%a2@dx-^5q1kcvqV0b4;T%8_Zapk z<)C3^bF~sa9*1SL#hZQn{-U|$HWnW@?tl@qjxI&qL2Fl=2{OUmYtK7vjY1 z$#+&|ocY}0@yU30XFU$4)>;ZTefD`{i_fWj>Qi;d zSo4ru$ComnLI>uc_tAIi3&ycnc(a2qnCGa=s_Wb@$kJ|H}A#gCBEH0YFd_=L?1N|>u^9HZcw*;D*32s8wZyM@KLjRqE_@# zGw?k3Q4{;A%ty`F&wKl*IY$%0;)LOU^@((PSw|l=4s8w@aI7^HG6Rk#Y{)xI_@E*> zEc9bPxsRG*G9NX_j_9N2Km=`;h|#uli`2TzB=d9R(9$|a>#|zWL8y`(g#L_ssxQ%^ zxxW871+5~qd!v@Uac!9ksT_?k(1b$kGHPbm_SampfZS1Biw4A&hSf>h-*ApJFqoW+ zN>kYeOaX|Cbc zK9Hby=&>0E;m?4b_&$PsHM#w4k*y@kS9=={SFCxu3DEHN{%761uV3_*vBqLa#{MG7 zSnLT&#tO$vGL|CAnBpRrv9rfzGB)_7{}&l+DEV9}M)sM10$=19v=$Xyv(m^UuqWUh={#gZ!{^8+>H{Ag{vHx$hI(b^L-S4MbU zbY-+(i~S1qCp@lfW+Ojat>kBG zBl+3NV1Bk_GFHfBEROZ#H13joxwZGJKXS&7*9U2@VZXn$!>pujh^wPS!*Np#CGzVr152A-?%e$ zbnMv4diW{k{@N@GwxsMd&gILLrmo*bhTD?d3e1yZICoRXpSH8L5a`Ggro~Mnw1P{99 zc;|2l6VeRfnjr})1uK>+p)WqPEZ7IXXo?b-{*vBsKySp=VV2=`<~yy0e5cW@hflr= z@$}e?0&+yUVlt~oHE_%~w;sN^;T~#I_&TauR;MX|OkZ?sV0OZjO9R~Xnvj|`9fMQ& zeZmcK_)mA$71`bXCVRfGEBoLLscx+}KRv7KzLZy1mKLIJo0Hccqq-HMUamMrb$jp7 znb}d$9rfs+@=14;ZOVA(vI|~Tc0WCQZ!lc)xJuGiyzPWTqSGm_w3d>9oKEifl_Vf% zzo@U>oZ53%m#&5`Yo}ETmvry}a__%~q^)>Eg-s2ZlGyi2=2pKf?Z6P4k|+y4nY{je zof*rrOINjyfBos<4+mHJD&RunOv${VGk+PMit#O+oLsC-c*Rj_#eEI=rsW^p|A_XX z{gWOVL-zs{hBNnV!r=oxif10{qBQH_ZOV65m;HU$H29neoJD5ijitDsY0q}YxG@yh)_Ghh-k5kz9#=gDK4|@p=?u*{ zbnl0qc=1sVEBT|Xh@w+hvAdiBrGiUCr7<6lH-)4HFeT8{ zmz2QXQUg4m7avSr8B2!;-U#joS}1`+HJa?zQ;P4Q1bRBd{kDR(p|tiWObfvm#(!a4 zjUU-A4W$NhiyhH}{NXi}7VgstgDS9>zG9E_@lv7YPR-ZYOiH0j!ks^RC?O(PYrg|?A zn6sI71AnDEjM{Q24`3%QPVoG+&ZL(DAG* zR&W`#U1(AcT!Af~g5xuVBM_;z>MHQd5k&q>=law^$4xU~vj2{am|5@Dh!p_;Zx9h4J(ibgJnP{ZkbH*<&QbO82q%R)g`ocl_!f%+qS1dV#`BC>2lEP{wR^9Oa zg{U&T-%elDKrSVHVeHXJ`l68dRk-y+jBirDzD`SsP{NCps3XptAW{r-3enkCN@wf(~D?R#QowQL0ms=Cvr3 z>Li+805!dEQ-g7Ey`U=|Xhxa*M@PP{ZL0mEpZDvKBuN8Q{N2fXUn_BqL|_$ZIPgV| zSALB0#V=(xd=GUZKbJ9YAb#9Xe)IXyZ);l z&eLEUlt!bi)L-2C(UaN(nCXP`w4OcmqVu%6@$=UXAJ)HeC3(OqFF71CGd0?2z0 z%7j;H%3K3{c%zwdl{K1F*oj{4CI_y66%(c!zN#7E?zbOc>k>{j=d+huhgX}a7S|=V z(j<@QdKIhjQlDNewx^oT~U>>_~q4-}cf|UGx4n zCWz#5Ahn;zz9dn1x1TLJ9`>yMt!THvg;s2EC{196m_%#v)JT)Aq6`Gb=yhtT94 zx?-E75)J97b1-$0HO(`@>!Ii%u{C+d>dCaMJ@_!%Qg(D~d8^_= ze($|z&saU4wI_>g97XMEHfu+oHG=kIbhM*M_Tsd%IJ&_Z@AEPG82!9$A4_e?2p$i( z2H;YuXMGj9!7zMjEza**K99cX&7_`V^lTn||HV3Y$KjUw;#3+db>cf+l54YUDu<7i z3LCH*x8hmt0JrSE5b1LGLi_ChSTz9epnJ2r|n14&pG_+geLHT49*$S2|_V~Q-84W%2skfe4w_MUQ>0HlTko3%~36h?9 z)vafu<$9(}u4h{1ddBsDOwSzq{{L@1^H*)KyRM*T1U+`xSA6QM^z?oX{UPf8l9;vp zHfbBFFIoOV?j@tX61G{}_mVbHE}-^4Q6EC>eb{qRjm|S@BIXHFm+0sXU7>7Jtz@>l zR)5_=swR~yB2m@EPT;D>q4%4B*W=xD7R zX@YVaHcdSs;JoNYdyp?wNvg=GTa{SKsHFelR5MkAY|fbwgdrGQn2>#3qq--^h;fnQ z2P;NQ8wU?7B|~~PZ!>>X*Z8xselpWF)LDyjHUr^Unp{tdeK)P+iG6p6HihXMOq}QE zyYv#}t3fF0^FVc(GZ)JZ=b}mNKC#g;0ey)%9Np|itH^|P# z4P4*%$G9Fhc76R7xnONfdBydhi6zqW5q*_($HTtX_Cp~v%O?1C6?=9J%-fc; zfjI^t_ZQI(5EzM9lkr@0GrD`*$Ms@N1~QZfF1c73!J& zOXKCb361EkmOC?BYIH5=@q`<7I!~Ww^KD=_V<79(s>v-%9TT)2W>}2FZq$)kTj1L& zkUzH~eFT}cRTi=Xi&$g$%2*TaQlVu<-mpHvIv{p*Qc&-tj=qW7V;ddrhJ*+LYil7B zTAcG~BwVbQEL(>XJeY5|@czcZMkHOVuflhz8!mhbqW2{ey#{eeZ0_+1C2D0Rh1|ql z{=&vK*Nh*LRPGI9IqUM3GpNho@}*NtqU#9SUZ#pSG6Qp-#|BSQFvFg?;5j6>!SAf9 z)0;`MZ}5gY89KwSOm9R!as(RfKG=vU^WuXhhmxAp)&Bi3O^%^6>+!o@sfVk1)~_GK zPWdY|)oE6UcPJ<{Y)(#)|``CmT=Hzl{IDQo3L`}4It&)c0KlCp{ntax6rDbx3 zqTlJ*Y8FEiyaxiCm=&?~O8q44{5xXp2a^H;%*>iAX;bUX{wpn)X)%E=39G~KKjD3C zO)6Ni5G%a}l-J=Kj=)7EBSETJ&6&vY;Tx`AK(H8_N_Yg=B6*6 zF-BfBS%h;oCH>_?C2p-$t2^ zK!EJ}uZ;bpn@LAh-<0c!HYd{&C#gBNw$~BRDb6?={XWBWL>cLbjW^vo!tXDVjtH5M zTa9hc-^g`@Gz`w|FbrP)LiP2!_46{(~nl>fe^jz|;-FHA=?wAT?e`d^S- z^G!a=m|;M2+5aZX!Pr|sBZwX_3hnfs@PJ2KX@m2pT3lm7hZx!9TkAu$&>+_i6_zV; zY^m)b(_xxklg=WEM-8k!Xfpo$dIMS&4q!J^nj}!P5}Esg2`&2Ir&?=_tW{CFC6@y$A2#9BxWH%3jAl5MfqMnEyh-!(^9T5V zWi~$#_ujv=eVQ?Mg_rQyjDk=B$Zca4eoJdFJ!;Gku=eO#+v_k-m6%_$ESJwy?bc6z z?6TAr>!8iWd8&ue^Vja zV%Xg|314XA#I+bBTZ?(vgqhQvZW!#Hy{z)Vq_z^1+NAR?o&{0=1wE4Jf~* z2_9=h&31S@+nyeTw)QuDFU(BCiE*F3$6aan-*FRIklEx&jF57xG7G>g#ZRzFfByQ3zRE(K}|rVT6Swf?9g zawl?LtHS8R96&PcUgxGv;jtM7foxH}PlYlg%_*^b^+%Y`zsB1;%b90fd{RH}#UDE4 z&F|tL&fDHc>b&!oL3vwok>?G+Zk;!Do0K=!ufiU@9DRLy?#_NIBAe5k z(OyL%c2liGdlCe)OSD+bi~yX9KPul${Lw=&4u3VQ^$5Z^&{3O71sn^Aq}apbDM0l6 z;qe4vg8!-*B))@N8rZu83EvfX+FR8Fe;g9t7xZ>>;Jf-sjaNY68A>k=sdw`?w za>(%wz)^W|NO(%85jZL@4mtflfunNZkkelUj>>^UPXDt+&mqU_Q1QeMhaBGzc$!<| zkmDnPrwywda{LV7sSY{h_9U96w&7=aBHk|8$9-Lype| zp7u3!$noXC8-eGL<2M6O`Qnh{_X1D*SUKeQ;}SiGgeSQ=4?NW`hn!xm64T+3;|Bmw z^2Q-ghm;@FWi$a=gEfi06>w`vXtyjzgaQ z2#KCUPCpTN$}fkUe!7I`kke-YPyBPp=?j3TdgqYSuLhplDTkbX3-Dw|aLDQFfG2t5 zkkg+6p7`XD(_a9d_~DS#-vpk@fkTEL0R*0Vpb+h7ujsRel5XL)4BdXpe@pka;$pQd z?8&2>%qHb8$+qPu7ZejNZb=clER}v^ZZ58*sK{PWJZ(vioxNc%rL?4q!J1`uz^>l9jPAbC54nQ8*GRdwDBml+W@OP2h6>_|?)eYO&*ERG^lJBz?e$pxLi1AO~e5>icc zI~~g~XS$O!Pf}DuS=Q9lX<7V)27jUbfg+CeI>JMobGsGQSHg-6C4yY07uxMP6c2nx zNl}5V2rE4~8F`CY4W-4!QOPC6O`VchWM9gY$45P)nJ$SGWsSKw}cAYuDDh$h}{lSWUSP)V!WL<-GljOvq??_@~6 zW+g)*A9y`KUrI>|$q4xTnn)0rZ?}o_Z88$p3MrobNMrXU@@Ou9@)04Cc82HgbUxam zw>ppE?;+2~OEFVU?EXfvakEPsbFvqPmD=)4?BNk%rZCgOyket$%&43(qeeyC6=BP^ zjg2sk3ePr$-!*z{c+UKB5q49~T??|uW{geW<^)U zU|n~$N@vjYh5lChD3ZJT`;YgJg7K*uCa84%pzVDI;YWC0Tha%Ai~4`E7*S}`>ztv2Y~^FL>D2a6GWtv4emO7nGySNvMBkx>^J|0 zn2u^>M*{wCoIFZUe??5;@i8;@v_9%q5h3`H5rE=TYRH;bQ|{>TiO~cg_u3#<1c+Fm z@-?dOQFWon2Y^&(sRR}{0fgGB^6|vjRKA{=Y*m0KX1>bc3FN4Hu)rgfnq3tn_)${+ z^eqq^<6SfMoXM9w#LXg87t=`QqIXZIVa;KPFMm_ zsvr-K(W-tdz%n^OW%LAMRD(QZ6IH`J0kdj^Cty*TJb_r%C{G|x73m4YtD;$eeJ4R> z_QWKr;#uG|*x2exsw7WLlB!ZxT6#hA9!Rtw;vXxfOscRHSuv%`D{O|mn9}6MlrAr( z40$ollo!)1shAkYcdPmdnrMieHc6B_L482s8>D_$;bHeZg(xqqkl$Cx{s*#f_gg71!U+V|s1gwD>8Z_^MD+uqyu_B}F2UXMgbyD>c>IV2jGvy6 z8kaFuAj7Jt)ShD+#Zbv986H#|LuI5V&tX5}r&-)_aCn=QWl2l3%+5k|LRQA?)P$@o zmX+xlld@3$+>*$^e))L&0wF#waT5OFlkw>p=|X&RLh7{iDJ)?IE%fPl`cFa5R)op@ zbDR)A3nd<(jF;(0>ddL>2||2EQW{GX=_O1PlT5J0C9%}#hdi}WCVV#~AvL@ml5!4y zMJ!bg_6=%Vz~ z=!C9{Zpt3Yp2BU4AVqIQA4Ok9KShXQkYb2}hI-WE6u2x@Fetvqf0b|<{}qa#bbP1cMT zIzKLFa4B)q*s?Mp zB&CX6wtE)KLO#OPUn!`O^gnd5ES7$X;jwP47+&HPe%dSi7q9SEukh<$VVctvA2I!R zcu@NOA+PW;ukhDi;hSFJK&or@i0K=>!ehL`6THIlUg0TT;WV#swpX~&EBvrm_(`wu z4zKWLukazS@Y`PDPrbsSUiJH#S3E8hZC5@QyuvhBDL!KP{l_b8b<3I6{a~(dHx>v3X3PKh(gTYU%leDd4=Ed3cu?W zZtx0!>J|RnD}2r?9OhNtG*>DGeRbse7bE-;gE~7a=CB|q&34%D3AhLZIL0_yD z5rhS4CWy`R(+OgTHj^Oc^Ku9V3c@0S7&sOZL~l__5EF+F5yS-X;{@^XwFJA1=XI5s z2;M|tOu)WI5KO&A5EJ5i31R~C1A} zTo0h3>U9c(PZeZ9X$C(8E#QY>EchXad|L>{2|^0Nc&t$qOb~=zg0Lk@2u{L&41!65 z@Fc+@f*^$D*t1I(X4$f{i|nOB7_5t8Aq?(Z7GsPcgrUC;vlSN?vquze6Z9{xbK`FY!UMU=PvA z={ADqPf{EK9*1gm|0ev9_b`7iW0=!XTV;biBEnPn5$X-~j%F&LiL+@DG-(0i$I-B`$mwRw kepB=z(A}UP(!=RiA&l&~pSpwaZsH@RGX+@uWNR1y1DB4#>i_@% diff --git a/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_pcd_ex.d b/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_pcd_ex.d deleted file mode 100644 index fd3cb18..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_pcd_ex.d +++ /dev/null @@ -1,45 +0,0 @@ -wolf-lite/stm32f4xx_hal_pcd_ex.o: \ - ..\Drivers\STM32F4xx_HAL_Driver\Src\stm32f4xx_hal_pcd_ex.c \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \ - ..\Core\Inc\stm32f4xx_hal_conf.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \ - ..\Drivers\CMSIS\Include\core_cm4.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \ - ..\Drivers\CMSIS\Include\cmsis_version.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - ..\Drivers\CMSIS\Include\cmsis_armclang.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \ - ..\Drivers\CMSIS\Include\mpu_armv7.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h diff --git a/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_pcd_ex.o b/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_pcd_ex.o deleted file mode 100644 index 50e1ebe922e32450586551f0917f702e6688901f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 14432 zcmb_@4Oo-s)$si!A&LBi2#6pd22r8@VE7UFtqBPT3K{_|PTM4qAXEr3Ac9@jgam^Y zx75*=ZLSTS?R0e;wzeOAU)m*rj5^wZ_3Ehe?Vuua{hX#P?c6)te&;+-0+Dvt_PyWt zT;w_TeeQG4eg5vB+;?~)zqo*+DB^o4l1S!jgjA%{EdgmXNF*WmwGxu2aDBKjf{*}c zuMC#1EZOr`b^Sjs?1&jzsiaZ@NL(->e+wieh!sazKobcco+bV%`3R?oD@@5eN!fx| z1@NQAoF^;I5&5jVlRg((pk{HSe#DWx5S#-X@)WthEFC_l;4jquM$NE8JflDDap zQd`uT#5IoO@AmF{N^)@o6*d`2nEsyYoZ>A7?;`d3HHcQqdaSGr2&bGtfClMp6 z?s)!7Ac>HjQG{s0fia$ScfW>*^00}39E-9acJNvg?FXH_<`H|k!+!V-@36#flGxKF z_NHEY6O?PLtsT5pr#)TDYpJm|^La;PJeO#|5_)Ray~b{I@|vLB&CVp3dqkId zL=%!&cweFbcZvj_8tkK))Li-UZuMs?h9q}Gd2f@+(jKm1q3QH~q>V`;|f4IXSoK z^0Zbo;S3p;i$4WTredKyq7|T6v*<&M7_#U?3ld-gNJP^;vA3wu1ywW$=;w9^$o~)BNHZBH8kUQ0#$g#U2VJTWBbP=z7AHdMToo$;827J2!K_(e&a|?ziS#P-OHfV!GKm z7v$MCcCM36Q0!+a!Ka!~l;9Bjc~VgKZAc;)+?Yf)K=Q3I?hFa79}`T;LT^G@$}^GE zip(xWX0JR8-d${&LyHHG1+$r!!5ol-YAZ4vY>i;bg*uaQ2-HpdOs>L03Sm!Q1r`!RH#m40wj_=)a*;G2!IetU0F? znPZBWPIk@}wiM$6EO#O9G9HnKPDw)ltP;#ZXjB-Z&n4iQP}efxx?s({CeMWE_qvxk z26J5Om~&{CXo8A4M`c}OXP&m^LKE0{MUmYBN)Bc_Agu0X6V^==aAF6IK2+0V{}Eb3=Naxw{!F`~$xV2c-2(Hx+sqs%yJ z($69~bG(dOmRuzu$_)E=5MCHDgSX1!c$_bg4TBx!gHUMFv`DLC?<>&~-Fsl-3-$ zFlvKz)R;0m){A7v`s}4+hjEl$vBF#dA4y0P z#wNR0p7|9_RZozWX4c2#CYuz&UJUoU;m2iB9hI!be0H*2+Of!u`{wYZBQo4H9PG7c zC)%59tgzz3uIO+J?=Z}gu;>cyO%qZc%nN^Y;@jioHA;A}lAFf3FF6D)W8A-JculaI zlks58AC&M~=<*RE&t&G+3k64rm-sf#@+uN99+*~z?)%l!ozK1g?2XU<&~^3H+ns4S zUp*O|@*8FA)kmKB%}bwta$tMI?{(G7#9!yzKTzN8zPJAR!`I`MNOMmU*wAy#pgG~V zIKgLMdSFEW5fTaE07gPqYYO$;$oxQ(Mr4E!C>besIgW>}J03x5h#4peyt~bDPp`{Z zDx{eJLx_u0SSL*U@vjMS1h8<=pCay#ie6mo=v=gV%P>d5iYF15dV-HTIRzwfX`wbk zZh88*d|6zCvL~W=Dnb>vq+GjX-_(+?v36nA#j6QO4y(Xk=7a z#0rX>hgkd&DA;`2Te~gmV4l7`Dfrw4O9#6WHbm1sxY)w`npSj)*(__h@53}RqR504hIij! zMi;XL4Q_x=@3m#)&>Rf@ZLQr{0sC=l6YN_x_NFL%lhYpkZdvGUdfl6L;M6-M5!?bR zB#E&3#SPSu>!H^HSfjpB2@J5>NO7(EY?2GB=rpVzQpMC&_~y|g@=&zq z+JY+|3^I%Mh6!BHvpQfZQe=%O9we<2LRujIP2xg{q9ZtZktwB65^w2z>Zx(1Q<$jB zNlwZ8z9a5u-J#RY85s0OR3Erw(+b+wb((nZr4>D`jVg%P_yL#ur(rI8AnoMk!jod< zxFuuc1>TZRCM*{sN_4^<)T;cv#g}#k2jpeEdvYcJg|w0$(Q(!Te~8Hc?LiU##l>w+ zP8^X7U7sokA-|K7HYiQC&3aSghO+XK)Rcm>mKJrPyjaa(H0b6Lyfjh zZLHF%^({$NimZLbwN3hcG~2!s<+k{^x=P{M3JFt!~II=^wmi5ft?_=C>1*rM%^UYYYT!pvEZ@FmUtXRcA)Ow4t*bROCDlNB9fCq?in?ho&QMn#)|#p%yCGj|8w^T)<)QtOB13h9 z4|5n(LETt;MBmt?ZK|zOn-1%=OEo3*ud+R8fp zze)rc2@8_YHxe)x%AmVLjpeOIy;5I|9xT)vbai?!0xih^LjWYF`x(?&(_m^UGJvjz zDm`*}>HTz3l#~^fZBXi)Ype7yMCV881{f9SF`rV>O)V`+HM`34)H}+zsJGNLRBG$I z;))ElO(-4VH+%Tjaex1itE*EVYOI`>uBhJcm4p4sbvl#2v9V~oT5rT|@>PC~sLUJ! zMj_A?H&h*<2OvN%CAyDx0A27R^G&7(lcGVVFVi<2GGf-xkkO{So>RJQ5!0mN)O%O6n5w)>ahZs|nzFBWjH|TWg zYLm8J-_)Y6uB~oR17E$i#i*@10H|uc2~w%LT34@CYr%RNQKvW1u&UvZp-HE2R_hHq zV*`Y|v9O`B36(Jz^mUDmM!jB#jCup6o!%%_*Bcv+HLdE}rfO}KzO13z+f>(ZSZ!=L z41F=AXdqMihJg&ax$+QLrH5$|Y-Ui08uefe0ze zixCuUFW(|B%u^I>*#dDzP+C@wZO~9wUWTBgY}=O73aFGaKn0+ntmr$+A_#ItfmB_% z9U)-o0ut&Q^`>UME>*fk0U{MeN*^XoTIi+qa@W9!!eOd4v`T2t=}iswhFW7o6Raro zEMHbzZ>-Zx6dM$4*GkIs- zj^ud$p42u+Ce#4Pp_bO>D1sV*olx7@=GX%@09vS}w>fH|2H+snHnllgp$5R>=I2Fn zXhiJq-~C;0I?ep(SX|cea3l7jKNkFTxjm5GS*y5Xcu;k)- zwGTJJBIXc6a^`WQ;bs&u_X5RpG#%+whJ z@TCH+xsB>nL^+N8>q2g#WGKT%1s+Rg?~JTI7+I4Xwo2%#I7P`KVZU7q+Y5MT78bJf z@>BT-+>!A+SyX4ci0CYYjN$$o9`+IvPh2P~gr<*2dXEshkPRbxaf*UkdN6KjqkJjy z_vbVGs-+A+5*E_@KSX|3KJu%gQ-y~uOIv#wmWyJ#%U(a03Yz6vsda4W_h{YscCu*Q zpP1L3HM1F|>+YqZ?Jv=ly1Q6m?WZeEuHb^2j^P?dL2dVNVV8_FJQYaxNC-KF2kG#A zBKYWc`r04%1k^Z(WdW0qGtP`MQ$`ZL(Hy}21r_Lelp&-Jj7o1>qizibvp09T9~_m= zvZFnN!HUgY^371OM$cm9lx6d%Fc@663<9&0T~RBItS4viTOBFBLY`UH-@cV-X#>JH zy4ZvmV>I5j_m`bHx}50fw$DT)`U1rfxs)NA^Oi^NQO+2olBy^d1O_x)!t0-^CKZT8 znm65hudv1#nw{(%z`jMHfzUSA=ib|?sJO?CLSj%XmQn1GP<)r%qX!Kr_P(gUeLeZe ztC%dF*B}>Ylf@dg8BpOHWwF3JO}h+;PsH};XWH^xCFCaP1V*+B#o5$dpR7o6|Hbv> z&$O)fB8D~tjlv<0->=Mv%J4O%Xaa*VC4X?y%)hLL~T{e8Esw5Brs$jN5QlrA&LDLf&6iEFd1gLUKQA| zIFP8Z9er&Z*xRe9nC7v}Us5!pb71cUMMW<--}waXtF+UeNRKe`9E9iYa$0D~1N|3s zYyk&Ahc+0QOj;21JNh>5B~Rq%!pN_HJ_?5Z=p=mo?B+do;kS>C@E)JEfA1FWiP_&i z0YQ1^0UK}gU8Oi_nBf}Vd*4cR583ar-m{dUFMJxk5UAB&nPX4j3j$^0z$Ipx$ z?=5^1jRdZwd$brv%?mDBu+p?H!(Br+7Q3&qzNL27$2#Q~QMC zKQo952Jwba{4s+70I!jDE}T9Q7jLIuw1B;TiTKyuQ!$aJv~6ukSNG1zv>L_nDrfUIh3aWn_AWy$Bep zfC$O*aDT+efGh+=NtUO;i&#w~PGotGdJ$_F8CjlTFCqyLWx_ZZ62bBxcPbZQZXdwB zc@#eIppS34VrsaN2Uvjw-2sTdx?*a%k)J_mZ!(D6u9!y|#9cs?$U9)dN#!)8gssAv z=NvTvVvX|x@3>+Pa3c@00G&rG6q4iN{)Dli4ai_>Dg-$SSpqZIrOROglKqrch_*1t zQ}7c@`%lfGiuo2>n^W0tVn1yCA=3f9PG{=l}=cn za|{J5a&Ijv8kJ5CVkIVbc2Uu6QPJ$NqS-}-vu|&neH#i}XN$JZ9@{$m_SV@&+h&Wl z%^oWp9UEsq@31}JHS}EH&~yDm&$))48yb3UMEU&a&~sx$&s|YIKcRg7n)3Nc&hyhl z!Gq6xpc55$b}V7#c*3gjgw^8-lJSJE#}X386B5T09vDwpGoG+^JYn59QK7%kk}(glJib&@3ZAPZQJ^6+Z@(ylL4DO?9Co)VTY~I zY0GkQw!)vey^tyRzk9z08Eee4ge6+Snp~FlZG9Z5PKDjn9;9_!dn=FkN_@O!(WUki z@BHeW_TpQk`g4XZb3#gG)vE^@Yafe$xoT}=a#c|FPhu|Cg#4i;WTGSFgF>2k)roVX zCk$PhbaFO|kT}Srpg>b1oXPQyR2aJzxp1`B3)c^WZ8M@_xT2Qhk>N~SEOXWsnfQ@P zFay^xD!iWgOcok+(g^ona0^?=I}A6q6=R*&%u@=y!*H;DHyML0E}oF#CHGY9a2(vu zibk~VgM#a0TsT>T^D59`9Co6(+VMu|8x*)(f*UNjA5vsE25}f_C4{^Wg>X*MIy`j6 zoeKDqe+dqxA_|X1yzpl8jMMY45o&C61m7t@VX-McuyD2;hTI=Y6n>+Fd~qNSMiJKn|rR z{c`fs(YW&ewyjDJe*AL6%AU_;tmr)5NZ}cEqGpNgn1Xtlq;r0rA~#;vw2?Dz4zv>?%!NK_m($10~; zC+AtUWHw!TpqHDs1 zL+qdfJ4odQkkf%AcvDUj0U-fN(qFL1tHBl_u=g3EWjefa*g4j|v0@2vY2GkLTl1FA zYIc#y4$c1Hz=!$myD0M`N2>(SV8btAKK^jj?f`N!Fu6)K zInc9)jQ!4WMV?xvx;qfn>($RFw}Ay4u7S5*0fEr>TOMfYj1m!koBMO#6dK%%zUuu5 zUW1)-ih!|Rhki*tfu{TwiO%6KU8Gu~`NZt%lz$R*3IrMzPM!vwe2;Zw4Uzs%7VO5B zd}xv7s{%W6`Rpa`<&qBAf#0L@!UnuyIIW_+^j#D!h0C1HpcQd|0LGO|A8?>Pl0U(V z-HAluT-gTOH17}4lTGpY8X}W-hjU#4%ifKOm?FGiv&hm2x@mS^#+p+oLq*Ftfik?| z*hg`*QQzpkIVU_F_-C~58qIJWXnjtIwsj{beot;%LZS>6tYoZMpwg|Ec0d^Jw!g58 zXq>CYIJ%C`J2hHvqx7>ISF06o^NOlp)?<7N=@|}VM zt~JCgkJ<;m+$lH$6{Dgzl?&exST7UV2IaGXmE@hMh#M*hR&b2aBIRJC6Qj6Je*V{B zu5-}OW$XG;`>{X4i~R$5`ONjkIUwjZns^t9T(pTcYAk5#>_C(V#AcL(3nOfqTCz{W z%>W;>Mg4(fp9@r5EEtC#y>YuhP(G908o#(1$Cus0xa%h8{QuB;7xpE^oKked%cqb| z2uXi8;B9bS-&Eib`Z3@VRGyiVv_)G#T6%omg9hzez{m@O*YaUM4{C-ciA)&$tG$jp z(9|;oFMBc)tqwLn(vi{;uu%vj!+7SA0FuaW93Xwp5aY0u&&z08Li#3QoUIVO@X%bG zntSM8B2d7)e!WcgW=x<$BQDU6=`rb%GuWg2BD{EM$WR>|ND7yb5e(@kK__uUSeJIt zgP;o|#miSF?21u@66w!2$A%kqTVw836Sh3k?2t*f+^}vVVybX zpae$Al1@!s%^7vtb3OOwEQN8ZO9u@RJ zYd{9?XmrRs(EgR+s;Q$Xe7{g>=R;+VBH=Lq?&XkOuLmnV347sG=jrv<@aap>$OBFK z-x03mS9Q^2vLjEgAB^Gr$1D0XR@p4{%LZq`eNHNlXU zt%Ze>Pn)q`(&#&n_WCPill^Vc*S48(7<@O}0u$NEL0sIp zUFG_=$@R-{@(ghG&m-%s{( z%;l&DK3WMO|Gw??RgRzSSpSCYCpOEMs218D7ettItZ&->m7ndOB>aE3-MRIj*?tgg z$NgrCK)Aw2t_q_h+bpbqL}WPk;wT|R56Q3zU>Lir7cuBN4SZ7IQw$%(;hq#9geYqa zEAX6z4<06-g-;fIaD3t8YsWIu`yO9ALWtnk6eJ;*{XahZYQW>!s1G83G0@|=8{&P? zhc5*j?!|o&@%(jwfahVb5$VCk>uAq>p3m*4??W-u!aT}nisrHEZh* z>625Eq)F2KwM`OzdYUdhEiENAMO&%elp;+_u9POHZrqfttIAB#OLeK$m76Nl^eIg> zhw3YvH|guw!=2fB1KjSe*J~?l{jR9K^#bXiUzefTgj!6k5`+h^1ww8N_=d%yio%0} zvVyXM;E*zrumvJG`{S&H!h=CUfD4EuMd54#LqPrx5@0aF!=Y0JoapzkHbaG?5*P~l zP0?=_{bth>5#du_x)gsp!XR16{z!jjy3FyBW&blWAA!Q3z=tfNkzX@PeZ_z;8E}sQ z|6l;v{khjLwhU%L_#Ve0|Fb*x|A(7?@~v0>WbRrPui5Ct-LZ`Z^vyF}!s~6i;JwwR z%f35!y24z-(?y)j{jSr$frI<>1-SW+=6UD3oJaXO$FWi@B{WE8Knep=iEkw-mU^$z z=P|yEbsB>si|H0Q=yA62YJHCGTkh89+UBmz7hJy25&VBSEg?&(C@Pv- zM#WGfY6Z2DT1~+?CUDtLC_I-JQqRMiO@0J#iu#8D{_TVLFA*5V)W4ci;7%G-k&tis zEeI|K_oLx1F{uX8|2Mxnpw}4C?Y^hpuf`#te2vw3dfvejn&tm6bzHZYhpC%RPeVdyg# zw96LMw=Ae{Ur^t*puT@Wy>&s|zM$T-p#J89`iBeZUoEI7EU?FCop*8YRUuV_=<_oc zoOt0XgG)#lLYR$lt!GIHfirW$g3Uw%LfC1qM+k08MaU;46X7C4WC-Dqeg{HW({>>Q zp*n zBZRZa5rol%{2n1F`#wV0S^pP85nSvcj3wj_LOAdL0^wqKCF$@7Hfn8UrAgmRl3>_3 zk)*1I`g*vICrL15^#wHUVS{5uGXL@Nw`0$tor8QrGI2bl0aUQwh~}#J;HzYu>m2o^fDYn8wu(1WA>#3xS8HZ zLfGgh%?o`1!c0GUc>8z|A%o8(2HN{TnCJ&-{OKe~ggoeNL*Q%6^(!O(5up1L3*N^^ zhq(i(Gxv;wf_I^YxrqB$)DKdO06Q&P3J{;Y8{lpOa>n=g^nx_GkM7B2LNa_r-ZrEy z@|Jx~gFxs1`1He@T<|ldEd3zeZeInUk8Y1&8JnY12>BTnypNBr6Y73ylYXER0s`CP K;Dh#}um2CS%)`3? diff --git a/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_pwr.d b/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_pwr.d deleted file mode 100644 index 6678d26..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_pwr.d +++ /dev/null @@ -1,45 +0,0 @@ -wolf-lite/stm32f4xx_hal_pwr.o: \ - ..\Drivers\STM32F4xx_HAL_Driver\Src\stm32f4xx_hal_pwr.c \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \ - ..\Core\Inc\stm32f4xx_hal_conf.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \ - ..\Drivers\CMSIS\Include\core_cm4.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \ - ..\Drivers\CMSIS\Include\cmsis_version.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - ..\Drivers\CMSIS\Include\cmsis_armclang.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \ - ..\Drivers\CMSIS\Include\mpu_armv7.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h diff --git a/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_pwr.o b/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_pwr.o deleted file mode 100644 index 9360f60d4eb6542e678c6058dc303585acf47aa2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 18036 zcmbVz4_uSi)&G5xkVO835U8St7{Io)RSbVb{%I2e3W_!YZSAxp0b-#=41YxY!~x?#<=NlJcb-$1(BYSQ-mrj5QYXH4)i7NMkX#)G}6N_P)0; zfw2hG*F+oUu6*S6^&9?n=z-ZIbBnpm2$mep*zcnli;^TW3EE7@N8w7{V;Yf_$<>*8 z&vHG{cSa~@>SQ}>oCzh8qGzLu_Ny}!a+QfIA~P#`OC86OQ;Rk3KDFstN!^I@^mv@d+_x^&tQLoru><{ zsGj}hYD+4+lOpD<}udp(hpz&s}mWs0nVPBck@d;+@zGL*wcyb&HaikY3^o^qJ6}j)9>DV zK(SfxZqd7Q^zODHcN@y}-CKGUTRiR@gQBCpyIrZ+YE*c&M_oym2eqtAd&-yeo=JB; zS$l3UW|Y&N_aq&4>HM6N*ltNvY#HJ?l)76Tik#aKop^-vIoPH!Z>2k96msl&W5!InQ#S1xn+|+P>}2f-XK*{LB(t*~z1%rg zcS|l&axjEM#nukRmiq2(rHU5F#>SW)4A1M6F8H+I7BX;;V#@)NVRMH&$Ku{v52Z=B zDizJ(HW1X?(WLhVV=g3XFW7YFz1p$C7zi-IC9$pjZb<8dLZEh18gC1~y9K5hxWxoc z-Niv26hdO4oU9$=bZ2}?Z-=uQP;6DYo7cEW`5jONY)#tEQM2W*y-RQCvrb&a1+>jQ7vc{m-d7thhj~3QH zXN?)L>Bd|!zr(naRo9#`zhg-sxwL0|I#gkdR|k`hLCEo>5t!8)bIqq6GpR0fR7+)> zY6QKk0tX!wJK@y6@8uhKVvY`KFS}yS8?~c6XP6#LAnKSiW~%&tNcmz&`Ep2kBBXpZ zqzq}N_He<=!6DI9MGL_*uq*AMndRcY;WG#)(OPZf5km`8K zWnOah5-cSMOl6lUKy>lu6WdR~j-39gkLK*?p!RmIegHGhoipIxY~cOM>fVe9!$y;} zn9--Wb5}BEBJi;@C=bS5 zPS#y?-8!?Tf`iuk+5NQ{xm2VJrkUxa-Bin2(Z^M)-g%6`~5_Ve3DRBu1K^ z2uBk_j13K{6wn-Qhr_)kG)l7xlkO_wDj(1UrI9U+b53Du{NvyzMd)xi6&}*rX|Lxz3L+`!l3Y?8+d6UQzEo($#u72c}i4t98g%vJl|~BX6p*yi)&p>I=qkW1 zpwjwo>Wep)DhliQ0;aqg9lP6yNWD3*OPXR4c%lsd?@-VXoNh;=0xMS=%J3XgY4;Ys z*A^pIC3+oceyTvK<0%8Y4E%ZmH}QIRi>m$x0+(TOYwbJjp91SvsCNJRg9 z9{Gb7q4GT+EtI3Kr1z4sc8ke_RccZ?6C3r}@_DmGYSoAq!3DsNRQTAYdvYSmU2X#5f1dS*&)kn9lQ}_QGN&~9C2MkIDF>30Q4X(Uio}W?-3k3@!_<8Gt!662{vWublEdEk zV@+aFB-$9!iQzlf+rNC?!5s*Vm}ncWKI%| zSH)+?o1M%+DF0}UHQmP3mm`>So*Hh$GIaxqGvmtp`=;Hs?1aob>ykxYVv(dWS=0;4 z$ialAEb@6{MrL}9(#VA#t2A^LV6@g&w~& za*2mUM6UJ>MP_+0)|c^;@8CUIN~iYNxORO4x474w+cVr(#0QSnf#>EcQdS>V{L5 z6hufid&m4_YUF%nk z8)BObu^&vTCM~Kg^)Z@4=aQ3-+H~s;PZu2NF7TW44oM4-bQktW3q~gs5J$GvD;Cvb zLMj#^79a#zv7jp2Z$^OaW~aLvIc_3CEmlg`ypJYTAC0O0;#FNUNNYxD!4Q@WWZT+P zfrU-}yMA-th&gY7|2{mNyVsnHAhvgS0bcrfSHW3n!O(E@$p*J$4fZG9ZOG%T?zTjC zo5wx#?aJ7XSnS6Z)y00*2gcZOz3Li9p-;nRfD-64Nb6m?3u9WWUROEY`oz__L+1Q_ z-36nTxq*Qo3CT;Sn9o@O3!v#a;#ZbuS zM++~S3wnVL_Z^RTQkHj?FFUwdVYFxvqh)}^PfYnYO%uA!+dy&hWGghEzkb=#D5 zz%36~h}=rnRF#S4uZSlOvUX#Oy}EWoc6xoKxrlE~Tyv}2%$5hsip$KE6%SQCP+=;o zFjrKXA!ic)-v@maZYsi~p}9}^JEQqU?)#YC-uswzlD6%UmZ8_X7y zxuR@kMajyFimJ+rrTA1nTvk$H0dsJ)l$V>AE(2qH$|_8yMHv;9RRl8wFpGzl0No=ITRBjR4XNrX^z94qZrmjL2I^R;Yu&CHP^@^Oz zO7k)rL|JAfjiGq6t!2IbA$WVk#-?I>&8ElnWlifhij>XdO4Y3mTkWlFwzh`a>XyxQ zwlCfphl&31C3%d+esmgAEb*W1AqAs>6RoM^F2`sTsZ>&YHJwBzRN)Gx= z5FP_z5QM!T_-BF82!aoUVgENC@IIkxb9S+qT3bV)QCxXLaFxd%E(?=b3_*BD_`GQ&Guzsbdv z29?d(#r2sJJq~4-@{7sX)YTGha=4oP-3dk-f!POYd79pv+rvdZZRpl(QZqDns@eS) zBmA*QeC7tE=vHg)%&>525>|ee{jNpx7uiK#lfYuZX1SBv87!P3hK;tex=APJVDSr` zmk!9%*nK>Y_=UzKv>D>%6}lN$2;Ejo*Z9khXq?1}8CFXR0Tyu33-cDoSWE8C$oDR$ zDqxcU$7GbQV7$(`e1nx)*YlmB4g5j_yuak7o;mFA)S6{!@9}BUx%kZ0@i`f*r2`Pk zxPmIc)nAo0xad=7yp?ds_U(o7+iGLyLj=4D0%iZceDd3i4}q;mXm8Crz35ZF#>$+~ z0!H?ow|f3~&P>CBQi(xM{F4HMH9Ui}c$;%2arb6N4C3&2RP8)ox|h<(&tgpnpy&5aWoR9KNNc}Oh zMvMP+&iqYh1Zx+DJZrQE1TxSw7)VxC-e7!zFMjcOe64A^-8TI(*Yt+L=}p(CJ4`b= z24`%8gJeJM&~&!)P9TVR&{uZzEne|ffiUDN`&=M+1i~R-ne%PF#h5@i>?`XL2zrjU z)e&D=uRwsn-sq*(H;-34m)7^5fDd|oWrs9N$jR_vpz(^k$Cuyaos2|Q?q59%RH@?b z<`w^+sCdZJwEJY~m-vxk!hrCWc3*LT5Xlo_lJ@lk2r5AtN&Aik2mx};Esb1&4lpUfPX&k&|DE(lnO0gaA>Xygf#+TzeDpUflx0He(ca(6JFaP5MFd> zJ``H?3WT3HG#?3s0fBJ9q4{%Qv;yHJhh|b}F(MF}9h&O`;haGDcZcRrdvtMhTeR$98U%ov1 z$m!XyU!Oe|r+Ys`cX72YEBbYR7; zHf)SAL`e{)SXP{5Qs<3EJeWUfIM=nkZ#ddKfAnPTsKKx3>>F-#WtdG-H!@T=d|>!j z)0__sW^(|)VN%@~jJmN}b;Ab!s5@flW<$ryd)PGvWEkpMIa;hVKFP8Ua`XKsbNz}1 zenp<&o$gRVJ9#s^ME-M^$7y6ei z9yNTf=-fN}!w7G)_N4Ilv@5aqHh+&eBi0P;c;v8awzF&YVQ!Xl2mc*0>o8Y%pnLX! z1mF2y{*Nfq!v603$?nqL9{M{VO>*QXYf|{XG?ymLq}aF0BU|o~f$q|@d9TQpPso-Z zmhJ2%BK?hZm3m~erci;1HbUVx-#sf1%U<_$Ny6WnHtR3AW2b?-jz_k6r?=_lO=I#V zk2zB_;8}O>+_m%TMvlF`?!?ul(>_j4&B^&y&i7th^h*9~nKQCp8+!E@tD`^3jlEGC z`zS%hJW3?m}#w z`-kD>%%*4TLBM#KX&x<_)HXXZu~4HPWcaN%;&HWFZE-$-1=EOKH(*+zK4sZR|7v-U zjQG>mjP?`7_OhmiHsX^OeV4L7v0SZRIG{IfFqoVdtX=HaJ{k8+_cX~|MZBzDb(hkT zga!p@uz6^C{)Nc?p1aUC_DElJC8{_JtN!q zD?1M;J4c4MA5?Y@DLW61JT+c2QCkf0SweI{t>xy?u@vUuUXGW0hqw&cQiF~84cGci z*Q2_3GH=9=Rf%j^UL2R`>)||E@`zknx`c5Vysp;DJSDG18z)oN%9A_x%pX=C8F_9{ z`At3-v+1#n;vJ%HDX)WW)w%>1uTat_WoCjtWeQrKM17VtQ5IkH#Dfk~ZLPhv^{4xX z7Z=GKyW5VWtxR7G`HXW= zrpKm7>IwKi$wYo2$W*MDiLxkDaYO5^LZMESYpXMMTbNu{UakwGT&~!!lZ$eqcv%_m zhoqP6NVR%U&c#KlJ5YE^5gE564sJOf50Zw82EM3PcRhcFWhR+kG>^1Je_zE9m2|sS z9LFprpTwR{%2f`AjK{pOX???EmWPVJZnK1qaB!zTb?8t}evjUPjX6r?_I7*Y%8hmQ z)+yHCIbf62&`iO-1#cW)j&$|GGvm5s$zjElXG@<%9-Q(SWBC&H>NBk5LU&2uBPIPQ zADvDaKA2*R`)hw(?L%<~d$)~_7=Eg>99!%-Ht?Wq{` z9o-#iES9k~*hBOl8%bh!BQa!b=d3a4v_B5YU@S-J?Q2YtIhQ(1V*LH3eIvf7hlHGH z=Pb`XdlFyjj<-!SFfSyG>H7ACk;BEtxcKJSF9!CYuPpBs+eqwZV>@EZ-@=O0nD}w` zk`dc*{~WAC@jW&C1|wCjU|rU+G49`Rb{^Y;AOG$@`x%PxPR5?W`S}YU^qDeJq|abZ z^;SY>yp15oxof^oJ?|3>I+oBoe`8F0kTU~CD#mm|JTJUHV zczjowm+|wc!zj(nXZQ~5gbu5W)L~)m+ldMHWO|frUH_FH&d_Kq^r_3~<=V8KqnIu! zl_Xj%NHf~Pk^_^u!LLUDy>a{7#2a(PK;qfT*X`k zO^jVxuGnlYJf@O%_m>4?>fl{p7rXxV^7KStZ!jfKAg1yH1>}j%b?wd#lgBD2c}7He zGVcC5d9v`1TlEu%Ac}Pd0fAy8!cjm!$K;^;a^jw8M~CnuSz!JTxqd|Re>=_p{o?$0_R=JS>+H|OGPTZljh~9qyl=rV z_b%6`Ftu9BXyFvrEK~oSwNE9F@R3EZ`NEJfQM>HNJ!+SNi%a{#F6^Cz{3JN8Ti4To zo~H&T>Uz!i?loT-mpAfu<2vNy$JI&WIuJ50Z=Cd<#Dv#qT>Xa2J)Fbk;b)FXW=0}` z`P+P-V6EWTiZC{LO6b_^{Md^5wU0LN+4pXr`Q?+I>5;CgX_o9He*Gf5C8Lh_>qr?b z&FneE`JnCE>@Afzb+*tN#1~4M+FG`Ve%^jPc5`5?6*7i}qxbO1Unjo$jI;QHwEC$> zN{m={77QOQPTaH3vAf;&G=rUw_V)H}JG679k`0-1;}X8FkKSf5S#FB9_L_pWJ|ybI z+snMYKF+C6%yl^b3%)SSH~JYV4q-N-4+i(YX+U^04f2}Gkd;7F(Tnslin)K)?4kH3 zD}u*g*qMQVRbyevO1W~*F~rWn1tm{2?>rwWuf1srsZ7k0AAcj^E(t`7P)iT&~L%n zk%Nr$;&H)5#utZCd8>_jD5YZ$e#J&r4+tg#a(-@Px)M$6t6 zY&6^`*y!b)fQ@4Jh1h7U?;qJ{l>0w4AD<-kdv9Z-)*-S{H{WPz%0|1O&Ud0yv7~6R zoUZc<6IN}ChXt$1g1v8%1-Vyw3pNKVh^v%Px?LKy;NEWsE!dlO0Ty)SOj&UMTR{u< zrsZbIOa2gI!QQSUFl!32U`k{=EO=z4U_o`}RV=KFhT(1zJB8#ylYnIptKsnrGKh{G zeH!$?R>s>E>(f)h`tZVG!LKKbMg9Z6|> zMiL#O@^&T{xqa?V^RUXas<`M8nu+54d&3p$57?H^-RJf}$vY;ZQ=sG_VcnJq+0XC+ zsNxD=ktn1XB^JsIdQDu9K2g7oDUj^^iRxj9L#qO@ljIHL`xvV{8Ef&d8e<()enDFS zetaZ+yv)qU89s(mj%}elI_(E4L{akJJJ3cLSC1UWR#Wi>i&S-4#p+U9QyqRr3;OJN z*Y5Mdajn0@g}Cw|eAbG%viT`W7~OebgNdJq8NCbs;NWQDJIwuZ%4jaAX7A|vku!dj!kI+}zk-Uotm_9$>t zIi;h(I(mzs;##Wi+O3v^0P_0e?`V4f{a4KJ0&A${?}z(dV3jfo0CilDe6(%wOjiY8L!<| zkG`*yv02X;O@mC8I;DsGR+Z@e%qck?o9p<}DeKa#vEJ*FpVD+pE^sMdi$CBmb43l@ zF~S~o#q63s;e6Z`dwRO^<&Cbm{efQz7_(yn?F2uKbgz|cLUUjz^72f;a(pO7UxN=l z1ZjThsS%!EqmmfWZ#IhnX&%xe)>E02~h`$I$x)Qo29g2|XanRdr&`Ccc6x(NmPV-xYB7GI;G+q&k zbUWxIj|fG2C+K7o5fYuoMbD$~nWq5ZGxw3ti1Ai9GZeA#6EBhel~X6NV(N@VBuwq? zL_%9KJgc=}68(GU~?0_6_OysXe_OKa=0uB#_XB5vMW$k$&q68bTG< z9g*~vOv%BG`eAdKdaBZ}uuc=3pg(AnjA++GXaU+nbm0Y0i7q`?!RH068r51Rk zn4Op_A=kiP`Y>Ij6p=L&SrBBe}fA#zLyIzzK;tr{!uQ0MZ88Y zIU0D0>>W>ur&1P>#9 z$b6jOM?7+r3;L04n432>GTAXM=v1=fT+pdxCpf{Wcm^jq!Kpr@_l$6YQ}ILi4JY^! zkDTJldDe1ROZFxwIF*KwQBLp{9U*UVf*bIx-{yLmib_#e!JId3G@JHklp|?E(<50D zMeGA+50+&tSdL&p*FeDe*X|jz|3%2W&94r%?6!9u+0+F;x--TC_YawH0g=%?wJJs* zb49QvRxu{t4`hLxb&Rg1G2E?V;^jIj@VD!zz+bQ9+3~ma7~OlL8+TL?8i`lpGFWxB zsiMO4NOfh|H%h9j9lJWN<8C{HIbTg9iS0fqjMlxKEWVjv4s~s08QKm+8Pm<9^Nk%s%8Gluh z(OpSKmn9i)OQHfuF<)1~=*A>of}4_HD%{BYm%Y%m6i#oWC7f||IABIbkcXq%jV*2V zj`!I^R=n%M0{ zOALY+T?0!$LzUA`HJChW{-L7m_I=3geFnlUKOX7_83l0A)*2O&IoJ&pJBKx?0r|l>OTy_DJ2pf;eDTm;eQLmxiJ3Q!|=E;d`1|q z4a1YeaN&1ul1PvTKe+}T0ml!Vx8Ma~xG4-@8iub3!!2R>Lt*&ZFx(o3*M;HrVR&O0 z-W-N!h2i4pgkR1?jDl|ze?LXCT-drukkin)2C`B9+(5EI*!N42zuZl9Ib-t)LT%Xu zkz1Jw;sCvjU?gLY5X2T~Jwfb;S_$GX!$}Yuw{H`~Lhu8EI6(XfK^(puB8Zp!2*xw^ zHo*kO{y-3i9uz|)IL!D9K^!_r;6oA|GQ<+Zp@o(p4k_*-I2&j51htTlAWkeT1aWpv zb6YZpvBwGO@jod9lNswFn8Mf|f~k!Ch~QkDuM)fyXE6lt!r2Q!==Ti4G{*i&@E*p< z$0heN_IHB!VR=Iv$vnpHBnYKt5`;ePCkQ^|6Owc+Cj=KT<{)Um*$BZ5T!kQ*$=LS@ zBJbQ!5K8|Q!G*ZNOE8DA-x9_#{Nt&4;PyW=3{3In@S2`Pl8yxQwidiLIc4i zj4dXJgRkWTjf_1^(8O3B!6L?*37Q%E7Qtei;}I-jY=GcW#(qq28Dp;yEM<&#yJWvn zf^eD}1j#wl>*!w>s%MsjrPprUumS%>z|xTxq|-ksG}LTrv$u+kTiaS# zdW*d=(6<5q_Q2Ac@P7yC%T_%&AOG)wL-;^lV?&djrPIMhO|7_ShyK$7O9!(yTMgir z4Fvy*$Az{S#?*7p3_qE|kgf#EaBIFS58yOMLLW>k{;}g*>_Y@2KIdR#@xipk~7*3l2YVwvbaLp%NujSxIy9o&Ndt&1n(%VA=SVhh}oVTv=74^x`KE%`oh zNB%hnzuJLz;zRuCgK2q7yGP+?Xgc+X?a2I87N75;UFaj$(GT>{vqP+-b`OaNpxBOL kj98}XKcU@mDg+*}?rGp5VzVLmzZZj~`f_||yrk>@2IjzK3IG5A diff --git a/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_pwr_ex.d b/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_pwr_ex.d deleted file mode 100644 index 1bf75bf..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_pwr_ex.d +++ /dev/null @@ -1,45 +0,0 @@ -wolf-lite/stm32f4xx_hal_pwr_ex.o: \ - ..\Drivers\STM32F4xx_HAL_Driver\Src\stm32f4xx_hal_pwr_ex.c \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \ - ..\Core\Inc\stm32f4xx_hal_conf.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \ - ..\Drivers\CMSIS\Include\core_cm4.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \ - ..\Drivers\CMSIS\Include\cmsis_version.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - ..\Drivers\CMSIS\Include\cmsis_armclang.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \ - ..\Drivers\CMSIS\Include\mpu_armv7.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h diff --git a/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_pwr_ex.o b/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_pwr_ex.o deleted file mode 100644 index f2f63edf8b2b362816fdd3f0c5252de8607b81fd..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 14316 zcmbt*4Om;(k?6TsLRWwgvcU#}BwuXeVi#laV~hYL5&|1yY|G%dc0-B;$c6;45Hf#B zTM5Y#PDnmEvK2VzVo4bX6BrkGiT;r6h*>`A~__25wf(MD~T)OvK*3hPbnc4 zdf&C}sf5Hqd6Ptyz31>h9_jdOa$n|bb|qC1N3tY@oQWqSk7Ee!e^W8sa15v3yBruvVznSar*9rg1Vt<%S_hB%GK^+neGL;Wmf!p zDy!c)#QqaiQ0GeDlC#B=|I|doDfW$7kb9CPBn9$<4b|~%%L(E4HjKOAbKN!ONnKt0 z%y6P>yjFIgTUZ@WPOP%4X#;C`T9@#W!(JhA$$p}yRXP3*2M2YpiH)nqx5ue=$w1cr zbVBR`LNagW-u)61U6Uz%pDzbI|BAVQ*&1mlna=$}2{&iPDb416X|Ecczqx2gFQ&iQDQ$ z*I2RzYb<50UvMa|0Svv@^a@r)HaVReVtOXcNdV}M-K`Ewn z*c@7Z1u%svCe9bwLG;>7|H|-JQmF<; zyS`oa`gO#aCiyiTH^Cjs`K|jsSlyC^1~z z0L7NTyeL8OwqG%SKO#h`i+=Eh65=7mC@@iIVghWEE|F?CgjTE3X@f6>3)XEL4MhnI z7u67UoLvxnAfQEpMi8d?7{tS*g+&EKo|~Ws1#!lL5d*`^C`3G*l3mph2KiX(wy-P4 z{EI?XubAltIgvQb*ujz*>M>*)m6sOfSI6YDV@Y?Q^~L`pd_gD^Gktcq&EoD3h4d9s zHyk(u--V9oU`{Dv3g5&n~D1fgP;jad+py0_5zny9dYxs0l38 zda&os=#b@;UxcM+4E=tCI^+bdo(Xpu*rAmvJ|wV?GJQtpcIelTpbP-5`$@3*1Qx+s zGtkO(fs%a;_yQA0-XzuQ1 zk%;RxMC?}PNuMAF#qL0T&dZ#knDR)Eq8a%GQ zFDimue3)Ov)p=!mP`t42V=nA0FhovP=Sx3ilRq{{uWOTUdy+fY{7ehB`6E()kLTsah9ETmQU(SCiI!3g7QgS znM;{{>IER`qFH3JN zNbi6eWGYZLg$kFA1j>W@OrNuSBv3LQC8EpOv^z2>toiS&l2`2J zDm$;woYI#}1eS%<34ewsQ0^0C zp2dF2=c&xIROwZLdfcgjBIx5~ec2Ew87T9BTm2dHPPNY|4+_dda6s+>E(w@LUvpISK}CSL9sf;MqV4 zv^(r9Ujj`6WdM5uauiFc&kHm#ip~pQaPkA7WL94`FHpvy*d3_Pd6_rVLR62qkrQI% z`v?^1@2I|P0(%O^QJ}UC^<^03U@Kl)*rby>H!Sn|GX0``}8Hl&XPHODZnst(4_uymwK+|!QAg4KSq1OVO*LYZ< z2oVK}aDO@9p&zxEoe(R)Woq6C_YU>_$uQ$ORLLJ2xpC!_eh3kUj6yttxha@%RP)=X z@g&y^Ch(GL&CdxqsJq_pvXwUVrR*)*4IPIDvz_&<>gtp)XGIN?wrAT0ro$ zpgQgml2Ff33fl!j$0F2^uLPhK38JmVGa zB;q%LZwoY({Yn zcEVW-IBpzKorLwSYz_vqp!~eE9M{3+)E*=AWGl0y6^;YU4m%CVS4Vyf!JQV zyAgH>>3FNqKkCSZrQw4G>8CzetprVQ3jw>nAosm$!hImj{);BjyAauC^=goU>h|0`Cu7FgMj4CHn-&vY)G88R@frCZRu{C*S+rT`s5F|rFO}K(SDJY-iBVV zIf|=(xPMSy%93AoD6cNy9{3NG@<{rASi4J4!z49ihdN?(!;I-0-CujhlZ+NnKF)1) zv+yF~J8*IYg|igPCeeWt&XQoDY(lRAgM2<+z67xy(7^B;3Am;21%2SG4fg<}j)v>7 zI>RCey8_il|0Ou%ET+NcRMhamdtOXu_tl75zuop32;RaUe5A<4RUYe6-;(J_4L)H{`4Sx!rNenG|a zo~$2>6Z&MmF!lxvzw;}Kb`IwDS<>I|i3HO({XY{k`KwkPUw`p45jo zZhkKNmTp9{PY8T@dD|+jZ9Qgd_qO^2dkPD77WMTtR_kgTdFr-$YjZ=lt)sBu2o|)O z+8Zss)<$z*UbDWmp|;ItZs6D&_EhfPs;k?hKh|M6)@kmvm9l`bh_JX@Sr2a7G2Ws|I?R(@vR4e*D^)>a|D$PA@&1UF#r0d(DsrKV7=18CO zS}SYnbrrQ$ReSMUS5;qi0RDEH+u9p@wl_d8@u#oV?cLQ-(bn0Jm)Fp?BBQ0P)0Wo? zmO2hVVL_v9MP)3AnEosE33p$$qpvTo)$onF#smEpbEWx6on9XX^>v2YTK&#lb=1`0VK%vyx3d=W6;Q?$LJQeUU9UumVdzFxlzJ5*CpkNv6aHCd0a2VtDH9qX(# zHyuC9)^r{@7N+##5NPaf8!&gMr&`2$!0Ql9`8V6W7F}rb|BcSF#6itni`LH zntDua?WU%7bCetY+zbd?KV}Bt*s6!-j(3Ng0S!L^?OSc&-D4e{ZI)v;m0s_{QsJ#PA*w-b?nd#m8N+Pu6RN?93BP#yk_ZTy!>lYQrr#N^ zOiIy8e=a0mSZF3zb3A0@{(#UE*n)TRWFbV;AEp8cdP1}diMO~~c0zpGIGLEJ43vx} z!EVxGU7@wF&@S+%}{3GD(IVLx~-C7r<6a z6CkavlKxNO8P14&1+AK|uwQU!jrwwmCjlj?_6y1(`#rZu^GPaUo2m8&DwF08Em?NI z!b*);3HX0qC7l{ccn_+9nSrS&UAtdXYePE_3GKq}eI8tA5M8AcuItPoSTRQV-R03bO)^Fhr?`d4iEA3{z?kPw?^t z52YTd-{OKkF7SjAN?F?QtHzo89d=MrS^uJPdH1dCqm8ggb7ML8ImF5WWZ5oDkOs^Ou^YE)GSXpPpN$&7KUT-!8str!V_jF z^=ycRC(KgnV2CB;;%=?Yu z+U2Sq7S@coYEBCu9(O$qE`P2{`uF49nBj*A=rHvH=K>=TB=qjd8HqDz&Yu%hc`6jc z(-QsWd1`Y|H8QOjL1aOPNQH8ATH=Rceba&C!v1sS@8?8hEBG8_`1b@W#bht}=eP?J z|N5Znu7B@oU|qjF1FUx{_W=@@b7yAr9pRRs>hsEd-f0P?O35N7Do8lO>FHAf-$_UM z5d2YZz7%(8^hD+ql{4kYgg-ld2mva?j>HUmoMOtc+2<@h#d!r-%;)&;e{o1cj)ZPx7 z&vuSz*Az50pL?Ra?a7U=Hh;Z4zd5n&<;*uMC%h zIPKh!x?!1RD|D%M1U3J>rummG{0)@KbN zpXJ@_v+8GKefG?==(ETEn?B1%pPj9W_1U9;vCn4SSn*jy$Y-V~Z#mIhU9sM3Sn*cy zjVNygtD?LWjJuz=PNjvs^;N#YppYWeaq|n8$jO9tGG(e`{MG=)%_rO;@$<=ru7P{S zX#enYYG=EtyVY>4*KDmk*4s%|=GL!diE|-8UBJyoKvb!f;84mifib)==39j@e5rgW z!?yV`rJcinZ-B|f!d?&Zzf8XwPCuOgkvSh4KQRi1#!>ub*|V2p^i!dJV`2T?c>F8% z+Z?4IZZ;+pWPLK(7@^{-fAAUvAdE>78&)#w?$K|T*>><)yUldeTn8sRGn9qL_!Vv9 zzeaQ`7A{Vll-bV_l6v-en8qDioc0o!_E#^o@bj}P(;1$hQMOd!{S77)OUNpSP~mxr z^O%pN6iw3!sjOE^J-v(Gkji3EO#%uZT_5rkJy!J7S1;K958sy#;N!-FbOv{spt;1r4_?se}eu(qnOUV{ zgT_JaX}{2+g$k!c=brHuwGTe{^x-OFM)9ikfi1TtPX3IvS7;74YlwuLdW4X92+LS{ zYJK4BhLWV0=aO6A@MN6RWoEiw7Q6Sj$Qh9;Z&hs|7r3Ugh`sBiG2 zT`Ea@c__IH7KVlmo(qTPh2I_m^Jj|RZB6=Z-*ZXdCJCCZ1dRj!)@n|z)r_sxyuMcB zU#od%t!8np=A*UcRGMa0nkFkvlb@#1rD^u3X-sM5U1=Ien&woRW-LwfdYZyqfls+8ArJ5&jwMQYCROI&3>flJwkM*cIrNVO5)ke{-%>De|9 z(7tq@$4X}SJOm5N=8+%f=e*aPlSI<zljQc}3yH z`X*zA5(DKKWD}q{uHj73Fl#jk6V7n|b_?Qa(Jv&sV67Hq4?VZlxq9Zpi>COd=C|gA zn%B*i!5_^R)av%NuRCLeCH)(!wU3H&)1TeyTzhBcMN{HH(_2L3htjvT6-hYb3F%__ zy;y-%w!uZFrH7XP!!G)PtdEsA#D9>D8rE?;AwtX$WFCe3s|6zq82|D28T<<1R|`L^ zb_jl$Fvl~lF~qwSa@@aFLS70v!kEJ42#3zZ2(FZ4J@CPoR5nk;MFDA;BVC+J$Tomw z@C)Z*`a?iNnc(y`q>py4_h&cpNYn$-nn zRZHQKrX5X1<^o&m@s6gR9p;X$aNV`F6E0-8noUh@fBj7tdIZM#D(V4gN}NC{6J>(m zXdzXTl9*VUSO$X)ZXpCxIo#0*vjKQ8F%f8SYe`LtK+0DTB?AKUZ9A8VSBhX`7oS3L zVgO7?0`8g=V2LXM35gUmL5L&^n05f3gu)XO9|Vv*4#NVLC`dwXuEBtbN;D3BiuxK~ zgZon4m*&0#BE-sKimyliD!LvC5&z*HV%(D<@d7Q!%LqkopfIp8C!$j*?1!NW!hLkS zSh$;p>l#9^j&Ki+cQ+wqFD)dZX~4udAutJ#)A2*XN1_TtLk&-4_6+xQmq6pGLmv9It z+Dw;6N{-Ol2y&FJAhudyvor2H{^Y2;RmYAoyDm2KUt@{6sC}Jt%P8D-i$wuu`vxlMpHmKS8XN zZYTH%v4R#8?hOJvA9{o!QLioJuN@M)oiL(I7>@~s_tJU@?`jg)e9USy_igPcBBCT_ zkC?>M2_h*Rbym}<^cs>zrBmyu3@Ve7QyZvkY7+&amcYXkq3||IM*RzX1>`sIrKr!O z@GtTCf4C2kk@~8z4^Ljv755@Yb>7(6`&H^kuZ zFm+FRMKQQ02HzQjx5VJ>F}N)Te<}ulItG6}27fUI_r~CV7lTj6;Qttd&&J@FVsQRe z6-~n1|3*ytzs2C6#^9gD;9={AHZoxp=1?Mc7fr)u6z+&{yR#Z|*t6i?pN2zA2IjEY z`WogU!aX$5pjIK`@Q|m*Jf09O=CCyG!yL9;k6;c$TQG-(@o~&yBX}Hhh(}LhF5}My z^eRGrj5suobA^U&+?$xg{|CApqAy~;h7cU9G$@B>DjE(sV(2Rk2a+|I!+~N0<}iM@ zVV+4yIp%UgYA}b}8@Tf(G#pf#F^7YR1#^~=Z)2WC$TOI4Amsa)ZzNOzS3%a*32cyhm4$rFZV-6Q%A7j1+&Rv*)9qbCa(7ABd!F(&+?O_i6E5tkx?1uR^ zLSWZNXcZwxF-N1rX#^gw8%<43R&x)@gLrQvdCkWVg3j!L11aMMaIcVYM}36Iw(BRJ2*05 z&OP_sbIv{Y=K5r6`h0;vz}%03MYG`)V^?=@UnJAHAe#C7>?>o*M(4E|fs9G;Jxi$@ zw`A?Rd7J)za#?8CxD-L0gheVD8y~`$w=j|kk!KYCWEErg7`ns@rRun(y@D#`c!@kD zLi|*gRg)@A-s_#*sg4Uwlxr3%;&je-8@4GrE^o$2aRZDE4F zY9=WaoAt&hwsJpnd>mI$A8q+&&C`GX^YOsYoQIFq4%7)lI&{j8;)Byu_v?O`{N7JT z$JP17z1eFlOR0F;qN%Z@rQIiNQO65)YLooa9TAl`<@yS1T;j!)m0r^0G5cPw^PlkK zC#}C(7kJF@@~B7ao{l!fDm!%Q)lzL}+GLbkBj2czev@HtUHGf0MfS&ZK^OjbI^ytH z#eU?%KWpH%@k{*1r_Zz34@^twx|HR6!A~-&#-fpqKHpb2?%DCh15+wbm>!T$9Gm~b zSozE7HDk*)jJ1dv3muqp_n&wKjdfefWjh*cOEj{b=Gw>dMLWuCcNWV^qGcudqLMV( zqiKlOCfIAs8)ZB5MWxo-g#6lKhpdcsM~3xUBF+i4T}@$E`@?TEg|}CPUvY-@HThhL z3>y%HvvO2xuPtemJ<8QkmMGh97X1Q{$|dA@C%T((n>cx_UnNE?2x zDePQ@&qchO!dPY4MN34xA*{D4?20v_Ju$7^sR+L*&`}LLqGeW{Y=^vd8``zj zZcmeKcMuxR@M~@1Ko|vYS|YBnh#O5|XClLIq8>sU_=mAlxojJ7W_8<8Dqn;e2$C;C z7q&QRw>Q=%wAPksft!q}SbO-jCZCIK;oYdsv*SA(Yj*;N;#$OoruPb#pBU349$+84Z(mR;xiD0 zLj&=4K}26<_|^Wf5mnXgK?t@%WJA_c~ps4d!ZR9313Ww?fV(C8r34n&6C=m)hU zu9!iS2rID%ia0UuvRO>NQ!d+)SX<(tp(()_9hL2%PJN^e0|VUdSDnM?SNMEn4ZA6b z=rvQnt~*tT^z=t`MTXy|PZ2#$5myX^9R{{ow<^yg$4PK#l?04G~w`A_lD^k}gzflzBo4e207FS&;7| zAn30%>`FyAT0yDXR%))hDFRIHBB{eo(k35j6bNX;2OZ%Qv4&s6>~Q!16Ob2lzKt1* zItj_}bN%605n&PSmaxu>h>M8p5hs$~+_W#8u4MHq75&VD-A4m~r{G9p$o8;w#y*NY8BsUlOC3{dA)j#u%%Fa>|^>M%L=<@;3jxQL~rC6 z^zm9##8u)q2#v6dZRj{5b2BpHM#ax3uUi8AE1@Lg{ON|LB2 zk!6m!*dKPaDXgo>=MGx$|9^-th%&jX+*(^!TwCfA=|ffBtRN2j2putCPDNk}50Db^ z>1>MV2A_avXvi?;oWLovf*OsrrO{A8wk_7$o$L&9qKIG&=sDmivYcV(pn95U+;0jp zZ78*^rFJ&xkS|Nf6qVRzUeS)spnJ?P{B+F$O3qT%4wnp0y zt2=HNm7o+~LyN4`Dx0OPO>E&ZoK8J5&!J-=UEufEtapk6B5WCqP--MO2j*5+0b>Zr2i!^fe@QzU)z_Xhf2_ zgZ$hmbA*!38Sas1LB5X!No*07=7S-*QY|9FP*=OtEZgQLX@ZNSu10}jnbWon#D^v= zmu*3QU;^@j&Mmbi#i)}$ZI1>6Q>0v8yG1SAnMQG_Zz8FkD`l6J$vL8Nl&5Y|q;@z$ zm{SL7)a)*VDeiCs9o+D_3d&|-99iAY;#%-$scV|>CdUweerDljcYk;{Cm(wINjndj z2MOvlwlZ{Z$`2%K1cM?N1G_XZ54fr4kZtR#O~4Qwm2KD7mS}4+C}l0RWr#z{)sa5+ zoLp`}_b+se{BnyXoi;Oi(r9l zL2dRCGVN+)7*x!^GQ)VHabwX#2G9RPzCqSS!kmbJbp4>oZOXbVI=gHqSTvK9vE?9z z+a^@k?$m*iFwxME45k|MWtFf|BR*(?IPy6oi1kH;NW73Vg4ih{Ff7z7qvG3kpdxsM-iU#KHeqO(4=28Ft1H zHV{d1a1N2w96_bb5j~M%)H~k3bw`MFyzS&^CRsRhmp8g9+_n~P_qy{BU>pV7&)R6t zcDvRrBlzDqEd4$RQb*!=BfZh6`o59kn{>WkYklwZNN+OVO+Bi9z3%|C8xvbP=Ij^g8;wb= z9kaWPv#Uh2PgW#3jiD!vi9MoOY>=w;{Y2~gJy*l6V%3*U=`R488clo&G*GiswaKg+ z?2&$^wiuI63g%WBXSEnZ8-R>xcDKk( zHGFGQF^lROwdxDB%Y46TRDG!@G_12v*=7S_6zuMpb5by;%b3()oYjqb2yNh>#BQop zw}CTLe}Pgx(%UB0r-1ZG(S?uozF%p5Hx>Kd(i3i=Ue)YV#?T|S*>=?C+3`DC-|v7! zzv`1QV2FF-WCZ@*TB+P)p88;EwYVs@2ncDFIqE}C=F00ibbM0($EOoRXk0)5j=7D-S-tdWuERL@q%9Fho)XcpHdo9= zwyw50PItUpkc3iv4ZXG`rzpJ97}m>WIB7`S-!Z4f5L#!WJcu-)l8!{DC~P=x^oZL$ z;vF7wkw;mhN8IKS@8IILISryvP@~H@&ncRF3UTzVf~solnB4=+J7zZm$T2{bZO%#C z+&;mGqzhGUlsQ6)B8Ge9S&;7|LEy+pIw_isR#2)}K$zH#bHU^;k{Uu?BsGRoqd>qg ztIsf-A_DMvBJu+hkQa3B#SBHAgyih~9kW|0&z!1`#5&R3BLqZz4)S+%rMgA4syLz% z<%c31=?KyhMwn9vY1F!12vfYr4ea5D4;AubhjC;nb6aW7V*dUTtAB?X*CYLQP<4~z ztJ{MlVXR4zCzzWov~D~*;3NK)WR^&~NjqAQX!EHGU>g}*2tSq&{8<52db?T~AU&*` zAbzhZQr+lf&>0e|ybN)XrU}ZkS?O}Smm%I@uJRK8IbEaqFY;Rk#JH?|ZfSr;7FoZr zLd)T4sZD?`R@avG>SRFd3kSUi9WuxwDEN{d>C26(@*e3ev#bn8o?f=e?7Kw^E0f|o z)v^++Y?E5Glesc~$rU+4neSNDks&!2v<^l|o)19hU zc1Q(G${2ikR)=6)+Mzf((=n#SXR%Ljs+WA9N>vE-01Q?2+i_DA zax2l6dmMtAm9t|Dj*!b&Y$cGGs=9jpc$&bfL@~-Kw@Nh`&q_P?BtxDuu2D7f(H*JZ z-8EK%u4t)ic%#Mf5lccElQ}enfN|M&J~N<96CmzUTSZ-&6phN6*otg?qd7Tfl=*vR zd)6y=j}SHUJ>_aU7jQ000B_z@q}7U)^qU!U4ajY^r=iZ=O1 zAIO^}%3xX%6Y2qCLL!LyV?9rNf`ECo#|(~^1yZITMPwX}zqkl{ScqSGV}sX(1!u%Y z;e58pEVb5@MBAdGEBvg&l$v?kSLE}VqDiZGQLlK(toSdh;uVLYxkvH(phD+RixhDV zy+|>`VHPQ7I;vIQJopms`U+`@`}A1#|0+iB%m9 z$yidr2V>u>q7PaJ(`ZZW|cFVRUN{5U*}t-^Mx82G^yg%o#EHu1&IuYt0_;nixhGX)URmHDN6zY&rTf^Zrdy;nK=5~OU0^1XuE;!G@?Y-Lzp zm}|>4wPlXlkPlY)e#uD1!4!i2=XH3zHX3Ubvg> zZW`z62#l|CEJl~7-)ZzE3Pe22IXNQ93H=1ThCnzne9$6YS#3+~?wH+ToC|{7YoBw& zIJ*wXj)v0;a_4LZJ}~0o1GZPJ{}?8TQ}qcHuWoGHMfkV+gJGgx0|IFwvs-M?uAz|b z4V;VS@q=bIbfompc+MSS=mXddYg4RYxY+tRCY$wnNKU9wux_M4HxErws8biA&Rt zt!UV@=R%4@9i1~LHZJ+;#>f{nzNcE=6)?8lbh#~j`uxf%9%4Ormr+=JyK^zk^Ev2MjTW(3|v=u9t#K+B_US7T-&5*u7AO9u`Gik$*WeZrEulKC8^6+t@LQcxMan`71L63w-sdPqSM3uo`$9h zx8~#ycQ__LBXvdUO8iS%xWbT}o|?Lp-v67*+_>vzmY1VCqjAH^9mTmRxp|9o3ko-E zo3S3GqCaE0Vd;YP$puC0V`A193?Y(JP*fI^4{~osBymF-1@UnR-Q7ppv?jw{>HKuV ziZpkE^2R23dD3R7xoN``5b zDJ5fJO2)F3jN}y=8R^Ew8HV{824lwJ)U_GQOe-l^kzu5$>lJHUkzq!Tl}F zFr+2NW~^9AVVo9Qj(`#jk!~>xhN$! zYwJes!lJy*Zpe1x%nhXlJ9A6REM*1R8%nn4SjsH9MO!x!a6{JCf|f1FH(zh`otIzj2z$&5{6>=LYMJWdX_ zXBJ}-jNS2s9b3eh0v5B(Bj57HjMYQ0X~{rESN8|$%(3XUxTJziJI+>aNbw2KkKN3e zL%^)ha8O3DmZ(w`6fx&+PuOdWnNi_ycv$-Q@MwQuR^Ry7SN4Zn1=`~Q%n`+OCV^PU zlq*>kW8a3ckU+VWeXN#7Yn+Ky0!6J(Ww8pA8;lx9qb6RRZ5Wkn88vpfP*8O`gk@DQ zR!k=?@Q8w}QH(3%%@rBjtv!1Bfrb<>apR~Rf;tKMw2se7b@gyfB(^In*PS|@wqMT* zz7Do#YC$nN;DJCXdf;U0dSHb<2F$QZ-cOw?n1!xT$|=IKBG^m`WY{C73^OiQk6~F{ z2I|C0GYfO3_?VY(6DZh2loKfv5qpP6B@Vul^h@l(jNmuKH#inU$`|=ISSh1!xhV7h ztnX4;1CXH990X4YXe8YgZuh7V3k`f>-K8w2(cl!Is!@5tPqI304vUFO4+L zY(ht{_dLnCA;#OR_?>qAxy?s1L`M55`ry5OX;fD@p69cX6UJNmcB zMmJv={rcCVv8o4(+0xQuvl0nsJk%bl|GbaG8QrG#Mt7&W0DLEwjP9;>0r*br9^HM~ z1>igJ{^)MWZN3h^6F#BcsV)FI(OukoW>eq%qJc9-gJ)8FdXBcOKbf&~K*B9bfzqF) zrTvSN++>WGzxy>I`l7US%i>wXi0%&Umc-ohK=gey;sUs+9oAj#0=TFh)_vLqa8Wy~ zTQZHW!$s||?o=1RMeXqJ>S?!9Xb6eg81nG$)2%L8`mf^YDE0Uq$EF8Grp0Xx8KcX_~L zy5O694!M9E>&JD$pYi|=4|qZsJis>_%>$m)1>fRxVAV_P;m`$t#se~WK$9-`a~_b- z0}ktgZ}ZKT^MDt1!C&w>>Uh8rUGSGYz|I3+(glCz>Maj=Srfn^MGC+ z@L#&%Z}=huJm9D<_**`Qoae(Ole#5yc{zh%tbJ!5`6Hs;=iG5`2_OuX`2hvJT|!{qy_-Zx(Py;Jd>uEXs6 znwb)Pitj1$MzL?axI-_&xiuQ;)l;fcLm8-mC!R#=6gXrcf=;wV9c6bs<;GLI+yFUG zwnT01;l_xQNj;a^>-!ALZh*DTJfOF|{x%PA@PMoB^>=wd9}nnjudhnxif9ES*LrTW z*EjM2GXQ!?3yUlUx+~n>Bk;uQo^bb79^k^uD%w5316+7jiFV)R0WQ4iM7yhmd>z0`DSi4z-P5<_ z&)n%MtB5r=s^XOcY{Fe#N3qIM=^H=&rgp+z?Svn+6MocA_`7z(J?(^_bRF5MtV-Xk zy}nt8eY1}HX0`ifUG&Yqjv>j3LQ&%wyUpHbv){1U2We#d6NYqQ_8*{xOf zsuX)&mA#?L-dJVdUuCyf*$-9O9VzxBRraG*_U0;kOO^d(mA$pfek#T8tg?4j*}GEg z-BtFUD*L5QrR`wdu6f-$`!4&94oY|-(a0r4NZjA8tJ`JoPxN*|*S*qFe^=P&si?LJF)rMIHh0vYs^ka<0EVtZH95+A-kxE#@n_ZK z3>N^x963H#O|EnSpl$*9RyFy!3jjup58PfkamV3_kH-BXMYD*aj}t{Z)Dvhhri>g6 zT?d!wVYiya`w6X+oc&U*H0-@CSz77;>YrIK z%4`{xhqquMlx zZL@}I=&6|N5GM^K`zlXgfG1y4&{OdkB0~j-A|7QYH%gIViTl&1(gO|R3DLm`3BOMW zjyo3r+_86-3t#A}+x`CQ@4xiL?&hao>ptBu^>$%VpeQ9dC1rk9%A#>Wl=->o$Kz%q zZvf5tSD-B;5qc|dFlD<{wllLBn+kA=VN0y1qw;3h8JqyX(IVPh498w3d{m>NubWgi zu?b{S!6Ag5B=5b&*jB_QH%>-kBRsRG!IpH$I2W4`jUsva@@&x=g8uL`bQUM9 z%hI+*^)YtTu&0Qz;!xv_o*nVfV{62hO?14#L%}~ z@lwen%2$O<5Q_=I)`|725O9x0%h(to6Ci#~?TxuH1yJ#RfzN4^yn4C%C6m=^6-(su zps3_clZ~r6tPXj!L8w{x$r|fFMQDTC92BJ3RV!b%J$K8QJ1gy= zYQ@XO=WaFT&dNWiTKBR|Gj%L=;%oT*qd+*0M*dad1lw~ldWcR~Ga5G+z|uQ}x1+7tEK)uwTI^=nhL zlcS^J-)oL)2?|UK$zJW(qo{Xuw=9}vN?FAMZ|!dV+J}ksITIP%%*?C(dKL9`wXv_t z3ni(SI_#^&t(8%q$97MuTyNO(-}=0uiq{=!j|HBnH?J|J%-g><)iF7`a?I)GsDYrs z3(uhPyNddO_fY%^N$Q=CQM|7*>c=hJlZ3?vzn>C;+Z&FwC!1om5Ohn~Jg98OYXnNWc-%`^Lfh^SYwCK3W_}Ud5*o3bw(;NY_xTcS+p{A!rU!RPo z2hemdn!f8@-?$h}|3i{`Ids(h(5wdiGh#kRryr6BlzgI|kfwQ}-{vtEsVRsOIfwTHe=u|?&tz}Eu? zzmwFd^A7nH42LtLXgabDoWK&Ivu1bn}SYHew1eE#jG(BHou`hv{A zOC4pLpS(M*y_HQ$^* zsVS$lIL=!6yv;7y|JSfirQ_aBM;=R^C7U7YC6nc@@!4g6G{+eeLU-)$l?ryJZ6Iitx{k!ZV?A{s+=-5dsPVnB}tqEl2$xL@u zFB58zxL)yB1?!G$Nmf(B(yL%)xkqj5TB}2B$#WCdV=Y`0Yhmjh-m|hzN8cOWr(nvN z;&&KidiBoz!q=H|igBEn5}LP!?1r-JjHCRSlr^kRIJ?J7WNwXgigfna=xZM<%Oor> zq&|`oHeV#7M-Ma@1Y>rg3ds&ffYe!RY?PUG*(T?EAp?YxdI2S5W02^X-W_5S&Pz9- z;>tNa6km@xs@KS<`aWA2SN+pbRK4hI5YukzOUyQPz1KdO6}LqUR0(uf)pn64UBgZ& z_xPc!-|Uf{60!$F7WIeqSk5>aeKY%ln8U2$5m6B$T?=9cdD;Y0fu`F;8@*&}W-VxQ z|I-^>v{9BS4c8TmrfNZjC&JzpvT;(;dt8oUfz|s<^F*c9BC1phC)jl?&uhPz$l7Hl z%|(93W)}+nih4S*zB!tet5Rv^`pLC6Teek?ZG=BA+uv#zW)L z&mWDqfbn!q;s+SgLG-iYzS~??&0JN^DQ$7tCTm)14AW)lr`+cyUA-9HA1jryN;CVR zV*|0oji100eSb$3{bknIFr0$;Y*Ry8atzZK>WUA=M$f+y8O&N8K^R%ho+NEB(-g@E z1)M(Liace#-@+~lo3E@JKfcXbzbnLcI3=PK9j^z9i;l!|`7;elWb|Jv!iTle z)#ggdb*MyO^%G?+T&Qcw&pP8M-lKSsnLGQKUafTOjs5~SS^j`m?UQ(o$x|v=OAxb< zFGoe1g*_6MuaZ6;FHLQjGazKaJ(Wu}tiz}6erp>@a9(X_YcTkY^?HXn>jcM+jMHQ$ z#%7zkyIusr4Sw;`(+y>x2`T@SI%JF;`-lTXI`3300~NaK_Hli02(eWzNZ5v+q$h7w zDk9d35bv*XjB}dLIQsTvn1h);mx=B}H_JPLnq!Uz3>`aR*YCm5{X9ck{fUnn&W5yl zgP~WhpoG^LB-CSQrfBzdK8Tr8!p{^fH^f~xlvinpqo<(at}0(7x_oPrvQ3SNIK(N1uh+ktay zGdhsCZ;myX4V+`Xamxcx>Y!723g-nnz@s&xmA_&(DqSLYh?x)cXH`>yfKMJ|*3}l` z+*1qvr-9v)z_~roHboRAM@&$<PG4dhuTh2H=aW`QeTMv1|uY`ntU&XW?%W<9&gs5Q7>LpS&glyB@g~`*H{!NaV@7-tuGwY{-_=EeB92|4D3wdVV z?F}Zof8oFoGhciPf@WpJqb_FFzjT7j-<=F*R+!d`@Bz%+17;SkJhW55u2ffi++f%; z$?Ff`fbYQp`ZYCs6TSCk1Wa;f^kuKz|K9rQa3+h3^TU;zff-R)lv5!5{HE<})u z6G5vZ9s}~%`0;m6<;S1drh&C`#Hz9HQ~ho5kf1FN<|fGEkh?TN_E%`2N=~W zJ?! z7j%h`6@tKC-6%UiWvy2&c69TP)5nTfa6=6z*(&mR$!3*qBt`vGNrTco9o_^@PXBTG zcw`R5u-rSpI*x|oPz@2_pL?bFa6;K^rlI(Hn({1Tf9TLbi0-?bkMUM}zYrU}G|vZd z^zITj?{gMatVZuFz89%?OV2>Kxv-B;CWo!J^$^CujhrqDr&=;DU!qM!3wCPX)x)9WUVJ`vzY3V}^7{ z#q&;{Q6m=U-|>Qu#b}N z#f}+}=E-@gwHRKTh#7R>UuJe;!_A^6`2SJ!KB+w%G^6{j2+ONDQc$xQ$)heT;Jb zNaN-p7K6FKtPcp-=v_^i@0R$kvzYH2o6w75qdwHEvyeU27D`sp``R~!EXUsnVH~wl zwI7r5QWj?q2*+2FJ>XKCJD&mfZJdDbF7%wn_t21R1vOlT7l)pc&~p%azezGlS@ifC zD8TAJO^?RNpRDHDq=;veKIvv+lX<0%AvT#Y24bRj`Xm>dSl@q{W0QLvo0x4}lwv0()v2nU&pOS_b;XPI*A8He@x`FWV~-;<45bX}iEa?tF{ zONr04z9j35R0oHo#G)LSj?dRn5t0&bU(V;G#2-mYBo|$@qCBL;0L`NtH3vxwa01sm z6%+6e2MeWc9y|9;BCs0s1la3IJ0VCs#@0c>RL(}(dpBD`nD&I`vj#)|%-!#!_jh=9 z(~aTT?S>$N*zIp&2_p2lbMIcW`B)bw-7KFEkU157be!l{lEv^+Bv}jtM+EpVMKt-U z0c5kPNij1z z&3^(7w0!%ul9_Z37|YhkUXUy{+C0&xTnh2Jx`HFzHq|--+xb)l*x`ijF4&BBuH6jtt0E5u>|)xk9vA|oL`b3 z1?_ylB5j~VPe>~^Xu_DhQm{YDLC{m2txF7}d_t#aZ!j#MeeO?;{q?kS%Na-XZzdLE zB(L!Hn8uGEc6~o#fB3PzEknazj$u#y%|^D3(V|jEjhdLEgH|KY{7nbl~*Y)t~N(`s7#?#1&_F#b_{n1{6&ZQz|2-#aVb_ z_6fgh#U!btWyI_1F9l<7ABz|&VD~!&d1&4JJiUD&Pu@xHUa-4!!K3J4pfVc^34KBl z-s5^{p7D~WazE z{bnxrOGGD}T|w6%=*f80UDa`xqSY@PdAj2q!pR`3M0272FU&dqGf+NNGXoVp7->#f zy8Z}_qpqa-cSAO|k~6|BDKg|ap?t-u049F(PXd$TC6B^{i=6-dUm)}4qmKoI?An9j zZCKyGiCHTxV5JTpBe&q!TxdgMQ*4u3XlUyErUH}o;xXuU!*agc3+{Bz&q22}W7mxA zcI^BzuG=lHZg;k#8~!brf=%;nSa|9;F~_Az_CRw+&BC=4Rw*XDq?qumVnVfI!dAtE zTt(S9McEx$S&E{pN>;W~R(3;LRwgY=k(S-LaAE!eb@&X|Fcbo_=H>8ksMR9IYT*xA zCw8oUOPQ*lu&!pDZr@a6fc@-&NW}*^=S`CQ@iwd3r8ex(o@ju@;H)=3lOJvrz5qyl8V$z_g6yLmi!kjwm%_LcJo+5&}fm*b8m zn~`~qygTQ-*1PRsVMt*lYVL>;KXxK_u$pS_S06olpdyTFK4f&xTqoTs{|Gg!sOHZM z$Df;On@ebP)#{P?9-+}TrgFyRxVjXeQM14CPVRY!zW_Bq6eWLQsx4jo$no5kT~=hC z8(H0bplu?TIn{~GCmR>#op+3)%-5oH$owE>ZhgvG_Lg#opZ$XahH1h-Uyd70Z9(RD z2@Ra{|Ew@1eXRb4siKF)M^EIMceAZ;DNjVc(tV&Qp)%oe+|~Jg$b40PHRpUwXvOn| zAv?zwgLIq4MaOg5GftrKv0v-i15I;;GeM07l=*IBe%^W07|MLt*nV)T?Md;=Cvw>y zLSwA|sSggcr3m9M$2F$`4XMTpbS@ryv9~a!WL!B&_q4bebl#&~`j#@wzYBwsUYUf< z3yWz`ynr*CNTmBRCGPpDqF)o~?glK}^p^6l{~?fWWhKyPUc@G@lV*Bd$GA_fI8+!? zHr^gU?lNZ4;zc`m;F1u!;9g#E)NP6OoWrR zMNxlfAAG!7a4#3Y$9o*!{wnx**Bbn`O@fcN*&&}3d7|Edt%P5bClf0q|XyNK*B`Me%nkqoQ6y@%0A3{STw{Hym|~f>3;osp=)Th@F$8<5pm^ z;BHXhEBEDc#j94K^>#^W=fi7b8y27HI^P^+4hmd88E)Q2@A~Esb+5|LNm8#X;LmTa zj4IDX<)wx_r$+N#+kmcVA2f+i*7z9fdlx=b7$r78Xx*~QIcd-u^>WTc0c%(+UcELb zF!rTWYGz&^)q=D2@=2fI#8YU^wk^+Xzpz7Fp^S{n85futbXDQ#{%zlCcu~{gMeT@A zSJ&*p5V&o@UBaDYBYX){>;6Id{V)UuHnFhW<~^o|i?0D_e3UXhMq-{5fSDu!PfxPE zM(f&4lH0H5v?!PZt3b_g29MFleAfqMlQ(7Fe$`sF(6r z%CVM8&^~SUf@Qp@W;cXZVq^;(ScSYL6hX#L-#95iCzPU5l&Crdw__m_EmuS7UO)5} zPJZw%S+fFy_t3tOPR=F!5{F>Y*M?@Yp^~8+PA7#l3)xI7*;}7iNwMUD!|OiMK*yFo zse=pnyg86d)HO4(t&iWuy_c(%GE3zgEw?fnff0udqyJ`pZ<)qm!`4 ziqVL$n46W*DwxvMjwJzS7=>1H3q1KWEoW|Op$We%XbfVBb)(?8>6sYc3Mv-K^9AIH zdHfq2*~v9&UX+N-l%Te5!_;0Vmamtsd)@8ST3F-wVbn9X?4aRq)NpGHZDhDaW}GAc z^TQ5UC~0rEAr3FJH*dI@my(j(e;D{?bIzm8MPGwFRv+MCzHjCrheJ8<36*wJ>jyG+ z!dr*46;Q@Y?(4&_T5TZ4j8CM9gZR^2YxpFPeff%WZ!q>+8z@o#!lYtQqK+sLV}=b# zz634Tcb6C8aq!M#liG*Y;dS9=T8*~Q9UN^}@#4G)=z5;ludzaDR-l7BZ8Q+()9~1@ z*UP|Ors)_;VRN#8oakk(6km_Hxz!@4p=6V1IB6*B9F%xfh%c_z8_{}ay&oKBeRVJl znx}6e2GII;BBT1%cr`gfoxkQ1E z`Fe%(Zg-4iz7_I1a+i)jih+H1G;*sBN-#(MaZY)W^OZco`AXjSQ46p2f&SxUF1~?@ z&XTK%?uS<$g|pV70Nu+92dba!s)pa6Gp2$YJC|r!u$rG5udCJMv0hyKz9#U+87KoE zryf4eYB*)93szwQ-%-%iOg|rp;f=W~fw)P3B$(oI8Ia$pJ9K&>+1CYU1?Zeak2xEs z$%4&4)`^LG@|qQLsMl(G%FNJ@KmQ5^qoR|-QeM#A_L%@zY6YprwHTgq?M%|Iifat8M9>9J^G1|S|FUclJ_ zboOC-pwUpdKo}J`-w>D_NwNJK1VW+z{(l;F1Zr~zL9GTg5rElqgI3eiq7c z`hHXjcNQSnqE@w=H`!-o>RmO0(%WcpjbF1|C(B%GC>H z^+y%fsN35neIYfoy4zxgT_|jZXBzhG2*o~pv!m`^Xl9%dxbZhAb{#Zx3AR`rL4lEP z^JfH(T#b$KGv}@{b^a9|btqLf85;P@1Hm}{xLBN75EQuOcX0hfsXFUnW&EB~s&(ty zo?U<8S+91TcR-aTc!FG%2sK)a&B#x522Ho6Qg=uM6x}+tzv4?$mp_tD?HbalnA|R% zTJE4_xA6(eRN~U9F4v5!=-uI>7WXo%O7;#4+<%q4-P%v_;^ru3uVRPIj0unrLEQK| z1-xSRYfi#W^;@`Y&iN{Gq(+C_gzJT!ghf@GX9(H}k+7fJRA>wv58cp}tYk7k`y z44Alwt}dU<8f}44@aOs~a7~G>0vO_C2KT#!N1Wg1&8RW0ZR# zGPs&}Nw|xem_$u9{D>%L1d-`rh&9FPYiwaopC1~vfuN<7shE^o4C`=Hj)QggFu*!a9sH~ZL*J0cqK@iET{xzKeg@mvTe_;#+1XitU0rEsDhIV zR)m~fh*NTB7yK?p`$p(RhCr|3HNg_&GJZusKjJ{5+!gY_3vngz!w~KlS9JV}|JlcX z@H?0hKg!uyT(CJNf2bPQZ*oipMN2m4+yJwLYpQ$W!k$d;h*r)18t&KdVw# z*Dkhbs=eCviU4t3W3`ts);mC4i8Jdsn~|-1>_uHbtnzQs-6_hBnF`m0{H|>W7{~X& zNz_~&n)7cANzlj5*YMr;IAz*SvlfPuyQqOH`rU9*3%jBF0wO3&L={x@;~CCgw}2)-;##}3cY%q9{F zW*zn+S5E0MV6VgFUmfRtlMv|PwkBP|8XKB{<=l46eMYivdu({u_{b;F_JGyige{BU z!3x^Akf!)6X-D9!$F5C&q%ZB-oUUIlu+CF09&-n1UC3Gm8y%ac>qU@QCo>OBWN->PKxYal4d#egHrnM$kr@;>3x!`2-zq-WYkCGoSnq%}N@e(<) z$eI6#Gc-h^*EteZwechx#ci5gHo+qHKBMQhkq#?rV5B-8w$m0`MH>xtzgckx3!A*t z*lrsmOAlHJK^uMIGYG+6D-3;@f%S3cizMvF#GF^13aFujtA2-Zm!|Y7h^F>~+lZ!y z?CLe3=?Bg4a5NQW5>2mnzlEjNJk`3%r$Ez+ybr-57>ibpHu*2(Akm#Ied{B!LsdWh zRWt#b_5~45(@uPa_B);BCV%BQZP=+IZ10@7j>V$WjFngF^FhEMxPds~|42_3qc2n1 zbf#FjaGF>YWQ(*Kij%``lS+jG3zqYS^G4oq&N3$wE6#3BrUk~CT!g>vEz8I!$vi1Q#YJDAn+y2cEF%V zZS%z(iDjw;%?h9wTOPlX=t`h0mUqi-T5;z(>#F)9l8l?cd@2aPY788 zII+9vt{0QzH!QAxI46$mN0LWB==UDedF8xZZJ8x^>tMp4r>$6uO{Af|z%Do8_mZ(> zl)L-#yV*gl!+j}p|FVAScJJT9i^o`sqJ!{S`h(YMK^mR?iQHb^G6`$m3QVf2Z@2#z zwq2nEr$DAjSESi63mRv~W#XI=lLIC-LV#}<(;2k~H5e&!TX+2x#W~hxP&~t9wXQ~s zrHj!I1fa*FW$a({wcvlz*Er9<{$X~|yT8!aFaBj;`?qf&>g(U${GWXt{C(^%^!0AI zyRUcu^S*B5Y_P@Le4#PJnk;gEGL~OtadEboHccQrynk6mEv0snMTRw6a$uAz=D&Z# z4`Yx$9VS~~S{rDjJ*ZmtvS{C}9^w;Pv&}&GFZg2U__lk_UHbglODK!uC^-buU>6v z(th;8iDevz{^_Z6fRzK1oWRTgcpTTNh5qg*7#WzTbm2 zGv_|S`3dCB0`T-|I5KdigF9LH`g?G5uGinf&ht)Rcm;}$ecUO{)@$#Al62&@w_wl;TLavdoy+v3JR&u_(AHIXk zbV^>eLO1U%jm`OrC%UnQlH^0FNq$V_ zJg$bxoFD3mY|i6atRX+t6CAmu3B{63l)vQrB9F%mLO=PZb}ON;$ExU~%?_MoedH)= z`+F+ZhrylX;kv!?L(b!Sj6A-QzrTP*Bs!JYD0u*t@n={f4OJi!xJiJcr%{e-Li6f0 zC3nndKlJ!dQs{Afv2$N;OjANx=XnL5qqT7F7#j#?mae1Jd$)&l874a`kwb7 z*gw2&@G|~L1e3_64dg~Gpnl7x&v0&3E8M6!T43(GrC{kZ2ijv`N#Y~db@`m0>e)T; zXSa(*MQ}92GT}&>u8X!kh=VmY3;G$Y>E(u@kZM&(pMbpS3Ci-_Y4CjvoS|>iuk*kr zP}yZ(#jM?CIyt3~ZXw}R5sE(SsWe1DzLr%Fii!tBThNURT@S_!jHD-eM@ej(QSc%a ztX4=%s9@WeKI}?XR!m00^=k5+mQ|}ICGzT06kqwX;>mpRD^&cnLR!WZPlDxmtg<4B zigT`4vtFiysf<7anlzhxRQNT_gOMgf_|i65p!Gq@ldHG^QIoZl+17`yIg&JRBeYdk zgi$qS8F;zH+^0an;6rrU^})!@w(!-FLEzm{KPztLijzSqv4JL9EtWOoAm4c1pR9*1 zMEj8<|08D)7-*+$P+V|4w~qEf(`gs&b=sj^=YU@>rWk5RBi?j8S7W1Hy?pga6!D=g zz!rlO84_tj)GTX*XYU&=HtK8<;*H012W$)*bx)|VrZxmtCX(Y08+A1+nK=>-8@KtlqBplUKo5!!7AU}w0rMl&A`Ff$^36ifr(F&;5EnhwMl*$_ahVP;$ zDN~Va^%fo)m_UAsWSQtGUS95{X;D44n6BCi@=71XsFy z$4&5VT{QJ>C+&Qtj+SO|*Io1VIeUWm zO}x^_~7TOXXmkTbSl-+;WWdG=-`hW!Iq~zw~#72 zIW(ZtK~BwA%C+O$`jj+!tsRbhZp`aa=t$gLW&LVsM2-9OfMN`@Z4zMyG_bxci5dhS5@3m}SX+WN5vyT$zy@INUcHp+i|} z2q=sY8O78d0`A$OWsK^MQ>mk>#0{e|iyy8~bVao~3M+j+h`y_Pw^Cg@MRV+2rT^Pg z5)FT<418m1<`#u*R7Y&N0Y@6SCl`x>HxTm|?Jp*qnA&(9_Fx>sbo`NBpNT(uC=9_L z_D;E{4{1LA15EJ#Eeh``lz-SHT4&Cq!z|{D2hXj{T z!9Dqakx+7nZum~X@Z%-s4mmjLuLg{G${o7%KMxp;NC?$8ZS za>3o98@|K^cZUS0epvt~`nW@Pe!4k?;O@{3-win7;||^RH~^>db%z9}@uJ7g7Zh@E zF%KhoLof>6aJnjy9FOkM4X5=q<#mT{I6&+{49qhK!NWzUn&EaIQ%P=V_DWhkV>hTQ zcaw2*NiL_iwr*dEONC4&1)Fj0&u}jHl;nSM>rM@=Ot6ErDJCatV@ysiu0kux<_2cr(1!cgA`j5e!h8gbTfln2hRERo`-P7DTx`4ZdI-BAYHp+3 zrefn_bTPV(1!daYgy}g6)2GM9$62y0GvjpAW3zOz@iS(|=42wYun7+O;d3T*VH0hUpF<^l2!2UeDt^$?|R|^9~D&&Lklm!U5B8bZIQ(@J@ z0D>nXx7Q3Vw_+$aVBP{W0ihC!PcxDBp~}6z=OM~ogLLKvv>5Y>mpl~mkB}6sKroTd z!Mz3CTgbgdj3xn-3;2A(w>|SQ7x0fi14_1{fV6^&;Sp~Y%Id_cgtU*te1rh35ptV4 zd?HgQ9{#dcC?8HdAXE+~)(O?aiS@$3;lzVN4VUnzsvi=D4S(4n)D9=i!f{+;9A&cz zqldp_33bDXY~l3bM2;|#OK?@^3g--e$rI{_6B~v2JuDus>U?3^@RtIiX*lt)aOH5~ z5n(2m;HoYZt{?ugNoXEU6bW;<1XuNDVgB%!Vqx)cVvDeBI9rLZeE3VL&^nwb6IL-V zYEco67d;|9H@Y&hH-VKpyo9`jmCF>w81#tdNod?8>3B&q@uEk(Oem9!7kdor63<~> zDikxx<5XamyTC<(EKGb>FpSQ50UJ(q3q1JXeSrrbd?4`PgFgv8_@GDN!3P%v8YcM) zjd{()OM%}UagXSJzK24IH<(n4ohj}Sj~2?J#l9Zs`+1`8@5z+`o?IE|$(2E#Tsg{< zD}!BJ$uYdf#g%)}xHv?pW!?mKQCsnf3nh_($5hV#|E|$w|AELFv8~A6VDo-|a z4xxZA_bIMq^yj)QlkwMOGGoSYeYkj!xi7I~xT%jW zQe^yVVFsyN?s7M7NitI9uAN|_GEOoC&T5Q%=5lb z_RANAa<$VvqU`^dc@6V1FD;~bnP3j0Mkw+mCdtNqrMcx(H%(_!AK4N)^AakgD&{Bf z7X}K0Sg=4N2oa1HgbKn0QG#)T2?DbIaF;3*&{9z?_z-Uq`xD**!QWN*uNL|LnGtGG z@b6{|ZpQSSQUCVNSeizR{lY!9WP}faWAbTH^uM|Jl~lK@IDdmIx2i&qMm(E5(pU-q zlajA;`krD$4$p^A(>jZP`1EFX(v^STh&1_r_=nGb#GQ2Ie{DpX)=B)s=YQLsbmjl! zh;+w@^!X8KS{w2YU*AP{(pBH*BhufFNZ%cizBeK*8BxD#L^^mxI&wsM(uj2Yi1hLi zX}GXm58oeJH}MajE*Oz69g%)?MA|kYP3tPp`kot+ZW@t36;_cw@0L}yU}-zFHL9u;psDXM1Ii-{Jlq{6Gx z%DYppMS(jB5#`O@Q6*f!uP$imK>i09f!w-Zn8x4PFT`SIBEhAMO{EZIil-2EAnlO~ z;rG*1h(-G{3bA}42bBaO9DaMk=1>@m|Ff3DIL3&Vh%Ur4 zR9_{9AU5%wa5`f&*M&21*BONgj1FrsArwLfg(%lUVIqEONg)R32MT8a4~(sFHk2!c za~KP!a4zlwrf?p7cNAjjkV2sz_)us7J`^Sc9}10(l~b4kUmAs}jJ-%9jDX)#I3Df5 zJ%S6hH(0W=N^-Zc7?=TNEGB#NrcJo7nZ-cK$I#W;1zB6ma!cKrOUp`F3_L2XvIV$| zo5d92iszUGOIJ;WYX#0x*SErgqFfe3-h-@cw}SP&N}ItGQ&MKhLbziSh5w7E0(sGm zp(kwz?id?N!T4~+!M3 zdIdW%0986FBsNHe0tGuzfKWDJ95zW8-Z?(Y{Knt@PuAJ_*F-kcb34rPsx=R(@wr;= z^%?Hd2@YpZ)?e|)wA`g5eSjUyn+NN|x}qJ+ezakU!$sl^T8rqyet+CLNwipta6{!% v*k6-vWAtG|-!r>xVeXU(W4LpdyZnUvI;j!v!Mu~L@g}`Fr0dq?^ZKrTdoR7) diff --git a/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_rcc_ex.d b/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_rcc_ex.d deleted file mode 100644 index c67dbbe..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_rcc_ex.d +++ /dev/null @@ -1,45 +0,0 @@ -wolf-lite/stm32f4xx_hal_rcc_ex.o: \ - ..\Drivers\STM32F4xx_HAL_Driver\Src\stm32f4xx_hal_rcc_ex.c \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \ - ..\Core\Inc\stm32f4xx_hal_conf.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \ - ..\Drivers\CMSIS\Include\core_cm4.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \ - ..\Drivers\CMSIS\Include\cmsis_version.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - ..\Drivers\CMSIS\Include\cmsis_armclang.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \ - ..\Drivers\CMSIS\Include\mpu_armv7.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h diff --git a/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_rcc_ex.o b/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_rcc_ex.o deleted file mode 100644 index 33c009536a7621af4602f3537c99355d4fcfae2f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 19812 zcmeHveO!}Aw(vYjNJ4-le29F67{IouhyfJ&tO)@Nifu&N#VxxeKosP|fT%z}Ob7^7 zTBG&4Y_HuLy6%?kx^1kqmv-$oABa@hHuZYDtk>HY)LPoQEw;96TW|ZGGfx7M+x_kP z-uI99pM8GjnRCv}oH=vm%*;9S4DKl`S<5gC@jVPlB6Aoa73*nEz)G4Vk&rub3CY(u z-d`0#NC4zl1uGYC*!+hrjo%JE5IeTGfJqA=@xg?AC?+I`6Hho$CK7%EbK*hu7_XQw zP0KpObOqlPAdHst_E(uA3OV_Qg7S|^(;_m3QR_u%rM*SQk@&=du%14t`VgmPOn4$f zp;_Cjc#BCZ?TT8OwA7mVsJ-H#;`A8seL_LVVo1{xiUJii-Mpt0j&;H7O4oojBCKRz zUr^Vv66vEmcsiBtDUx;nGYRYZZ~xPk?}m~K|9L@d&9)Og)4iNPa_OE8p?8&hceZ)Y zx`MGC#=Dkej9sXbyc-%2;~)k56r!Jpn#`tr+u^X^WO1~Ljr@_A*TP6=p{lzklqlBy zQs_Fr+-$4hF}3f6@-~4qglvo=#KI*cb~^di_wX>*-sUzzyS1k!O3-qzD$ z6tpA>T57p1MS@3)0Pe}??`g9NI%>H)Og$O3Jw}_Lm5j&BCiL>NjACp+c4;c^>Oh>! z9Cy(nn;Zza7%!V<;z%2??eA%^3Lc>xS~CT^b=)5y5+O9V8^i$4x}FTBpsm)jODO12 z0o;>i`$L(ao{Kt?)c=k;=zS~f8tyJ(WT;^QU;LPkLtbKEsXi5%LK1SX}R zUD&e=+BNm;E)wjvp%{+1_nmPdFjTyzmtQ3Es{^vp__%Ap2gL^YWn_m?unS}+y}O`R zEf+W-rIrg_Xtwq2w)SKU_p~ZNHUSY6SKRvpA?KZO<|jtGkaQWI5aIVMuUQO?9_V-85qFzDe+kteRIfr zCfPMcKB2>YU3Q28@Jz|a;^VGkmfSNSzotS31Aeg651yj1T0UwH z0c~83Uo>f!zYj3J=47nN<`cnd^~%MYfMX zf&MyV7tL|d3e>u8!sf;Y$VEj4ciUQ{4M7J8U5!38)wJd>v*e z@I)cw&Q8T$0)WU}dfA9sejZ>Iz^Kw|wAM8y&ZWlYQ1i2Z-bc~XvA_=Es9p04jPSIN zI8BGo%fXD}NS>2lf;sDi`TGN=-rE5)uGZ4tCfI>?CD_%5D~v-1>j}(FQ%D!A=XPfl zN5{MnBD#Ug7FWSUXa%Z84XS;JE;411#WqQXbS;^2@-ItG21{=|W~`B3nGt`kkbIyN z-*kw-_V7QS5q~);zN!^p_3*D+#a~$wZd6KcC?q#M{A)zg=n+q;B-5l{lWBM79_Fg7 znyg`W&X^{ri<>iK&T?pChcuZUZZ?@gwvrDNlCLO-kBs6^9sD045-BwCDTo1_9pXlv zc*ev3i&T8mgzyf9K$U%1lXaLIdko&1EW*n==FaW1WZE@ZLrh+mCflxw?E_)BIpbU% za`-|kCVKJbQt@A)T_X9+D*jZ3VwiGHS#m&Ns5tJ<9b$6FG+BL`>~Y|OVuSoycn5%(QA(-_X5b!m2*lHJFLkZ20s2>zNwIW39V0wKlAXvu!=vN6n~%+ zH`=iE&y*7Xu93VkO&$mXs)JsPX)+z$++&uUqqExcvPzZQl!~v|u_G`vH?`s`D#>RG zNuxsirA~ZJ3rfR=zJW&NgqPQ4$vvvc@_<6Pxfi%fF_eTVw?Ln;9IGW$3dx6BF^;1a z2k}cfK#mxwHDt-Vq|O>r=h&SwR(Gz08+#o4C7WPkk2BeqxLL<6d5{IedO?%Z3qrbc zVBCQ+Vaak>WaHdiFYK0Cp_Y^7kk`-6^)Oi@FejmaI<^Zm&y5{2XZBOhL(WWK0-`#Y z*jaeQ4<7S_$NgZBAAG?N25D#cT%z!pI}_UNv*ca{N!&RATir5b%VeG6<~c06r?^=_ z1UZM)nP9^cT=_f{y94FvD6@*1^y`s-MYfMXf&TVua_rbsP);05YtFjB&9Q^7SZ+R* zvW-HE$GGw#sG^RwyK{P>qB>^+)T@q#aXD;3@cV5wQ$tjbktaw8|Ni*<9z+4V~69Yx?sr}*T9gm)5JHs zz4p0L0@DrFhtG7>t{mdeVCK#)4tD09Lv;NiYse(|hb#3DSLz?G6#5BXm(1x0Pf_?E zt`zuG|6g6HFmjGx^))V9j5R~rM~*V27-GUehz-N{s-o`ZjdTqtp9*Bs)J2B_)oE&B zS0E>$M0g~SNmrNiNO%fFWgWGGW_wRgQqQhhi_r>iE(CA8A=XNQAg8BwLMiwFwqzT= zXKVs+aXOTO77zc|R&kq$|B+76Y7^{I2^w{hW`&@Q!W~jUi%HNZ6?YJC;ZJFmlUVqs zNgB`8j1`53suH{2`i*?JV#Ra0jVsQ5_|lSBvYw8vYrDNLy5{jGJ|B7`dC!IquKelf z`@eW=d}Z!;`^6{U{QSnPhev-unzMM4;SuPk6#CgIn2664DTsp*6QI&uhjr<>;8H|5 zDgqB@lG$6peiUT}zhHz|6Fa7C#$ z1y{7vrsawqWdJQ^i5=&nNqW@qc_AgfUx`PoN*{f$f}|d&-gvUi671D+Iw}6r0lqS~ z3QjlRm|&c~FX?4x;~{E_JFDlv2fiJ6*x)z7d)S?MSd-by%{lB|0gc}7%t3$19ek#) z$5`IeVzji@3fgo%tx-L#ww~y>H%UGPe;0xfEBd=r3h{O5g(4~Lk`vA~^7k8g8T9;VdA^nJ18~tFb zYy&6w0hmT9DJu$E>UJ4gcC6S`wjn)j?aH>c$|7}1B}-kgsinGNM{8qx+7`^H)i+eO zR99CT+ES`D*%c*qt%eF(tztvL`sM1<4VvwZ#_dgprq*l)Uin8t7 z8?qJpmd5G^ebZLO!>ej)R%fkLDu43#geY#RUU8=oHHIxIwL6=3)NO4t)F`TJ^(`p# z1MBf!SX%l(DFXQqZrY4#S@DL#2Occ*YelnRQ}L!11%_R9)duMIT-R4XQw=+740C-> zsVykpq|PrXEW97zrG=Xc%i!O7LtR7Vu2mJ#OZ;g{)c4<4kzdzTk&;qTH_M}@uBkPp z7BsaJfb_J=)>)A;V@~ydR~}#Ym8;v@Qff;zn#!_vqoKgC1sP{nwrYP=>Z52%HJeJc zB_*1*_m$FLajCi}KecpI8K!C8G#yjyOzA^~1xmHnTR_Ve@MWZ8&BFU>MK(!?#Fv|f z)sPIe{K)B8sYJ_`PxArk6!2ADiB;JwsC-A(s{8`YY!exqHfip|-V~>8!p;=z*0*d? zJOt)ix4o&rP_=WbqPS_xb{}OoT0-THx(>sRR()$-b!E%$8hxwY(6qA=iIr75>l%R2 z+5$${P*+vCvq`^8U)P|oYB2bX#^0I^rcg3Q(dF6!JyEr&@5Y~D9cx?VXxyyz=1kE%1%c~qR^DyNpcf~ zWMwBQ0BmKaLz^fpS=H$%PZW+rq5~|W(@_f;Bu2nicRJbtgT&+%a>DtE!oH|Jg|Zs> zS%I(#3DH2<3IrPvo<>3p5bA+o2g1K0Ar=VRf#5(Mg2at(o_%B~enKJIa4H_5w1VY8 z1NY<-ekCEqp?%2Vaf4SR?e8j~6a{o7Y*mS>))Y)kuST#@pVq8yoBjHpK`)|)u_eVQnnH7Z^DSCiyxnU6CSREd zu}dgt!d+lWAhb#lt&%vdxaD5cR}jeaNcJ!jTo9s&Es4B5w24etaA#59NYP;x`7i52 zQ=WnlD+mUUBbd9UtDqR9lJKZhMLHsL6olvs?_oq_9W7E2q7?wJgmxo*l>^4l7N)~2 zs0Qv!_$pK3iGn=v=kQ?*c-R6Z7sx|9!yYFSbj$%eayslK-YFl3T`DmlU!~?mSjvsC z)PrH+t5iEq6fAqgvX0Hz$Xyw=e)xROATNsOP+(VyXQUv+Q<2=fe#R>YFy1g4Hq4VO zW%%f~Dxp%$`m15NSjh&JpnOB}09)P!twSU36C){3+DI4(0SwNBonjf3vkc_xZ+aOp zl)_4%_TcSst~MsA!Iluhl*G=(*?D;^kG)_->1`R!;h6`+vrmNQz8ik;jqqx9 zq(L9K)f`zj5LxexY@CYR&Ma(>U${fPaHoD@dhl_r;CD**q-d8%lpg$&QShSDJtJzH zLBuL}36TyGl+JUj0_*|~HW<0+f+m*SrbEbGpjsqELCYVP##D31{cPG)J8Qaoq%o`0 zB}>38sTJzF^J^W!3Obe1NNv)X>~zVmppRaweNGya$Cl3o<8iBEe%%}crj;%lXioba zjSn8|`I0XFxiLEMzA{1OF(;IoX3c?F59#{RitNwzke!^9M$yGn;s=w;0pYE)` z?y8@0)!%g0-*VO8cGa8Q^<9?wUUz+;yWZ-qKkTmWch?_v*V`=h$K3UU?)u}Fdb_)R z$X!3|4(2wVTAMts92tcMf;A1N_{+zYo>7|9Kyv^YbYspa6a&QL!a94C$EBvxk}h(K zZ(PL~WoMda{~e9$HUEu&OnHCzW>Y};SyglaFeopS7C3~33 z9fY%HOSYY}rk58pV=3zG^8T~&)_Iuc!;{QmKlm@f9F{T_b}L`ds-pjN@0#;G=Da~m z(FAv$jThI;TW2$$ewT@}bFw^4+}J`m^PT%=ObhF`RZL7=zgRIg@^;1O$7=&8;}bJ7 zexLEPS69EB{c766^jGaCU)$LCd&mA$p8ao3?k}T-&yStGG`%+P8(7{6`3+c0JfuM) z_}aYNBseJnqhGveAJM{K5$zOXK6%I43gcvQ~TzzN=`(5LA4jk^~(x zDlwR8hcCDNz`@gJ$vUdRj|Nt*@6&;s!^h|dzAQm(10Rj22V`Si~hv3tW*@D%ILZ z;JN`Dx4Ae}B+U(z4n&Ebm!3{!LPbi%h*OpGE7=5_*6{#H1CWkuVMlv@@!+i!P&CUPH7P#t))ymFxb zLEc9<7G7VLv~2H}!qCv4KggTDvGA_sh?DzFdEdFZ0t}}o<%#(TfaZSZ>gld}DD>2s z%G-9^@u_1@w0lvPqKRt5a=0fG7J)vhh(|#+QzOv$KdFGY{>c?&e z$b;TGo?t)l=2*3JbLymE-?6dd35o-662riR!Zcdjkib)|F*QG-lmBXF;mKuvYYDj$ z=FBabTCwd%MX-5|-qqROb*6ISMJsHMee1hEmmYX?P_Mp=kO|eyeS2=5*)yGMRqd5V zr-iM1th@6IQg&Mu@F9~F7Abn0t6i2Haa5plt72bb#Q*Njceasjechcm$c4C|z?;nA zy^&$lT%|hcs;-kvxOj}BCoo?c6waHJ-z{{kDUp(=`xOM7F<8+`Jw_S-~W=< zCIGI|;&q)&L=aT?MikmX9A4>O@KEVqMCp?KrCYB6IX_+&0h`z{@>Dv%`x{XZR12uF zh4URojZvfnVaL;?8fatCzl}0%<6F^wEL;dYt}mEW?8SZPwo7JD|%1>6-)A= zqWvY?F#&1KKki&=cP)j&w`qeBrc^zt(DGO7A#d2c?38O6bcv-Jky@d;-o+>zR9Yw7 zS6)UC_SIzf>+4OBqgxo{*Vkd&C(uL9>)6-qJNl}HrWYq;U%MFSYd~NS?Q4sT!aGb< zzZbmv9Sxl=oyadZbVx^@boZ(CF4uWEF{(qM)xe<5j}TCjEvO1dz;5LKg@J+Dgca{j zPP)#J!{*d#?J2wQNl-*c^m5_N&bOl?RwNIB`2h<@kPcX!vMWSaD2p&) zu_8I@8bdyM<=NDvZRJU4xnyl{Z~)Kg(D(dAcz3WV6t4cLmD-EuOVCF_JG>U11q<8L zuOxdzdf!>NSLY&%bh6t;hE0-PE)tcY@F+4n1Gy#-xfIBq z9$?DVFlwdoeMZ(7ZFz2QcV{j!T_CMTQL^bFUV|a3Gtfv+cW*A4?A+)< zIA9-?8ID&8l>Jyt$_192_jU&Ye|e+``EwJBiOjrNAVpTutGXa{^yezYntZ97KUX_7`|K z-bjTRFYmp|C0nA8-3<+lmkYUH6Vv^Bf7I5~MsaDt*Mx{1p!^h50F2mpxeX|$sl5rr z5^Ztyb+5KcNWZ~)R5uFKn~9eY#l2+bsI91a>KW*SBcc^y^FK>Kr9`1yOuBh$;QIE9vu|EA-xWq z<(j(o?`=lbwISfzuucz&PU`QB-ejy6wNO7s z0p+P5BN~YYkX(X|a?X#r&(J#Gl`&9XhWz%}l@$)|t^J!L$1Fzz2v@aOP9|Y3u#mpd zyYtB?H|l4?%Ns>|QqQ?+c|@5KI+B?C-E&8}4-+V(m0wF`H*6a^pox3|>D1XqoOEk_ z9cJ^I@o&L$VTC28Hln(R>*hBAGWoLN2>}U?l`^tmN1xh!&K2D&AX4U9pZdf(P8`4$ zj!A^`Qz$&$#drc4r&{KK9S*2>!+z1A;<*Sc*1u2gGPi--tAkbjRI&$PM}U~ZS342j z4g0C;9$ukfr&a*F&5EF(1J%xi3b`Q2%*#^#Wrhrq>}qY-NhJtXK|bnJhrZ3hCRhhT zw5SXFp!yVEmN(%g0X78X<$m0!j(^*ggfe2a__sMr5msKObUDDSX|){=Xtz5v=#XE# zy(d-JF0^{;q!fzrMo19o?_r(-Y&jooIer-IEA#?bYhY9LIS2366NcK(|C~rup+OC* zR^t#;ANo^B!+8bNW-xx_`3wcT<521-Gfd#wmq@&3kw_Z-jg7j{`vz`HM9&lEwS{0r zF`I1FqAkb|{oz7LsU5|P!TAFrKR%>bi(~u4wzRgz&^&wUw*`=$hNkNFUDey`nv6SJ zcfj#uLp@;IceVmLYio~2Uex@H*Vc5f^gI;Wf2Oi;2;Aq+FK+EWgx;%3zUSb1SL$zt z=dY~T^5dc{3(Ot212zY}&5ysUv?@HFTxa^$^S%&*C%ZNNE)D@By%sOu<9sI{PA|Yn z$E(3j{ugSb6$$-<_S>h|1i?X-W4J(h06cVSWGRQ3Lb@M0C3tkC=h5Su;OV8@B+uhj zon*|(W1_kPISx@ck3`-rB+E;rCOF^l+V%zWZ_xx^LEFAGYuoL!{@zX{WPi`46X35{ z2wV>GF+anEss`{ngtl-&gHlp3YV~`Zf2E}+m?)TTHOt4G9dPJ}(~a1{A#)xBd0O3zLxA<>pr_C) zbwN4I^G%?nODM%8N?{rElS0dd3D5i~KW-2w%@o3&DHQ$)oNp!`I93GJ+vXT8nMOv0 z&cwI;8S#KoyqA#{7{z-TX+_z3A`2Zy3MUp=d%gco(Hl4lM{xjcpTi3rOoo8dcajHC z>YrTCL8Bf<*jY@Pq}Ut3cw*^I6vBi;xX;21$Ah2FKV2DQX(uXGFw7M=_w(*c_t{~S zxqA}ka`+7FOCp%d)_{M-7zQ7`f{;H#8qT|q&R<*uco_RCZ9fqAdP7-NwP;%jgCRDZ zuUU|?>pqXJ`$u51&dt@rCj11!9?#F6=KI$CZzA7w|G_&C9eFqpd(TwbhjAX>xV1lW zp>=_#9cBmwm4N0h+`G9@C*L#hA?M{PmE`OG1BD?o(D-3KoI1c=c%G-|z=kd|DDdqO zf9Sp`B)W=(-kv45Pd`SdAK#pJQS+ghm)13AJ*Zul65J)Q)l@_YC62vJ#!oeoqIbH@ zGvva*1aYUBenokUQcZ3{G-!uD&F(EPpw8(e^50uvNl%4U9meXOlwep|y^+HQuwe{b zb|uc*&_3C596lu)BbQDm?`l3C#)CoJ7i59WB*b(D_LC6)L^V!7T(tYAohk(lQ-KM7hzAo;UF2VjHoMx8bj zo$|w|sswn^m{VZkI8RiJL{ivV=4nt;?-EV4l#^Yg#^l;DH z+`t;)!T7|1s-Hv=iE8R~C6Su~IbaI}4-brd)X~uE%C1>MDnOGs9`wN6Fg7aann^d4 z&v3!QrAUgyh>OyRl;9}_{yqi*>cZne#W;*T_ru9Vh&jdtqY?T^ek?E_PopL~;@?si zv~>D-&|6pqj}T6#R6z9W4spifFs6ROi3Tf$ifFRqubaTugUf_RQ~@|ufF-(c*I$b#0>w)iXWqD%mBs2J!jPKxT7iR^V1h%pkw8nR@(h6^3g;@T@wkJ1F;34~Q zH;?HD-)9m|-Bhx>g{JHhDQU;Gmmb5s26K37pF4bZF+IEF0$ogTFVxVz&}$(cCKDEv z6PakB3#~4+N`66@(g|J-HQgbaa~MrGV7Yi5+Tru;xt9*ky$Lsp12*vvLNEa$LM z1eDOTKzeF-7*EtP#eNg-7TNMpaiuLfE`x0~&R|5}qU(j=;?h7c4p00K0vMjfwM(xZ1?do`#43>#J z%prBSy=^=|MP+2HsF$dyUuGWn6ZL~xQ8k?)DqGtTPz<8}HBRcQn4mI6zlTCtwL%&AX}qM-3*N z!2v^gjw}Ju^@lVs&)Tyndkp1Np+{)B2rud{gA~#jYC|QgVmrKw

    bC;`t@l7tEbiDAVLSZ!a(R zr{xu@tSwsTX%_g3xT9$IKG_5wb!1)O3Fc*T|~L*dTo z1+Okl!!KRX{dSuCpDv^qZuwuur^tAQfG2JNSKNZWxcLuv!5g=rJ8nUL-28_-;E|jE zGKBe1Q$A@GQ98Ppg-pw=P^E>-d!hZ%BLWW`;cm`_<>y@RB#U&+F8X^q7yVtG3-C`i zU+2Q+>|EHqor_DlJ6EZ~{hbTDgY%0Bv*7Vups%b_XqV@*7g#^tC|~dKof%Xp0|n?I zLErHL&f^8W#|yfT7w{i16DiO36*^0EI~x@;-P?oXyjsxOsdNrMtCtqC;s=l3PS z&J|1IytIqb%}cvv$}mn}-YfZVeWp#Q>#VtI)qMZDKwfoqQ()aXlTut?9cUI6^7~ZX zql;~In5K~B4o`zO^BawYd7MK1;vGZ8P$R(@W(+q*7$c1_##m##K~9!~p+Vt~*KmRnamv}rFuw4_fn_zw&*5kM zZ-Z}feO3Pfx?tX-;zcQifem$4fs{pM_?tH+eRf83a|#l!S&#O_s4T>~;MytaH6T~4 z@~^3=4%8&C;?qK8pkQS}s7kfguTsqo)UB!5Ftr>N;IE)0Z~k@V`E~W>$;st)>M58H zsxbm0J!WY$o7Al4=1b+v$wEFIHMWPf{Rr?p@)c3+37CP6*FPwnGBFht-k?z`J0RR` z!|$`<58Lp^ZTMyzPFa%*D*rBxn$PR8;cwdTJ{x}ChDY&LkU`}?!iJ~W@L4weTQjk6;yrhx8V=k@L$;QU)u2hu;EYH@clNt z%Z5|7)xSK)Z1^iS{0$raI~)Fv4S(N;pRwV8w&9=J@W0vcA-4D5ZNsmy;h&p4tW@?v zMaSE&kFZ@IVJ-@E`b-@7h?MU0$X|J+wi3}JYd6{Z1_Dk ze1{F+Z^K`);e9q7`Z;D$_1$d4|I1e2*KK&J4bQUSMK*l74R5sJx7qM*HvB0Y{-OH$YGy!SQV+mZF4c5OLhkJrlFOb9VpH6hlls|g{jyNM9Y#Vv#olyk0fV8i8ygn0O!gpkkO zONb4TorDm;KSqc`Jwph=JLTUFYy_Pk9ERggxECtgb)J#Sd2{$Y?=-wyaH#5 z2uER#CiDq0op7`e*Ab3^ES>O5Avgy)#tQLm!f`@uBpeULEkZQLPYEXo!MVW!W!gsw zvC+7f@M<9r5>66=W3>Z~#(fA!GQ8&zrU>ydVX6>c5T>D=_+}mH*b^Z{<8q92WMIFN za4OoFFjI(Gg!nBW%*I{-Av&Rsa2nL338xFuN(lb%F2ZYscz|#wd~6d!^7Is8t`J>> zc|sf~%;zydzyh=*VIkU)a27-sgtH+X#XI7-4tpYmMc6kYEXKYd;T+gWC!8w;zez_4 z%1MZFFDFDEb%ZP@AdypM!6LcC2_E5siOR|)YcVI9in0>sBK zm@rGAgR29T>uW3gm6c6_4I&v#dQc=+HLR6Otz>Yo$^KxlsjhN;FtAQ1UKeZ<$=J*> z@2#t^X%NZv4Z%S2b@LZbUKjLZ6=hz7VsbzvQ;J_%rTJWwsa7%&Yyvd}{gonl-A!u= z{~w_hY0>PVaNrU3{c3_?M)0|lcb8)gNqDWp0-8n!G@ndoq9PZO-R&AfpPEtTrSm4~ z9MU{)UL(-g@Y;vsq(6YcWir}b2b~U|kH8QX3Ns!Sq6HPh@Fkd><-<@Xbsu<7Dt-(v z{R{#=TYkKb;YvPNr+f2$_!;00W?&lr@Y#fwFK)Y;zywUgfMJX6+$a(JV>-Ozp`g>@ k^$yFg3h5|&*Fi^b2LYdAD6Dxvh+en4cP*%_uNS}n0cG;9XaE2J diff --git a/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_adc_ex.d b/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_adc_ex.d deleted file mode 100644 index d97eae2..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_adc_ex.d +++ /dev/null @@ -1,45 +0,0 @@ -wolf-lite/stm32f4xx_hal_adc_ex.o: \ - ..\Drivers\STM32F4xx_HAL_Driver\Src\stm32f4xx_hal_adc_ex.c \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \ - ..\Core\Inc\stm32f4xx_hal_conf.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \ - ..\Drivers\CMSIS\Include\core_cm4.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \ - ..\Drivers\CMSIS\Include\cmsis_version.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - ..\Drivers\CMSIS\Include\cmsis_armclang.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \ - ..\Drivers\CMSIS\Include\mpu_armv7.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h diff --git a/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_adc_ex.o b/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_adc_ex.o deleted file mode 100644 index 1b6dc3e71e920c5f46efc1f5c248df460c3c966a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 35372 zcmc${d0f*+{y#qNBqR|61VIHk40seT44{aLHX&f~EFxO1SAu}h0wSQIJq!eaTG~bH zLAQ1{xU{R5c4=$7bgOMRbP;KbuI*CSb-`N8c5RC-ZSDPgzUG~fn7Y5u_xR(R2V`bm z@0r)kyk_Qg&AcbOk{8bx1c5m|0*hqM6l3G6`4f-nJcwl8w>@Pn(O|nWBY-gvJkL;R z$1Pd?R(AdmC+-dI7?&i(c(8C4W7g4(c}c>V1bIf{Ur{!8uf9XJK(3CNwNI!}jrULn zg~=YzFb5_}68Cu}wyR?T;+27mJYzDdQwrO|$0iN0s!{9rNisW>F9&E0^Q$#yg_w+r zz)6vlYNH=+TDwPBnUg@9$P*S0_Z&8y3kSl7QYe9!xN#87E-&8rO)k5rufV)19Af-@g@xvIZf5>Z%aJUV<<@~^Etvs z3ao!(Ia@YeovO_G?Sv!OmAZP>o&()0>-PyI$6y3C46@xVEaF6vaVtR;Z?9dh7$Z|q*il;{x}&seXQ84fQc;v6ElN>5l!ACwTwPUZtzu`6 zwAfq~ms3?}Rg|!<@Q@x;Sf`-rs1Lc^7kaHew6!esk}agS-uqH`NWT!uN`bbns;E}+ z5XVpwuh?#s-T}yzsBSx&0nEm#IIW^I$FfbS*r`LjYF4dO6WUoH(plzx0pIl@tUTm` zDXdi=(o-LD$sE=i9@cR@4`Ibh#Wu8=Rc}M794RmmBu9!aY_V2tudRw}t}4-> zZ3^bYT0^hYdtYb??E*H}j_<6k+KDz4RsqA-qgC5LG;39%S^;c3oOA=xF4;m`ThIXS zE+Ooa6%7dM7OaXLN6`S~_EI7{5si<G zRrLTc|05|%4Ix+fX-XEAlt_xv3M(Wp9n-3!0ePJEp zp*QGJSa*HcB|SCrN*N972evR|yJigQb;PfmLr_Y@aHA!px6G#_+~)?*a7h!=X$tGH zdbhVw9z@Oni7BL4aEXVz#Pu$5lS{nJC0_3mZ*htD@wh(hOqn;RaV>mIZ&}zC#L+t) zJ?iKS?MKV|LOTHD6QETecBv(7!0eW^H#OmaI(tqzjbdsC%ofF^Xn8cGp!=oPFEt2bH#@`BDcutI^88X4Nz7kU{H7S?JC zX)g=AfVd8EBI$Kr>belxs;BCR@$~yKZkV#rqw=em;D53}rNq>`2&U ztl1W<-#bk8>YZ3|IhGxziej2qifyIDVQeAbCs>v&o!1(1qNGWw3Of*OhZ#uQfKEC#57XCd@NhQg22|CtfLEyy&hcAySFbC{HGty z5WsB;iEj{-sRQ4mg}EgK%uwX0RfU=%lCmRI3iG71kBE$+#0u&s9`5~lI3_c=kXg#v zm!+goQ4DNOo~$r~p2YTved$6!tq%pm2h(UT3&p}5;-8#-6;>4%{{OHnk!Um)x-p&l zuq&q9hrpGKB3@`#l!7P64+$VU_sa-F?PpA(#LuxF>qE|9k%HQ~kWX7exb1YOAHKr&Ma(Kj8wOx&ZmTgT$UPz^f zA{8@%6LHM{QU!Iv40)%3ye>f;GlxiO*}+Tg&{Y-k-Vx=8B1AeVLF#zRQHn;bN*pyF zSIo8p6|)`voqGidYqu3P@)fX~;xKG#xxu64_=)%tu>OYwZI?@f*GV7L4_^Ud$}>C^f4 zvpPe3Q(MA*sjk*AtGR7Xhha{Ibk2#gSvEuP2}69hbT%6xw7wr}e81rs{$1$vxlMit zAXB4?&(REEw)x~6eFnPapQ(KY%@i-zD0H*;8)og71~=f_FpJ4%HMAvESmK)uvrY(e zD-5%n48b*MjC4+y)JPb?2nijA zSv7{)UBE-lM*C;6>uR4HXfsozJ^3hT^!e(xUUyp(E}{(;b50t9n+@^Jz~kEGL5=U% zsJ+kUi*ET>wLYKp`h2YO$+uGNUub<@yT;~r80MleART(qVTiX$6B;aY4i8b!jw;%B zQ0?lj=WCn!)M4E6>s`Wum z6uAX@LUGjl_Gx@SN%f&|Or=5mnh%g|G)kSY%)P9ibwWR5?Yc<82|eDAgijm|G`J=oV&yM^grU za0MtY4L(s8U&k?@Xo&|BG}R^q55?PE;vFvWE|++>OZ=is9IYL~bD76G+Tu}fjV0k4 zTGBQL@!GZ!LMzNVDV=MxB%GAa0ub#yp^wKL?vaMMC8;~e&qrA#RIf{qTnmbQ1O)x9 zGt6nCo`N``)Y`IH7o~HWKr0cOgQQ}msIfsBb^=B8!A)&*s!>osrw8QK2V-3JTc~-6 zHydVQ0wZEG#MfBjyD{_i!I(Kj(v}1&)skQ}#8dCei1I@bA{~cx@s^_$janNYH8Cy? zwF9N0j{fqoqjA(-w9M%;V93N};#l4G`MJy&%MJYD3nQObHlHuBa)+2hQ%j1(C>-~$ z9L~IS`Pz}fD)R%<7MbmpvK(2jDo*__@gQIGiLz2jFPYN|U?=(@^sms>sa5{+Biaed zDs8xKf>Iw7uAHE(*6QQ;4{Hmb;$Lkr9vr5R4KJLadU9Z~`tYXmUM0#!!Ft=7quA0^ zH7Bxao5;m;q%iWfLm!ERf>Tw}qg8y21!1M{0jmNGW~WwB)GdF$)~B>v{%@nA#H!e) zQ{)?cw`d@nD85szC^9SZ)jm6!J@e8 zGux59$qkIjn9R)Zk=dlGW7`b>NfFQrv298-8vnlH+r1LxQlk#)5*oJ0uO{5X7*D0} z(XcRM%7F!4@p$^A$^dhV9b~5VA9zV;(s>GIz=lY%aNX(kI{Ir6y%hlIIr~mxQs%10|PUZnE?~Ku>O-ZQV_(0Npt{-1o>Pl->maw zO=#Fqy`8(8Vl}(r5hD`V5oXQ598{ag;PP^IcKCCjs#3z4Ctw2pIZgh#&magIq{L5x zxm{k?S_eKSdddB#|^(uFVTq@FXZMycmaYkK7G1nZ@MTa3Mo zdG!?Ph*g?H+WSJX1BAKNhWLuMnnbQ;71wQ1L9B}cH&&Ghb14+MXtvgaXR`!%Nl8@I zNauEy)<7+P2)b4Z6l}%LLMd5HnyR2KKc5@5K7Cr>0#&E^a>h~5(H^7_k<<5qAk9L>od(QvpU+)$Aqqw6Wnl9YykmRRR#Uy z415^ApY`6RdKEya(E30<`>I#|Z?5m%(i-|Mg_GqwAn=x(=3o4nacleZ`=MPsN|1q~~TNzsfgtJ%Bve3DfinVAqBllWw9_#aA#5A=SE zMX)pV!|~g{IzOTPc%&5*P!s*qE3oU z@M|*q82V|=MMX_ZD$3oKRa87}dHRyrnEBI7OE;wG7jF=u)0P))Tw7d{9~+ZR4{}U- z8%&uSH)NGYZ8XeYyEwNbYb`Ifc1hBrsrs}fhRykfn+viEN@i=2(oixtFRMrs7Zp82 zlfHR#-fWGjD1T#~sbG_4&5X>*Okc1h`Q8=jF0~kzEMKsET2j`w+>Kf2x3lZhP*vX6 z%q(Z0qjHiKEY~M4PEKA*--K_)(#7{079}T9T#UJ(z9SWZ)rpZ)F*Dy3l|u-UmgqMu zPuHg>Z%9jCo}BIu(kJmQICD8V<%HO~cYDbpA~^Hu6Yot+=arzIMOnFd8@A0@i&3I~ z!(#o?d)6lA7OahmTAMpWgUsB5lBgVv^j1V-V>Xlwk(?elr_TRKPsi|SXO@;m<>-?P z8!$EUHy3P3-%*&Al$A{odz7k*j&rH1IA?QF2|?pGxYqfQAn6f7yqGUYp(xS$}ngrF4K5iZ`m@j*Tx5ZXb@OH3tML!&|o z`-4Q`V7#`0T7>V z&dgfQdy;4>&eCUQ7G)I|i+}|MnOUWV98*C-R$fwWQP#$i+|324Sw*>pIhedlvhwjQ zCX-AhCVd_jUOqa32e+qDLuyg(=Azt^9rG8=zjq1J6sG5(s+`SvnJAFBwWzp6#KohA zw53VuX{nfnTKq@r)8-q}Xg;`5cWFk^z1fDXMMO8l<^p0@XkMDB;6dj=8uCE;R8+Ik z1dfxIRlGTGD|KS1eaknR3aBu(DfwQ*@~k|umw=Vz7Hr+Twb=1={?-ECe^VYGL$UUu zYLjw{H~y*!&qGfLhuFO2(vqyA0#jakQSK&!{wkfhiRKm_*{e^>+OjpPxTJV_njtOP zkQTFiNora&eZ?%icYazleeqZ<#ipmEr!7uTTfPigmLc!5wAh9CT9|~4NywLkbW+;% zq%;H28PW_%X-V5nMcJB_V&jssGPZ8gEGWp{?0{@1F1n#OcPE-)D#_irp=f(1hB~WY zYd!%tWNgjN1E8b`3_LG4W5d=0(>7CXo+%?Q%Y_r%aaBTyI2eGN5TWHkG~QZlUmH1C zuNyaSEdc3C@(ZK!AA^4G7lAg|(jbN|UPi73tb-NRGK*(*BF*9`GpGZMKSBB46 ztlNA|U}l(5Ib^9gU=?y^#vbTw7g&Cm2Qx#-f5a8mhkC^D`QMLf`v0%ftiyvhSwMZt!DK{YI)?iGpeL@J*U_8o=(}X zW5rwKY@LR&Ya-QcIuEOo@gfo`VpGN{A3i^7>@taPco*yED3NDnU`OQ`R>HAKN0e;} z;8~__bgt0k@(d;@ucJ*L{O7&FZ?*)#?H7h-Zk4|l9%Z|qT4qb~9zal|z|5j9=r+n5 z&JWMihZ!kNtr%T9Kb}2c?~~3VZ@4);&lIMS5@z6fOM=$tiN?MYTzh6o)9#1Sws{=j9a1}gsR&5qfF&WdXY7Fa9Pk8k z+$-i-DB?g4J7B4ZBeZt@DlrGlY}B$9mFa@1N({*Kt-8@0TSk5-$k{4^1Gec#XSIwx z0YHuj*sdGBNd%MvU|Ama$=B?8>P8n^c|nl`*M!`j zvirN`fy^iZ`i0zn5nvSoH-y|l5zs3F283L5IWM9SI2i|p+!_&J6alw{+&U3p6#+kj zvxtCR5nz_&HrsJvAz&yfB)MI793r4vlG|g)Ap&Y7xdV0_0H|tq{ivv}sIIP2KDNL5 zvBSze4IMyJo0vb)dH=PcnYP^Xweyo7+>608^QolU==oZo9RQw$xmfJ^+D$tE!wi7M z^EDw#ECNmiz*C;D`Pl)O_OXGJTO+1kjhH4G%V+W_{pfe@U{)sHUl!%{HAsRxXIke_N9T~HQ=T~l#p1P@j|*qr<* z+Hap-p@Z@~5rEm%RoJ0?;$rm^mz7UmBLbXA+gp!>-4RG%VWj|SQa@RjE& z!BYf?1dw{2inaqp0{DBKs<#6;0n9VJ9*Q1q+dvp;s5%liwu-xm^7O#9^f)f6An#)g29Xu0t!XqCoh~R*CrVdt469E&%PDTw@#*2VS9Izy6 zaQ>_l9(5Ylj1?e#b!@_gvGcwhYl@h#F>yjx#)M5Vn9F}j4c>Z_uL}E2P0a5(y@m$g zXrHNx`Ikk2RRjzs=J$$#UJ-CBG5?wf(AZ~cVt&6Jhkd3R@^9F2h=2-1{(v2a2&gvX z5883qXR0CpmK}!(s5RvOXvZM}_8aoeXLw6{ML?Y)zd{6PI49~pY{;(`0Y(vEHRRWb z0FhU9HyHA3?Ks37M-BP=?Kot7pc)PNb#@#gpvjPb*p5R4oG|2D?Kl8XrT_Nm+21xT zc&7R6GqweXJI)^NUhr(s*=Ktf{I37(?*`6d?3)ewC**6L>+36@*ZM{mx~wm$?`t>h z08Fn~)uUhDWsPYw55HMEJXSR++;?JhThs8btVm4O`%b3B*G-f#`A&&8Xd&dj)ll1w zeQj$`2v#n!wHE87x1;^T*$a}zqXJ`Pn&`Fx-eTFnMltn{M)-Uh$7roru6=!{`cG;|W<~v#jx?tkEWGY?n25$Qrw3joq@wi?YTZ zS>t6{W3R07maOrbtg&C#cth4WAZr|yHU21TG@n+ry=d!P)TQnAY#eA;Nnf-rythkx z*|YIPyXu}4+rmY*J&XAB0nf(f_L6G9@}$BFjUfN4n5(&6)sn*V_fz4Mor{5KPa_hm zorP_li){-R+x9HBbuPAfF0n0KV%xLC*15#yxwNbMKp9&9MsxcM*VSD;ZaLq zbJ=XGY@zsfR7#QF-6=y5M`TsCBTsL6N)OM*A+zjo;FK*C5 z!~N$J2fYwH-u!q?PtT1@YcE~t__U{UZC6k0yVvJOCnl%Q-<`hjbb1=kykP9);y(nB zj~~If(GYqa4!HfF&8nWBqt(!!}Moolruz`(Y|%s$88s5OwT`e_LZj&Y^U{YvW44_C?1lPHSIKUK_vS z!jV8eG`M8I=@3xrV5YA92anZK)aXqgCm;tAby80HVUVJUa@|x z`-#@-7Qw6uOx;m?3A>DjJlblUfYV%ecLfHp?kHBPeq_83(YEB9b0yjV?O z2ve2|&nb=qc=yR~@LhP7GF1rOzpp>I`EvNV-OdXl)Fna3I54Gegougim8}W<8ck{}UrN+!nPjI{0J?N@B)X*AC66=ijzyXN zBTYlZ$gvVha+>hnB8*0 zy&GHP<)cB0U0Zs2kA1I z{DA0b_OnQtUTZBe(F9O@i@7r9-5+tN5bb1EjD810-;(n*-=l9w%f!A-rM~IHw3}3( ztQ#X__AbE)MaMI>O#11l0wCIT^b35O{)(@vAd7@u@?i5-K1|kP{(d*j#4(@#fJ{Af zUeVOb`rFjm(fKOtw|Tli9p!Pgj!0?WaW zh;=RuDFJX-Gu7F`>qOCm!m^qmOGKQS=ve)1bmRjc_3=S`SWuzgt1BypVLDBc(!e*L z{~80|tC+(Fev?|Hqz#mOW6L0@JJZ?{OcV8H@TIqzE$A$Yyd5q7g=TO|JQ1VNK;t;2 zt(B(Civm&RX1`9(qBOgo)v`+O>NsU3jo^4=wrbDKcs!9mAluH;$1d|unlbYI)O3rT z-o|#D5j~FD8>pBK(1o$+We-YPXS3^Ab|c?C$!s1+8J{j&6fJ5j%@T#{6#c+;iYo5{ z4snVmxRh@c%fBp^KXF_6E3W0Mo#p4bly4Ht-xSLa-d6tlZRNuq^((7|c<{b8GV{9E zRmp7fn#yt315>5`-JJ(phCPsVj(Pm~l`L!dnh#@EwY-=Y^b%Y7y6S$)Hr0G!s%4a| z^Pr%TtoksfLQlZx+Ur^8baKjhL1P1+U|I7kSveMV-|MO(^|j7}Wj>PoKaAaUQ==KCkcJ%}#?%@pb1`Ku^r6fb^pYU-k3J?1W7l2eEqOlvyS#-%D`tWu zV*kC6$36j?Bte2yZK^DwPO>nmP696l$=p=n5M^$K0=~olCUZ9|NM{bYJK{Uzf$!Yp z?!re!xjX)DQSR;=Bg)489mAgF_lDj{D#|+8cqz00^n%m^=_gwBy zNaJ$16mqvNh09$dm%DYDkca6W6yGt`g zxtm5zWsm>UPPx03n#kqu?#H>@Z4%|KFxf@!_C;{HTN#(*F&)InZg9%oTr0`l++WGv zjC;7;y(r3EqpRHQ*~{gwG_<>wFqa`(lN4?ynfp0dl` z9xiw1sSZ!Q+*+f5dBW~fjCt4&Ygtr|MHCj{f#puwx1pJ2pPpo2)eL+&WS=#bICAt| z)o(!}ptM1R(gXP)F=C{*38cLh>W#<(NlZWV~I<9^KMIHHE)T zna1F24CG^?dDg~MW~Mp{sSdmP`EfVo_b--5@#Sj*K8M$|M3kOo4Gy{a1KPtr_I(rmEdKQ1%yf`$|1p!wiFu)-6Z56;6lFPLYa!K zE%X$H{AL#+|6CFFSyUI5UozW#Nw6|+N>jZ}9*utMpyXDUwLno{JKp584GL!CLMZ1= zr2cGYP}3rk5AOh#R|?Q5OwtJ7^6)w>i}WR;9qx$Wy=#^RVz%5BbJmM>#i*B(lA01e zjp-h8DZgGUe?%;Q^tSRHx0SzlsQfOM^4a$K1H}3RTsBu zdEfehtaA2Ui%hRnz)n=Ka-;5zvIl9wy^OVd_YGR`7;$kTixy`=Dv2B^mwZ1^CM>$2 zg7!_?~D5?5rzB#mhc^K8kf$)i+5Kz{@W`NBmzjD4!y$MBdI9v`z}$drztUx(AEu zkrF!%E}u&b!FaGNz8sBRuihcBa#|qW=k(K9Vas!<%3~J^f+=NK^OqL^s`Doe{?Un_ z0!s&3pQ4@78E^}1ZI_PQHfKOZhi#KRtftIU7pcmyCJt0d##Ni7SBH~r$;_pKd3E{5 zGgkG}EwH+*iR#Dn+S`qnNh64t?pno-7mgH-?J@TxnHVze4Z4AOG^{qrawM~In_w>U z)W;7n5P*y`BRF7dW;wvLckGAxDXwLM4y$IY6{_y=UMwZjuLopr-KJlY^1H?iReBoK zXG0LF&nXu3N}%8$lLlvfxemLWuaRMZife@Xg)Zvy;TqCyftyHU)q6`uXoO)|RFKw8 z5<;%4`m~1!n!7+#jaYn$!e{|^Iqw4HyDpAf> zssT#&yfS`8VRqdL+0A{EW?P_$?pSc(Stz0{CmTH9i+pvDd41Y*o1R>qoI358 zB<^fuMCqaQy}?*qkn+b=AUTUndxO?TAMZ!GUD1SHgm0%FJKLcRjkdS zqWx6#MQ2eHTsZ0_3(H&Pd%|*lC*ccn6>%1DUf|WdM0qP*s?qJL{KWeT=4JEKACqGz z1Lz+KERV0o`Y=n3SVp6gX2S%YDJx-eS`dap@}j(>0_wI9Hlx&Iq7wEk_stY!?;y+n z0w!y7c(YWCwVNHJ8H<@&kX=fax#qX~WtMkFU=IK8-~Cuet1{raczOo57T`#UiAKiK z3BGuc9po87iTO{DVw%c8s&5MFdn8aYuNU)M!iXi2s9PxXk-l1P;e#TN~LwV9r} z2-pd=k6u1JC@GWeErZ)CaQ8Ca6?C$0d^}j=GsGI@la#GGse^ztJ44&UmvtbQ(BEqVjx}M_c3%$sR)PcC#jUKlS4B>g0_7^v zJt4m~45WMGrUmYcd8!4IYthf>nSUM96<3MOO|nX4Zu$jaZW#rGz3^qJ$)!J}* zw_F_0SH^Cd-zG|dQKIjL26oqSc#~8C!v<38=5HMRWUlu4m+LAsMn9GtLY^iJO&*qC z?b3lLrrS!&)Ya^R+o8-Y)N`L33l)8C)ir#)$sz;ebxhAuR=b~Xwm1(pL5_LIJ|p+f zo@Z8LzC^9^;37z}-9AcM^!#deC8{w8P6TgUBeTIDGCvjkdR#Xcv41}t9s90iolEt2 z?Uk%%$+{0?axT1?7t~CijrCybl`+Z^=r?lVCA;gRZ8T|4W(!;Ux~haUr*L1%h7V%~ z^`tp1Q?>$?CuLq54n_~x2N=C^H(`584cVX{NmX1dAB-Y33z%!YsJfDHYRt405LWz{ zG}vcA)S)xceuIn7eq%)4srEflcer}?7Gu04&5p96Q}N!ILA$Q(hTWN zr&>7ceT5k3z#Vj_%|_ClL~s3%l!@z30W(stRR8I!J2klKPJf9Nbtengo$BXs-Kp|< z?}Jzc4?A@y8+51ed7|#bp!sY}t^SRR?o@rRs5@0()j)UJbJJCKs*}zh(w+38?qqb; zovME$>Q2oT(w*M@qf>X<@2)#}e$92KUi%uK=b}3$K0>-v8ZFrq?~(2#JP#q!B(!k1 zb*&6bNb;!9PAs7q%=#!bxjvy8fiFGkxl>@rsbKHD%Sh>Z9R=+&?-(a^+fI^hajvH1 zA|tjx6HRLA2+4wg33_>$a?ENBP_E;X0V9x>DUQTr7K%o6i{mghV7PMfFl9`mbGKPWA6U%=~TT~ zqfziOT1L_GQc2c+7-Q8#(UQk0bI8&V{ev$f^q0-&x)s@BvWtn~0Sg`rNZBE-pIE@S z4&|8y%?|r_`2Y19x+kk-r73SKovp-k`+nPqz~hGkB`!8d8Eg<=?^pNqLV>A*YYzKu ziSti$jcCCiGFJMXTy(s7*~=#D6aTV4v7~}^dtvMA^7t`n9+i)dC2glSYW&_w+N59{ z%UdMdo(nyy{zq(mwHc>}Dlwrf03CvuI48$FJN+mU(=IP-MM2WTS))=IMXMX>UY`WC0|BZ)JTZGhd7gJii^ zu4d0GcN_hi>&Ie6hmF4M!M@ij^J~#S|rE(j$*spUXI!O1^H`(y7sWb9qJhJ=j;)Bza2|7Yz$8>fz;<97ql)m-j_j5Q0P=FphSd z2|k+43Hx?4-gqNhVjb|=Z3%LFv$ZDIb+BY>UP&&UE$17~^g2Ny9X(&1Rj{cf$FUv` zEQ<(Av#*DA+JA1r{_|w42ev-#^a02f^|WJG7ecO(g)km+rEAggt_zSW{qS@jTNT}N zuCodI&v-iQF42Cd{+nn&^h^}(htJYsemuNj#EykP{#HY0!U!PWkmk{V#b%y%?8tJM zAGSm33npi-^!stq@uMqVE>}K%z!X%tT0ARC=d&1VDoZ`^FLltRo+PEMgMBo-k8J+= z{}$!e7Z;+A#Vm{%%WTa_rK7elII!%<69OX>rQdLTf7+uss}<#U<+(9wr}r0NzuG(b zY+ct`>*CJ-gj!vtIw)rN!b6_dJyK7lYp0pLapI(NXtW~HH>7ua9VN-yMLeLFJH|1m7oNuiZW6)=xN`VYQ2e~3SatV} zCjWumU2(%Mau=zwSYDcL<9fby47n@%7Fx(%QTc`M&tL$x&t;RsYd3yU19w9VAAAXt zO>|-CJZEoA?YI!fop-eo(&Jw_A^%DO2c^W15}D8(`5x_&gAi+GucapFizaC+-{G?s zu`_UiEXvZ6d<^WO_*TTt!sHILWbdL3B*2t(E!506E_MSK3C_erBY~^0(m29~CU!sf z7%9qSfutxOBH_7@gy+D%<-l4tnoO5GT`H$V5-G~v&+SJ3=I~PPWiQD+0=AH)spFW+ z(b+Jv2>LR~BG7n=7Qri`MbMT8k(wMI1dHpZpzFqwWF2b%DB0k!2%5+u7}eCm)dZOz zDc9XqeSh&-3;x$L@$8Tfra*K+Tj7A-nA-GI=rzdbn2`CDSY8hEK}qJr_Su8biOr;1 zMA=VgC%OEa`!<6Y*RWvcf0aT_pf_+9dA*k`PDBW*==s&C5N+qT+<+gIISA zWbc*C6Ai^CaCog_(|ljm*H{f>=9AO@)>nw4Y0L~O;%D`X-MG0zf$lC{_A^XLWqPoS-*T3>MpS)+-kw9JFLFJXVVS6s9fFhV%MfHOt!lB zCt@?BCQ!3(IX@;to07risx%`g0l(&iH6G!ktNEtybb(G(KTY2*5i{%?# z%6Hi77t43tR=(l3^5l=_bGEa2&Qp%C5eW6}j7-^}6bh>JwcD!$*`CeHhc2Xoa@0QVHjMuO~V0 zGr|j>p4v7=b`r+wZZk0dMST(K!6k(vYi~Hv?eng$#ZW_`a#5cmW(K~+*9r`Q7p|7Oz{LC6W9^4V{`9ml_v-aFi zqAgmqtD`Z(d?@|Hx1mGh%-Viq6Zb-n@K?d@*c)~1%kmaFM0R>y+UXx#xhCBm^orE5j`m@Ck|=m`6ny);Elwu#xvE z!83pON(v|V&uZ^6gDr6|0LOS>v6X4zHS4Q1`;xS?y&Y>Njvh#-n{0RAjYNN&`A0o+ zU)vxVVk*-~U<6B+i56+Sh8W2&gAC$rB#F204?*Owwf!61?6P{lMBKYLO%nUtDoE@> zQ|aQq0FXefdU9yHVt{hz=~SHU>?cVY*wR}Kzb50(xH!%0{LI-UTK^c|4pgAFd(>XE zd(^g&{2V4<1d8mSoy(=#6xw?*i|2lnTKl;lxDY)9R8L~F(9d#p!2)f(bgdFnqTIuB z6@L7K8tiP|uMGsrzmKgDrgTBN_*q)Ouyidkpw#E8vMF_-JYdOy>0<#C@fam^OejMe zNuJbU!?6#VtyNyabrBhi{4RFe)eQH}SonV|v>#A5tdMkk_?OJIOw;wvc|ouAVmYp( z^%_l!TPLT*-APAl+W6jpjvuYbBev1vO>Cn}uZdbyIa}H3v;)ZR?=REybx8`XEI`87 z9;Ulv?QvT+1Zns6$KS5SR)EVIJP@kt-mQ?DrMhuZ45LhEEgxUd(CH)Z^CLAl=g`9` z2f|d3lDb>|=E-w1(@o1T$v97kN%*Y5*U+o9Ai8f`LvphWywyt5Rf=RJkIPbkza&mnL05&+>ak- zR+FRh_Wj*<1rSU7;AEf=;eZI$z-1oLPiAU{A8Sz#chMmqH4;qdSzMl_M&}2Qd^W{Us zB-a%Fvc8cMl#+O~%`O{G>7CQl;p%YR-xYn7Qa)C0KFU4kM}xyS3?_$mYwOqboF1hOT9)dq5~I846njOIogodWe8=dc#LAy)X# z?B8QxvPD_#-o@E=w>a53S6{2yCa?@*wH+fTkg`gS%qF3adlv^cHRGZ*FwuXcNy$_@ z$c0`&Dz?7@>k=WldJc|rRtb$vLTkdF0n;M<*}ZrRrd&TGXfPuvWCMky4I;)mtuHFQ4o z6SQ19)9`)ximU&@+o3%g+oF@SUph3$>s|ct7hPD5V~*vhoqqVQi64P`2N1{T_*0{} zEgtbUQI)pEUHEchEhGv5m^6${MGSpUpj)b(GOB{uG0VWelNUJNYoN_)l28Ww{Si){ z?Dxq-xJ~wf1p3bFUFUA|^NB1Xs2P8%BPx|hcG3obi30UYMk;wP| zqWf;YMzh{qa`k;2FHO9NH$5aP=nFo$fCbzYN1C&KL_i9>aQ3sO_u=g63Xw!%l>bvjjSA0Y9JJKi{moJFdT9B9*iHBPuK)r1Hl> z9R+Z}?#<3F&MI-F-0p>NfbV!egnnzuW=94ZIoHb|IQ+i@aZLo>Oo5I#5TLLAvIl)9 zENhcBdg1NLYQ}2GNso`?J(r+|f=1itz)t?23tWM}4SIEtW<%QecOe(>D$4LpkPCPf z<*`>yEB&r5I^O-EcqI1IJRD^B87C3quzv+l;+j~P932gvFG8$nGSkLiM)BHd$BGL6 z+8EIRH|3upR#5!bKOk1Vgq!kn6u;}KNeMH>;xErZ@y>?M9x9I7KdVgkHokW5FU?Z( zG-`3vQ2||G2L)0`jBR+!?|cj`(I6vU?)?0fsGa6%^oBEIwj=VKg&FI)Dh7Wn zW%%NiD65zulA{`3y82MMZPX{J~QmFwbBALGs7}4|o@G z4i_2TL58SauR0C8U&OF;^`Sx$!*mhDsm4XKMGRhj` zQq0Xs-%2LO3X`Y5Y#In!mHU{tmlyc3d}T&PTW>7hVhoo5zU;u$J=$BU#_smY zmQCwFhP5{Dt4T4uNZDheXr6J42_dH+AjzbqZcv`B(Eve@V|BmN|T*?$n zauGahZm3NYun=No)vJr(O1aw-Sj`=dTjJo$O4ZarLuw9J!l_4xD;hM=jjraw`4t$a zkuM80LzKN+`KVz2olj<}=W~#O-9gv6wZv_!UVpML-tETw!*IKhge`yfNw}o^=fOz$ z{KgNXn9V#Q6R#H7m`aDB|Gg&p3v?*q^s`g(W1i}Lx_8Ew^M~E#3ztT(dujY(yIhSsk^kF69xXq>BmnE(^}(m^!GkKCavd2`fcGskJ3 z=Q_n#d!C7oT%UgqM`1katicPW?0gG-uB zc;YO9mksmK6{e1Hc;Zs&FoQ{&Av&i8qUIFKGMvNR{bCSMj|zk@zW@FSG31jD;2ldR z9ligx#hLI$uA`F|;&zvo?VLSWes?b{)4m7uHM|0h!9vp4ZV`gQGJb}x1%A38FX4G% z$22$_^#vcojW?wwI#p20mqAxtBDxtXFmFl%75n;W-dxu1!@Q{grEv6UoPdq0({DT{ zoTW1=v*L&C$FyhWOovDMaybU%lWlM?FP?d{eX1Hg8Ya4@li;3)Yn6?YVqtwyHohC9 zQ#-SfO4?sxTSPDMgm2!K8iSLBdf)Yu2{hXxgP8p!;VB%E-+eL^g!!9y%z3YN97RkN zkBBdW777QX?kuxuhc(F;RTZ9n9+dtm4(%8;-ID&+jI)3d*P@=nc|S=u{0MKf(TGSR zFILP!z6sl(R$={Up@(*cPLSesQ)0`6k_;^TH_l~ZebUM1`#;wPVZ~`YXZq2*0$uBn z?^PLg5W&p-AZxR9DOQ}RVK^ta9X_yG6a-?+RLli^%<5-9a@v9S<|%T}*`MuYP1fmSqR zisdUZfZruDjq@R&$HP7bw1$O>&Y}*!u;A19ZFFRNR7jefy)>%(_A@o+BVah1Vmj5e zY+GF?PIdiTBy*XM-2;3c?)7aJJZTb^`D8^iI9V=vPbPv~fMUMQ0KTf*ZJo3?4^KQ8 z27%7CA@Vx4I4ro;VB1&(;i(*U?OG6T#*2Xf|9P zlyUwS<2RIoLm;*G`Xc=*bf~;nb~eS ze^alne&=9W0BPfObaJ_JIXOSAp7iR`%J62iVKS-frMr!JudABquuhBp)gxWpn#y(L zc^U8`&r54~{uPJ~tte4R}ENcw$WBqPgu{JrT8! z?W+$xRL%7lm;$7pyxF*Do|plaQeNO-)`nYIYa=>H>G-Fpbm-Ujj$iTl=j*xB@g{|K8Nk@IGdeFw)>Hpczwpcdpbfvh3{_UyQlwhEbuQSIF$>wo;r8YdK{=r za@nx#|EwFY{{zbl_t2|70SsbaBO}@K>1w1$*%`<}E_)`@YG3;vUgBxCo#&##$ahF~ z&RJZNicP4~|Jhr{O=c)89dsDs=FWrYoky#)8VMk;66iU{A7?VJD^buM| z%WYr|lW1{`K(;fJslSfU z0lyD$AHW?Uhhv`5$1(3H~4Ot zhuU4>ug<__aKA$NGnoJEGj<#1F*=UcIUHin!Y(`IwndRrQMWUqREPzo*6fNdQ5DLDZ?}~Mms$^LmM4CV`g;b z##u30+RWJOjF}nJvtmkew&rJSo0*kA6*q5AEx`54Q?pDNx&PBGmH++HO`83JpD^CX z-$Ux7mIq_qNMynSe=o1uUUR_Qq>)VO6N1$u8;8g|FE7A6MzRI|QXesc+!qPl@!~Hy ziYUF2bAdlSjz{icGk6A1e?jWw$l^7G0c%ZVFN&!5P*D#m>O-s4cZ7h=WTy7uXxKz# zBj*NM1U7=w^fmO6z*Wlx;Vv-;|K`3VCgE`@$#@|!2 zVXq*AT!D^z4ClQ^>6{w@q=zTeQx2>vU(lE#4V#PjJRh>zwg3NzV9RQ=IXR#ppknJbh$$ zi$s-p5bfzBnwX4q zd6%wO$+r4$lxrf#=Vf!aU}SBw>;^`CQ=kND*fylOE$+Ek^0% z9M5+EOP>(i4AX&0eWlQbRnGVy*E0FfXrz}XA8qs`Lr?{J+%J%xA}*V? z0#{nZ#5zIde6n5uOLAn}AgG)Pqo8&sOhN$j$hG5_niN`Tw6g)R&Hcj!%9WgiuR61WAP!3<@98l>}=YUF=I|o#{QXEj8e=P=d4Rr(`A#Rut2=7rS zCYf}zk9-UOzJmHL1We9H`v2?-{{M>~0$_LiB>*;bRs2TWE6wfodl&J5Uro_N(@pc-KCEixNDo?vTcUjw)x44+b_9i^fWCR|1pf;?ajYq7{A?{e~a;0 z9-q!|!#Bef-;D0~rZ1GjE#Hi;`DS#_H+`W5Zu+LL>C9fK-P_@8BELYNHws*BjKC>lNw@N|M_h>dfsDb>_BP#_qUHCg!^R9(Crr zS4P^{uK>x|B4ZdUXDb|6sq;~Axk%pLiaXsTPYCS434jq|bSFK-rSyz$rDt?4J;S~9 zJd=21zT?LLnBC)q4%x5GXG8bqGx6elJ79>AbaOsEas!a_$#Ho;bKIWKBSY8cQz?FF zz8$3)r%1B(Q_-nDaJG zm}k80X9<}2oO=GNA11Iv_%9Q%JN!O_%YZpLLdM5!zu~|zGyhM1k%Z|%1Ilyv?y3FZ3V`Hc|L&+TZ$-}v}7Uj9oQ++hS-xPS6p05W#-7fQz16)jjAE>9^c zre_X8#dMKd`k-6-S-12b-O^2N>1wz1Nw;*nTl$<^y2ma3kz4v(x3m{%E`G%Rgt?`s zyQNdy($I!(E1%((E_O?2yQOio?Y8nb7JpmX?3S)@OWS`h0ACZs`kd>A${~x#XfLr=&xAgaJ z=|H#nKg=y1?w0=1uE#p)PZp7Dd&1nFhhfdSKA+{5mb&E+bW6YFmiBQgKhv%L`EKcD zZs|2{Y5Q+YpotBDa6X18>wTYA0QcqF-{?{P~nc1x$arB}G6?{`ao<(A&!mfqo( z#$}(k(fbLv^nSPWQMa_+daI$CS@C^?c4eO^qC zl;lCtHAP;c;gm*s+KZ6niF*JN7-@G=8kwh3h-TA1iv%X;EDDD)rlSyc`n?pw9kzl( z_`5PGgx&f8g>cyIpb*-{E(+o3-%X((V~Z&JxJkr#tg=8XQf242{W3N&;nX$JioPzVz z6oP2PlO<6&vPt1I#=f9X%h(ST;uO0IYgQ72{bdS4+%O8K<9F^ToB=vh7>E553h_@o zhz>NbqY#6TOW|zBh}THwFjht(6u^BH&SmUb3g_X@Z3^LiqBmtEI>uTk)HC)Dg^8de zg$B@(!X(g;R_BSY8#MlA~7o(jNqTTB# z1ddz^sht#3J1Jbk7_A}6QpTR95R>RR3RCghmJ}|-eg}nVjGd)$Ib-iri2n6an9kT| z6k^g5@0YBE&Pw5ZjD=FT3U`oExSFw<6yDER5`}P3ETeEO?pLC49b*L)uE*~gQn-P! zN(zmjBZVf$e)s=1cJ??8#6T3DCe)E~4lJtBrlX^yM)78WEf&FM6A1xQ3M3i|YEFQV zXsI~@N8k*cfbSWPl}*J+*7MI~WRE=)ubu1&quHCVGW!rNxIYuttViJ)?-~%E^A1zt zh1rj=p?@O~W0(jJjR{^TqSfg<#;#slV3*!os0cErXRW{S>OgI zf3_hSmW4|~ONFE3lYOpoc#O(z{1UXbpria)`9^mqmX&e&)AjQR-n7F1(gTdBz0mXH z-@T((u(T6l?rHnHm&+{c0il{is4wy5qRq%`uDryY=B(tpY*l^2^PbxT-o~;odDXYq z_%HPCJ%_X;T%BRQN!|e{dRcSqzavjFaaRMr*IP48wUBs} zFq=XDzTw*@?;mbUdM=s}A4|ND^Rc`K@}_ru!+7IX+Y@KGs6sWnHerA#>D|m$&?0Ar{3 z2hG!=qvlZ7(Jm*voDP-M0A^@pWS$P0bwJI?tSIe7W%YZn{h7}&O!b`K^ZmY_*X#So z^9lFbYwg?Gd+ojU-fQpK-Met{B0&(C^a(7IjbV)0H}DXTIUGbX-*KUgrI_p=%?)JC z1L65T`e_-rzPhsPhXYH4d#9xeF&-@3hq0$7GUhD{XEMZrm#ONfZZ`HR(v{knge^j& z&s83tlfx7b$x{jXIj*( zJ0is7HwMj&oY@+EPsfVQy2HK5cax5>s{msnnkVQA?^6U!-PH)^Ok;a%p#S2Q7VpMG zi?!RkJnbPuP@v$M);87PC1{O?g?_BzA%C_tFx<2F#U+1^xS{Qy*qWdJsMr4GyVsSE z-gY58@o|HB)NZM~`Y1LRpoF;&;T*8t>8SDg2Qw3u0^-jTAgGYxHM)!ib!y*`}bTwXLdkkxgrCs@mSBxYnk% zyH#s-O_jQ)I9*e9M^iQY#nyGrs&%%eIK8T_*jnqUT5nL}X!d@w_nPLu`kK^a}c>3N!I+ zDMqTMICE2FJW=9Mg&@`XI@P*j>)mOpO7O-8nGPAA)P2|L>!h6W)v;13TO6vB>og&uRys!g@tvuVxpCTjdTGzDZ$tsSRrTCZ1C zp=GEb)$b!!Ov4(&x{YDS+CzrheGdy^=LOz9z|TF9yG?GfHC41WA<24(CK57g0=KA? z*%zr!c7=Um3xV_p%)Y&OVT1L)@1b7d>I)X%_gLr`^&!W*!VrWyo^KC51SW?L5Osve-}~E;VvZNR7a=LVf$%LkCb(r0P-=xAu_p=;UZ0F*m76 z#X^zlxS%bo)G zn&R4;*6Mk`GB>S-hGBi-AsEp|g#q)bZqip8%M|7xt^QJ{`8rd5#-O>-swvZHzRc8o zVNic;P?s6hpPDtF!r!mFsMUOJ(3F|gBmK(HwdzY2wI!iZp44YbV2XsT)}({hkMXNNgbI3;oJ-OjFjc9x`Z# z;n%Aw*)CJUR#QTUF%j-oxuMmR*k_7wmM3jB1^1iccgd3ubj5?2j-F(84ms#GA2aPa zoo1+?I3F2Ne>$kX1U6V_Pf{l_oV2wkxz7}Aw3cENxQ4;T|WtqI7@rQ!xuoOt+RL|tZ6f74Hm zc1f#72mDyCakg7y(m_*jrzyU(C;32qg54Ah2KwcR?2=CN4Hy_weH#)S8#(pJI9k9+n zWlVtdJG!oH?Mb%FgP)~(g$xM6&kBjBiwLRyikOUz+D8n$0Zz~n>`jKPhjgg$xjf%^D1o-;&`Ou(vL2zL4px%his{CzI| zei#1<7eBal=5vbsdwb$hZi_YfEI8>&g1@x~6I<{BA>p8WK6=qXIXb7<0pfd2NdxjQ zHvLa~mZpuTOUqzT*awDHheVZ?+R~HM%SUd%Jn5_{xVI+>nWGQ1nu4Jj226?Y zqZWs($>_tpZAEqD9NNF7Z5USw$y=?-XgB1rt0$pTE@}xkB2-WU1|6CmYR9!k@b}e+ zuun79A7`pdTginUINPeeC=P$F2R?;9(UVqzrW(@*36R18lq)13G$nS*A+lr!1@Z+( zWf$p@hrh%IMM4D41h1MsZ-`ErXELYJOV$jkUcfF?IX4CvOE2bM%3W{#QR=H)I zMmobZ-!SSPNFrZ={@*VcFhTV8VAhxq(QGxL!$VJ^QXNX@vCqw#wdi>L>e3G7S-ob& zuDlBqoK{r}k4610pZ*Yp$qqXFG?*MwpM_XEl>bIFo$4afIQ)9mS)_90ph3e{8`Nve zJVL*62$P^)31xeZ=M2#!6R=tVqU2xW;;(e^SGoABUHmmJesJr|XD#=Ctyh=!E6?aO z-!aXl5%QnKG>RaD`b&cbz358=5vc=cq5ReVwy4}tst)4w>0WH8I+q%`CZtB-S)sn? z`qdZ2RAv=%YgT`QPA)ZRnbf3Wp-AWms-h>o~AH+L@{4ogQjBPO0LYBNa(a?+^<44ODBo_K}>t`J&SYORT-&TOS>e#X>!>u zGRhXa#j{w8QVyZVunPS(BKxiFBz9O>b+fdl%E+clv$Yy7c1hTxwl!5i{#Za_Z<2=n zqje4TfY`)27m&I>V_0`P?G^`zLa{#@1{(slSJ+rFPb6H2T@~M!u1VtyQDVEPLN~Tk zdz@08w1gfVqHQLY!PqupBXG9e_lxk*UcS|pi~BX~G^>7lk7l5a4>o$k;_lUTyGmQd zil&N+rgg6SUp8zEJC7YJ-ztiYMzSzWIf_lkZ*Lpr*pyXRRCQ^pweiwcTFNRv45c5= z3q6U(AEKs!tZDOZ2sz(H>vL2J1zkd+$;=a*)^+hsmDuTU#KAHBP& zR!dBh%>k4SRh31R(59+$_?r@RNM#jsxErFe$t$s#<`LpNY3~_VEMKGNIYacw1gu)* zaZZ1;i@(*y-{#`Cx%hXx_`$6+pHA*?ZCYctqBYj_f|I7T@aviqC|h|YcGi45zla)hkD7#CI_>gfV6zd2I>Z@<6HB(K|I7!5RrTTK&ksK|sFJa8;$v8ueAewrl{`oj8 zGa=Z7e$+)Kh`##JkHTRUk<2n?`D2qwSzX5zTH${;gIuxGqVaz>ga5l3lqdi1X7K;H z&0zdb&{p@dUA{_x=ABul+afHF(|QTBg13H5dQ4@IMcUMs1p@{>q1Q1##?oP@_re)M zK!dqK=M&IqZqsRd8f|Ubpa5BZO2uNWtWXy2vneKg`E&)2HdsK^dIK4m*K{-`MK;xn zQ$VpC%doXr6v0TVZK@v7tFR0E+(zz*O;x2+!SY+#uY9UiU5Aw|7QnFn8&p_=uF+v) zBma7>s?wsu>UTYJME-&&*-w%Ey3b~6R{V9+vd3Qd)5jP8x%K4sBQ0~1e|o?t=C#yy zC+~RVwWq%R>aHcL-!5EvW!TRPo8JG|SGO;@_RR0jgkNEwu!}K)DJkWZVSp%5`|7k(8ut7`sO|vs6T+Ivu6LcUk z!7iAdu5R_uVoEvXgHL9WZ_N2YH+z>`iMO3jPZMzV;R!|^SBj}juuvlYp+v9Q zA@zYU^1GDy$KUB?TF#Rg3(Til{Vd>6`oR3hSDr9=vDR_SCwDdnf+g3i?#&FmnptE_ z&{0}qe&*!8>MUjvn9Kl*$b51Btzcfx6c$hzZ?4OVBrvaZW&Zv93pdM{51K}XSXvgM z-<9&G4792z3RQr_TXk;C%_&~kR=c$7%vHM^1KF@EV>f*;$Gwi14j-|w<-`F0P{;cc z-%%>;R2cv4f`72${_@)xE39X15@<1DZ6TBW7Pq!cn120Xg~{t^yU+bL#Uggp+I*$$ zuR5=%2EFdFX|M2l!qclga1ry`mFcy|=Jkx->$wpxy-g?ginST!Uh%emxmT90!fUtU zhQ}k1K0fFECz2W4i}CR=%82!}gd7?QSs5tIZ#Km@_Ozt%-D>_2us7tTeZGIUR&2xp=+Al=cm5Vsvgp7%JrHv zBkGY%b*%OfEkp*wL%Z|BR_Zswf}GS3^M^bcHVd*Wj|5^hc6YIAUNM$6s(DyZVIgJ4 zwnSAs>Va(6TAFfk1`~t@EA|`pv&dF5$TysNd44Y~yT!#fPJ=>@I<^PO_h7ie{vUQI zxSc)mun3SHx#wCGdbuHq>}x$fZIFSzj<)*RSR zhji)-sD&;PhgEdIa~#J`^;rs*EU|3RGVDjim?(8Cq%n5mN zU)lk_qf@Qnhgg$8IE-Do=JP?(z@&kp8T;^Z?6>vY@HD7|jRrQ0QN3yCWH_BrjtHFd zyhSJ8?-4EU*TU}BXG&~_J<*idZ(6|C=@@$yXQP#2X*yH0&*TY}F=@J~^(~t>zmsay zMiwSV$D};e8vcamq*-4*hJL?3^Zoj;S=s+czVU#5!q;=W9*?~L@ziC33zs$OGv3v2 zsg6$HqW9^Uc4XhIhvXT@Ur$ZnGVT83(-6V$I3mni7C74{Hobp~&NFPz>w2YUw^H7% zf1_erYKks3Wm;;)G=hhxU>O>td9ib(qMU}d^sOY)6oYkvK z6Loo&Wd)^q<*RhJ&n+yRmoP`K|D6jHri|?L>}yktYD)@=z|j~}*QS>jl-3j$UF+aR zKd-JXs<^6TRe4dNuAn%ta>?rQjJ&G5^72cI(#xxJ)3cV9XB3sKu3VRqSDv@3s0>VT zVoFWbqP&_?5xJ=fL1Sq4&8EE4QXVa}D8FV^#_IBt>eZE;kXck-n0+(%X0NNtDJi&% z`)|1=^?EV<=2Q-Ij2W4^+1w=_vT{thx7>JBCJ$S**qEKheOV?GhYOeIr0bo&Xs0j6 z>5Fyx=5SwXhA}!fySlO{uS`tnLJ-rt5X5X;2x4w7gkNMj*S(ZDPB5m544aHgqH}YW za~k(&Ef?8vAR-GK$u=$I(Ws6IxnjOK=^4?vDOoq>!jr&5IR))QP|S%_abnZe?P@+GJmji!{3mtxuo}HO4<`0jU=S|thtQ?WX zy)M)-VMNc(b+AhkHkP7W^J13fT%WrrdraiuD_*K_w3rhoIcn@=K0epA#$r`?L`RKX zL^h8Xv?x16lt^}J`ckPS#YRR=#C&f;MZ~Pg=afKPZmLmCixR}#kbR7MuaXF2Q@F$s ztKmWrOLHNJg)YnyJ3B$=7(myEap^!Yxh@@u5V15KOX@(5Hl^X@QiijmN_>hP)g^{l zH7-Lj41FNhr4PWL_mU;v64 zpIg1;=5!M;C_Q^&7V(*N^TJepx(SHrk513dbOywvo5qmXbYqG$WKMdflzMJkW~YH{T9loYhJUH}myxl^n3aNmMj)AsK+7_w5;ZFw z8o`ulG-ai(&8u9gyBX7E$?9@GMd;GYSFV;QYiT0NttwexR8^fxooD?HkWLdc=K=R~rd0t;sJuaJ)@>Q9Y zMMY&5PI5{~byapvz7&g4N80SMv=t7%*v1#IIKroI=&$ecOqryd>bWDRMOR$_!e$U| zA;M%3R)NqCLhFM%#YxYU4uDghDg6)Xs!I^E2O)n))X-o=Ed$|25WXcs2ncIHI0C{v z6$qgq)PV3l2y2ND2EsZJKJ7|7p%*sf$1=7tgs}_aE=2D?cd=#XsY%R&{m$2}wCyVy z8E9MwjrB~aR~s!2?>uPI+A0dqXcdvVf5s~Wuk^V@-q!+h$221AUnlZgJhq(3Bc4Py z93t}OXo}rPWc}4d&g>)dA7hE^!ShZhviSs&ALV&(ByvXyktOE#;Oi*B#k zpVw1%125BiCJ&t5!2|y`$O8vti+P#eF+4D;p9j8Z4&e2aE#YN)C-T5+M|j{XY$64Y zHZ1RJ^*;Dc@T*@15Bi0jjt)D!EbQExu;I;N=l6zPcqiVmJQn*FEe$4oEGn!d7fdhwR&ckY{BdVG5M*V8NfXH>?_sE+Z!N^2=^ zmURTfa8u(Lg_*+T2F5Oiu*nv=OlOa86ugF5iz&#aQ+NBMJT`nJZL5K;-7<+iro(Wa zz(=Irr(ws)5rcN^6^ z=!LNjv&j{fgza+HSH%ij)n*ogP;-0FZi~z?lY;fj z=F{CX1Lhh+5q~S$_1NNU?HYmEeY&1$Nu7YwEYDcbTpf<)S|*?K<=~9?fZ)Bd2t>Be z@d$@SrBB;;G;J%{zP5Tb3(Rm0Wkii(kZU$~S!HY_c^QPCK$06tfwy z?!4^CCQ;1h+rb%TM>hVvUR6}D`sc-Z8TMG}kn(wAR4in{X!^h*Whcm%NW@I_%~T=e zXY{X0ppl~}EobOq&4!4$y~~KKD@M!=;|WYkv5HU7>!9@6 zld>(i1wPC(BgxG9esD%XK=7wT{uGgC zqEh{rtvg5lRBHDQg82}oq`utw=F5lPM1ZAn=dP9d;1Ogb#vHNk+zo@yR}anD@5p8*I$=kj_bVqJc;%G$t7jj0 z^@8_nm#9*^8+Q)-mQii-Q6u|r*f;M0=NGL4LXZE3ZU;f^TJNF)4uV*tGyWS+I|z^@ zl3euP@U?>g8NVCY$h$^njMO#Iu9hQIp4hJzk4_lwhm}&!#L8wY9!($a=Z!dBto`EA zO%4L;f#!H?@#ql;0X>Xlo-sP%Q!z;lCuC%draK5&B~S}yjBaue(ETZojL{hmX9q2)Z8R!~kNQmf(2U)t;260V^AtECVQ9u46YmTd3=|`AXvWhbAs&Pb z;~?+faj|0m9+6>;og$0B*mo{8?tE5VHt3VaVCjXL*v!?$aG$6q?B3`J9atpMM?whL z(4BaoE7mMvh%>PrGiRE?@i8$DwL$V|`e*$c-?n(LTCok5j&1^h2EzT2Wo*vRvY7Cx zF;h)3kq^hr+#fUR-I%D0F|nrDxev$AzZh$d?XgW-uy<0d&plrS+#TK1Kgrw$XTYn!I(_LElobK7IPu zV@U0jvZwbg@X)5Nm?vwl4;uRu-dGbdLz%DpjX(25bKb<2c@sAbO?qljS>~{wM!*_Y2GW>#yz?LT$own-Dr+O&qZ%4hz=|Sm*DSlM70#HL+j#OF)ECwS9@L z@y1T+uU}A2oYStZw%2=PaQ~2hX}Eu6z5jWOzs=$wH8k#T4i7?TB+o~2gH8IQ%*W`V z6^Zr!_>1a_K=sAHiJ{H)!OKHJ`|H*3)X%RdXM3!{o%M-e81(-7kCm4x=JaZdGRD8n zwqju5!pRlAhmNf{e16e{;;B>P;$DvX(+l(VCB6_dDfWeq{Vy(S>G0dOH+RZ>hjt`AD2x;4TGn=x?#&CM8{lZpCFp@k(@$Oi5OH z`eDpa=waNVXbsq5egBxtEbaxFryn_`5%|)s{+)zx~j>839g}36mSbS>_20Y8t zG4=<*0EKZDEj}o2V9xh3KmBa@?hjJ}Q-#Vvo$k`4jHkM^>?=KE2?&q4zPELm`Vb~Y z#TzdDTP!Pt;(&tfXnB|y!LZRp8@Tu*0`$itVM}5Op%(7;aJ@M%?Gkyr6W!V}3`kKD(rK1UvS1=am(-DxgZ~tHQ zHq#HACZy=R0vdH!O!usxiNn`hs9sZ*D%N0bJz|`XQ(MjD%Q(6ny#%kmAd}h8GkbHF zV9^B?R%X5pqICg9TJR$x^#uhod*XBQmY@*k* z^%UdZ-_g1AXGoEE*v1$#!#)GaHmeU?YHm|?+WRNS2OIKM`P|>CzRW3u0~6$q0%MZx zRNxR5sM_aR;6~r(sX+~st@*p;O~|HyvbB49!#@NICKXzP-L5dyyx?PE^KMs8w**s5 ziG64?tppr>2#w7GPZ+|*Ze+~SC8IZ8m2(N5iuv7Vc(|k@)mGA)`b0^Gg7+y*{bHY* z*f@*wJF$OGz_0ZwHv@-@aYHg=tRnLY?}`h{3sx5vNyZQ6>|^`dLiaoRScQsJOQ%5} zP7HWfzWl0COre&Y+t+s_?G9rhEaGnh8OW>QvR<`5 z%I~&SvyVSD>+E#uYW<0O9*!$oHdDr0ZftyS*^UEOKae$6J4{ugMn#C{hBadv-;lPiM91 z6#Mi>&pY!Pv;*CW@DZ~1IQsaf?sboPB)V;4u*8*HNS*>f?)p zoJoy5=?`N`^O&ROKcb37g6oE<=V!hLhkCw=dj6l(@gG!#4mK#bf7BkgLe;6$RClwW z=y;}8SX(q7QP&=(4k|ncr)jcP#%@zF^nV5V{~7CAXa6@y{onG>dKydy45pX`%{=K& zBvl=tsMCEcepb0))c20E$0)%(WqX0j7PIYUod!+aVO#MxgLj7x2pfZC zO+G7q?!Q^Hj|zLqSl7VE6P3B|y0J2w{zkde0Iox-MLs^$6kX z#i>OX*ez`hOM+NXsZO8As@HU8g`>@%3T_?*fU>cBLfObz#nf5`Mh7E`Lz!nYu8*L9 z-mb7f*Q7$%Y>e@|L^G49YwiRLP?-39Mezc+F1FRfnE1kIhB#p_ek2IOZaY>s(|i(ABX0_nT`j zr~buSaI@cmhjw15*0b>rz6^X6eFa;_0#u|00(3_5N z*d@+2-_c+ik>(o9*j!V==b9cqAtg(5O-JIh@mRCKk4gPC+4gGVxymDRTg+Qmggp=dsWpkBR@pyR|lE%r4 zeJ;w&&nlZ>)N2eZbmXvs~47l@{UesFeseKsUed znWJK|e7c?V>vE;(A9H>(?O188$;X>gp@flUY^>pEs=BBwtEj4|+99jpQ*6g6hpf)i zsGh1+JiNu+dI=qMZ?Ne+V@rdW5RD5`2)kXuY5@3`r(^6^_%N^Wu}^cDW37s1Y6M@V z)?*P%;~$nKY5en5ia!dH)}mt^m6q0)MU}2zT@h7NUb(uoG^$YSX`}~wmS(C(9)46~ zXgVji$PIxC>q%yLNwD0y(3ZHN`(l^=8PA*FSX#FrXyp~cXRxiQdc3-7?pS4Nh3$aq zqdktF~;Uj@p)R#0u@z0Hsg{+*=fb|@; zpEzEPw4RHwY@6K^@i&sBIBvH9O5>Jfj5Qr5$Ln(b4OMISJnGEPnw(4Iz3*puwadci zJgQvyRM+MbOKN+G8RM7a0LzLf2meN#%d_N_QVG~JkMT3x=fWEI`is9I7} zmXGteyQ-wqOfigjIK54$_-N**X~8Fi<4+~u`9?DC!fl2)J`gN7;SlE;`RJzaRDY}b z$#IC&n#61u4YJ88g}rIR7nc`@+MkW63%$WY*KO;> zYU**P-u|#F85607>+Ke4{bU(iKdsgCg+JHZ1(Mz#N$ik^?6wl^b!0#%3<|!Ts zLRT4{lCxKyWS?c#DxiU9B%Q1a)f>`1zEy=Mm`Gd#uYmj&ggJP~m)Ch8V0O zc%QWQctkagN*ko9L|TC%E1&BrD|1+9*9EL$OAUcl1{C%B531v0QQEbcRP<#Lzfp(C z7pM48xrT*iwUEdV$PTJ6uwaCIV(i3<;gGm*yj@{~?qArNbh~m||F+pC|KVeg7$>B_ z7%BEAbO+KGF8j^y+OuP^{piaaZlijxS~Eeq=40)_Zd1ccW8cTJs<2R z?C=xBJ)=XH@Nsw9NaOCRm|bets_nx9W8wA_g2mfw2dz11h+*Y6UBh1?T*=Uzt*vFk@kyv5c`IYX+&VREX#OG*j%C)>w&$d zLl32@jzOW(8ieX0E;-1DUS18|gLu+h7IJHFsH0BHRqXIp4d-_)Ie9j1kAiiCFW*7C zRcGIOUAT_K{}ZhlF1fB5CYN?VPJbwc-sw>`YJH$vyQV$}-Rj%5Jvws3p=F`0(?v}hG_A@C&T{-B4Ud}vmPnE=g0vx4{@i>NFHb3mIbDT=TuN1@SDoG8bJ$c zNe-k#UI65MVS&%x@UpF8%Qx=TYUQ)v?AA%`De8)>qE$Ha|4+yCxRNn;kHhu8TrtT* z%p*4)x60@`MQYJXQC6g$iYim`s)~$-g_T8BRoS>LfIX-f-_b8A#lpJkMI}Y0g~oyc z#L6tHEGwzvR}sXyWno=WL3&j-E+G{0MNO3{ub|lBO{rPAvZ#{C#0jww&{(dS?io5| zvG%0}ZMy0WdgJg*=x4?Xtln%Ax}Yy#QYeH1C_OZ0p-l zkbSDu+d~@rRf0s=8cHNZGO;f&`{3p-X3X)2Crhgm&9dFqXw_?>$+`U6_f4>-4 zHx##KD6aEN+>2-8UOE$3I~4cwnYe>z;$GRG*D>YqFYfS_e+nCQY0!l?%6`i4F<(bh zf%5pe;FkyV1Al-qcw^UwQ;XKd`TQF>@Fef=@U{Nf>H8&5vVIkklv|U6l|QW6);U0S zV9Q}em!F5U_W!Yd7LDUGG>)H@<`Dar%&=NHQ*#O9uPxxhTHGd&z}|bZ0(+~zO&60G zX>Y*~ims~rvYK65**R*#CiDKp&2|-w)E#aV^ry7b%-GJK*rZ&*^lxId&|+LR;|-qg z=b98O<30a4`yOU#FeBd{XG3Q!u9MwIn<#C;mJ zqT|CBWBAMs+6AnCo8WUp-nQt-MX&pGQ@P!ZxD%npl(IVw#*?7xNnoJm{wa+baHzB9 z3}dgD6>S|6n3rdC9xK!yYB3sTW{qMF`Ij&9-*sArWUox_w_R}z6_0e3?Et&VKX*5z6(k-BsGbf}FiMQWwC`vVuCGfqB*i8fE-R()qZZR4{=9-6T$R4W=J7PI+5jG12@2fpV0gqf$&Lm~b8oOcoMEk+7~aJh zp2kJEo0zM(1A6+t-Tf(}%wQoK?9N#>-2O#DItjkY55a|mtb0W@_*{Q*SY zBSwB3k^dq_)+4e_jNE#m#kiSA*59ZHbI-&e3!h+ZwM}h8`JG3nq9fdh(;3BqaL=v| zAzD>W9f+|7%oeL$_^jeJIbVCzymh<6zV-#56!Udh8+PuTZS@;`8}s?stKRHTe80b_ zxZ%h5V^W2mOD8{VyY~g3TL-VZePh(<&e^6Qh3-I6q*d4Wf=`LIx&M(awG1)O8ucm2 zlfFD1?lc2RYXK}3rFFcqzwk;No{4(jId>`*u&6T_gA$Zc=IDLcHQr%YNT~2vI>5hi&j{N=-Eu?^6a?PiU9)yUAD*jO~4BEnr}-ds-|C zo&3`Rhub^d44pQtgr6v}DQFI>LmWPbU71EEbQX9ht(WTUv`M(s2N%S~-Q=opv2;52 zFfOqr8z(n=rWTDidpg$?>-L7OceF{ZXwP^Sw)OI`?Z>t`_Q!@VU2N-Lef&7tGX`re zPdjEUz=f#v&)9~DFN~utcRMab*)(jXKkg{n%klHP*tI+9w)Q zLtdS_;EZX(#{~;MA!7}!r!C!zyomcIbm!p6U~uAePa$ftw&8c!5FC_r`G@rhq{~N1 zm;dU1Ad~E=q8*3t2gdBF9|}C_zsHg~?WwgYJ~^Bqd#c`HPu1sFFrBCJ{m?c}<=c$q zRJOE4Kca{!$UL;J;t-bWJ-)snZKU2m>AC_Nj!Ua`=L}G7r<3E!Oc;C)U7z{*Yq1o3 zDL$xH3#Q)nP0a)d)UG*fW0nE3E_z^m_%!h4BDckQd(@Jpke@{qOSV|{{-csDc3);4 znHq;4gVV%KoMX1wl&&41s<d-V#Vxr5j_9(75WRq+4>+Tb^60=RIzqm_szNlEI`;Ck|5|K= zz4Y2)?B#qyxY$llhhBQC?$>N_%T`LZ)5e1iTin*Qk}b|}@_1X^p``x- z+o|!Rake;*b*{EJ1UhYTc^{3l#hr7p#of1Vye+P8ye&@urHkz}{!7Vrn)c{_u$`{` zh1^m+PGb+XRrBamg2UGRU&@VU3U|4Uqm9Y!&j~yJ{7bn#AG%##`fGBlSrqQDc~5)6 zA-CRINp9_Ka=YVyLT-K^jFa2KQdhYlP?XzXi!u6x`cSfYzY@|MM?3!a)iE$~V%dv> ziCaPvv7D~$@cwI0B0FrX5U~6!RXs&^@KajY%cDQ&nnG!NeCtCRp+A8q5H2pmYb#!a zf4`!e0*pgsfzMH-Skzc>3hcR_L_68ykCFLL;5UWD{qptW?Biv>kTK3PZ1cz2$7hd? zO>RePa~+$e(xSY|Ur5=FIwt3RpKjatOF4fPdg;M?eofB*aE{NyR~>ThcaG2S;PK=0 z^#6pMqyIHd&adC&DrW>b$LF$tjT@iexQx$*zaKw7&yOFUyIsd;hjV-`-}WEICmE;5 z>(vyG<8I?~=9uh$c@~ASXe_A4ulvSUb|UVS*S41uLSO!+ydto6YyLHPed`>TjfW(C zS|^RmJ#O+!P5z(Ir>Dos%VU$Pyo{&E#%12=apTe}K;t$p+v;4$<$ar6#%12=@#7Ns z*tl$~8ylB-r+;HyzW&jFJuXdLwxYd~&zXTK+|+%7EPM{#ONUkBoGG4L-R`jQIqlnv zZ_Hj@Q&~_X8mLSXD(zL?y>;8W4%xzdzw(Ou^Sd5AA9BXuSd%rQZ1G?Ct(J~sif}T9 zN#}(13$W%9@1%@7C#*0TEl^PHiSNM9H^GSY0W;UIL0JmScK=9#+3u;}hvI^;01Idk z?VwX5`Pu8u-1^zN!_n0l)zx9w(*{6#rybljT8V$|A*M)uDugDkJs z%dBDXBVW`jKAy5%=h>kAP*wfKAc z2tYbm;>zD899|xuAbnCeLG+TJg=r#<&g6(jP@-d7N|r+$#fea&rvMMYKM6|on?R%V z5|rpApiw>&BsyhE##*9FP>TN`Xw-KkDABirhNfZ?B)TUSH@iV2c}q}=Pj+F7FF`4O zw}UQ0iGB=p;$MPNKBqyWwvnJj|H%y0;B#eL5Yq_zML*WiM{}I>bnw@=r@B- z?IS^nUJN=dz$GZrt&aR9DA6AUo$4h)qWeMa&w=g>x&(<%?fDYu)SeQQ=)IsrtuYBo zbdcEZ(9nMdL?1p#`eEF@!1c#%*dY8`n3LwbYvH7f9p*bpE{Dd_R%7hYmSQ=FIzK)n z3K`5DIq_3n{CY2{uq zNp)MJIq8Tkt^$bStgJM7h-|tBjj3B#s-y^=RV~IZLOD{HzbcAfzT{X}0`smoX^P&q25@L$CV+QhyWtgsap69&)u-8H~OvQwZq+ z-rkAcN#3}R5Xt1~5cF)tG$hGcWb$OH+S#h?gsAO%Uv;FemuiuoFeD0g1a8v zRdQFwT~F>#;I0>Uy}9edU0?31xvSwWrbT>y-1X;f0Cxkqdj)qVa(5DUgSb1HyH|2I zn7bj|4dreacc*Yy$K7!5MsRm3cc*dpD(+6_?hNima`$TP&gAYj+?~bU+1!od?zP<2 zb65OfMhy4Ia(51Q=W;iWyYsjk&)o#>CUQ54yUE<0&)o&wy^gzfQ2<>c=oUeb2o8zh zbrBpE!5bpz6~Pe^92LQvBIpyrTOv3nf_@RaLk|PtQwp*>soTh2qz)#Yzhd#g!#o9L zEy7d{aOhLKEqJylI)w>@JPNiI(Dod8jF6WF#Tar>@Ek*45q!pwR|V}D@|qCHk-t#} zb|Gjiq)V7KhI9*&V@QuMYYaIg=*N)Pg*ju$VIh7Dc|%C%$N-hoD;PM!t8hd}8$*r? zi#c+ZqP;0(a%6;%KEce9%Y?ioRC9z^`|I49Q|>1& zUz51}QRb;td@CDE@SV(s%kO0_T>c;vx#X3(EQ1YMA@)utPvqHoD3r8$QH40jiYEoF zLalg2?3(`oRe>MZ@uG$Zc~lS?Ksmdz6Q{yvoCV z@hlIcm5<|H9wy!HqE`)=^JN~E>3EulxxLN9#y!r%7CB$%Vbb$FZ0vm=?iC*=;a>3( z6z&zDKjB{S;S+{ONX9?X<4SR4;u6P^mkY&_r3>YkIg1aVxaKUra>AsydKiC($MIN? zh)9pENSK3{aqso;ibxOkFgy|C_F|7n8uw(6NOFC_#*r~k?Rdh5A~|XbpQDEGIcnzm za1V2RxrY$v(>)GEe7A);AMYWbBX9oRi=%k%ccr_CYU~9c-Ver}@L}$6_z>gnkNAkR zaj*D@B-ci8)Ql&W8o@=R;`=nr`H~NFKIKDMImGLH%!iG==EJ>?aKs#Y&xg`Eaz#1P z!#LuzO4Ly#%2*eaD2_&Qi8Iy(r8ti2NYDDPaqs$2k8(cjBO)cZ5j?V28$5B%*WJjp$3qRCVoKO7la@^kdaiuvP`H@J@SAHad$e;Ai50f7H zVbV)K%=u;xy#d4cn>`GV_Avee%~&XQNlbcXhtb0{j9#W;{An77w`mw2r(t-VhDX8+ zJGfa)Z{FORo1K2!!d!X>CpQ=2l#t%8Vf1(nqt|N~f4+v%`!$Rnuwi(?1_3})c~};s zS8Or1)YGqpTUdyMwwR8s5}l^o9=i;gKDVa45dEyXj>n)F8}~pS833K{_eB*G`rmmIitLGFuSB#L{ie<}{WLc`&J9m< z!*6rLOWp7qH{9xmx4Pk;Vzt4N=y}#H{3SR1up2($hM#xCFT3HM*fYA;{|Yxe!VQme z!_(dH+uZP#Za6V_Y4!@qFDFS+5gM|b7#CpTQ~#{UF2TH+;Vv{=6IR>o)#hb_=(=;jg>lc)DX;|9aOA|IiIT?S@})!@qLFe{jQpa>J$4 z@fy73~n%>jEe*#8T*dl)j0bmhzmI$ zSS!h{fgzY6&P4qPqR}Q1jKVz!g4g2C1wou;UrjKYv1zF zUIcGOza)sx6iN`68m1Avm9c9G-iEsm1aC*bB)Ebx13`4U>j~b0p8ylgW$X@uX8eB# z2gJ2QkZ`;XM;=Tpps~9^-uo(S^US;guLS1gmgggJ3n}Nw9`7TGPpD8J>#* zT+3Js!8+VsAh-^E5nPXUCwMnw#|hqp@rF=w=jP?-R~FT>C_H0d&7ulcm(knsED9U- zD0-W>B)_J*s7i`lRb9!VDvR**ZJxFSul=&9a=fS;b^Vf?X5*RPg1e-sr6uJ>EQ(IO z^9#l{-L5|ZEMQT{uR1Rua9tU}|G!@&B0`+bZx-Z;rf_~3GW^rPCBITc*&GLy07SsQ zBDhi-A{g-R62^q|X&oMl;~H;{nXxV@l1muW%eZ*oAYOpPgi9FIlz1KkNM9=Y?J$_~ zfgfVxvy&$v)FjVO4@jRU#@i&7=qFd=>k5ZoiZ=!03o6n1N%5eb#_@Lx;<f@OOUI829}X#PA)Ul02xb|38&!VeJ3_ diff --git a/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_dma.d b/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_dma.d deleted file mode 100644 index 85707b0..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_dma.d +++ /dev/null @@ -1,45 +0,0 @@ -wolf-lite/stm32f4xx_hal_dma.o: \ - ..\Drivers\STM32F4xx_HAL_Driver\Src\stm32f4xx_hal_dma.c \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \ - ..\Core\Inc\stm32f4xx_hal_conf.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \ - ..\Drivers\CMSIS\Include\core_cm4.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \ - ..\Drivers\CMSIS\Include\cmsis_version.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - ..\Drivers\CMSIS\Include\cmsis_armclang.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \ - ..\Drivers\CMSIS\Include\mpu_armv7.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h diff --git a/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_dma.o b/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_dma.o deleted file mode 100644 index b3f19afc9ad6ca57e907f17f937504fd769dabcf..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 33508 zcma&P30#v`_BVdBkOT-J>^lbBaKivDxU~rZii#~NT2!hD5(GgEi-^?Pgs`bNgH|n_ z+D@>xrFAJT?a)>`2_U7)bkI7AjR8UiZ6K485REH zBgWT7ZEi~6sc7#2-mMD8X5u?KyvA9PSt;}yd7uIxH!2$Hygid^Yh5ealI4eN!90Jt z@)_f(a#gGTy!XPEn;YNx<@n-w*7;3_`#BG%XkcK*ZO0Fc+h6g;kI6sy1!b;1THRa2 zkD>eGZ4tWr|M_#whffRtpbJ}mCv4_^)$a-<{1&CORmqsQI;A5( z5)sc=%M*%(mXxZ@xSH`i)zWc7@T{!X)vFNhnuOtFJDt6PINRij39Mva1aiaWU%*3+~p1p5ZAv z8iKF(gxqWhX)%Rdu?E{4+^&QL_wqtmDbUte7uJbiiFx*Cv0nFO! zX-aWvwz)(iE>odgJ)=&b2szUbe8%K<9-j@ttUUO9s0-9M(381nCz(6(G0!*R6QoXURdRlXJu>!P-nT)lBTx)PUZwu)Jw&4>mtE(;p z4Tfr9*w9#A0;XB24RSHCZ5rYmn0Cb)(qaPvZk@c)D;5wC+QqYoH#LF)$;MJ*J28!0 ziIv-#3%Ns0pn=)+lG~UxUxF5-Q)&t+zcCGH-%n98M9MSQ%6roFDUN`=|CkX04?g!E4I)+<8L1O zNu^bGFpB3sG^62wjya+R^R)(FF@<0hK;1FYaGedI5b}POS_W9!;6{Uj07XcjC4>sb zkZaHmiyJ5bd%@>B&`{tcB16vfgj_{|g|_H|+f1S7QC6W$EWO1+-QtC`sA)Lj{9r*h zP^074f+k3-cB`LYD)$al_HxVDkHJLi$o7X`h0fZbzyC$lYs#Q;+2&29;v$kOaY-pz z7;7->33SuwR)Kw@NgeQS*sGV>C?o$n)@yc?+#~xX=n@%)4{W0S682QL%AenqCqH_F z8pIjF*VLSXn?k$8MqrND8bYs5hQb~1zTwIn!Wo+NX(s~Z6 zUR*5Un8tCQrb&hBp#o7(6QotE?lo@AK2xfI^W-#H5-R4;*&9hHvVw*HU z^@7{<|Z|y_|FyHAC-D2+Rx@0{n_g<8h z;zD*nGh?@AMw5CbK6eXLb()!-nplXyZcR{^CiZ|})(KlIh-qq_&29mM(*0W|zol^R z=_1Pe`ee85vIii8HMh=cCW2?}Zk^q!39_1J?{1Af&^jA0Nb#Mt1xk*;clO7okjL@7cuRD zT!uaWTc!IT-zsLE)C4taVw+oMpD@j^YJxyOmtZD)pm6^g1oX&$9AFx)g~k0_ERFJs zC17(pG;_cZB7=u=tW_}kfO*!w-=d0g$LKejWb45EK4Jyd8r)u|ko~Nc-2!L0fgWMd zP$ryHVV=EDGoworR4bT$L7=2{0mwtFI~+%~dym5XA1Sg2Seg`Cqn{PvjJq`uP$$fD zuBvBX^_y&gb*;0lf}le*ui$Q8&>`N;tAZH^%yZC$IbP7rssWL$v+A&{w!m)l468Y~ zQ!u-~+!|a5D4PSr95A+vH=_;S13IXKDl{`X1wkiFvGp8gEIp8bR4XrNu-rDR+%c@& zIjr0@tbAcu8PpEqxyqG0T4OP8t$FrMP|`XJ<+|2jLd%+{tlG6|9m?LU1-+IlgCYmXj6GGIQW?X=fgI5l011vqECRmJk zKoEL@D>SvvssW&SRyS!)5Y}b4naD#K@_*7yovoVKT61idW+uvz9Ac?$HbL2DTQspW zI}>q!ut2QiYC1W}0Y$6U%B`BCEC}hZ6od@Sms>kpN7V&0Yz3BVhJy)Diuyu#Xxuub z`;S^quB@^jVPRN3>g7@|(SGF^;pal0#~7)pE?H9U zEC?Uv#m{W9Ozf<%ye5zJDqmCHrK0|k{kto-6~n2a-3C^_6p>B!vH+m!Dw}f-l>z7c8P9l#l;qJiAoF;QlNlUr*fHGTxb-plgr9jf9Ja#$Z_iY zz$g#%$m~e+@KBAc_~Ld*bNb}{v)4^N`;QOCeK_NlfSl6DegT)!XaNF+N(rN*$oy{ru$ zhtU~NfhxuWVebc>o4p95GrkHn4#EofGk!~0oD*LF`k&}_^m@F5R|Lq2utz>?rAC(G zc*bX_D#NVmnJi<*i9~}R{)-N+_Yd$$uB&w(^Gv%?!#~p?-DVLcvLt_A1pf~%8A6NP z%V+gg`*jV|w5Tn={4J8XCPfC*fpEDC{3j724InQGArT&7#B*-2n>3m^ZL?+yU& zqmbuU@Es#D2DkMDXLJzwd5qfgeCB8!o?g8wBi@D#nZD95{ai9$@4Y9!-^1>JahuujmGL# z@RIx~v$Cn)G!fh5UAydOD|T!_1~M`5d^@?%t2*+9VFAzRL>FP*J2W$3Iq=!s8oS%E z^S4gMppVOEkwt8kex6fpNP|;mF3yIVTwUy6U2Lfi_;RuPUC!OOSw}lwk3x0_vrt5Y zT(uzw6M8-@_^K)Ns-5D1?|7kE{tMy1%-jbpq=k@r(LAwS5k^*4e=bSJHRkX&|VwY>3-E5oD(TX|F?o2wtg;U}JFfgq; z;P36oDY*Y&7xi)5FIV_sgVXa7e*&3Mw)7O?mQQ7kw;|Cj*5=^U0v8) zjk0Fz-=VY{Q!d*=rX-e6tSuCOHEPLM72?w=OD^r*;GcEWW>juexH%Ujc#tws3d+*`1@>g&vagitQ??FiO6oc*?HxNsD&N&wBC94H5Ic@_!AQ9~n70zA&dm zUsyDGaq5DY=)@_drK^(E$*UZ-lNT4RPA@867ZaUD4cWT9RhjE_k*hT`)01GIboR!q&z zoIYcUQu)IFje@!Pt0(`40)19w_J;hToHhCSOvUPKT_F+t+ShVQ5MO27evUnMN zr_NoF@Z6GADlU08`8mz}gm@}DO8*aI|CiZk6N2~!>Q#$V)u{=q79}iB;P4NxQpav#Q$Gq8ak}fq$H=V(!{Nr%Q21ma@7Rzzcej~`d=IV z4^Z5+|I0w!-2YoWX2Fp)U!Rk=s$^<91djgGB&(l&COs}EKRq%sJ!g;|nK}8zk=YR1 z4JgD!uPPpt9%}f%tH5sskW_$}5vMECuUe#ElT%a-4Vt@n(IS13zOY1}shpdrSwuZF zy1doYOP!w?ms4Dnos(6}aa@<5nWs*5{|_3v&$F(1iv1b@+5V#_Ni8>O5GC zb(jPio_xj#DTO)f3v-G$CC*KJZULU@J2e|aWv|c61VG$|!lGgaF0QCq^lW_Uq7=xS z68}Z17h%D(VH=AaJkQ^-E<<0Km8V-Xu}g(3#;OhZx)NPZ zo-QMgTRK|94MqLy2Jl>9DFj_>igfv#6o&PnL0`CjU4D*XeKFXkN5%g=Vs5 z!URQXoLY@eH28)hYyoNq)8mVZ2TGtOS)aeA*db^7V$J&eteiCia>FfRG0cAvXPWyJ zffJay@%?lSEc)E|#7)=;@uw_Tt{W*~Q_ETENQn_&>3Et}t}GZSSv2D}0%3 zk``~#NXaYywTk4`fZ2(PRH_I-#TryJpkg;w1fn7r6-QBVfhvMfu^tsR!XZXQaIHde zSyH|TC$clro*MC9GECZ!yYm$VCyO+NJT!>Q67ToKD)UVyg>8Y?*?w19Yg%7zg6QfrNJ| z4mqu;jdvC*eG6Hg6Q8M8aD5nts%4CDP2u|gj_a?XAy@!a$9K@kyQ0lJzk)EoBDLR! zD9_Py>xz8>l`n#>lK!er>P-Gdo`HEyHTsAS@?2Ohb+oFSlp;P$ zzQB9~niJ~-X3_r$@3TwqRFiBZe8`J z+|Jf{9U^~3c3j0iQ*M`|!h#BEYhG94x@159#UcUo`css~7z9H0h}Q4)HO>bL0_nq7 zFA(4_MsoOQP>cuP8UzBZ4g!}3J*;YGlZF!*q@~WF?Jt2R6XrJyOO4bD^3vA#`S!3T z&IX`)N6^XB^P4M5p>d!W6-$F_D??a*KQ5I(yxzkrQXLA@z%^eCK6!c;+c?l10;Kig z{-JiDExEZ3&h`~033S)P87~h>S z)r$kfPTA1sJH5yAE_nHnLpi0S&v%BSLc*izOxf7yJIhhw@2Dv4^PS_Uh;USF>hpct zQ4#B?DC_gpIVupQ6OI@Ad{_5yE0*S{*xcu<@9{j0VY3|-FZKDZaa5F|!t51#V__%` zG=AibxED6!C-sP*btC>61x#D|e2c6cpkt{Y+g5b*=ARf^dt=LrUPpz+(W9be#REr$ z-BD4~vckycKomSqTxwfZ)H*7(j*7aL74?n^i=$$9%Zfve3cI7CzGX#oKMuY_9B5h5*N+1g(&R0@pKs}V=H-WwqXXmap%dH=jfq ze^}JxE}xy7FexkuiwcQAMXSqaJ^dB1s;KzN<+FSJ70?@0+;I7f7wG_j45H#Em(M)< zD_{>|{KpiAN9+n8e=NLpbjy5eIqZ2;5RXY;l}z?jDgS~|wG?CU;sg2a-6Q%Du*2oRfsp#v-?~IqIeh?kQX4 zGA$l5?TRt?6l3ly#(b|B^OIuC1I3u16=Qx;jQOWx%tOVPUln5>DaQPdQuD4z=jpyW z++Ew^u08LryY8;L@2=x}>O4Jl;hwr^PhFg6#v;#*49|>0&lqVYf6QZLt7;6o;=vQc zX#}ziPxp*)_l#q*jA-|aIQNW2Xv+>KQdDD5%RT(8Y-MAJE@@`?n6Xi=xQ;ie^A2xg>w=Xb{Y>08~3RP!D_uu+5<-mgOJli!%^2G1#yQV)6&*I8s{|vaBh7~o7DVz z&D`0r8lRHYc$?2v*KzpfCUFmsTM79WNo3Dvo~)e9-s23GUnu*$AejDbHTl>4Z~u5> zMZsxCr?mRYRegdL_2uIpR*vg%iZJq@_}6R-GL{G7vzZrRti)#^ed3Y(XXmGJO#r=zHG|#jU{t&}VwYXTt{nK71{LfH%b4w&uT7CPQRI>hp)g|8| zd&e&N&LaDcxuEi<3P(Z&l3^D)`b2xyThhC`?_5dmX!|m~{kueGZTQG((>|T{>POR$ z&HO0ZFXp4B;~y`S2EOMJ^sz_q7dunA&gVPMT^&HfFsP%e3l3#B z;R#5!X{>w{TLGLMK>1soY(68I+WK z+Jsv{^}x_oYo4)BL&rRIf`P-HR=$X`S-7=;Tof)O;cUJ`XDg81=n^3dh};rrI0wfN z+aD8ma)(Sfe#0Rjj?gr*b*&^hIt63SWWR)|Tn?0Agx*@!IPW-HYWy;9g+h_2PF1`8 z`^Wzgduxyl;_*eh|D&qho%pLLRS~b-y^6Opg|Angfd#s!#QiqFhdrKd*`$*-|*3O)mDp+@6tnJcr|X(v@|N=cg68 zeXPv#;T>ug<@g`p#+Ig=r&cXXU=xn$f?5xSTYN5A-7-&WC-iwvcC}q+gDv`? z#Cm#aNsJcMe%vftF9HD(ewX_GVyRyuymdYByMT#6lAhlBlv%gb!?Hcsz9!{Fz44@r zHa*jj?I+?^_~& zxE{GANbmta;+}u0kG0B_+!h}?jZETCO*(~FR716!;I*2$bMpK<;*;;_$bJ^O2Z^FR zyf$}}sk+%pAekdLkPnU#$hqo*t=0*!hnoW&q?n#>vrT;*ZTDcTeKh}r6Wekh>&Yd| z^M7=D)xY)SmTsGnXRLJQ*U7wus*o`fV^Xr5y;84WW24k8C8L~OXcO}4w>t9;zUVM% zj3is-$3}|8tXwPqm6u27L%06*pZ`4F`#&fuSEn#`h*@iFJfp%tev6zg;b=Bdr$;D0 zo$L?Lwq3il+QTii>KDR0CXs-(}hWcH4UMl_O0) z%zv7qe2%0%zPf97|h{7ZlD56{^!+3CW=OcWzZSl7e@LnjKtg!CY7;v+H>`3uj0 zeHTQ+39OD+7b9|FRu}dlL$2ld5`A5uFM{2|NTC5ktI=${hSg|&nO1HJJ_c69U<&N5 zRhvS^LtQ`Sx(aHj>(pUgyMp%1vP`~NAlu;X%8`xbKNWV@;+to~&@TUM2A`OVF-pfC zRCSnFSS!=Pm20i#KFq@Wy+o?@HFG+gUa*5}*XP`2Ll_=u{YusD!0@n%BfcHW9CY2i z%;xt<+io%bewJ|vL&`%ZLz6kc9RAeAp~3tsafn!m!LHws4PY>wJ02sde6f)@==3F6 zd)MRw2Kr5zwL0Zwbs%__ZoN~hz8BgEp)}ZzfsvaXozI|ipQH0AbZ&EWHllOSa6aAZ z`v%+*{EqmvZWy0b1*|r}T>jMl6+B~4mDb0KIau6(Sjx0}Z4nUF@~5N_Cl9lLsg*Ui z!rA~T4#CHWYtWLHF$o6NXuFNQRbhDeh1l17y6;2H1n9!QcS1Z;Sf{f<+h%7fxpaS- zoG!wdKF3FOGhqQ68Ti#~^eJw)NOlT<%h5IbMXqZDbrpX+ysM=fRK?4NsJg4>s2V|? zZVjhuuLA1%Y#Z?Rx<$F(Q@FniQM@Xyn!r>%B{b@q{6nStgFcrS(dn0(c}Ga8po95o zCGD2x-z%*kONFtnKjoP|$bmey4r_#?OzU61`$&o zL--zY#K3}R`pTcW(@N|gUwE6?U+XNeS83NsU3}&iJF!T6UX=w$J5~^fRtKj4M-L?Oyf=C97Iy9Mv*v{wMYC z17lfC#2H|GrHwG&m--eBV$2wZv9XQA__OpguAMOc%=I0>$i}uDn1AfRcffczCZY=% zKm8IIxBW-Dw|Ed^-VnxYk5Q-R`HONY)-;D{ot01)ZH08R6Uzz+A4d~XqaD4zVRUA8jr1tx9h2?2Uks_Gei!Ks;rW^rxaXd5sA zh5SyS6919#t;Gko@OJd>ZMFEuctGs30@5cpL^7v1=u$MxXu;lSbe~orgMC{j`eJ^g zt1?d|Y++o_RP?kO%muOxXr@J<@{XY4SYY0ebwpnS@2NW#JmuGNNh|H94I@gz zL!e|IO835&;s>)Mso;Tm7o&T#K%#dFcEh%K+G!n9m_6}4@kaGC2<`bNKC1f}_-shs z>roMB+Q?e{L-A0U25R)XU}dp$o0PPFy%r1edt7+FR();^wr8u#!#>udCRTCXSR)8` zt(mCcU#?Q7jOtFz4Eo)=*pGU;+cSc;uTz}}lX^Q_JbS9lL9+bV=A-uZ@}RnPl2ZXm zaT6a8Xu3`ijy;{*+P~D%T@>wJEiBd5QLt40jq*}~;y^#0(=a)%T2cdtdlG!z6+-)x zkEBVeF)Ku)BVL&#@T&h}ug$qD^DJ}9KBlkZPq`eOx#)0SzzJ*eN78iTm=#q~60{mc z>XUf^7ZgbV2@>8vrZ(YaEw>LY2By!Y&0lP?X?9cKXnD z-2Ntfe#?^dk*U%*#GW1Ua7|*I*;R7T(JDG6z2VugL~EORCv!TV+~vb9u18E`o8T^s z)JwDU6#B=xdkIg=#zAL!{h)f#yBkHsF7%4kBLHcpKr@9UZ$J z4-;GEkf+mc`XA=AQvsu5>&YERt$!ZAM@6iTye9+aJvAnM0D+U5(@dW z$z$~=k9BF&QXX8;&qEE+<0JiWRt~P$0mthRbSjsDbS5b9N3Quw71ph+CaP{bHtnQggMfR4%q)fEu+u^26{*Yn0~!J<&^LcYjvv{ z3vU+oXHT-##jy3=ep`exFL1am(zz|-9B#5jMxQ5a5$NM=ku@P_aQYFxX*B&Ba)27X z9hg3?M$8G@_(NE@sK~jHm#ykTh|4EwSebtXS1m1t|C#Z^E-*j14%Y4BX%3^xAA4++ zrPAt?tfq~x@E#CVxk+@iRv9nsL-%Xafmz#Gs$=pg-h7xaI7k`K%^kX*H@OK#pqA( zysZ!#VVB}lU=~IxVK+Q2U+guJUFs6TE|v0QFGt6#_vHn=qk8ru=@Qk16;%^bU@`m- zeX&<9ep>R7FRFq4$4+eCJ%t*33#co!eoV3ipLrjUp{g07<`h8g@<9`l``x-2jrm z9#w;=NL7R0291`iW7xFi*j6W+Iic((>j+SbNDYbIy6_Fvt?vfVsR@q(?k3ZBEa@3#+k6%RnaI1nErC{f_> zQ^#Zt_^G|JE8wU@D|IyA>Y-p|mi9+f@Hj`8k_aQZ(XMGTpJmn z1R>3BuIL4z>DtaaDwxeF9jLC)Z&t#Ki2cH9*}9DPPEd3nw6w~tMooIpXKOQ-!bZ;z z?E@P)FH4S9+RcjK$iE)9l-c=Dbz^$n##`2%6jrqVlGFgsNpo6U~m-3M-fdnqMN_4;FvzbOa>xL4)eitBUhtQtr`QI^rutc zolwpWJj2-mI$;xfCoa37P$cn0$SV5GkMb76d+0yXpq%0y^l{h)p%c=XPuhi5b5jR= zhx6~fa=za#kWIP5~lmxFd8`)h|?sDsrQk;&PG+UKGU zN-|DQy|@P1yN|91{;-(LfywET;*GB*oH;lX+bp_$qKZ;>4NE#~Q5C~tjT8GlYVZ`3q5;QzNUyMQL5QpZ8@_em(~Fb z61WeCO#L~szu36+*IiQelmEqb6lqE5H80}B{l_P5$6wSO=$#?ZLEAA!e3NPqk?rVv z(srB+eu$?3SO{(Fr-YVlN7cJ-d*SwMcz~TlA=ofh%3QjTcA^$Dd}`7;Qbj~&ZVSXL0OwH zq^nxpOngdF-N`;mPF5VnDMwdh=OeEvLyzDRQ?Tf7_phQ`(Bz8=l8=5XxkiF zkQ56|QpaUMwoun0!xE?a3>A(H%Of!ItoN;E`8 z#6>q{!w|SeBhZI!2=hmTVevEfh+pQ6jFK}lqIkO-O?wwF(d#&0I^8?aA85t~6i<;k{iIB{%E1=tZQXNRu_f7L`R!d`s&B^G@y*`J)fA zl!8Tla9^)E3zqdK+OGOtMLadD9-Mw!e8+nchUk5x-@I3F{-PM1wutJnn>@^fY4@wJ z(dvoXyreK`U9X$mQp3pKxmN%OKSB$aSb0@$VvxXnLxW(r!naIP!H)M1H5IKjh_+6hy#5_P25Y z>ko^s;xdb4|15U3W2K&MrZ>}-KjC9QXpalqRn>McmL`8{Ap|uD`MJbzki5A^WIs(jU`X%BNqBPBXy+YEr;Ht|a@Yq!>;i;=zIXQc(kt zKw;rAeVW;b4*BwCfOEF8O^wb~GbE2P&uYaySlB)V#c-kIg4xYvZMVqlDDraHQXC*7 z9-GhEQaS{NPc_avk>_$@zMG4;MtvdP$2%ow_XuZw3csxu?PO1JV9X|nmge|8e{Pe) zBn^ws9F^klb5m%%-l!N0Y!oSF*SR2B?=xV7{-!1&cOM7o9g35T3&=a@bwf&Hf5ijq znEzrHD#ZW8SsCK6YS1i9;{h+1#NUJbT0va>g29CUrlxOb9k$VEKhtPnJ=1!@m1y__ z-iC~dSXA?|^Pm@^6jNgVKwYsH68j3W0XyTWh$`5CBk-atefKePg&V8jz@#;}jAfST zniBCQ05zxV1Q1;6jY-}4vwCqz2oUYzTb?lY4I%`|a@3B5VOwudcpT7qsZ zHD7@+Ru96Q4kL||;Qtajx4Nk(kk99lm~SB>>-@QgO3bf@cl5w0|Fj;EQFrdT7c;2+ zp!@;)rwED{T!W74#5GVSNASU`D4`9v(Vt_?iplFjz=fHyMk=& zoNW+`Ea%-YXulV|&L|uU<`<~?!jXPt&>jlM_Wz!b7Nb8K1sz=>w2b*ksv#RQipvur z9b6R1u#p$gq*~VZl_Sk;Xv>L zNP-LI?V_BHnak;n3*dZ|T`?+bC#lOU7#1(okM;-t<$>_LMdO9ztxnv|jL6#! zg0aE{)%*-bR^73$#8C`BvJ~tmzgz9xnR(Vq8-Rg71@@l)bPBw$B&2o7le^-ZY&zB< z$2*Sa6VG$;R;W$8<4it@ZjOy$L8|&!?wp?7YT5&KqCdArzVVSLGQUf~vVojj%KE&# z#Px-#g}VGAx|ZF4i91#bUv-uedCTRGu~$8)E-$h_%Y_~#e4?C-(5bAAJE2 z{OI+-iBoYMAicotXdJ}lNOOCd4-WjFPhWkwZdrnH!V&fJ9f!iZeJ*JaW-RsS+m?G_ z$=Jq_mT3$#O z{0UbBtdU2~t!UjZYFhs7q&^;go(O;4D%`lT&d<#Y7b;dF;~=La_ZugIc$9HSEAYAG zKep6^LX5UE$biOv*iIov*ivL1&TK`-0cp;od|ZEc)^RJKX3q0ZQ^$U@bt}J@M+|{2kf>Ps)^&A zMN7v+_x@3FdeE1ZZuZsiKF9Xj25PW#;SgG7)Y}fn|;RH z2S8s>m6bccP8$~uBI@-KA7N@~I$;BVo5du0fjz7qE8i+rv(1|^o5qwnXN=>*= zFD+t)+PW5#8sX%;kJX15bEBAQ>3H`H9G+U}zQxkD-Y8cE@s~58$ap(HjJT@4g2m2w zoARe-0eNz)!-rW{)I@>?X2i+qTY}qEYX@bcX~WnP2rLmi#2-f&ZIa_u-xP_<4M!Kf zg)-K*ms{Hj8(hJ_QYb?lu6_PO4On8qdvA}`3`F=0DjX4MB=7?e?t)nHFyN590DdLvCbRYU#CJ3Kr z#z=CXH*WY9_aRg+kSfIQ%alTRn@zle1WKay?zss{=J$bJFD`jFsNpwd6iq*Ep;*E~ zYR*M#VC|&}6EIF7aYJh1HXX&Bp0^Y0j;!V}r$HfDH%th$;^9J2GF%8ugF?XW6JHOd zhGNOm`(#ksLl+`Y#vngB2KhRUGao0oLEJz}e^|4l4cU*yZ#2XAe^CypOg?Nv*`QIl z(jloeHMGVRj(f^tdf4q5$yBT921neXD_B|Mc}B5w+QMc+$EAROFmJ_l-KUb_1~`UTsH`Msr||tiKL~poY01003V3XhfR<0c0rwKpo7^4C zm&Zjmkh(@w6gkAp=Y9f#7hXg-wzLw0bYD=&BYE3tBRXpUpX>AkN9VsdJ}&=(8YT)# zh>y#O&Ih6)*;9;i$li4Y7s_-SOU)VZr?)llDx*AR6Bu`78$|B=%3Dy*PsR&Sb`8Y( z_PSh0A~atT&!>FDC)cTAnP`$LJ;WK1IKa=L;4;bIzJ)@l`}L+<-7ku2@o8dH*=WdV zr)M?@Tj}%J;EEis*g-3zrxn?U5ANO??RN=V5HX#eHy5&igw1$2t&{FOFt(51RA)9 z!~u(@`hJEVbaOP0|JmU?=}g-kmd#yDP#tvp61)lMve0C^;yUR*%I}o5Mkkh$E0lB(SqgB=jy*)pXzg;P%hi+p^H>ISu`Mhrk ze*v5B1BKU=C`Y`0n-5!IN~T+R%J~M`38X%;J0scGZUfoG`2^*r6=7|P(Qu2#QtSDjR|>EhP|mFdF*+oW^G6@XY3qz_O3}ETfDx9 z#@V}$d)?-zSdldZqhrN%|HDutEdlCf$nKb7;H(YPx-q}9n8%vu>@ZIz&Bmr-Fewh0 z4j%_hlmjL#9)l_U@s1<*$|PS0UFG79iVjOV6v(=i=B zmk(1&0H5GrFq7c3VBINdM;RwIzLYGe*csG|X&#qmDMdi%kyr`t^!rkKSAq?hCmy2R zFB7b;TX+jZ!^$bbh{pkMm@u^RY>Ft8G$QlB19ZG8>kr>)x>3U1qrL;fQvwtB9u2P0 zz?R;xxaH>miCga4t13*jUavGq(F!ZMdFTY!rAs-5jybc1Tk)uSz6BtoaUtKATXEY8 zZpHIdHw8~qj^|wB--sYi0!{~wetKsl!oAmwuCHQ2dLyJ@j^j>XaFTF3j>J9Q!E7EB zMVLQs+>Y((cfN0MVkY6TmA#Vl+=6urU+5-bekLIesF4;bj$)2Qa1W=GvC7Z{V&_C# z09dej3hBV9fc*WDSsCq?h{FqUqm{dHX#FrA7C9m3Ht!S4k8ZTOo&r(x4yyeq0m0`4 z`~0phIx)Tzzw!=7G%f%96V98wd78XQ?AS^B;)%}(M>k-T@T61abIZl(Gf@-RXq$tU zV|r{t!O5LAcxNA0ihrO}=Igr2k3dS};kRGlk`LVE*1E3sgCl46+T24KnS7tS+zw#% z6Cg^3DvfFIq_eu-r57Tx-!@^fPv^S<-Sb{0n8oS!du*T5EXw*^yD*C_xtoT~;+yho zWPLAcEs55h>={u|gW;z1VS?Mk(G=1$_-k}%=>Gwn7==Q_y?C}l$d-O2eFm{@)o8@F zT+Wo|g4k9;_gY_xDT^ z%qKERw^HXR9(^DKmuHY!;X1!5LFT5^rMATb>_jmYRqw4(FhC08@g)&)`LVy^RgnxN$OMr4Dod(bK0Z2ojdl0m0n zY$catDB*GpbZ-ZT3d?rKg?VdCcr_r2$LISf)C;^VdX;W>;v{dp5&1VHo_DWWcp=GW zH;(aAT)3>WBj@l5;JKWGXGJKAY*B%B@GNicqJ@qZ*9%v#-;iHi>_{c#<&~_9%v-6Ie93j@N=}!Fa0@%LJ@LEct@c9rI6#>6id?ue@0G0G2zJ0RS5g* zeJ*u12_K_;1;}7um<31ewQ8+c;oim zx3G?!dw42@+p3o>ZWHQ zpRzr-a|JRck4{hR{R_bVeLe8!j{v@D+IWIz@^w3 z0I#dfwWI@lUi;ML`2ZhzBQWN35dTVn+aCxV$)LX?8KlssxBi1TAARIr5jdX~aEW~i z&NtQO?)w^?U*0~o>&UXGlbv7ofiv2GOU6$zwZ_`q?hqi)XrFrbNSeA6t;v6_oi9wo zVQtz6j>B53%gpA)7e5pYzF%>5KHmJK_baZCKXPy7(EAl`zm_ADGR=F+;+SbgF|o&W za<8bZ;=#LTuYGMh!`}bFuvF9(R=UTzJVWeNq434!vPrmHW=BDVj9QEbGwf*T&wf}^ zF2Q+fT}2+$V{#c`#Ock1Ivy^8SH9@hM1EzWpj@juRURV5w$l&S#KD<4xPSG--SwgS z`a$v*TokL|sg6_NaQzgnrW;+~K^%7NtaRwkXrR-lfEw}dM{xEY4xVD7E4{XmjG;H* z#$|Pt=sWnD-WDXg`|n(Kc=r*AgbVO*g6e@gmyc!$Eev<9onD#?n&C4If=GPs6Nr*P zOv6FSV(Ls0>DWzPI!ci^cRl-vv>aK8ySY+|#})8in?D$f_`5kw=|oP|-T4%#X9ZMI z0CM+xBPAp1MDH3faKe_bc{N5WdRDmF(yay@{A8dek%A11{#_l*+qZ z7mR&BP*XB=&k?^ei&)Ze&rv>HYic-wQ_>Cm< z_4Yu7X-IdaP==v7YU}gFp$Uy*I65 z4su2WnW@(mN}`N-5#b^tkB#JtwtW7;LaB>}MOf>W&*@EsYtm!Py$@3|2HeM5o1$=d zvr=lB-GNJ02qQ3#>ss=-v67*b*0JA*C=;^An{m{}6SZR(earh+;&LX)*6p1erzdq?>IV7lUTy zq`=2Jn=*r9i7Xky$r^PJPVFX^$6}cLi}mDdrb1|5pLB~*wed!^0F@=i<%L01rtySI zy(ZVlp;B`XUk;&)n*mfCFCOSe<@DyH+kEzFALS*sh(zC0M9kwN;+{1HO@uc(@j$G5S@*Lbf`>^5#h=FG2J|T^9V9bZEY#&ht&vE3kAKXD@ zr-O9hd2r5;M67x~&G+2evOXf#AX*CHIB|uOcV1|E%V-GO^P1~J@@{mlACz~~EQh>z z3Q68S@D<;qHCL8GbDZ?eBgIxtu1Xkt zA4_Tduy$p?{x?&HbgOJ87X@(okJHkZd!1hb1;y*$_ZvKyHgFo>8yI*2iyED|931Ff z9*lHoZXr{&<|jlEYLdbO-SB22nRsr$;0mpmY$ zU37iocbKVay7Q_*iqx}-B2#{*ktpgDrDF<~APpWeQettCu-)nj1fNX$l`>ZA(RgUZ zuF1@>XfEvDy?m_&9k0p{IngTq*e-s=XKg$vGGi~%_7c@s0pa@EdlQVzvvDvon*@R_ zToQW{nTWbSO}8U^rhnK%JK4=0muYF`UhAVM8auV{-`L5NwCm7%o zNSZO>Lim6Gf=UVT0qX|uT`=6R|ET-ppm^hU>aig=gjM+I<4 z?k5M2+;`EDJO9=ZU|&`qjXoKX;{w1Bt5?}hi2CWyxnl)`{79md-L}_)%&&0#P!d1t zXJlm#@}p-g`1SgV6wHw0NAt%*J^0c3+=GPYz>hhf9{@kN*VdZD9=eiOQTF9Lnn|f( z3eDur7`RE<?5|H zQ|PJ7N ziI8w{L&D`sq!eYCic4fF_Wxiv>aok2a03AYb>GbOo%A4jDu-57*D^27`NAQ*dO zGZB~YcN@>au?`}x>Faagn0DAeC60mOCJqer$0r7=9~x-l&_MPl2KwyDfx3nU${IFM zi(?@Eq=A8sJuy(@&_J<61F1rX&*iOBdlk$9qKybuqX*(D54X>>>kU zb56wjJx<66G*iMLlQIgQP!?eoWe${-3_wB<35+ue%A*7cakIo=rkq3(-AQYZQEKEW zmvnhrf>aIOD*}djbTy=PoFnOCr!<2c?=Y#k_lmA^Ntbj=U^KZ>=aw+Kl`=!PAE}XQ z8u}hxIx&S(w&SLTauP2SX1OPIP7bF_C-a23M3=IaI#2RI=bq90sYvI5d~MCXf?M`1z4jkR2AWF2v%gX{b$kOJQXTWFCzv|Mn&Nhuuf0-BXh?YiBLuB}Se4+nHI?5BB zZSmCkKoWKK-I<9P6@NMfLREYZ-0yQjS-3kc$~%6pH(szx5mlzpwGZw-$4q>4xfK`3 z?=Ga_aDL$8#=s8?YWja`&oEE`%)s603$1(bv*Fx(S8$WFd`t(MtG>q_2K$oq)}O1m z-&*J?!`*3v&n4p=1l%3la@(%qZbsJWsaYQ&5pv{u;6JBNTx-OAZfmL)h#fZ^4sAP( z8xHoRxZz+Qj~fn+f8uU92%ldlzN9*}guCI;Jnvw$=)22jC@#0rI~7ZRPw!NyZf-kr z$K``$x%aGkg=C{rt?kp6D-h8PoQl~Tmz%$%L&2tjyFbQ*gLe)Ls%r>FHD&m4zeCY8 ztZ@Hk*7vRJamIiFG4g(LZY; zqY2(%V7$i_Os^p|U&9$s*Xunwf>;1)*Gmz9M#!&o0njK4p{#F?p%Wp+D1h=_tOBU= z_wvz~?c$21k4-$6XCf3Zt#^D7>eB0GrP!~o=MW7LO{g>IxX&24Jk;N%9g^;b@ z;=kgw?L{}o&4eVP;^v$FU37Le3b^iu;9~8mfC%nZ_5Obb0vsV$y$F`Hca9QqD;GPt zd@~E9l*ObLF{GAzE&&{w2HsQ#Tl#P(54b0)afDp+jhegbuwRgkzN3F16TS6!gaeJmx;sm=p|5dT=)e%#VulBRqeFM%4aIRGc=kTWR)}J-zkk` z-q^6ke5sEXQ}7ZkCf%W?#l+sVT1+#&`b`QQ7+bKR{5qX3lc!4J_RHuE7KYbZXd`>% zhibaLOF7IHLJO2MK?Pl-cN@%f$EwFUMUOo6OULw$<%=&zYstKPM7q2ZW<(Sv=|CQo z{&Wh)zQ?zhFnQ?xh2QApX?pcBY9x9&bTbOyoNkIL#Q5QiLA_j(|69!*(nn8e-rGU} z6R1XwVJbwbOFi(z8wa%b#S#AVNL5Oql6cK&XSoeJh@T2ss>JW3sPX$KuJnr|c!j}W zqhB03d_C|*e`$~?^zx1+m*XX>!%#8qUCAV6_YOvvg$_2FbL+HC;~j50d5AA5ZAQ{Q zHyy7tarc4LctNaRdA@Mmx#QkPXdciz)sWs5)^U1AYUFJ$7OFA0=Weqn+?_hwRj%YjVI2>J3Jar=m1i?JdOl6*p&f=lKPvMe`MczIW=%+BI6 zKr8@C#uo|J)1?pgX zYFTZT*Kh)jgC9MEyBaLDY6mbD_G3)npajO*0~nhKqs`0<7{ut_kCA(+fiE>@Ikc^w zw9Tq~2(q{5BXErM7kWuM(|%bcTov@u7?H$tFoV>jiG*m=r1Zj9F3bwoLrEXw(v`bS! zrKN~KqQ4*k9|^|b$t*4l6{Hit46rb1YeG%&Fa00XtM{|+b;3;BcaV!v(27lo88DZ`yt!xCU*Vt zqBh%r`$U6niy}boZ}Qq>@qVIZZWdb&v#zXH+!%Rk>7-m6#AmFok*<5szJIt{J!Y}t zz<}G86b-m-E$gBc9Ik2Ct2K@d>rFShb2;E^ADA6oieey}niP0j)}&H5ot+%P8dR%M z1(2^N^&U*D|3sW2SqIzNK;&KP8-AGy9xc&*eiZRrJcl-TD}8%kWX~J5x#zfqg{;`d z2vb9$mJkh-9sF$F?6M>G;8v3V6K%T7{F$BOp`!eUEKViQ*LwNq3I*o>>L~gDRr0^H z&hz0AudJSNz`hV2tb1*^>~hWV*bl?yAJ=^nYuF$8Xy(OB=k`~gPW%~5k5msePriPH zC4m*Z+MS2&a%pd;aHuO+Xl5)Irio zP@bU$2kV6(D((%dUa6(vale@$`DOPjwGKS4aTXMQ2D~s1LCwc~2EpV0T0xP22|V^k zg5>jeUj?rMhoJD^0gw3+6#kiz%wk?4^|qCG=NjuoMYR&DkAm{Y7dnJ%kI;f z#EbU_3;b-Es+!1a?#{Wy-TJpsqdK@yH>(wu_as1*?J8&9U3GT0tzO->p>s|96H7bS zZs=Ir*{P=ws~*vy-5ux{-9qR{&Krb|i=zrnc%CYBl&Z$(bK)jm+e6;H3Uc||7g+uu zDsT%qD)6-P1+|*)Effz?9YsDQ@?4}AoJi!kh8KxqJ})VFizrU>`;jnH{_8rQCyC-tr=FmHQQo;3O@wO!XG)R z+G3Ll0MY)ezo59_hMSFuG-~$$X%)AXfolc7%x&FMD0L^kI+#_%>kFN3a4c!C=?sPn z*kD7tm<Yg*aBJ*NOP?w}==ky-UQv{R1MN=R6Ua`jUu=$G1dGD8467QR){WCKA69 zYZMLQE4x;ye~6fway(>X#b7yc8r2f84nF0H(=i7o&cM8oI1~0li1m0*;sngoh_i4r zjML#Er8AjI^|=Gefnv-mr+a&bzFd?8wdc?mN;-LK<{IDnoL;KvdX?Ryr&Nlcv zah5&0t^s5G?kys^HNDMM4hO=i?oreT)EsV>O{RdmGsHUx!;r-*&WGhg-rQIictjnj zzZqxUU`Tk7Ka1+(yOwL1xEM!dfH5y{p2-uH_u)IXHMt3dyC~_NoaVGDD+*C>Qr5#4xmu+?Y8MG%bC^{Dh-v`V3 EUjQLWPXGV_ diff --git a/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_dma_ex.d b/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_dma_ex.d deleted file mode 100644 index 867b170..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_dma_ex.d +++ /dev/null @@ -1,45 +0,0 @@ -wolf-lite/stm32f4xx_hal_dma_ex.o: \ - ..\Drivers\STM32F4xx_HAL_Driver\Src\stm32f4xx_hal_dma_ex.c \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \ - ..\Core\Inc\stm32f4xx_hal_conf.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \ - ..\Drivers\CMSIS\Include\core_cm4.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \ - ..\Drivers\CMSIS\Include\cmsis_version.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - ..\Drivers\CMSIS\Include\cmsis_armclang.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \ - ..\Drivers\CMSIS\Include\mpu_armv7.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h diff --git a/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_dma_ex.o b/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_dma_ex.o deleted file mode 100644 index 7f0793efe1ac48d6431c2b03808382307b86bc4b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 14188 zcmbt*4_uQ+w&;9GNCJc;K#-sze*DqWRze7W1ksuhP%J1xtkr5I2?W6c#t;=~yUjlh zDt4pmb=kZ2-C%8>yY1bFt=sE%-P?qqQcJthdiz+f+lNZMv`c%bbz64Vt?!&Ki6Gnk z?Y@2AkIbBN=FFKhXU?3NZ@%G$@~RaSMUluukt{Ne5z*5XU{mK8RD$sidDsj zDVJbD6hB4IIbgI&%2}m{V@pqnRgxmUv@%Auw!6YSn3hqN;O-G?4zn7D_@^Yac11V+ zE~Q%Ql0K64h$r{C{>_Kzb3-6^A5B1eLR9G$(R9Ne&JWU0xFEUd>hnkvs`mH9x=vJy z_3fM?871AkaCQ8Gs_$*xw!EaAyCo03?^ z$jLa4WZ>*K{I$IqWKXd}A7kS#Af!f0NC6mm-sGaGd+<<`Y$7E4r0(4wUT2njhnKf& z$X)1h?>@=fO}j0$yO4HU``uQ6o1C59yiTvXP|53Pa_-{uy3{NxM50u)tfqCmj@{uitWteb@nq7D%|a6Ug38UZP0`n)Nq63T}|wEo4c^d zZT9l40Qa(s$>l!T#Xi{xoeJqiiXKW!y_F^#v;!J9o+i6&Pra%~Wkw8g(hd@O-4?=c z_qfeX&@Q{9!)-P5x+0ZjHaVZI;8{R7GD>I=c$H4Q=9huA86EfXI!~ewyF1*4I(JtS z7>(V<=j{M#z0-CZNd34k?pm7cnn8ZmCmZdH0|myZRMO>fgSIv>2$&s>#*9VKZGoZb zeP9S|^07WS7zEV-I88Q6$uIj;KbS45m)FI2@7Ul*<9C25V64&Fg<^M?lGhHF!G?Ix zXYq)LIOGEw`3HTn@xHk8l>9S_aSzbvIT&{@+vatfJ#MJd1w)esW8?;Hp;P)esCCsZ z|H3PS(I3&r4H@L4_PCFrUunV#Tiiz^^$WY~vR@8G(8tgEQU^iF>#0L9topbKzid<^ zyhULt!Uo|G)Y69}$icAVHrWjy!{Ch@=#$;D$6Zy+h8f8)^dJOO9ks>Xhi}Y)$7aB{ zX29b!;Lm2jpzVD*Uoh~PK{n!zJFk)722HM~0z708qF9^kW4j!@=wmxFpaamdvA)y^ zN;C__?f^eC${|Bd&gjw1if9Bz6!dqvFLeZZ3bp(~)Yd2a44fS76OtA&X{b@Cb(s=f zg(}{-k?X00P|=$@0p|6_!MI%Xqj~^?|9|X9p3yYfd5vs54Po#cG^t*Wwe<2}nhbmA zL(AU>&~yyt7Na@T3XN1qVTA3%FwxEoUxa@g#*v~w^W&Ty?vs6&tDl4!=PvAZ?^ZH? zrFZX!2t$U`WH6&IQX^_Up}F&V(#Ra|RkfH6@R1uBz<6p_mRD$d3}Wvph;3}h6J6?F zHD^Fw#g8G0iY_%yz8(GUk}UVGCMS3ziyxq_mIIq}k9Z~W=335O8Vmj&NwbMM9 z5tbnLRgbVE$o*8$vqDr<^IG+y9W<|lfxE;!i;dSR7Iu*^^A}8&H<3AhU`!|4{Ilem z|MAujKl$o^AGvz$-JblV-yRUC-YM(6y5;CQuipOhnKj#g-OxB!ey`m9n>tI$-RzrB z-%LyLW}hIi$Z>4Y3&OE+1wT36mKH^z>x2Uon&j&%cJkJ1V*peGnLyg)^ZYbP#^>80 zs)rsmJF}24*|Uz2Ar=y0i6km{uQVmT%F`3QaOHW9mX$#k=s1##eS-a7&I;1>B9WA8 zBrEKa$NiEuRMJ{a()zxCddi=)h2lz#Y7JM?sJ3$@+thxpq>a3kLdYqw^$$R99^WP# zye?~$P|LctMXo?kDHC4Wdv^$K5z*&j;T@YWPf#i!z=$MkR7Npu&UwS^WkcF!J?v$} z9X$}4pXKu)%9$ZVo7uZM+-BOHG8`|Q@CdIfMQuva%}L>;PM9YiO#K-AAuV;lAa7Le zD>>^d32KW^vzMNAE_Ja>h9@Pv^t@-Ac#ARS^A_7MOqun(U0J+cQ&Avxx6NG#F;EJ@ z%H7#(U-ZSK@QYF5?|s4vCEExAWULRJ6Vhu2*~QS}V&Hz{(H0MBi+h>$OrYq9wy2w3 zawL!gjox*XTw#~=2Lu;Q$DB_eL+OGb;2=^@TOXHofb|& zFX${-EHJ=chOlp!UmKN;T~Gatk~d0giu$!njyX$)b(!Igz%1zCb@bL$-SLRf0`hIR zTET>c`TISXn4oZ4>ZF0YZjZBQI8f5BT?PiZJFs+2Tha|^pyyl+^whl@^nuxw#k2I< zH{TQsCw#(Bbi%Kc8UD)}`PlVjh!r1$0u?DG{mx~lo%39Qq8@GWaA4^ffALTN`nYts z;`9z!RrS0b%p#KVpXVVqi#{LaPST=Jl%h{)(bqa*n^<^LDFpPZQSPUs!ZDq2N~yhm z6;|p#*(AkiZdh^UH-RD;to}gGh<3@ac1gE(3G_OseT;O{gtWp0w#X}JZMPsL+M=qU zGweNw4qYnqinAJ)=Bi3x^rXGq8~eKNO>pck-EaJ<`70cYdMvyTGoSeI1n+{M%N`iF zpB9(Z@CVgmZN|d~Ge6ZxR`b6US8Gzl3pUmqDSPiw=6Oy$|1urhm*p`os^$p5m5D-; zQ)X%2WwNyA)UI2dr&^KU(NR~Ssj3T6b80R1o7=6ed8$S%Xfm|aHMAP)OdX1P?UKz^ z%~sQ9rrPG!WtG{QwX3z;Tg}_sOl{UBG+;EM%`GMiU8u+{px15R-m-)?SX%2_3~gKK zO$7}Ni;MG>%BTO9606$kb7m=FYE(4sY-?}c+Gc8?>zfP~)VaD6)AF@ztJfk>`b6zU z%-5}2U0(gfIs~6sQ&p|4EH6Vi1pOUt|D^#GO(>vjwWh9ion~Em-P-cnaz_44lBSGl zeVP=}XPPoYvuWae{ijKq((1MA{tiuNTPZYDO{>dSSFhcu3}v~MrskHqT?LzAfbgfS z(yUpzxwN@$vqG`CxvjosXM+iP+|b-+RW!jM?*t%ERcF0#Z7i7f8tv-ZRkb-~rd`eT zCUBu?FUo;YLs=1>l#4q$6irN@tQM1@wQgOf*;Hm~L`oQ}T2;HY0)ADiR%ii$M7wrP z*}AnlP*VxNT+LdbmF+fI8tL`mvd!Du%1p+cTj^D8joTxX-RKQ<`kyvNk+1vsIs|6gfrP)}wv(2!}(A;7$wwPvg>#t3QNN;9M26Ts7Xl`fw zj9yh3+8SC+VL~0_E)S4awSk6h^`=#A%~rGm<`GM5uYZQ|QOLt26mPokc8G)vbOsAd zxDAaai`Lv?)f!q_jE4GW5U((_G|r~2&izZuckz{5EZZ$Jg=vi2E%&8|O1C$dYMHSt zHME;F4Gk7kdwYnms;$A)p=~m>wV7JVnk}Y!D~y%SWN9`xfm^ILwL%&~%V2CYEijK; zp%YN>APz0)EX~_3&DPEpt5#I61|0KsP0&=+_Lc^yP`cC7ZVky|z$OS#5VgYUl(kzU zika%Sw_$}y2{c?~YTIgULOQ-%HWe+ zhcY*VUz_Jp7H9B3giHfizC*bRFvw~ED{v?`0tT4@utJBj88FCp0Jhkn>;w!lyPrQG z;b!oEAnl>6>Xi<&$6s+pNiEkX2uUF1B%TZq;$vuij}kJMkijrbx87$P6cZwz;A~{L zXizqxph{giND&*H9NY};r;uh2COk^P_LJCJ8CFuYAhq*Qta{6piswi8K8k)rL_G6} za((=icFRO}u8!ich+rLY5%QIcq)7NSa#PIBlKP5VRE%3GG}u_BJzA;PBh3?MXc86} z5;AI7lxr}Bq}vHGgXZ{Pl`N*p0j1NE@JMdL00y+dfLpa}`$wh}C)j#5SwMzJWYrHjtv zFSaM5RwEo4qm?I-;UszDEPp~lUm`onwv+NL<*B(TQo>W#us2AnZLA=}1zI(+NYxec z(btJLSmTT*044$n8<=e>vR|t{8S6n30cF1i|^I`pY++Ik(AoPT*7=^DBmxldQQD0|IT%2`Xn;dB-vL4kE8>5Mj-m8W8xw zWT#>56ANsgaMLbv$>Y#X6s`Z2rt(BoaHgG}4clq&mdQQUQ?BZ%!73NI69rbx-w{m6 zz9ce)7@+d|no1Yj7pa_Y2`1!R5_u^V0W;zl>=Y;LBK?dHfDPkPPnT8QaW>IpBviaR znDF1^N~9RaLk%-1WZzxEguF|lSL~#Tje1TZU5^P0lAkVHeJ9WiqqBq|fClR2fI(F! zIdLBJJ;@D^`fCwogJYV?Yh}y(xl%I9hzyQvD#trBIrYeNloRQ5WyQF z+|g9t4a*1-roaN_j8Hm?k#Sd3*;Bq89Z4S|*h(vplrNv+NQpN@aFtdb3lTIEDd-kyMN@=GpAYfk7MTgxz&5 zAaHhpxMAfEm^I z0_J_AqMvz^3kH%YGOQ!dT~B=MdSYHYOFiF*MgI$mZX`lf{vrQc65Cmm@mMZl3f^D} zIAmBo?0)_l?|Y0m7b6=r0@*!*gHgU6vJ12Sj5HVj$Q-=^|14BY+bGvN%7wIz#OSYc z+iYA+LzGeO88Qc9AOfd8W^xn`8-(y@^$PFO3F-C(FP)HO5BcR^TGDNwcar_Mmoxo0x~F0$%D@{o$Wnt;>SXjUA!YtHLF#cn ze1Sc(UE}yA&ibD~L`j1@HpY?#@7cVr4d!0$GI(9?*A@o@ zj$5+xa7?SgV}M&}siF7RIaxP!!dsIdX*8#4HJ2yX_ta9RNGprFGgSk`eV;SDc6;Vd}@ zFYD}OUguJHarXo;1{r8VXq=*)6^nqCV1Sdv=)8+!JYGU+V*20|=}RRc%YmN0a>!G| zb@4Fng*Tn$9S`hytOkn}WqCKirr^?i^_C;fx?Z-8*%VBs6Xk3CZ!>bPUhjQ^Lmy85 zLOJ{hOZ@JEPHAJ3y?d;DndHs=`m)={<@-05tJ6DcMCV>l7aux%hS#t@zPDn_sJ8Db zH7ljGVW?vKP?0j;ccyGg^yJV1%jf|@&Mz2v;cO{1SIs9%Mf|tyIk%6?o--2Lj(wB! zPkE9*8BOGno=Vp}&z`ecyno3e`pxNgFDx26S3C}}WnPb@=1`L1(3~BIq6ccYi7uaD zA>W@;C1&OK(wt`KF3I5Xh(k|)uIYtyHc`{J_wFq}{YL={ZSZ%H1bQ?S`PP;mG5Yi5zmWP&dderWO6>~2Z3KE_`y-s@Df0h;J1n6TmF_{ zrB}Xb?}82A&kiK^UpT6X8L59y9rgJs(;3ItBdP}bvn?rak;mQ=JWb|r+MCn;{cLRt z=MSe$I;R@ywumP#9PNu^O^v9|YRG(?T<{oZLhj(sfMXKJLJ-OWnj}W)r6Y037IT7V zV#LQue2iGEgV;n-Y=D#q*#S5SIh2cmgF+S$gfN{~^7wIm#zR0@YDp0H(F+hvL~t|; zK?#E1Gz66h>e&ph8F?9o4G5|!q|QZay!4fgOwV(EmdZjxhTVyQYK z981sN2*uKd+~G$Y_l9DBUc|)Gb59B0+&{YfcDiCO6HDWh1FxrN9Xi{6z_334?#eC0 zdvagnugT0AHwHUtyC6-~ka@aEa*VHEAMdT$a+a%ljlV84NB=Sif9P=X84%8z^KR^K zvoOq_Dcv%`spBwV_GZ#;<3rDthQe&WC%NQ$BAW@b-FwcCME?uTgjqL)*)>yT6uFsj zeR*SAPFHCTAtU-TLkD~nJwro1Ez&DDmws#rc;){UFPg{webM(jM?4SgaRPg(mBD&h zp`geiztL~7iSA^%<8U*yl&L#raF~zyIcZ8~bg3DlH;G%w*T5nbj@e&2PU5tD11I1l zMogGiGh_ByF@{_%6W#7UIHSL0F;)AgtKOf{gRw6l^(@Y4-+x0g9kazbQy4TyQ7ro% zNM^+Bvtnl1h|~y5`go^xu|EfudiHSAiCQCtNom$9xj6ZIqNW3O?i?myYv*4p#prH2 z<>KS?_NOudcFq$JU>8wX(pUo})`BTY;eqMfH|JFj}{q>Ivzbg*yA^{KtKrTWg@iTHtlSuB1m3pRAo;NbE~O z3yGS$5)>Fbr)C1T;CD&_>(6GvLe%~>op2lqhtElIIUqNfCGRv_3T?LcL2MsZ(e>Ed zb_1+jU^HzB2NWzot*?zI=TTeQ!@i@!kW}AzoK+ zZWbAxzn0V6b|z}Gv{A4>HTP~>x$V=vn|)En0U|A=xdwitAcjo2CDhx}qg_6CG+RGn zTvd6?!|3E)yuRa8+0Xpwh9%Pjh zkWl2@Bz2@XZ!lF2>>Gk6rlBiYIu2=!ek;Y9UVuWe#E2#PFJsBxxb8gLo-|Oq>Sc92 z>i5BD5{fN~h-EWkSxn`Pj@I+u3Z~>t-$r&WRC^q&^_rTzsMNw};jrXPtyy>dM@ne) zOr+6`tTrfZGk>HOL>g{PNq+=;yo!}syQeKFbA2y#b)%y#BLArO$4qJ2Gf}@z`stJX z_v=NUotC;CrFMKo?n`}jo{^g4U@W@9spo^pPq`a9q72h!(uc`=pEU0Qa-^G05%SaK zDC2prI^276M97m(dw?A3`cXZo2EBu37%dy4&jRSp5G#Tk)Me1~y>a{^K8{lFf+m}JZU z!#vEYJ=Dky^thLXferbDf$b3T(`O9VxE>25qwWxLuuvBs%W)bDLj%9jf!4~3o;2Q$ zeOIBn)D3O^viEN3@dH))$L)u0iiPiZ#?splHi3!<-z~`e#n8HpxmnR;#^Z6(3f0_w z=!tBWXg~T2Gw9$elQwj@fw0T5M0>GtO}Oz%H5P^(2WvX8a4q95VY8_77hO52*4D>u z^JbE`*n&si32tygn|B9F5AM&&zvcxM|Fhrx@{QPog(pG9oJIfVyjQ=P@L$BDI*S&8GX#Uj8rSZf|55q_l zs9+++`V0@DNsP=$k8ca|{#myNMxsETM3I=w%xrel5}3_53Wn4$MUPT~0U88tc{y$! zhrB)akJlG|0Up?KutbM~4??SF(sWTuWCx}7O4MeV4dKJcy?`y6O;vMtfdYpw&CVX z2ftZ+U1wr&2==<$8s5`K6~18#I(|Q*vQn_u_0jt8XFH`F*Z^laz02MbY!$zL;b>YM z>zfhP>#)V$w6~ByTL0c8SMyv;%4hTtY@6krKb|s;JN2+1?h}uKSP4t^8x>p_$k@bP z%Qr(4G1QAKDQnVvhqBp^aEjhARqP=v-x9oy%=Tnto?@8I$ZQrO^R=|0L)n26PVpNi zo0|saFU4aZS?&PlF)d1d9+~?^$UKFTwaZa5y$_ie!~?TG6Pb^dd4Tx{-_r1&H-Q43 zH>BI3gO!{mAbFn}m{su;z`T$p2gzmF!I!Xu!9-;K9x`h;a3=70f!WtLL7vFbFy{+M zl*CZXnL;kW%zik5!@LHp-zlB3AI2|5TTSq7X1k>`@`)>ig}-ryzuB}h9Iu_o$oV6C zD0V9A&=gUUvacz!7F;e#(>=E5Iq8A9zT2W7d)exTph==<_a(!bSjHRs#3X?_zo4`m z_Ow1;Xir-@w){5hvCy7YoDRnnley_^(ju)IE7LUuZ1QnRnCN;L&IjypK48Pwl*m5w zN1+$|2HY0*GOvHIrmJC(6FwVg9K8un7Qe_dS|xf?Y?|Voqr;^LD3E!Mk%5f!*4~ zTv+V?oC}*m&S2<#XA_5%y(K6gg?G5jig@e+Av@?$nMx>5caawbQt~?+>s1L;W`dVL z9IFKOkq#U`cuiy8WmJ$?!4GL0;D-<9@cKs1AOg=p_$9;d1pMIq8^1a+Pox|X@30-%HW#>Cli3jVq<|8HHWN9WD7$KToE8}et4e- z=Vkmvim4A^bR1M$l?c31MNl=SfZ-+vuq~TuoP$;-VD%Xf3r`a%>Y)$^lTu8|Vp4X< zBluL9Pc@T|gov!IGl?@RGcboqqr%K=pkQ<@Ar`Vw?OLQmIX7TswQ_HuV+L|eFG_+_Crche-grf7=->I0eqGETOkOpZlH6_^sT>n35byIxW9#q z$t-fKLDBS`WV~|5l>EQkUc%KY+(78|Q8-RPuV+5t%MA>PL%zI+!0Pa972pt# z>rm(k;g$#*E6xIcjl#?0P@1?%NQh{FfDY|LR8aRbTX6H<&h ztQTs`;mdS2=CGhXfjPwb2F&9K*@ihR;%3ZY{_etDOvrPX!(PsTIW&F&d*w`(@;w3^x@ z%i;D*asADPdy?%xpw$g>)Z zkaxCX{`WpEU<_>-LN$W|iIGY&wz?}%VBD2c^*CPt} zVRa?^@W}_lz$|%)g8Mwc_jL{-MOY#HAP@dlh4V0(C?bNxgotQxBeb)5Cg1u90(pdQ m;|v&!T_EpI2!x*qUk~8m^7kJbytJr+faRI+!|@Qq@Bab|R^i$c4jOCfU z=a$4U7KZk6rG9S7h7YRhzV5w0ZfI^kmlnnnm5kjV$(TZv$V7mN#Vd0rJ!2e_6iGE{ zSHeCR46m9g$YvUT7aoOv;aPcW8{yiYkZXM`C!> zs&@Y8Tv~aX_U`1nI~PCEv*{WB#1QcA>LD$h(*F?&cnMGxF809qqCm9`{nctfktuO)lGMka>0e&iG5+I@YQ?*%yD# zm~bXhH`*OJ%q5)h#P>TBMmPtd-H|NY(Ia3ebT`>$OTVqsfg$W5!wt;as>MwX_tI*& z-6Ly8zDu0NPIc=}cI!s@czjQC{7_>2r9|DJ6UE5OiMmtH_%jwFX4IO%nt-9p-N@uk zoo;(I#uc};xSQ>=ogjmq9g9Cp1jnDWCS2-{^cfRQT6L$a3BW^SC+dbcz1wafnjO{X zitic@#0F9A)MiRSlt+#g8EYjJ@p8o%ad=p2+@g-6dz_8G~+Ezzop?2}t!hA}90bL-H3x@|QyL zmqYTOhvY%+B%Uim{-RYk>WMsIOt=b4#^RA5vZ@HJLwC-ZfK_zPNdU9}c-_VB_;F5s zN0FujctOg3MoomwD6}InK|qE14tK|oVy5WTry^>-y3eu5!5=@iAWQv&qSq--eFj}T zk)vbrgXrjq9|wCqk&w&DJ|Yi!tp9U;1mjE8oiOSyCsH0OhgfP!pk9`QkwhKM&P$x1 z%n<8@no9!Z=;fD`pJ2*6Re?&UAif~{Wyq1^KkuVGJKU}Nwxxa;JI=kd%e`GMtSgIq zJ2VU%PSjyXpX5dja>h&J+KueZNXazz8|m_UzJdDsF1y7XVuR?i;~yIA9~$f*8f;2y z{X>KOf2YB;Tu&{p;xw+v<~_H_`C^5Gna5nYL#C__@$w$iie6{#G1Ky+;;aMWtX?kb zkZbuNmA5B zk^qvJKbx{j%@npGD%Ro8%r$X1ORRF14RaSmu^`P8eI%Q-MWHNDQ| z2L>`n26Bc>ac520ouEz3WNb|J<%sk%pXw5@g-(3=kZCz+I68oi*{4l8VA_Z&vt0~q zxl?=t)9QSxF`w$%i1ZRu*AW5g%WTAzdm03^akW!D zVNidGyg}6@puISux{g&ep}L4lVF8Ts>MtznmOF~VbU-Re+0Q6P$c#ce`saum%-5p& z!mIN4+9ptY$*jgA53qD3$kGuh4T=#vRV^dvBCYePzT~MRC;@xH=No2KJ#ZqA^*^Dy zf(%oS=~d?q>d%nJ$`M$4P3U#ap&AorN1UI`2&|(Ty+Ap72~ukc5KIzm4pue?=j)dp zT$03dtY-A=CUHhlyaoedkot7N~fWikLb&sFW;><8lDZ$>nxTZ2=AkqJ34iz zfdZk19(PW%dt0>&Q3N8r?JctH@ca-+Xxz=CdSMZJ=sV$&LDGm$8b_pW5PzkgS!B(K zPz^H7zk!#v2>G2FS))S+(e7jc;44CxcL{h}|3!^@(~oAXdF8zqKE3wa17{BYydxv` zoBhhP5A%1NdF1&I-?;kKWA|49!ZgBRfriEE z554ntTMRQW2kIEUJ&wfbaTdj0$TE&+csL7Zy1R5}Rw;9EOk_X}6M02@*8PlMeux2= z#DN+nafB&-nL=B-cQE4_5z~f__~za>=Y%mmdu0U;CLRZYpX~mr#;q&i3Au)+o zvE*cTT5@ZYmgE0Lv(F;&@DZ;WBIxLZ9ij69r0H^pZg5Oj6~irWH)XaBbmR$PV^ddy z5=-a{!NjC1^Ah?g($rPy!vru_+_0F`FI3!cO9vwE$K^6auXcpqcJa0rx1D#-9G<2c z?^I#U*Xz|6CZOe1>6*d#bMW&M(gBvi~pQUsM4;<>=8`Kuz7A^B8YBUWG!85 zimyYhfddJT(kTQ2F~nt0BH#kSiSZLw>AKyn%;ABY9@BC#{YiUS?TBQR6< zcF=<;DOuLo<=o`A!m!cT^htfEj0qRVW*`PU2LcLb=JdFh_qyWR1~NO~6%6Da?aP8O zIxWr}F6?c(bMzsG-_gTV`u%u1T#hWp663X|`<=I&CV+U!7tsEirAWvu~-l)i-DJDDhOR zskJroOH&sw;n!{1Qk%_N8|x}+t@WGvhn7@UF3ZZ$>mUAGBox(GEV`Y9N?TQG_15~P zn$7jLO1`4n+DH`NznZ=UrKR_mQYP<#wHqj1S5#7P{{!p(2cvx3M5_gy>(>>PneHzs zx&OX0Q(jq7eh4yC$=af|i}G#TYAS4C;S?7af$rL^m9`-5`eiLGsnrF9YTXXIE#FqP zcEeiJs(XW_l7f=b67yNn>C7ZxMdQHW~`|jP8S5vtZljK}_t0p5!?nIKCB!4NR)l-rrpS7gb^8iXqqLvhU zQykNnBb>2up)=D9b>orDA!Y1ZpzgqQ{SWo9V3PN|WQ_!0p(8&snpZpttHv>Jksr`s zx0NvuEZb^-opK#>GA5qR5}7wwW{`%7cyDGK7a`TFtd9P~4wKg7&|J_+ljojLO77`x zz2{he;Q<4C(=PSi%`@ipPs(cu^T?UdMKr7#7C_z^@q>&6K$Lk4Pxl+byntIHUjNIK z4wX5AXh10!$x((GF>ijPCF_0rDs~q&p)`TyvIsVlEap#o6rePQ6DW<=G8thiUE~n? zgn6TM8W!2Rh@jDTB=>!O)gh*`P`UUti`=TH$dB+bDZButFERQ6oG!VbkD5p3-^ZdD z>-3v>>b&lK!>U7O70)>^fnUeuC!mkXu1Z>y2jB^X2j6VGc!2t;tqNOy#Aon0s)ZS4 z{5GX)+FcH@mhmFyRTgBnyRr@sWD^nF6uZ_6MEGf}eBZb1b)wM$YND4IUi&}&zdInx zLC;4-C?d||Ty}@DnBDiJ@9+`-JfWDHuJj#4 zpaR$c@vih8OP~U>NY^A8qvlzo(BP83W0xJKu6Twu3}bZC7_>qTON12yr~&Ca4%y+J zDE|QI^5nj#Ux%Gg8smrcBYmrH^(hB*-oe?!okParTgGCavDlGU+}5vj6%V3TKgtyY zWVa555lO^&xeffmNC>&nI-GF_tF z!{U|gl6ZS|VpOyDv$n!^$vqRU!ggfZ3Vp3{N4fYB{5cC`H!SMU@n?|L^HIspsAMi; zj`b(bY>#BtdL3X^0<1@b%tHhp{A+i{4Ha5i8?6=ZKGu{Nozpb)t+;u~yE{fl$Iom! zb8hJK(UY5oNBcj%v}*AsXTs2PHoG*OI>BZ@$zJ|L2kH%C8Ajm4ix#4jqkA!}cQ>cWT=UNC$s(C{k_!=}gY zk;Az-_Be=x3Y>5tvNvUR4$z9W@{B!2+fP!u5TK+8nB)KpTVi%N9F|Yl;>(AH|3Kbu z?wZ)^kcWl8f~@w_H4ZB6mZQONLe3JC*y$Ig32*edYxL!_I!89oasba)dcw9ba*@VDVe>Q{}TSzS{MGr22@u<(c8TMemKe zk0n=4=N=k2mBqZfkEPtOW<0y0U?TQw!>r?P&q?0DtNp2p2d51lUomt-|CaoG`Rv*X zbhjN*A8SDOpN_iEY0>@RaZ`)(LR9y{GnUzp^v^~R^@7|sCjELMiig!WnxDLX*{Hkg zCe+V~d3hVWeJh3rp@Q|P(@xG&-LTGol4Fj@;}81^9=Uw{kz!59W33KLbelmnU*4xH z)`%YL;w4A)M){*0OOnc1tHtpYcZ@>e8;F2c-lB6neTA7BHZX5{ALrn;mWqihs5JeI zfL7wOvRKOoB7eh4)1|vhGOIX$VSyy4!aO=?i)H#>Xu|s1xRL)gCKBtr7AJfA?@=%h zuZ@-(7D-E#gO(E>rg(iJvy3|AZ5#odze~X~`%cYtl`r&q&@kv@28~j`I73O2R55+P&_fE9byU@6}!t5>RMtQGxqQkg&#&0$+6WQx&U(T;ho8*pM?e(K(yUQN_Z z5Os-vz$Zu@BruQoQNH9POND3+FD_1A|C&R=E`Q{c{j(z?U0P7Pu7Rdn(GTHGZ$9B^ zk4ypN(L{3?E$;Fp)p_WQnO*a!Z~?2+lPO>Nu{P%2uZF_dCWkb~%7&vdz_L1JBgbBl zz6v27uPbcgSiY?91lH!#SAGJDC16Zd_)E@x21}=H)N#~hfdC>{JJ?bgi&1@%PYI)T z3Zu5X7I~RQO;~_YTWC~1SB`}-7I*){SQz#mT8dmoh56PA^9*Kh@I>TRnA_czGnnCV z&G1ZDUT(s_P7dmE?qdyYn@1fJE(@(Q=E3fm*N)sZIRq%ZoBaTK@g+a&E!YSBxvlRo zheI0&-TIKkvG6_Ry?pdTd*&YAo8pQY9elno!e0IhPgv#=+l1)gXj;3mGx{NEy1WsU zQsaHKGkyHX-V{@UBlIPb5WS)Dks zH$|K(SwWV=Z#%LC+p+pK+Yu(0%OBLjc1+p`GA4g*M)Z{(Op_)uyj(M4oz>DM<=-&M zcfH}16K=8{Mx@_rJMR5f+add-X73*Zw&QBRc9?brY)8J|c6jH&c0l=eJ_F?s+c7)@ z<$tn#w*4ZM|6@ngS!sUT5nlw;^U%1s3%0|Na-*-l$@Qp^W3yNiPF%UvI`A+&9MzV>Z@PD z>#R+_{A%j>`P7N>R?DVniRAmdqycu}qNP>ywkT*D_BsXIaFcAq&2O;{<(ejjjqRK#QKDg$QpF^FY_;og3^x1bpRuWvzed&yo8kbjxh|gwNT$6w z1-mu`Rv!xcXzNqhihDCRb8LnjYW!e(GmO_+O_xy%&9dP9%VDfiGuUBlm~-z2IOBWN zk*8oVs;7m+1_Vvl#*2B_@yT6Kyd9Y}K%@hrq4om;qPIChly?60FgBc~%!7Ry8d?L( zusd$N!)Q!;VI%YQaBC!DJ*fyKIQEnhR{6KhK;dhDPe5^0K#}>Z^f8XTtCUXzzRbo0 zVXSjHbY=Oy9#{v(EO2Mrp+^NJex7)^FFxFb24yRh!?w9rQf3}$lUarly3DW+1JZn6 z>FqF1n}6^j#%lVY-iIYS$vDAQ_7}ei0{~m8B3r5dp1y@_rGB4KXoEr7mpcm8UXlqt zYZbpLmsQ<1XlPzfBo!+dpMfB9<1p0K8#O5~;MMMPzv{kWKMjR9+NpN?!um zl!FE+$WWV78}kTh?W3f%$7r1ewf2v`cEP5cZ;L_q)K0P~sa@h%^z3PQJ@oVU(mOF1 zPoy3B=mzAw<`rV#th)gHUyCm~lRNuiG8dfMMC_l|(a*rt$6x&=gcLPb9PcdR2dz=7 zR_DQp%?FOoCqrHfRVQ;oFJ9$)pciLLa{Q`}S$W1jgFcFu6bQO*8uGXK z$$vxFX+Jf>Pu}_l=O$(M1eD$N7o~}QJx4*iU(ZQo6wFQf$fjDGwQTgItT@Tey_JK-A9}ME%Pr;F0D4vD|w)-uejblI4CO?hEQ~&zi0jJa(_AJcx z`>#G1#yYe*CU4~)l-?qI4#KCofzLpPkz?p9m-dBWu^dgCf~^XHnpI)Gx$gmJhdvKT{omCXZa~@= zt)xlZQ-Vn(J`Xa-@}QNJ%Oq8dH0;riK4Er=m|ek9n%#8?dF4XG8K zz>B&eM;bH#Aq|mdhL0(qL?g0Z=4iPVTa_57*T=w(6 zUut8N6N-x)bvrKYw^qJnzXC5z4cK(jJO( zKsq>$Ch@CO8*u1X8z8GIrRbf!2~XUTuzL8jVx5**5nC7eG3z+&(YJZ{E9 zRl%poLSEQwv4i&@%U*n4FP+?PrMAsAO*pp<9*GKPtHDB1e&959s=^*TLM^YVrxW0< zO&HU#VP5>#hFI^4iTw{WKPQf2@dgtd{&ZbN?>i3(skpWz(F)VH?L?AL4c` zi)(-1vHxi2{_xg@5)G?uHB?4RJg5)u$)d}&dwR*#`mP2gJ{4{j{hpe8rkdM0eYu_S za%op31)2jt#Ydfr4>=QoeO`2pIvu{P(^-AK1yXbjldylGkqdrBclALm!^(p{ChIhZ zmqIUVXKtaW%>ZRycxgTC(qT5t>)~C9*y%}+;;j+#C8}vT2|0OK?>xfvJtfT(>UcYt3@i+ML zki>(yp}-u<%blo?mgEcjhmMG24&2|}zd$rcvzYw#PL6mwH^@`zU>NnC_IdA9e- zq2=}QZ%rM4)Sd&R>>fil9>F5$={{v*IXZl?3DNUrpf-H)t`OX6pml5SheqTt=l@?MS zy$dH8Agc4_fDc5B@$1w)=u~NvW`D{+RQqx0)F*{wY%9-L17M;h#=nxcdGoAlGzoiX z0aCx8a)-RJhXR^#Qr_pX6u+C2_fqADKJ|w^do}D`At37QTXFsAl@j5g?7Zqm_x2}^ z{(xvpkNU%F2#7jXx4q+V?P5PDW2Ep76zIlHH*bO3xQO0D#gEr3-t+q+-uZIpGC}D4+nkfY8u6_yU9lH?W_(Pyg=F1(%XI5;SI(dsd27#*oK+8%n zpjat%FvnI2Zpi29Q*Ow%KEiDlg0W%h6fkqGrx!1bJXFb$`cTk zWzZ)awUr3#XBVxX+R*hw(f+PI=nWOfXixfOY9g7=_5XI^*MkqB?Qh9)_M|NHrPGn0 z+`EF$8IbZ9vc<~0$)+E&dIld}4X82aDKYJ!@-O;)XKli{i$D`Q>-_N8NuoddVSOR$>* z;(l1LR%b~h`fKw}pSrTPNv7?2}3~ z3HxLw(ttlNjX{2rxA)rWZH?Au8-865`tmcP6k3trm&fL&LuBlYW1WG$fkWiPJ;EV! z>xP5J_izi!^J4r8kA61{8jP#Nq*0pTl#Lg3oqP{;oqcFZ*X_nUGc!on$pu~K8=2B| zT@MJl?gOAvr{NRSb^2OEjFE!foa#$3PX1Z=D4&;j!U=sA%0ri4Pnmr9|&A-ZZ?$ zc*%!bkCz@w2|unm3C}n9!Z@($C}$$2-x=r$wo_jCy_GU}g6))IqJQD$`s=71e1r81 zQHSluf@!cmA9W<>U>dA{7qGc3WL)W%=e*+)mhPTb!1vPt|X(Y36N9Gb)#6WTd61 zS<9`<()1aN%k_)Xmn>UcS&@}y(^sZfl`kvLu%$IuZ>=lew#-(Sf?F9W^|+6dVzZXl z{Jj@4h{1TcY1}+jbeLGBk;Xv|A_-R%tx#kuaE!Vin*Ja?7GQp$oF|NI(`vQ$2h(7QRG)j+lf-or1#H>erczc`W-Ki=ZYWz@w6UOU z-3D_(Ss4pnt75{vDt7xVuD_e5##IoDm3AuQ$oQGC@v~T1#g@iqTT4n^29rk0O5`kD z6d_fyXjMl_wx;I!OKZWb5E>9{%ETy8#xUC3~6jBzq#G~79S#cUK`j{91LfBg0T zpD@+~_qP-RuCI}}LS_9o@47MeEf@93w%uMWTp_Hc`u~^fZe%k9c>H(i0xAHLyZxyP zDN5K^f1%=)$vR?8=;JRho2>Ge?RS)Myb^f)?cWa;@y`_WynaXdM|YI>+)<`|>3{tF zIWQx%JQ6Gh@PB?snZE=6+8ymT?h6i90+g*MzlDU2D(Muf%nJxVpg9Xck$0rXcE>jAk{y-@lG`ce`f-{9f5+;K4q@xtXE~S(Ga4J{v zSP+yhFtSwgAId9&JLR^^l`Iw5nyuwXchph(KYv;Q8nBZ>r^725dp4vyrYJl#P0EuD z>B;aXlV8E!p=84w>_d$PymK=t=YJpu;USn%xJtl09cZH@*tR?*PxV&;R}^dvX`{7) zr7`)8tYCtAq_u`6CAbD;LR0ZlcRgNua{*$y0M2Y{q%Y1fC$=K9ohlN=m_~5j0YJJYJFq H@%sM)tub!E diff --git a/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_flash.d b/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_flash.d deleted file mode 100644 index cf01bb9..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_flash.d +++ /dev/null @@ -1,45 +0,0 @@ -wolf-lite/stm32f4xx_hal_flash.o: \ - ..\Drivers\STM32F4xx_HAL_Driver\Src\stm32f4xx_hal_flash.c \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \ - ..\Core\Inc\stm32f4xx_hal_conf.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \ - ..\Drivers\CMSIS\Include\core_cm4.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \ - ..\Drivers\CMSIS\Include\cmsis_version.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - ..\Drivers\CMSIS\Include\cmsis_armclang.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \ - ..\Drivers\CMSIS\Include\mpu_armv7.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h diff --git a/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_flash.o b/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_flash.o deleted file mode 100644 index b2c65962decf741d1350f8483e310c3e936fed50..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 21880 zcmeHvdt6gjw(velNCJc;Jd_|wOnl-C1ES&sO$aC|7DTjKsU|=ySRRp=U~QWaFxcWW zTE{Z?+G((KhE`_=N5|r{{U!mlR5QKNu`SneywFlhE$v{n{cNXS=UZ!^1On5!bLaj& z=SR-od+oK?TKlyg`<%nm>6vR7h9UloA#r4^M2LAatqDq^NgN3qS4&8$-gRqn6d^%S zpD)tP*tq@w>@NMwxvbdU8EH&n5K)T=`9?}eC`U~=fHN6>0_*f6x?bKozBF;!QKmsO zGe{VtFO3ra82sEmK-m z$?KIfvYWFuhR@9W{^30(4}N}Ry7cJve96`Dpj0hU^Q0M`a7(IMD{NRFu5~WrWY?#? zCY&Rkrw_5@1rv7!?^ImC6mjcn?fcDwia0?<5w{{k@I(gW?MqthwGP4lB5tL{zNE-*b_%M9M=kF& zDlapt-d6d|0mWdeqRXnd;ga{ahTTxhhZqH^1+p#n3WwkcN}(!Qu+PB#0U!|qyL})A zP&U|?Xau!Iwi=;ezZUZLWe%=Nak*7~*&22Y?yYiCFTZ9~cIo7Ot@0ZdWtUpf*BW*S zgt01aGa95&7bmc21a(4t4e+(t_hkt7IZ+Ik;+9(h0z<>wM&%8n9Bh?eQY&r)9TXen zmy=4Npax_nO*PP}hzk@DQpANW>~-4rIqXY1?Nus}O+dt?OL40;?3!EQ0cwFA-|w*R z2N}$EpitdzuYo~x+Rah{P^%joHyE@VE=89c1PJpm${S7)KGlp%qJE*e&n3ihI@KVTy1=CBJM`_Bq3P+=v62OF+aZ?`Hz?>VUj1Aa4xFTLbc~ z0eN>oet_n6%1hQT7>z;o)PAe-7UZFKTCD0FPz-_O1BzY%(go0^Q{HeZM=TSHK2dR& z*@0ptV?7FN$o3H+&|jDQhE)Mvpw+Mi*?C%(u*iKw>huq3YZx*G0aS_+rvft;#Vyz! z&M=S!h8M=>3hv@YAC|GeG$w}o+*t2ffzdx|loA$$wE3(zq3Mz4332JIl!?@(2 zPhf9a!Wuwlcj$T!qQaW`FMaic`iiOxP+6M)Mn&9I%V8G&o~E+ZW!Njt_6n7K38(^4 z6fJ?OSYQEdiP|2u7Ub2iE}j z(HR2JW<)~jL5(ZlZIus!0%w$W7#S#7Xd*ZO`rpa5fYx%@p$XcZI9L*PU{TQ`Fwh0> zR(|0`9Rj+g*T||4x@#<9?-RurR{1qI>K`yecUl!aAS7(kRyjb}RXwI#*!3KseAv~C z2+puBuoSpK6Bl> zh8n=_-)j^jS&RIZRoSlt{euXw-9|OZgd!>yzz@_aqv~{gL$;5sKJm0F`k<%K$}OfF zS$+qU4`=|Entw=HroizUBe?-hoMC+fiXLc4l?)V7=eSWk$lq+0gTaMNzgm7tCm&K{ z9##$xsX>XY3`#GU85&`<_=FQXa^JeJFD(8)^hi@;aGxpT6%9`*=WPuLV4lmc!*0dAbX z0a}0sxDyUL_(kB2jJaWuZ{aQ@tZUTAdP?Ausc{5)tl&6>fkD3pj+p_~DL8DS9*(fm zVK)~6Uv6!!y~>RHu8zR&hFK%^3NW(ZmcV)g+Y0WMPj9Q`y<;v`5wx-|G1x1TsoMr! z@c9)sbQ;k`>?c%Rs1!Hd^1tuoWZU-_32KYD;9BejYAs{qMje}fX{~>0tw446FRjI` z{2Q$$L*HmMxyJw47uJ!GcCJ%G)M*vtRiiT4qwpc0}WjiAEI z|CvKv>*arH5LAJF)Cx)slD#THEzR$j3MwpuQmJ@9@gaXfo18`D`xdD>ys$STJX|}y z;hkb-XWqh-D@zw%`Q%q~ezWZPnBv;+qGJl5I`rkax8^^+@#Bv^IC1Nz?|70{e*dia z?C*Bh)c&aE@V*R-T3A3tTIc~GRz~z{bGs@CI;16GQ9I#~ z=Ljuu7%_(Sc=(()DT-jhmXz89PJISLLO?daggaN3bLJrT2!})wDLrwJ627MG+Tq}7 zL2$GYApd*H@U#$;4b*WSwM6D}TwXn^DGG2b&=h%SEb1-I84+^`NWrK8L})h?w$I2B zB5f^#IM~gyE{&GRu}ClE82NJ!hss1D8geL%)A*^HkY8)zNQ5LRTVyfenU3b*S!*xx z^qiR*jc@P9-_gU<_z-N`=X+>R&b^aG4;xGEe zU%AA?8g4!~d~i$v&IH_V8s(Q+vufnO@6a#n)h}zI_s;I*WBTMK?uujG3xVl(^((G( zS9ElX-Y&MAw}IuhRe^;w*sEmrDyKc>-OZ9Oh~&#`@t{NekybLG5)VT!RB>P?(ILJD zZn{-@vmfGc#T`buTec~=L%;llZG|U$hOZ;A|7!)cEt@j$J0v&)$~|bO!NCH5>nVsx zL149F#K_NWv?Y7GS9Iu8U_id>S~;j+(FDcr=8GZFQ~N%U2fQCRUud!BeI$jDQ~YtZ z_?~9E`UQv%)*k~MN zg0_1Lq=LIM4!0#8jZ(j#Dsx4K9o>@Aa*o8uFHEZ_t}#_qF5H~EaZ%!$q}tkD8M@3} zEOg=Kih{h#s?tS?yRo9kSh8z(iLtUMzCgb`FSEGHlt)|TZA{y+K$o*oUtVf1FEf=@ zEmuKFg~j3$Q-x|te8OT?Zh3jha+R^7w4lUT_LyqN;=;nE%aSyjNB@xw>&glij+dd( zv^&12x~#JJu`*Mks-VbNfg)#Zz)zBxS?{x+D3OLo;P&VvERpFUIf(AvDz z;_`KrLW`)INRq;hIY1NQfl(?&Ebo8K%uw(#1lCUJ8s*(yLI}U4e8r+va)kA*_@-ttnZd!UPBkvKVFM|2IJSFZ}2P13rv-jK7QF) zDy*(BWtFWhFD`p500*HytcUV9n+mGRD=Kr#(<_XXrmf{ARmR6mYsxEVk*!QMmOZX3 zEUWuY$cv_sizuQgew(rC+F@ zH&2zDs?!0*(ILW?yHe|_OyeOL#**C+msb?hWih@A!Q&xm<<%hh7<{v-YILM>OjXqt zWm}CU)h7N3C_1<__0sI=Lfzte>9*-YHzbDX!iFXF+LGx)-qLz)6_g-303}(oN@~>9 zlg#Oxx_NDBcL=E^#2HDc5QO#Fl!P=9(t*!3;5wU-9`9iKBkznvY6)>=Z*{eGgC8Mn zYRDuhpgz&h$egKI6&_;A>VI$7;3OBLIvPr(Q;6orRSz2C$f~=Wf6i*5P0`OwU=5_Y0W1RlT!L- z`OE?%Ab}rT*d&fNc~)anN$zPPW=bu~dzlU~4AFfS2Uux11ZjCGesUMGkR%|BLXY+) zch#V6RdZS}&mbu$r@0*BTE#X5>5i~0GAN7ZHMV}qUy+4&^(b05PF70!cd${5FUJ7HjdrR0|Ianb+w!YyhVcWZ1;L4ykq>*7zEAj%(x$ zY?R41>fRJF%NjB7YQ)0uNTB_PS9r5t9XjZ&J~r_=z>8gJTZGZb2Wn6&WPe1WtY6g8o-yO1BNvB|T=4959C( ztq25G&-1_T7raizg^>Zk%lxlN$m^~MA-MV2`u9`%Y;iYff5j9K7Td?2!vZ2GU}J2b z%?AK3(B%EtcAJ8Hg&dILNBplXA+K8|3&|9gfV|H(mn8su+GAUZ|0?)22}^a7`ygBRzEUJ(A)%U@-EcxE_piMZJjvl1fJ9Rh&Q zQUg47m*tWuYwA$?yrITNz_dR)U+SmbFRyL$Q4@JA31AF1VcO;GILV|^v65Jh43dR zoI^aSj!TbwG((NhJM$qg;t%Hf@v;A!Situp{$Or2;`gp8^=O70v9Gow5ZNOofaZvG ziCoxu7#^(c?GkaakzYSlxbr+|LeR~NL?>ILe{EjDnB{Np#lPA7e3vz7TOW5vZ(|<* z1;tr70e|(eolIP(H5UFXoNaJ-GI+;7CeG4`8Gu;oV_P^YUEDaAE!JgQ-tPZ%a91}q zWH@>2;m=`97swTTwv=}6Y9|Y9NNM7&cX1V7hW=SilG6r>v!5@AV!4-D2E-lQDGojq zeR;ri*nssiXF$el{g^LRc&)Nd<`aHmM2j=8uW$H9UT@F4d6(|22{x;zFIn=&k{8Y| z{q^$miP4MBcbvVjsrfgCXV06TeckeGE=9i9d->+jn&1!fdqDxb2n+C4&`D}YLqp&R z^gfGV|2A`rJ_SOp4*fE3cl~YoB{ssY~m`G86=cIG`}NexSkDtcL$ z5EXY|hANebh=N<{cI8D&i z8Rtj98Xb!Kw!>1o>}FBzUq{lv;kZ0L0y@s{oFP+GR9cPLje z(Z4@E%`ADT>DiN?eCSFcXP#6Ph&mZPvujVr^NH*Q;m2;ls)5K# zhPe12t{R!X`hG#ZwAsy5t8BrXP5elnOFTi|n+>Avf%Pp{c0zmysh_oDTt21SzP2Ddd^uSnC zBWae&iLhR`n12SL!`}dc^B&x~8yGD|wuqm;P4o#vy2IQui@Sl*TygN+&ARZ(HelGn z=p1h1kwqC3oB|*ewQhaATPJw8S+{p`=9oac9Vn3PCAdja;I|;P85D}I zwh4$QQJ4WeX=~gBHJK5){3Uq}TcGlBYrB99@(h=p=4TTO5+X}TCQ_a)B-`BP2x4S7d7NySkuh7`e3f=H>Vcy z8;in!>s7)Kgv)gxcGLSm3;mq&M93HMFYbsMX2`3hEeUbAKNQ{Kk~JbR;kljrxj87y zgZ~GzX#N6O<0U7tJZg~`disf0Dtc`x`3j)w|AL#~EZnAo5PMLF%X|8Q9*}r%RTly2 zeoCd=j}@NR{u3))HMHU+t#}42-eoJyKC$Oeu`gOaYt|jv+7s^*XiBuaCMgd6#m_kh z$XVXo%{pE5#V3gN8Jz_Na^WTID&m)Gg#qPirE+2 zV_n2K_j-)2{uTbt7D+!R!Apm_lMeMz2n==6s|TZRb36P0NGp12MO-LUnAr-KnC;Se z?2;vy`-?^Vpv>?$HB)iGReBh3SJc~~_t*hX-x>8K155mE26o3c?$aQQK1rh6tPjaZH@_h|3YNQe zXFE*j^2KjuPjv;EIwJYNpW*AmVN3D&*l74VZFJwwHj?quHAXT+&V$Ln_0DIF-;x~h zIY9e!8lrXc(^MkZJ$E0WsSK|Z?QdOW zImKNJ^V0Rx&YkTN6%I#ZN;nDy!y&@q=!=wL6PU;*-I2B#GWi9K0QPQC@*Nx*syBX7 z|4Z!dM>sM~aP#X8@`?^R8C1LyP@~VWz3-VqJK~8@0g+-6)Nm(R_PSDmQ=qE(rRy`G zW4nNKUx6E{8t7zfM;B^^_Jlsz))6y6*gc|;DG5t2*H3~E1G|R@U?u@{8<+sHgJ)TJ zUX<1mz2o5YzGoM3qna)q*M+1NT+sxbJY#ZluJ$G7T8m0zeyL45FWRn|vV*@+dUyd> z#)Dd+4S@wxXkry1W{XY*2uZ@+f-7D=wz{Q4WH}-`C~_qtw~7(@rjEq!IG7>?US0tr ze@BtyDCI_^{4yfDqd0wii5;nc%-@E{s!&8OQTI_l8oEI3;q%lUZl(4RJYvGTY)NG} z)1oq%Nd_$w5;Y5L;t@$yn%c1>Y_49>MR?n^&<5>bD&SK)7|}2X>D@SDAgmpH*jQWz z=Mk9@$7h*M6>xM=UY1*2YAUa;f>VUYA-b=qDk-TcjV~!LH^*0(Rg{;M#1|G<;=utS zr`oz_U*e7gC!KsQiK8KD6%6@;OSX!JtW&>9I3;|yd-iYXUtI-@9t5 zBbT4!d$=8H&2z!28h&I0JbZ@Bw{D-T!4*4#hRHw;Q?rgCV3PdRALGKKc8jeBBL&*6 z54Brq+KXtHq-)pLTcdEn$y3G}TCFeQx~0bOrr(ab>Iexl!j7#zc0xe%yhA|krnJNW ztM>YlSO9qvqfp;pziI|Ea0BLP7T6)hQhUY;_!4)g2Q+#aU5AI2PJY3y3uGcC&8N+IPUH z1|3IL6UM|?Ky7SVTZVF6$wsxZX>B)1pMui2R-FUs&3smr#|UzZJEMDoxaM~od00?@ zT%hHS@lks?at46P1~agGC8<+D98tiob^Rs4_p!51L3U@>w~Zh>l^P0A5A3!eyHlp` zQV)>mT9#cYvb(tFf_q_)Ky$@80~re&dP;xKP?1Gze=ug5vupEq17@Mm* zCNJv|n3UsT$)=shDU&#siPO*I2)~Rn*+1zvGGUUyYx=+dgtMn06VD5K zk%=mVosCw?f0?utnSieShR#P}@PTpE0$! z-Rn!-<}NLXIoH4ByeQ8MN?ju?E4ZTNTLB2M@!A#zhHBIh8oB?OUk)kt|RBG)z|e;ar0T9@m$9e?b6_K#qweYRuwB&O~` zPnqcKGtQL<@|QwzWq{x+7OaCx@=}Hbtb@~@)&BOVbtw8eSu1g!o~D(&WPZ-HHT<+a z>&>^lcQ^SXuY{>rXyoO5uJ!YmUB1X`+UkS1p5r_q%^P?aTy-2~gDVFFR}H0XaAn2d zs^n!hxEh4u${I_9tNc|%FRvP&7+gta33trNl-6&BzWNNvi@J8S13yD6^T2Nh$XNLD z7`X%W`d1NY72E>isTbWZA+&9*>1aGv%^yVEW^^|D{qYn}28yvvbeqOgpujPnGGIJ) zCRR`5DWj9dQ*R`IrI3c-fj~>iMV;?u-OF(5ldeH`txhxuwj*r?)twpHtok`(KyBXg z_8L&qC0h9nw1JA=Kp7&^ruR0(Us5(8i+D-#V3`Lcuzo zd)uKT4nqe7Oij-vj>UkliSsb#kfLi~{T$`O7_g>wGzPq5cn_gZ!)=>aP z`vOs6_&RX-j!&s&R#`&d)zaZh4aMP8Er3|-?xILn<;pq#A*c3K!{cKDQWP^${Hag0dHNb42-u#EqFPS_GpIyNPQo6JYq_F1Q4{co7D=@o# zcJG)DSGV>C2Bsgr_~uTBM0HmSk?h@v(f|#8y)InmfA0ATcunVD46ir1&T(P^bdR#P z3-(wrUV29d=nkaP_0t|_^dPr0rHG3W)}haWz9ETT5UMZoDhP#35N%&$iWQq^HW z-6tbGuE?M)=}I-)JS}VU?oMUu2-=%Ppig%24CCUL2#jBXAx!ANIHCvxjbwLONz6|z zOV5jPF+74u7eRu3*&I=qqu)+6&nd>zU$!f21eN7 znsP_VSGnqwRi#sMSdhgBx}0=QR4wF64erIPZQAWKSC6wc>LK7uZB$4DeOhx2WEwjk zT$i&c!&p`buNN5EXR}qMW{|l-wZnH-c&w?c1>RG_vqCRDEA({F&g+3-=aYfRghU8- z?vDh==-^Cm|AU_9D0)`NSqle#a2j}I`bBzHIC5m{tgtZ0jAw;l>;`6CIz6pc@>1>d z#;xHldzL3S@s#kx?%6|aK>W*r$hwno5c$nWaLO`>qz*htdsPm^R~^XT`V2Imx=J_i z^66=vl9$?l47Rexo^|3`2*keZp6xY3^KSMmch^SX6 zV-N1o>huchl|;K9y}!dHW2X)mS?l_91W0pcdMrdJzGKfS4Vr1&YuL}n;YLpx4H(uK zpwY@4h_7fPX4a0eM%A=YH*HihzLBVk_l#DW3g(Asq0c@pHM9Zu6mlsIXrRr3j$hmp z^SgefE2z*3!GKvW72qJ9J|6pB{SzR3FA9Ik^Z*KJ7;&828YKRLfgp|5fLnbb#NJjq zDjn$-8?@r}f)B8Ro7~9;PZvvK29QG$%fUc7c#g+5Ag*YA1aLS@IUGX{*SF3@4q6|F z9X<~3Gn7MTdK2Yv84d+^*pLGTPZ!H#K0*$Uvm81ohvDO~&6I-&IlMtR3?hg7$J3F+ zh>wFoDng&8MBCtwrP18ZpkpWb$}pfg_JWCaBZM6&lb=b8TTTPIosE=9KQQ@paSISGq)hIaP9T$( zdKxe5Xb_UHtJF-HXtRKchcc1UsOkC(jmX3)V43XkGdXRYOPTBnT8=#-zn4XQv)BoQ zr}KjxM-8sw`XvR~C)Qc1$JMEuhsdq76tH6E>mX)2{f-581&y>oUBJQ%dW{`eX9zBi z&UdD~iDwFhIaoMkWT`NeO8D#`2mmfJI4LJq-bJ6$I-iczY=aaO*%i%p9Jbj)z&eA` zQR9oXa1I)Mjzdr38e(}ESvz8J2nW|CL5rJG7l)oNOyl3f?KEi;3|ZaDUf3CO#2Q|= z7O?Wo1kOpf#2-T^a0I;%?l3NQa5@R-fo55hc6~jg7X$OFvxA=`zS!74L=41rn=0NKK2kZafx3&zj$(xK2HS_KNqw^Np1r=mTn z!RZ9n)_K8CK_vr=VdSzE1kP?f)BTEgau699U5tyJ2Y}95 zUW}E4(|jT68=Ph)8K-$~{7xikI}iLWUXVf8dIZ#|${E759U9)pN}pmKr>D?yx*FdI zErQx{g~2&>2zyQ)f{jLKBZsfiN!keZX-n5KkqZ{l!i&ht4bpqBIHwXPDDk&=z>%KK zt^@nKgXaX_2IJm?)B3=9h`9eY_%`1bTygQ@F`(UnA$L4PxwdEs zxfu~gwhkQt`HO@l1y{^`jJmI>ASTzx^3FqZn+sZ*rGTsx(=eDKuS8^r0Fl2&#!pBMc`3eqys_22g; z4yAgh?l}0U5G418GEF5hUFxCd7TA)p7aF?(9J67m3r>0!NZF^Jrl};WMh;#037q#Y;SHTJ zO2ghV>=%%)<-}d9EMEN1HdL8M{FnUEet67;1hYJxxBWqncmT ziw;rkW>B-Bw`>WZA<}O}!9GmG_9I~xN@BKJLH+!f3V<;L!r3M8^F3hvvIdwxt)&m^ zZbOzv!@XQ`{NEGe(jHSuh_H#lXZdWLLL-Q28L(5r+ulCz7N)@pVSWBtkiz<<8=hvtgK{1oe}8^j2M0IvZBo?opW~4WJQ%Y|(K}dijGpyu zlBK~q7#5pg>Nluh-alOAfO*d)6;dw)HSj_=ydwauAMl_xXkwfT-}H!ySzaE{n9nD~wbi~&1kqhoMCSn}1_82I|yAxXo&o~7m` zfm-D6VB6^j6X0>na1j} zf}&9wzYUiBWt@!YLG(+ez$oTrv&Y#Yx0Js9kbd;2!F+-NXMXs(R5o-!vzp6>?j&HX z;X_5WEMToo50D$jw9QVVS$t8C%8NS1w+DjY-L`;rwbu8d>*ziRRwO>B@I8BjeXxW_ zACGL3Bk9ow$3+qrx_)}0te`RK{?csesN(WOv9M9@;fBI8D zJlzNPr+)Z$fUDr=PZ1st^y_?Zf9i+-1mGx}KSj6@@J|5@<@Be1_?rO3dG)6VNBKPf z!}aM;{qP$AL!IJJ{qWBLhV=cZAO5`$-=F&7;V`x+r$6<>rvp3`;QkchD1SV_VM<3+ z3ZGBNa)6_p{?rc#(CED3+#sCcA(W6uflv*kur^-9b;rJmKc*#p?~(ugN6~+S3J(s( zU}J|gW3W+QVx03cR{z5e2P*-6D}NXJT0RR|Q&L@7q&F56nJULfu!?=G?0;>aZ8EC) z#}Isqa6Eu&oiUJqVZwMGl%icm`xvg@yuN2z-ADc%h?I zLF0>jR3RHSN;L?nqMu4|&4E>b9J{75WiP6L=0cv~?cpx=0hvsmidhb{2>CaxT1kx~ zxrX^wJPQ95^I9RzQ+TRqET1MF%dd%|`EL+ELpBCqFDLw$0LXm}Zg??^1y?X(7NYkF zx({y*y>sZDOM)q!;eP-r_d_he#nDo*fXDv=N?fFY7iSfh?JvNVu#~xpfs~04I6DwH zjvb2-bRiIda37(g2n<%Ud^sVz0TK$Ye9()3jSFz|n);GzW&!y7C7 zo)L}}-ep8%h5uk!myEXYGErkSR~Z=z!O;)Fx{wcnEk6r+|L?vE``>?>Mt<!JH7j7|d)0CyFzPL^Cob zhMB^|GIC}bGlQAMpx+9g&=CfGYbi4i7B82~gge9hMGXI8js1sgSpG*^8NS!URro(y z!+|*e@B=_X#(xOOevDa!F5Ex!9U!`Z;|b9(Dv`{1u((pd$IJACzP`% zlpmf@&YMs!oKQx;IFSCg{-UoxznD-SJuvdsqpD;tR=!XCMPEG{BKBhI7f#?e$>*p0 z#}EDbKz!?ja`A+6&4e<1$TqJ1>l4cE3FXTZ%I{Ao-<(i}r_1B$_fIJMLKeVb`}<%* z{dW_}%!K|2PbiBflqD0&erdQ9mM|AQZ}gQp^H{%x13MrB%dqtE11S#p+fy(FzhNq- zfV>b>5PLbMV46`WaljPkULR8PIC(IgM957{L8y-~h5p^c6rPx3oWY3!zYE5U1HwjP3IQeVL5`e| zI7}669Klf%l7waGj2=@JA?q+z6OxPRG>AztoleN(n9hLjE-{@6u_dOn2sw)BY(ieb zG>(wdn9c$DF$GWV5~dhT#uwt(vAc}<`4y%b5)V4Mio_R`mzKiUsU#jWa6EnoTby5A zWvcWeS5{S!_zDxe+Dh9N!Pm{sOe7xt@%#e6o*Mtb zFo_3pRmOZs>q;^GA73`WDrlnHo)fH&l?45(WMdFmyy%}K*1i2VT%mL+wmQ9dF3 z;^BwQHSojBv!0N-u*Uos*5MD9;W)gnGYLudBl+qOZ=EmiuZrL3&#@`u;04PO$JtB^ va6>$NcguhI@wNlNpU1iez#G$%V|C9$U4Yo++(U$T0f226zz_S2WBvaD!~ld> diff --git a/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_flash_ex.d b/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_flash_ex.d deleted file mode 100644 index 22c90d1..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_flash_ex.d +++ /dev/null @@ -1,45 +0,0 @@ -wolf-lite/stm32f4xx_hal_flash_ex.o: \ - ..\Drivers\STM32F4xx_HAL_Driver\Src\stm32f4xx_hal_flash_ex.c \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \ - ..\Core\Inc\stm32f4xx_hal_conf.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \ - ..\Drivers\CMSIS\Include\core_cm4.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \ - ..\Drivers\CMSIS\Include\cmsis_version.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - ..\Drivers\CMSIS\Include\cmsis_armclang.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \ - ..\Drivers\CMSIS\Include\mpu_armv7.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h diff --git a/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_flash_ex.o b/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_flash_ex.o deleted file mode 100644 index c39a44dc86044a241c46e0e888d6a20b18f057dc..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 20592 zcmbt+3s_TEw(vfAod9|9QXT}{Nh>vMc2pVj$ zjjgq`SLX)nc$r>r2V16>cI-3(q_omb^!m3M$Lj>O4s~b;t?hL>j{a+%Bp98}Klk4M zeB|uC*I9e*z1LoQt+m%ahi6O67f=*Mf)_>7$=wnmHA}cB!W=G1C(?VK5>l*lU6>O? zh!CDvNYqmnulaTL#=rC|O&pq1LS+ewN~H`#pH?O7KLY3w3V3$RB0tq_I8E#C0^B#_;?JXThPXQ zOl4KH#!XM3?#SHPy>1^fI0SU}Foev8G%K|&jH%ish)z4w3b#wGosO8O^7i(K)`4vTJPTJA-Lb^Uupj9Ze+IY6l`G#1d`BUSXm{FDT@(6G{`J4fGlFXubKou9vJ3Wtx zw~Og*dG-b;PE7;MQ5U@p2lY9l1OXTuk$nQQZ0CED*r%gWRP9A6PD+aoXv+QRSCBHkO(2D*s0tCkEQl7IWK`T&ejK$`LJCi}k z11xn0S=uSZMxg^t(zr7TGi=fYSRGC&^aR)od>(@p3N*2kNuP`)orerb?lUR}tjT8} zuYo+W^a@w&3YFBS#paOn6B%O=V&vum2Z*zFCD38aj|PFG-1G$~SaBZ7;pFqMX5FxU ze?-*Vw!@06v2AOn8&R(4re@SIE+yy_SevZ06?A{MJC0|d-joVdzz`Vh^y`-P)9?ZQWQ27=Fb_DFKUxV?`d~JOEC5ZSfma+Xd77LAVflcpYLr*q%HdAX7*c5H257KC5~5h*=;kikpae{)GTsULL`GUb;U|CAsl<8g z1i?tY%1sbE2h>pwy`$F#^3!Cn&0*}@SUPt#@+7aD4;f1@jaCdEfz1L{tiVogg?R@^ zH{Hn6`5km~AaBoSpq9-~VF#EYC%>VMU%(;cih=WVF6c}(N4dtf8HhkvS$^(BzAYs0 z2+4PZWQLc~?adtLP(LY9jz}Toe;|X=9ibmwo4xUDnGk zdqkHVvhN+3->8;fW8^nHqANtc(IXqt$VW+sF0Z@4a6ez;(B=2`7YykNTKNS%)_j*P zu}7EZ;m;%ENLKzOBmbVG@ReEijZ5?+K%#&qzJYE4WtVKDK{oCYeXWq)U@_mw(3*Ms zb@}`GiAUhB%O`^TBmIS~w!Cg#eh*dDs+-rXOKgYE@C%0d2Bh$k8S|&@Pex z$szkjgWX^YPS^^d!%%U!zp#fY9Ma{t>*fsu9qcyrKc8Gt$i|@0MDaD$@`%RtvOfUC zBZ47Z(#ZeB$TynhUum#!L`hl;PUsSk*a|v;TIj%UF!Jx94(w9tVfCtoAKuE!1lLxAnbG;S__(92+IzP)D(JhsBK zz!0t*kZNUx0_GaIExM?eUopslGuV(58ud{ck1lVh zAGlrAtsGHDFDGjI+PUp_}K$M@3FuQI9PTI(dSR(|XKW1ZYFAW^J^TV_1!{RI>`8~N2!uSqQf*>@rMg6H6gr@t=hGHoh5|Xy1AOQSuow93 zg%t`kv6BV+`wMyzr?9m@uZ>@H1c8t*1pHyH)-ZowE5|hC{6vOh9YXq**mE2p&f0LG z!-?HQ7^7pSie6e>fgbN^N7A3mtEoHYHS=e44V)71lA@=Y}1he z1K%oey{%oyIY?v*%ezWOZPM23sLA*YwGj4yVXzO3ZqvlIg%zvH744P9hVnRxu|qBB zQ)|_ZFm0Ar-x_A+k?0KAfo-p$H+S0$((O%teP6@pJP~_tI&5g{7O$Fy9)0b^`?!+^ zCA3{lH+V!x9kOPR=qm$lanem1dZR(UnE@+?`Rxk2fu%PpWZOvq`5UgvJBWOPRj4AX zhRPx%HEFFMKbX?HZua5Ajk8bv;TI47GXLfH+U8r6(3%rWQ~{1j8hRb&=gG7g!uTA|MJbM4%ud^^x~`T4Q(` zcn+}x!V)#BTBR(LJV;!GPz-^KG7#OH%i5I72~^@~077_3sz;+eg5d}O3lJh;Dap@0 zorlXPA|YZfb|EJGxaHsVoA&WQ@rAT_IBXi9cQ?ABCVQm3U3L{AZ)<>%6ng)&IW$}1Fu!O>SJcig8g6d4 znCTthC6s|{NN+du(F|5^Ni))qBudc)&LB z3s0bKEf^k;0e4|X4L!F8>@GbQOg>n8@Nv`Wrkg?_yOp)C7uz?-alUMabtY)=Z+x=v zTwqc772vYNww&`0mFJDhPgJl=N&3Vn`W(zB*r5*Y-rJwIUkA$)R_SbL^j1s3Sx^D} zl8x>HDr}BY1a0A`%afQ73o!lnOVgzI8<+}4&O;$g<#%J{cqh#ISX25 zw!cKnOBXilC3R|MxRaJ%lnkiEyH1xqlD2TC;+pl9m<4_5qVbacv$r;R7MCoVrCq*Qw`rq!Q@yF)GLL~0gT>l9Qv;KmkvWH1xoK0~JjU3t zv9iuszkzvtPF2<1{2aCViGS3Mh4q!Q@9jpFsXC)(OMPSQhI&&KQ(0qdz+Nw1g!j_r z%a<<4O!2A}YcO58aB=CfyjkbS`1sOF9%C#%X*6Wuq)vaH$ zbV+GQaYdww|-)0JzNJiM;Bwtihk#=6>xYE`xMmW&#hv@MXy z&RTDo;2x9_mbc#WKj}$uLez7cn}f_)xy@`UF;yeA%-oO`8f!K+ShTw3i%QolU#ee; z$%^GV%m!|mC0mUR)y$(Hh_##QOH378HZTk8t2YH9TXBZhH`Z=9HCl|8+RF6}TdRx~ zqp5z&Mg*>}*iu^u080Z1S6ywz`YrXwCSz@#v7*itGN!*L;=!T(jfkU^tbhi$GzLcY zkBI%PpiZopfV`A87#mFs>uW6mikNV)Vq^W&jVnx*mQ4*yD*S2Vqnqk1#to+Buv$#! ziJHiQEsdKt*4LUhSzxJg;=iJHqq)w+=w|C?%wSd)Yqc;3evw>kY;5G}`ioq4y}tp= zM)P_zGSL5MLEVPom?oxjS`;N|Vog?y zYjK)bIj6<7DouP4k|&^)+u|}oDYr#YmL_JiqUMxq%8tkg3l=!rf107*AFIBk7Jx@^ z%NfN{7ZcK6B8~P$Zz9A%5zQJ7O3EKdD%eN6mRx>f-Dr}FVj3cddNNVJVi{{qCI!YF zJ2vkiB6esNVb>Ga$}`8KcapJz7e$@aQHtfTnFOMKG;*wONn5R*Abd@g;>Qof*h$rNZ}Q@{O`Tu(GezQ4c6c{y|DFgYjMU zQ{k%cuu8W$TRi5Gr7h!84x5sE$r9p|1)Cyi#D%n^9DW+&k1MbV&7h!?TD4^-`~ZgHD7IuTCYL^aB8MpXBCVeX^($c--asxr;0N40*(dGaErU3xabWvvj z0PO%EjV?MJ004KhV+@g#Dl#WkWjm-(%>4eOsAG zU>2W5-BCxYh?zq8xCr2PV91ib$A?Z@+9F#7aY|Jg^U>1b@w3{s-NkErir4lQuRT$` z)>XWApcq@zJpn|y7J9iBPR;;I?-Lpp{2c|hFb=W-Eo{dY-iMZrI~WylnfEv&c63Mg z{uhYRu==ua{f(0xsTsoxk{!t-2`&jT{yXi`F&^WWXg}lMm|*-(?b3rSc_EAkwuWB} zWqf$i=>Wjbc$gY0!jIu+d~|qGW&i+;$C(H(G6n!}E(?I{@S=SY7fFPx9L4wFw?^;@^zb$|Mi9$wMvnP)QD3 zVlS2Nr1Bji00xQ*VJmPFEbMR{cA@FBy7fel`oSXZr+{szTvtK>_ z{xaUn=_;r^X`kt2u9H&3%7a%RyLE zKZk{+f;1u=&K0(@^!C+ehcj2)dIX!amCFQzoTf)XT0wlu9py zO#y_uB3?49aWk?FV)q?D!P9QbKd38$D2aoQ_k&1^C&JwgoW#K11P)mtB0pqwZA3_RzS_>ES|%@g0Os8HDF-g|I<$^rI3rGKBP^Yzdam#O-!0`~>^8k$Syz zuV|OvCJ`=UZ_z?=o1PU5#mgjf^v>~ptoWCDRx)S4{=fJ_aY|U5-UiQ>+n71xWvph7 zxMX*&qavZ#lY3Sr(I|u)fF!x5CS)C?sSgi1mdQ%u5q28(@90Ev?nG(xdd~Uq`yQnY z4VxNj>#I!7fcLLQDyP-)y`}>A z%Tm5S{oXQ9BD<#4kyvm#vH!3LdwQFKR~`r}_)RGqxx^eFCa`S6Hz#B3j^6P8GZ7l`BXy zAWWmuL^NqJINa7Qh%w3EgvitU;aiYZSL%tTp19iF6wAa_nH5(7sCyHUvf(zrJdR9M z{R=|7?p8ID_BbUGw`fK5pAh%p4{%q13%CAON<*ouCE-1BO?7uW>ycjIk+vpUWbHqyo?TJ_Ks!&#tbUj?_6 zI%xV?s6m*y#L%m1fHtvV{K>sg^wVo?|DU%*$+bCmeIP zYnM$vy9t6q?hZ9}XfCnE+YV=(_&s6Q?6!&l`+W_E?XV{9%oYis?4e*HIqU@z84+ zrH?QVc4dopjm~*bk?aa{FNq_Q_v5`^R1zEGZl%-}`Y8{FiNdDiFpp1GG*3+D8;UlG?A~W`|iN4SW({RlzQJd?)1@MAD5+bsN{Ct|Hi zL8%&7dd$K{TC~e$U7#_J?OvHo)PBCl=N-ZEv#0_3N8uLayGMzwnfD$kBtG6l9Gspdfod~Au$}F@=+_~cHEtn!IA$2O7u_QmQoJ4iB%uq{O0EW0;u-)aHIbN zZm`sX3gd69s)J&gXy1ysH*iALa6_>SOmm&`0zy4V)_ntRA=CUnY;3o*?Xg}FjIKi} zDT2}Af~u+1%8Bdg$qXm%n^D~TE2&-S#df{e?g-cJy(=k=ZvQ>@CNM~HwI#Ds-yuqI z&_od@sKmvy7O3@VSkRj}gsa?DIsCEP}*O|i$I8At1F%u>G zu%hmunq+8%enqrge82!YZ@D-Zgt0au<^yN4OZaYgw8+&>8N=OCV3OVuoNCv09(8-L z-3PgLbEWO;q1~3pvE5!(4UNX(T+V76Kn({vjUZx&7xOD8pXEDOa+J*pF+QaHmXo7= z+)ueD+&u`|cZn@kd6wVni){iC$z|56R)cb6*Q&gEMsQyu{0s9J{_g(*x}TXonv?F* z7O@d7BeyhDC$&5PT&xaz9ok)Dqkvl6D6yoPZ6~E2_s%gNUO@!Ln^Bjs4T&BXE zf>JcP*N9T&0x5c?atJJC7iry{3)9Uh+*}x>D-FQnHi|q9KF8w%GWT6ciF4B9yVLZ~ z&*Dc9e*{+7TXBjJZai-4YI)zAwNCp)U3|AQ<6X&G{p81YXLJEtoM6jwQ=AQfCdJv4 z2Pi4;SKh2{t$Fg}qIu$T6{mbO*3zf|S(nZs??U7wi0qC*vd&_pwn}`p;*={Kk=Jp^ zGZDFiL;gSkozW^=fO5Oe0F)aYp2|}WnRR_#{5jS5^RxO31kW5dv3A$&cO};qH%}fg zCi6b@W}Vgf0C|V_R>dh+j>tC<*)|`M$sR;jMI!PPM0S)Q^2>;9jzZ++ser6oBzOwl zTw-Jhc?!~KftK@g@q9+GpAv$5D-nisJ}f%4EGw?+5CJawt9-<7HT)Ap&I?o;e$XM> z(hV~Blj`ZMdJ z3#iereE}%zG-E)gPBTRt3GMAi_pp=_0h-i_R z7^avij$w9AVTz@xF$~zhvrGxa`#+2#{-~A)R|OPNc&1eHByeLAawCx!frdF#dX}9G zi%Ba6ZBSee+JLZasF;==)t-(ZX7^#gk`XKdi|2^MW&K%B`c60jG5Ga>gHvY3Eqeh^ zxYutVqc|P*NcZMJXW4%^M}ZrxpBsjN255!07TY?ZtqyDp0)N+_E$z>MhFPZ&8Ni_S z)OL$LB;*~*fCAL(#)PU=45OIppVM?s4IM@@s(;Z&${^bDORmeALhQ09 zhEt?n;4*^JdXwwyo2bTxheX0W;u2oqx;zkzT~;sBKwDc9BtAmGeel*Q#^C&Q0Qd{r zR+uvl%$Ww)60RQ`F0c_?R1REqdR%F0TwSl@@>>dMyVG%*#UffbE>t0)c@V7*(cVY2 zCy|<3d<(Xj++}14fju0|?E-FYaWg20(Z=ib;yFWlg>dLuePB(pEUOTT#p2~x_`AYz zk#f6E{~}F0>i$@7R}*bavo5KR2$m7)7BOE@V%7c54{me&(-QyVac)iLLtES)R3s3= ztLuNe|6IRtWnjO#=Z0AR9r5os(KU^AZyamg0sBodx2dlP_M5Qt8%c)!CT!_O<*?rz z8(ebpBj>joUVJf}lGj8&=#{#TrF!=sO5fL6dBi!FBnp0dbI;;dh)t_ABI_$r|3-0Jy0=j!^#=ESu8k8Lg{Q|k zm2?bMN=85>*_xv&Aawy%#k zr-Nb?j!NJ2B)WtZe*a;!5Zz=?EIR2oUSBsV^|fUAo$Db^E560p{wXuPL@saWoXbWG z!LERuLzcBY`EtYu)IkL*XKqENWkDBVoA^bF1h;dkhc*$-wB5PA{377R>MAMhQq#qQ zoGLfFQC-YD^JfvUR5aTPy|||>?Q_i~Yzw?Ef*ZuiNaS?t%N&tBFMlo&Syv?s5^+!j zjL!4TzosUTM^X=NnvjcsVbIj{e+oDGQ*h%pkj{t?sld*^DSQM)%7Y^1L`L1URlj{O zE*oofp{EV3k<1k|XaXi~JkcdJn?Xv1X5^kl=M;rhoX+j2%-=#i+wb7!H*$TONUI1< zj&GA^*Y8P2S76^dM~rq0Vop~<0-oKQaZ6;Tj{5~r#F@GQuGVFLt>!?jxm>Nh4Y2`h z2NXxSZPf7kH(+?&e1O;MH20sqq{~jK<_**aOJ)$DMo)SRgZcWoiDVF z4z!J{(Kf27ZFTVn*;(&Omg$op-~FKa#aaB=gW%SMgJ0$l0-6`m%xFSSpb0giQ)ZR4 zT=!;mpa-`*Ta12|$O;H&nKG!JC;(^BY||9IE7^+3DmhXZ)uQcv2t7Ct=kDERCfq%S z^Wc8QA;-3WM*x?RA><+#GhD{$Z#+j4>|ZMUKMVX}!;^aPNzSEu6GMf&xUAx5n)Omo zb;5oLr=+!U9il0M*F|YimD9_kkaBuCL$U^8ujItWWk!~^pz{_QBb+#N44y%*FRjOe zTsUr=fRxlW20+|F;{+T|AlGvc|FPr3tyLQ)o~>#$HTc0RESt2f7gU3=kUOeP^*@&l{^`xNlCF|Z}Rm4o%&hv;*Om6%%Zy0Nz z{b-4&3TywxyXW;l?Q2+j=*2_i6|61TL<-yo+%NQ)0=3yNV(H4ejJ3x;T5{QhwQqaF zJ_yv_JRNG^eDRRRU%QzUTzlQR*Hs;;y&r17nRg3ow|%sv=aX)&FYJuBL`?QTxKvwM zW}LROHHSyyUKL-|(goDiLO41Txl5K*^?MS)MnXunNMoF)SKqV~k}D~^Cco3YN5RHY zgAWvMKjB{DG>pr67?HinpB*=Z1YRdVwUT@VY!mqDzweMjT)w%SNp<{raCGuD=Q=NYTDeU;s^K+F)`R&(adpZB>`LciO0R9yUG=fM!n|p zg24y+o&gV`+eD%$IF)PWP0{eCTaV~gD>zdjya7texEb}7m@ z9|F|pvk0_^6oBRl6Gt<>q4nYI0}nq_^@LGlaQ=1R{XSNs{mY&$yC^GaWxVE}TM7eI ze7yHi^QUAAk9ZZ1j|Ika;4UPUD@Y-@3!UIDlp9;fL5Lui?F!>L!y$qkJ5@|(mMhpb zfbgzbOPT?pl|SKAyh1$(wB;PFtt%wXMf|CvN^q;Y7s7eHMyrPC(8z8lhi!{D#kgr1MKlZ89Mpsv$gYo*LOzx$yQ76WKFN(ts%D$?yZM#Gjdoe_&( zOY-4IgyZPo=strRXH9$Qo!}bUd2l4~TQ_bg<@Gp?m$_-=;`-qb*RQ6p!lU^;I8Fze zW51F1lRb}QJ$K21$(?E290NPXB+>32E?RSD8ia!iC1*7c`S@j*A^z`cHE=u4!{M!9 zu{$2f1Kt)%M1N-G2B(mKI0=l=#A-W0WnbxdI{rM`j59sidSWBF^Yzj6C0}r@qxqe#v zai$FcH%+q+IQ`sw>vcE-(DT8Exm|S%!|>vp1oq0$&EIUhg=ZtxVOYo<;FI@rhP5d@ z=x0xV+L=P&fak7g>y5}Hx)5$DKAbOD(Zn$SCWg^t;KV=@JBZLOoW&7tZGeLxSTQT( z5Nbu)q~{`m5VHQjWIjSazjwvxgiwZ}^qyjWE599FV2gHT zBKj3XH*n~K5CZ+HPY$udJRxo~u4mQ!V4Kgb5go-5pOCcU!$22TrDGS}To?0@4$7$a zF7*|=vJm3RmRPa^iU05*hWD)qNa3i`Gi#p1Mx+Yme(-(>~D>O~%q ze`mY|A8Kg!!QqzZVU(eZRB&7PK_A!5acpKN;HN7R1hDVRiyfU0p`m`A>?52x#%tRiBX(0!-x2$JQD+9{dId zMxTHi$3$^tB-JKk^DFgdt67mMHPt0_`Zb!7b}PVQRM9R7!_;MKY>LRp;K2t^-lFm= zPwr%HSM?W2^w&Y6pglSsXofjj6|WHx(RA|f=3~_`-{jiFxsQe7Ci6+G@&gPXbupa4 z6}ooendDki9QaKSupkJJ#e?VriIL1O7%)dd3Y1re0hm`oRD_Ff6^8im{gFL`2drWG zs#D{Fw~m`!JTExsR9#*CAj9sRWs4VdLjc9*ob#?^lVV`-043-B)|)l1^&~vLTQ9Dz zJmm|w0&=y=v3FMgEWy7WH~Dt4ut)e%F*JBUr9|X59h3ODs6kxCMNij47r4vF5b}G- zMGJJCKaR0C!OFG5Sdq~0d?$yvKi+=Zm8Q z&iUdAZTSfM;*CNNAJTjM@P|znzr#G?9s>m2@CK8y%Ks8#=mYS*LyPEchnB&!#{RQG zfBNzx+egD$&Y$j1Cf$$BYr2@r9$NhyXOTCXNk;CpKdn^H?`rhva2`BQ3V+lGM8*(M@6@3oDK z4DfIOoZ)3}P&>UfcOTw(uN5BJh+}YSG4b%h_xwN`Ua)V*5qJ}6x27mp@Bny!1ypvWZ)>K5R$e*Y>@%hSr{p8xni0IZ@U}zvl^NRbYAdT`PAdPqZDGhAg zUlr}S1d{lKaXXx)OWR!W=pa4z)&)YM9)g9_yP^B$;3g6;fTN+C;h!fG&(Au4=mTLL zk3GumO2w@x(>qUI#dCJ<0C%Re4v(D}41HL?gd2B&>q;vm^Vqyf{f!OgwuhV1U{WljMI69|1ACIO3gR|JZdm6-*}kDS3Rww(>O1 za82&1z8TwPAwE7DbwlJ2eFoyyES#Yf71ty}Q+aZ_6htmiWA&bs(x z23VGl!BX5k%ZcBH;I)~7cWfZdk||PKscZ7Q?zL9ItCLpynysSS@y*O_+{nUZf)_-V zLK?;2Z^n2i{tm-03x4JBL$uZK!wXYz`-wVG_blWm!|#vqD}*1u*MnE^Ipz@`FMJjm zlEPfyp{DrjCjcDjV2W@tz%wBavO19l@pS-0I>9suUkNabp9a$)yar(S4pA@-!hZrV zY%`b!;rjuG`YMsle9u6t+uCpH!@{04{P=mN|C~P7QaW8N}Dc03BZOo{u*pSg= ztlMJB%*s$_s5jJF7*lReRc=mBR(6)L!Zc*9T}O>y-h8zzNn z3!?#7mwrKo9WU;=m)m*;VJKH(-^Oa<_=Lh*k|3@~&zxpOVmI*CSd@U0SocLTO6d3qkhHxLg5cu-tZWrNe2NL|Sh0DO#ECjwd zA^5Ec34C)xRxBp~;yyd^-!lW`10Q4DrN@1#z!Aa^S^n3vqFn$pYQ$wtDAeaV&=UDX zSP7rQn3`v8%poEvy;w}bc;O-$iKL=Fq3uL!huFPQt%C8Oq^ z2T%m{{Xh9Y3H3;TuK&v-&VIsB@3}UHlmz739W15=7M0&hhahlPn~=E^Amkz;Fsp&f zU+xGN1Ni@ZU-{?vmEXRv{M>!zU*A_gbzk}O`^s1DEC1!bvf{q+{p7y#gZGt#N+!sU zS@%8H-B;dpUwP4e<)!zPSKe1%cVBt^edUV#$~E_u+wUs}haa#H!6FDq1m`#KQV2mq zgH{Cn8Xo9U{QqM-#_#v=z+O$mGB_8rFokK(#uRozxar}6j@Dor?)TStV9-`!8HiS4 z3XUJXPr?HuYQ_{+VH2hbLUv&alKniU(D(sN!EPSHG=`8aOus%F&R`nn z-^=mh2^qsOIB9>zG!bkB@PMa;eG;ZggydkFOh^Hy=%i&-;kR+?jTIFQrY4dBTEs#! zDmQK12;YH`4A2o7_!V4j#TJXHF^Jq~X&@O5rn*4gTKKMxWYoi_V;K)GSv3nba+Oa9 zQR`~!O(X+7n~KWdGW?sb;z$Niv=}QO-L?_a|NgZbP+__zE)O_)$h+VL!{jbhc$kN& zyo^@SMp%TP{7@PZM3Zi+v})b^Osum0 zQB`}=d*8hu-}~Ns_uhB+(rJ4uK@cbh1k#}|IE1EUSxtc{yXcVYf3yg-ursTtN)Rdl zy;IIqwtxSR-oU@7zjG{IX(J2;NGnI^3OFpv)1o}Ec><6euDIHq&Oa+v8qC*-5&1U? zWM!KCSDiz$(|Ik|idt++LrJ}?^yx!}^3k@?ytcwtEXI}1*YezH+4T~dZ5^fGBMjvu zr6+VJ5;Z@Xa$Ke7(%|6-~E zx+MLmixeivND~?8QuNYfH2XZLB>5pSpri)TBjerdmfvCH!*iQViQ_j%&;9(Z*S8-2 zeQag?y|~HxpI7CEcWnbJ&;RV*Hy?cb!ZSU8b$g%Ge12NI-D$K~&%Cs?{?_kzHE%Bz zAIrb;loTQNFrBoY-vv{;roOzkA7bw&|n6dQZgUI%4V&Z}3os%5Quj?;LVS1j+u zEK96;T4H@CW*w1OGJ7SFF7m~0vaVa?fewjK^iUTW(UFl)3&3svkk}~`drL(=DGnru zPwwtfyKB@xXQ&-U;!IDfHYZh?EWN|27PYGRVf7*>{ab=Hr&)6{OK+s=$JqK&iDfKR z4TpYq*>X!_nM%q3>=Q#5MLrZ0y2)slD3prAgjja-ykZwA9&}Kf32LKBu})JvkOi$% z-4leL^_i4qifx2~d^Ts@WG$n>rs97(1euEc5RZ%;)RBC0 z*s-Ccc4nxp4(cIOG5bNYW^?`M^16Bz0%$9NmmNm*~qn$s!B(VA(S z?v;@cRWB6qqFDBi1!|{Daeqy^M=Q1%#TKpjR|geTQX32f?87zbM{Cq(2lXk#uCHj- z+mot2A{#l^dh2$o9$wZ|s(P7i$gmBgYy)Jy#6E=vXoN07Pw|>InjMvw74n8Qx?(tf z_3Hb!38l_$tueIxB%%Ffl6gQ(cHC~R_~vVs_%6}wt8Kh5;^D*9=P$Gy4XvhVw6m?* z-nlQWKF_-xVIg2Nc(J0J^LKjvT)4ZF=K|iopiA#!8yt3@;BjPkJKAkeS2cgPo$U#P zdV-#y&_Dx6W7g;Q@N})d<`jLQr^nwwb9}(%=Yp5$ADnW#>&zyGdCu0)@m~5_h{e|v zw0WF;m*}%WZ%~!||{2&l`aP9XwJNtrM zg!B11r{9B6g^XY>EAuO4!?4JKs~J1OEGZFE+Mo&mZ~;n=G|^~AJ|mB{oHWYq*E5Vs zYD_Ju{vu~%CZoLl!;DgHC{9i|mX~){9O?O+j)i-zh2!xoyRw?Tx4h`cEYIECZmlWz z{o=&8j?~t^UHiJ}w}!euoV#3v(63DO zQrz8h!)oZw1+D7tq$b(oOJ^Gtug2sJ#)z?(4Jb8yVhhf7!910t1~dB8Yfd@JXKfYc9{(x#%=UE z>zpQ!LFn!aI3snQKo#^uRS?>-%ELK*U!&oxzp~*U#rXfVIBIT%{TvPi5mtC)Auls3 zaP{zlCt4LSA?agey9^cP9gA}B%^sb&j0Ok_uVYeO+i_h67c)~~@>=8WrGtYdl3Wm$|0@C~a zk$}^MzPc3pu)qtP6Y0YP0la>Fcos}02h8p8FXKJH=TgEeM%=3y_WyPEpE1q#CIip8 zJy_lZ=)lE!1kmnTz#?pi?J2^Td=fCRiyFBm`p?+FQrc#CK)R#y`)3KkI&5pA{MB0T>E=}&PhV#-|uVR|M$G$ zJeTu%ZlC9KKj+CK*(-85j$^)yV@YhZ#Mtl#{z=drK1pI>cRgh+%jEiaZZu;-cwVT` zPhPpfHCW&29SyX=niQb(mzR?Yf!ngLtfB~IJhuDLoGJJcTARTq23 zrSY_fUD0W7a-|t%c8 zjv9<>ckIcP?QzmHT(KX!V=-YgykU#G!s3S7H5YWTH_#7F8}rw&U2<6s=FFOF(5h67 z9;l{Nj3w-JI`*_W(mNg1TFgzx!dX}B$L(PsxMTa!Tj0X?wL11;4wVk{u=|Xo21s){ zDpfM{ws#b7K-v{oY?m7o2D#l?Aau~!E*W4dbIi_z7N-KB(lLIw7kur1zsMz%{2%N$upw)l$Ctlh*PxZq!?0W9 zsSEGbg%9%pSG1Z-wzvUjSdW`vkhy?PY#I+2kk62jmC&yfN-V zT^O)2q>J~|#eIxCR%f6`y@RngG4sLLUR3e~&}EFf;*J}!k12YmO1mr|ic^lRD6pZh zM$}-vF3pv?Sd4;J!*&|3uRRV#?nSB7htl>i8Wa=I#*R2+DPxcQ7~J6u!%To);CUDv ziau$Qv6lv8uOh?Zx@?-By0{OJHy}?ay}`G-!NqnNX*j~XKV$RN*!aDm1=6nF@J^WW zH+_{i`R()eP)Ga7o{75(o^^wNzlYSD_krU|8}`=9b`f34YHCTsxHOO_;7xm2la_^A zwp*G=V8ydwr+q&A#wyObLuK|Nr{(r{)Fp}R%5+r|n;A1QEJ(HyF*x*)8azuRy3;AE z>2;)o{?EwvXdP8rN4nNg-2qsA)8YIc62t~e4JdX)tZ9tH65T}qxI&2MuUKp710|-?sp#s9z zFP-GOS{;?87*||d>!_}jLE7wPkSAA3JjQ}SAW}TWIPe8Tr5EQqP4B39EJZ6vy46vY z$%|Yp!7CFQNZ^xr_b@F9C~fRjx8{EqP!`9&Qiy9Y#KKPW);5YaUMOAFX|V7AlWc6* zOGxAQqMxMDp0>NTzz+FL`h})}ybe4b2VwhOyHCG(#cZ2wpWLyt0HPie5~%PAYL#Q3 zUbYLE0cqvRAU~Os)kAT_z1Oa}36;UceZukN0qi{tjpG#CAtzfMXhNDU2}J0?5LlFz zXEAr&r%sYukY>F$L0Iv8Y7hI6#eQ0+`M^yQ4~p-T_Shaw5@MlUgBpR4Mx~j+K>^}U z;1>dLhIK(V5ld?NAOXNoRt-AAR6POtYXSM8fc%YsJTarM?I!{Gz&=0a>xXP4D=rx0 zJ_idAlECS;g;Te7&Bt|dpdv_VR1)R;WY?G?i88h7AnH_Mjmz)=(rV=cef)5Bi6SN5-SmTrg^G z>L?G&A(UF6M|lH63I{PAEeO;DKVte(7JWvTDdmgKWE1 zxl=2v<@5VgvMRf5yDEGi^8$a$H#twh5nV$n<)&XvSo73NKmPLpJM1pVkanxL9F;?HTO&|2gC`z z$?Z~g{x40%$3;vXINTLkaAFY4WLh+4xKFa?{w`9L9m4F)fTj$08gZKbXf)Gub~I&p zRBG4V`-6f>_b`iyaavR{uAiBn{at0W&=1uxyR>ycYpI?qV%kvZoP3VdxHk2~h!w3Z z!BdxBkeEU)wJQ!gB{{75p86~`m(<*q)S7iqTh~3#tm$V&GhVEp@w!Nn)MKw#B=y^~ z!jcB;U13QcdscYTO}j{$bjx0^OfuAEMYD6Dk;l+~n%u7G8Psfv<`y-ZG8?;FvUq{G zt8J&^22=iB6@JSeo~Fox8iowjY|#htU=1;S;zhltMJ?h*eYGvnB@fAEkjs@&DwSf= z#9GJ1zKHPQ*6=~SvO=%CJ`z4+2~Sh?#C{BosEdW^utk4p!RrkR`c3m*6fbg>~eU{1Ig@A8sWwmWkc+#_H{4lFf9T??sP32GA(FEvAgB15UkX(2lD~{!=`Vm+jLz8GcWwlmhdn1iS7@K zFeN8Ix_yiZi4SmVOJ+!uGklCa8l@@jA5A1}Z* zR{q^19nmWPtXKY7tNh9mUZD!Vt`A4?3y<`#p70?{_-(yu@Cs~;cFhRK&)k-C`48Qh z*sPB3*#oBeeWv-%rukTPziBbst7S|tW~?eMS8HlkObo6{&DAE>wH!bGzS*ftDqfh9 zn)Sn0-Op}^cC`K&+*oV*0~a?Ze3vYzerByjQLfjv#Laxqt?xFd4qDVpQxuXEbwgcr zmr)_FpDce=bMd%l>7$dEJvuo!W%9ufa`O_G)mpT9BE{-PMc6gaa&q!)b5&VQN!6~| zYuBwzOU;>6Te~^exMH(VJ9}+a(WYJ1+tX6F(1TK2`R1*aWjm6KO!GIbD61~n#5dZs z(!6|@F@L3L$M(t{6(tqb^R*~xsaRHCQl(8#PMND+w_`{7e66i&dr`TqVykxJ+~VSl zd2{soAN;2&EUWn5DU|N6*j2W*qNG?`RBEeQYFV~=vt`+;&H34Dv)B1c$p0_8pMCcV zi%Yg7ml6(EFQJY#ir!sO=bRO#bp)M$)!N|Ze-F@ zH&^?YO%FzQ?>|n#w@7_PZ7n8YGHqVBx3a`svSsatwWged(i<&a0E+mF%jvRhJcQuG&*(RuPCeBQH=u6VQb5_SC*G(O|wli zW@y)C8I1yy0dRYzFS{1gFChv_Ne>{@U8Os!sv&D~iXiB4>FZtQM0v}cdX+v=ZcM9J zWhTnqNDPVczB%|pAO3N$WDe2{rjgk_Nmh+Yz zfq$5HGD1aF45fugk~u<%%N%C?LDHbizK9-C$u^_i#0Y>&BS?BAuzz6r&b9yO`JS8X zA5=ieg>m#zRx0#a&zP6LE?LnlS&4CXqsA#rY>jx%mAqT;E*S82VL1PM;pv!55PvmrtZ?(43w_x-B2y(w>KrS;vgZ_bM5YN9-qDIWBIgJdnF2&{N90_g z0y{-bN;)Fbg^B{9VoOJ4hEP!|RBY{t%oHkWQL)l!2V&ABTdA&vI^E|qc_R}gFqf4jdb~}9ic?*Az1}85 z#hI?WK5r8*dpy?>c}v)AH3*~6^SgsTxEl1p(7^|WgErqfxcN>{X=77qi?r-SQ`t%B zwo^^p&PpGAvFX9rrR69eK|J#W6?*FD z^{Eu%arT*VrvHJpPj$0PVM@Mr0U)0G9VfdLznyjG!n`{2PVnroiQ|*zSX37et{Dl7-afais(;0q88=0V^zfNsrQl0P5 zC_A~zDOu7i$?NBS5tY;)g-2%t0sN5Pn;DEuuA5zLkO_a|V+%B~{oMY34tM-*6fy%1 z_Y8<*o9kL6QoTtBm~;q@x3tLPPKtYmZ&Fub{Hcvkd{NVDbMFkl-Y_2xpNrQhR^fNuwKE_R0+S|HjdhSeQS=bzv`S|2Uj(iN>qFPo_CK%$B@fzcnvWk^E zic2iJ%gfhRmXs75%d1PODqwc`TU3{9uY^rjRf4b<*0|+Kt^TT{?ypnjEg44Z8^I#6 zG)gj6fueeasx75Wt1s3Yt(UCzt~R%%ZeByMsDS{&05Ayva{*8efGYs#1i;>gWta8b zmm@$hG)YG=O`N&TCiEWvsP~9%eMC=h#n4;&=j8D2ZWXrOD@l7H##z@lT{P(rNBfhu zj58h`mOh+x{;(^jCUNl(H)K~PzLpaI_Vm9F9KFqIie~jS&SDC7d@p13Is1leOX6$B zNtezh^dJ97f3#?Q#O26c;@zfEls>vN+b&oF~{Q062|)yZ}(NSgndE%s*uI-$ z^_pNkGl-Zy?5!u9%YJg^?Vq$PZ`3?-@S}pzC*;h!DPc%{VEDrQt%pDXk-DX&wu~!T zQy5eKSUxX5^tHk9)1m4*&AkyD2adjM0e&w`$@<~Y#R}KKJP4uWh)VO4nxe(!)c?{a zJKuiNwd`c?+b7ElDh4y&8_eh$%;+D?_+T*OvaQ=P?aiIxF-`NoK3X}Tzx?)&Q{7GN zs}6``(-pfvkNKpdT{*VNV8(}o83ThER|Ye#4rYASopJkm#y8h9?p)9K_Iie4C?jhq z!`zdRGnA1#l#w@-v0^A=)li0IC?mfoW8F~3`k{=1o{am4GByomJW$--G3`xV!hGfA zR-L)2rSZ}cPR*@5rf|uv^#+$);%;sT&a#F_HF`8t<;M9dRP}q>w309MM)|O+p^7V!Fs?HvXuK7@KmNqJ?(K&Uh{NzjkJPm+i0Q3Ri z(%`PZpz!=e)rvyK6Nx}O=SAQN~V=dL#FrB+b`=4+{AAXP?Xf=Yw7{~cjD2e zE7UAeDr5Clr?dWF1Y1NMdMK;6u@<$4$?NOtLq0`$N%PmZ+y8-EcO&N?tP|z$EP;a= zW=m7l2iLTvBr$6ab57`1BdAGpbSAQXN4p|#FnWt3gbhTnn{QbVat?`-8seMMGK1E& z>Df1WCpZ0USBsHjh@AdL-LDbiDsTd5arwW@ZD3z~} z>yT^-uAkVDFuO_3+3OD5XW3uN%~6CiiQ6!EFb(UB{~T`|AF&c5Y#7t7;aJZ>j}*&! z!?i`iys&=_fGrJ#eYQTO$a4FwiG6amNqe)!I5?qP@87pevz-L%{0nZ~@8G7S)egy- zB10lp1Ak84`*PNoDqp5Ave>1miOg`H9wUFyQ7vIj8|tmKa)(F!OnCFehWa@-3`-e1 z`aowLaFpS3<}l{gb=s+L0R@j}v4`?cO@b(^FTCK?9-Y@8!L+m5(xeBsZCW7m5yc79 zlQfY>h$t@8%T^4{3_oOS`e3CvP5Rcht8Nq?HC1v{ID>*K$mycI8J#SZ%rCN>Z94B? zV%~fgEm8joZl?FBXYUeQC$wPJb6<_+?4gIBOi3yzQq)LTvKkv}4coeuS$jOppi)HF zw0?nB?#sBzp297j2&p%MsnfMRS~a%rnYkUY91}HI-&03-?EJgjN5UV3SJ4_yeuGB+ z)JHc_*gO%N@Pz2U)zRl70D4k|Es2PE4~y`!%RltA8K!4(Q!c^H$7z-SeUGQbsEeuX zNMv^7ZeZeI`5jt(m>c81FgWZVH$l0Z#_gbSwO`@p8@F59I8kB(I{PmC8u(dm!3Mur zhlYxEl8>zsz)QtKFo1X*H&LMh)p(?u%W~E~QL^iTv-9Y)IX;w}*w;zR8T=h?ju&wA zp`_E=Pg~rEEskgqGo#)zJV+>)6%bG#X7vbhsCFr{_iNQ@((H;#j5k4*QDhlvQe&)5 z`r#Ji@t74y{pk3*m8Z?K=s;P9n-3lOazlqvF98-REa;S(Y4g-F!AD1n{ z6wlVLQnSoZcMw(8eTkXcLl+iVT9#f;WR=-YP+x5(;Jk9JvFB;(>NVPio_{0jBjLA= z#66!I8sfY}*IN{h8SzA?RsLd>=pL1SiDvHK<0g9*Hy?&UbSJD>$>Gnn=!0Epuwp`g zbN>!Z8mYm8_i8W;@wmF_(Zu#=W%q$&YgB!ZFN>ZX-IY~^M9q#$>iE-uUGdcCL6&`K zg@E&l72}~b1VoE?#6=R?D}wAXE3&pK4u2_cn8Y!~*-&ijhuvXl$1kNod3uysew0K@ zX}^k_<2TgVDF1xL^H2SvGniUhr4eJ_9w>)kiK%~#HGq;9_q))~<2oa_Otkm}EkJDI zjQZO0uF1Ep7o2U!Bz}~gcv8=!j34I>8fU7)ZWDJ!TMFe<6|C@}6+1Ygxf^%z!3^+$ zjkV5@?1IF$YpT15o!9Bq5YP5?x;D~Yb?s1m3+JDUY$uLcT7`|?GZL9uWVtMxbQStw zM`_THX(zt1SKLigc`IKe)*B%OX8J2`KALjI_4W`=eZ@&LKvVVx%%wLRFOgAo{3Fnm zN(q`8SX%9+se}770q3Qun`WK|ZV^qL!Oc%o^`f057WenN!8Ji$5C;Do#QeN^v@7Zb zYB4~2=b~wi3IlVb!rfx5i!ZGNk-VxZKbeyBRrjk9Y$=&cB@zF8S~2Z<=ReV?olxt* zCa@}OarAH4xyZv%4%-2}&E zKT^FIj2p(SwqqLa$8Yyw*-iHhSDPZ_^i)5(_SUzaM^DOcX(jyuYj<^Ux^QajDMBZc!Jbk2W^>ewo67W8Rn8#N;>lf7D^z1|*QyLv9jLie9?;RIThx)0?}&^zUq^}< z)v!v1tv(?>oMa;u%$04He04`l%~pui{Eid zx!UrH*R-9F%`(MGJm*R}8ng>vQaqw+y!f~+TJ+gK>d7qow2e*o%6p3583}O%xI)+a z*sShk$@Oz3o`bGcFDc$swO)LDP$T+$AoWF)K5nCQkNm6RcbL-s6nfST0C*t*I~`W^ zd|TCmo+sC%=T@^dZe!C4n#M?E-QMzv)`ZMsvrMZbe>qpue~2x5Nl_Hxy!d$C%=%mC zIlC1-ztY-8fmp%+w^9^Yaxd@y)7u32|9hj&DbXuz(W~n24gd%)Ki4(Q=j|K$EP45& zh|@+T@87fHNs=d|S(2Yf7xTWo4Sn*yeMrwF8dC{>+3(kzOUR)wFR$62T)tyRW%BNd zsvYI!$;D;6=#>t8?o?66HSs{$oX?KU;q=UWgJXFT2KV;i;R`1f=MUQ#*A%U4f_EJM znsHk9*@TW`AN5BSuaCHTv0`{x%5(COqKt3NPuwf{`do~&>a6ywZu2Th_IlMFTf(&Z z1oC;;6~gEJHwU-$>$Za*8KMNYwC`f_u=FQq8p$oSErwfq*(|uFU#tmz?c7wsEp1b7 z90*Ha!@H$j507+Jym$z1X@~o^QWYE#F)UY_$$w=S{_O6GN->A!W zIG*I{cUHdJ*N_YF4-Xj7m)GF+4faIPJTm$ZKvk$P_5?$wjh$p4HFA|%9nj5{4KLf> zu;D(^hirrzzhz@$3*%k05iz{EMq^TIS0mT&Fe=QpXqW+u6-D}xp94~np*h8*=M`Bh zNX1>L{W7LWmGZ_JhN?8Fq{RSQk&%>(RyVbQP9T6vv%J4>S7M@p3@YbZ_d&Y{Q9Zh7noIX`H3USZZ@fJdJ3$e3!PBnDD- z%@eo8ELkNOVSU;HGQuut;TOJjX2mxg`~%Da+GwjvflW7TQ9&PftxNhhvxh2vs%K4M z@;UNmc;3!5`HdFi&gmzJY?^sH&pe5@^Ss(MKYWm;`65xg#qW?|(HC!XaLAr}L5k6$ zgJ44xjGNQ1WSxe7==1@58`KK9>4#x5+#CLHy!-1NB>%g>Ee2W_Iy@pOY(T*tnnR{h z!7HG{UPV2K*cC!(t8;>MI=DKio5*?Vp?c{3^B;r&9i<_>PjS9WioygZIfm!+DKC?L zihX?RY&r7#wFPyt7Se57{_IjRCy$%6&DddAP$R$49sxHMWa@XVPokNO7jTm)Zz9}e z5N?jo7*9=}6mX;Aaih|Z(c~Eyx`cV^mrf&_IV;?|U^vLn+!un*)`}k*nAlLbYS_8h z?*#7eAj{BAJn#55ZoHAxrev;%v4WN_%Q~M{fI{S7~9P&c1T_JPaQ=j zx>o7`#eCkW;o2xHMX7wS9?=iTkzJiR3YrxFdMyAH_JWE`!v3hgVk(bw8^CT^t5s;2 z_$>zyY4JTo7T<=Q_9)59ofdWgCx+Z7{pQR)!TV{Z_fwZ=h%k8v3op_any(R!Eg1wU zCEpCfW{ym#j{ySioa$1t%miYB)#K5(cis8V{0XfcZPeT60TX2ZveLS*t-h&U!Vrs+ z(`;`?O~ZDpt0*GFFu<0Hk=f|_TeBO~wLzW^@_Z)suaE%Rn-H_*hRazU5Pt0aEZBgD z1$Na6>{>4POxtuM7=CFXc2x`P`ZA11u~n{jaJDw?f_)Jokg zS^~}KhM4`TO!ibt()yAx2#4GdQ17|vrOr`aG6sWyDrd zJX>|Vz_V4a7;M#11(9Z%MbvH|7lP%Bj6Ahp8_!ewr-#WD-SYEsKu1ShJ$bZS4u%o( zJ82$Uey*UrVxI^Y*zgDBzcCDVue!>IQ!drTGlP+Evmt_)0&;$#%>r)r=_thYyoDHi zrhuC_1$KRd_ss8`N$i>~;HFYw*UiMPZh>8o3GAB5vuj`EOi1_tibiKIOH0l{LYy2h zj;f1oZjx&zaypc+;^x;vTO$5HTIj(5SklQp*h=HFv0A7+0QB_$&@oy_wZ^A~$gz1d zvJVtA`%Dn>`+1r6@0e@4S>LOVL=%=!w;s3&-!agGUmumqwv&5%@aalI-wBd-XCir* z`9MDQQxicU&1sZ0&cApPWHe#nrs6fBp{2)zXg3Op)b!(e-H$3 zSVM$$uTe}2Pa+W3Jrt<0KJIw}Vaq>5Q@PgOJVLU;8l(hes^;+IYc1i)*Aoo#wf-4G z!}$nN6*nWAlmG>^x~1k^o~lm%C<;zvU)~HTWzTBK1nBL)kQfv;sm3p)2NNm3_@ore zIsXFieyc9&$OrNx5G8Ft5-(x)dRplD1fJWx>e@sLWj{5O+qkivn5RGx8Tko(n8lI+ zw#fcf0qtwKz!uXZcnyC~1Vl!?z!q`Bf`7!bMcWiR{J011L1&edtzvTO=ACQ!#xDa) z#k>Hay^lvoninHYF{1MnOe$RU1^_omb0}srZ{kfc`*eJ<7?|}*=y?$_-z%ZrN8hLZ zT(~`AfJA1b<1)@1 zU$Pu>uzv#|`Vi%w{coi53ZGO~huk3k4La+AwZcv>rKo+-*|WUq@^d%k zH-P{e`KeeBf=GQvmqnP$Yi1LP)v_Qg-+YuTt-~8^yo_DfKo5BJ`ZL*W7j}4kIt1xr z)vpHQo{a$4pRWSZT1dneuI~XVJt6@yg*+{3JBb-=0y7-pnW1qL&kUALgv(yRB3jAg zvM`y)Wo|ObfRV#w(z$=e%K+m9UIv_(T!wa^5rj2;l1!Jjg%#sc9|$iE-Ud*lR= z_?`VlP#DKxadwBfAEdBX%CyZ8-ZRC!5XpY)q~aFGIEpPig#V2a!{V0|#pL9>!$e;U zq`Hjcd?iH$ZW|FkXj&uhEqcci z!U1@_PK}7b3<@7q9yB0)(4lI+__%I-{m4LSUzQ3Hf&1mIqIayJ6vepA122-?K+g^r zA8R;y@o{5(J*F{ivZLn%^8TWCJWAuf@`;9o++(x4bE)T~Lll^p9)T!E-4u#q^qMJ( z@e}z4Oe3m}LLLdKW3$AoB!d)~&;octg#F^QH{kgz%)1+YFxiXRP zTx-6IK z55>L2LoTJy3G}MD(n@-XBYv8IItd8UXTQ2B1)?~lbtgnI|4OFStb#n~wSWnx(OW!w ziL22wqYRbspE&}TZXQOhr239Ry>3czmg+vm=@PGZ2hnZ z-!gRX_bffILM6{^)2`U5HAMD^?nDIdT3n<{)8}>O{_Z02G zfzhTE5m9l3-oh^s3^^4+=w;Xdbu`%k%|}zP10Sj6h~yxevPN8V!3hD!;3Foe$gPAE zlC{15Yi75r18|(%&l3mmV$(9V4(VMuK9N-yjSZo1eh7}ov9vKL5_x}2aNUlrTgwT_ ze%u~?<(JA=y|{&5-7>E3;bG~=8VcZDDMA4676Nz@3gETH2m!oa1n@3gebIprTO|ML zJQjxU<1XOK3IU}U%ZrpV0r=!Y>O{NtQ3Bf*fKNU+&(rSVR5fV#^}X;@1lr9Z+WmMP zL_1Hro_FW)w9Ch6Jnv>84%EGqRNu#%&pGznFiyvJqfYl(6nOn4vXRXQQ_?W4RmtSd z5C9aR-6+vQ518!n8>Lf9z0$&_W$bZ0^Whcf1np|IS#_4RM8|~N8A!eKI}urCL1%va zuGmrZAh1hiqT$`Uyx&s+&L=-Ud`0$&cI7M<%%*J+{A8tes4KTDvXrsqe=< zDd#$r_>`yb;>_WkzxA4hm}!kIst3rNQZFQL97b z_3pK@5(>9?H+sN0O8p~V#(8}eZBw~H{uTk2Nf6t+{KHh(-1M^J@RfPk-egq`|8np! zSw?I+830$QRlmcwp&$Cy@Raju4k(*bu|r4kc~2(m7RR1>d`BI!**FjQr~m9^I<>4b{q&HFF}MTOvlr8i|M7$;eQ1W zi5Xt{;2PyeqZLR?>r1->b zs-you;6D}rEAUUYNdf-pqLkKLOO?2A0EN*>lLVxhNW-WSm+v{{3Ep@4o>K~*{*HrW zp}Y$JzLe_aGZ~9T9nqRE_0`Ws9pTxR`s$aXj<(2`Qa$xgb{6&TOMUg*P)9FHe5tRV z>~YdhzSLKL6m`^(FZI>`6m>LDU+Sy>HR@=dzLe@CFrRl&M}+Q6sh-wL*AIX!nkV++ zRoVwXE&1P?6&G$zE-t}A!m1MCEn3-@lIpVUCCRV}tE!VL^<|lN*Oycj^R@o2gjaC> zqSvbQmwgtGzrwGc{WSp!h5z(+j1cg{@%26i;Acg%ud$WyE=fsE)+g(?mQ`y@(&rSX z&zX~&mTD`sWu)roq!j8?(&lEQ6c^1)EzuXJZ7IwsoKuooUAlXFVNFKK_E|UzHmd@M zEoYV33d{blr@#o?pzR25N_bR|I9w%-!JddD+_I?9(D|VYLSaWHF>$yC6eXFA%;L~c z)CG-a%c8{L0)SMB0=~8O*99>qkK*|D$Oea@*|I3W24$jY$Xp&el*15U3LQ!rs1Bpf zLqiuM%bXCsBz-X?&tHL@gUO}g0mqpI2>#CTLW;>b;hAV!;4?li;_nb?d}Hw|fdDLs zNSrQ-K|+a4B83ITWRFn+SI};eygBGz5lIsk4|)u`PsEEBp->=_j6T^Ql8+Yd7b!*y z8%3(o!X{DlXyFGUHD9Qtu^tdE;`2yc{sVH~!NtuW~K=v+sn`qr=;XzRWU*Nkg7i}7SvRz~y zEmVk#`2yed4pHgolS)zLXkn+QdK9cmR6F`)m&iU^s1`M{5E?O*ZVEk6ArhpmTqa$J zk}MZDx`L*O>F;9NS+j=3>Xgn)9Ab-18F2GkVc{dX=Gd=jf@YZkqLpbQhRA66=WPV@vbR~ znAC(?*=pSA3hL(M>Y&%S(W(4~3z*j%TmbF9$pz4^iwmG#Hy1#=T^v;X|Lvgn|M{>v z``**$%zqM^j?XgT465%0_-GL)t$7WydO4r)r@8qY9p~mV-t*jyPVcT`^zZK(M_HNg z;59SlGw&H?Mu&QtX<0s_bHj8jnKJx2WyNq?r)lJ=4qrj*v6qWH-anZYG@V_BUkw>27xSA#)z5 zIAzZGljBS{I?lXj#~FWkoZ<91!|`!O=f@cxAZIv1E^MpMb;DtFh9l*Sj-xX=kIwi5 z>CA%afHUj=XL>c}fQM|PU>Ua%Srhd2JQh@hCf^L3?*S|EmXgRVSB{8T|j}X9`GhdwUgrW`MV&vC_M) z?ExhT`|LX^{ui(7DMv^Oyo7Rwze*^R0uU~te8N}sw*T3fa?_Y{$C&cVW6JI^ z)Cv919`ihNOc_D4yV`FaQ{FnJykksx&zN%EnDU`9<>O<@PmU@7d`$U?G5CCC%ya(W zAHM=&z3+~B{`)cI!7*iggLl{ZKN(X-1o5utcs+ktdHI-f>X`Xbyj8e_`Kia0HDk(& zW6Cqfl#OG``^J=)jVZ4lQ(ix&{J@xU@tAVym@>|%-L;;bW6CvS%Fm1`JI0icj46}l zCR_r(PK+r(J*Mn~77NaTuLyw^%A_cXas?lqTm|xbMeqg4ZxtlaZuhwDNHPYGEoR)t0{#mb1$WEUy3OW6MSqD9IV|`hEhNnhlx~-wNMHt{0T}i z_-RU`7&}iXtSuL%;~0CFQcUU!r3g6ug;F@(wI5k!8%}8qqQI1D7)zoQ0ff1f zA^@F5DP9V!rc}$=CQ5aTl~J0&SPi9#j5SaSU%i#mDe&zn1zw+}6v%j)QoOV6q4XY{ zyQOqGV;@sGgR#F+I+M{ia7+ZGk<1e%1HY8cW-NtLJ#-_bcnP?QQUqK!QVLU>Xi5Ym z@1}GvWA&7#!$YJL3Za8i1YCYY={&|RQ97To-&4APu^~zqA~sCvBAof96cM6O?6GJG z;uVw{7@I<=5pf1ev#@WJniwmj)XdlpO0%(Fl;+^Khbf(kcr>L;8Gb^en10e_v#qeO zs-%V`!#JpB$wfQ1Z^v(^uw@ zy6WCph+`mH=6zOPR#C!|DRfX+NPc{tYrQR~pe&7Xm;ja?heQl`1fd2tv4DSVU=P!Z@gj44+_CxP8N_@|-ZT>vf zp9b8ifC>BHu>FE#LqNFH_hD?2z|&w|p6kJ?4CKf62eRX@yj8G00Oz}W^8&lw1^2Z@ zi0zGqqow)gK+jUv_#lMT_l(~AVA_?wrv$g&S3v561N!}Wdh7t)XO!_?KDfgu2TYsQ R=7TS=3G^H&;@3;K{(r$B5aR#< diff --git a/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_i2s.d b/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_i2s.d deleted file mode 100644 index 039ae08..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_i2s.d +++ /dev/null @@ -1,45 +0,0 @@ -wolf-lite/stm32f4xx_hal_i2s.o: \ - ..\Drivers\STM32F4xx_HAL_Driver\Src\stm32f4xx_hal_i2s.c \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \ - ..\Core\Inc\stm32f4xx_hal_conf.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \ - ..\Drivers\CMSIS\Include\core_cm4.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \ - ..\Drivers\CMSIS\Include\cmsis_version.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - ..\Drivers\CMSIS\Include\cmsis_armclang.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \ - ..\Drivers\CMSIS\Include\mpu_armv7.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h diff --git a/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_i2s.o b/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_i2s.o deleted file mode 100644 index 149e07b858eb9e4ce0a3e746b3887fd8ae6b8b66..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 50536 zcmeFaeO!}A);E6Tg(O0N@S+9;4ERO?F@PezCj=}i+JNYy(wZPasDKer(Y_^wH!W>r zs}@_g4OY8$l`bmn(yrYmfRrLytZo;*y=}16WxKY;)@^NT?eBZ8D*^28KF@vs_50`H zgBO#zX3m_MIdkTm@0^KGrKT_D@pz2;hsQ)RBcB*X*UrB2n92T$WW4Wt%P`3r`?Xnt z4C8_Kxl-liYkd06efH+*CbEnCqM6%+#!n(Op?f#dB*3~r5QWIC#Cq;*2~n-^YgkSCju3k z#dV4gdGWbb@~FrtYuw|_IeQeHU7)*5!7xklH$I|nj3TdE7%=H@6&{~eHChAx)9dTK zsyfnTy^auG{DX{W+LDziV_x{^_3NMJ`d;w!SX!x&;Fs@z{`uGI{HLyOxxBu}`o@{C zLz6tKglZXnd;C;c^-OP8m7sATzHoP>{+oF#f4clY1ao8aiQ2(Beh`&Xon7;BU`E@( z_Se6A+B^QOK23Rwxq+oj#QAAaZMS6QFU?HdtNh`YPY+y~$UoOCoBo|FG(%Ba$k=xs zirjrjAzASPvGB_;sW1Pmu?3Ag#Bwnpt;z^ooDunvfKmG~RY%RH@`VOw9q8?Fdt!FQApBa_(QTam8PBup`@`)=m=yUn5k8X76k6mNEjwV z&M?&ihM6!JbLSWQ@T_%PD#SaiwWV_LPF?Ne1%e$FwL6XC(nxV>fuJ-^{8$>&wTTV2 z6;|=i0zsLnHnE`AXcLz+J>emJ`mk=EqN_3FYJccJV`!T>^ol*i+30;GJYOAM3-~)FFM1Ay-UcZQ-GPjozJL#vFQsrz8qHBE=@9c!#8R8`?G1Zch_$w-Fom z&})uRFpP>f^kG++uz|*q&hXG1phIkf{}853BHjj`nYwMLRUiNb$|(?_3tMcp+pV>U zt+nL}@Fr$_n6}Vsjou$SLVG}MbjNpEYj=VNV=X9bJzBdBgJ!EW%EX|yV`SVgXjkl^ zZ4NNt-NOsJVgmzVy*!(E$5AjK*|uSnJHKW((!kq5(4O0keaTIPkLY!uwu5h25Y=J9^ zkZyfgpUu0&L1mEW1QC6RlQ$|IJ}RvqmDZ0+n@6P^N2ML3(*0~&9oA{~#%K(LPjs5Y zt|5)yspwT#f9N1M?+@)lCMy7K>aZ)0up!g0KDt^_c=R4A)(G|HqA_x7ohg>m- zq7~G-X`<$O8p9yut|7I#hqTd~8U+K2&>>qWB}}2$usUqs-~_{qalVNa3Yx@ZXm@|; zRV0|OHhoBkIqYMkRY=p2-e7Cp;DxrSsW}??;e_6uqi5%WI!Lp2!^JSA2i=*2?DV-P zm}wrFLt$63W*u0+|3=j7c4Ea9Sawv1%Sf)o+bT%I*h8RCur^KJRoG{m)j{{5ubyHi zoA_TagBEYeEz)0tUXe+7+aWq6VHWnOwC~?#LQ{1+Fc)FahtN?N zBGheGoV zf(;(@jFB`3sh0+5JX(@l(x3)8U0Q2Y3=ii2NM&u8h_{2zh#nT3Fp{L|NoDE6KJE{> z+8EN+=zSZl_x~T%7gU)Igl@E~m>-W`o$J&+R& z8X8hh?B>}8CX9x)wk#4GkY$Uhb|=$`lBmL)i18d8t+MPP-Pn2>Y2I(}vMs2!t+{p{ z#-Tu*m@O!65Lf6dv(T?P!8{~XwU1%Uo9Pwynw@d004T4hC z;wUtW%S__AirS=Rwm^Z!h>_P>5@q5tmU5yTL~6H~#7W3>rE5o}#dAjGk4lT@j>;dE z7K7ViI$U~(T%3r}u+~0qB4wV4&ALHAq!iHb;JkLbf--5phQ=-sKV}#F>LYar<=I(g z2TDADq(`GGa($$nq-H@`0R)n*)hr+mm9;x{;%)9BO>_;Zt5I-RZntd1;A1DP5N|l&Bp^5a-lE znzaTO!<4qUGi~hjxhjh1Vjh{goyJ39HFp|uu^F63#T-s`no!7aI`iUFD@-jbed2NePpon8aB-u(*^&4HVo)=4cD&Qe)T^UKp8t*r#ZL4;ymfVI*VJMz5IW(qGfUtb`rvOs zm8wA|4Gr-gH@mq0%l15G~GT z0%BdeD7)ptc%fYd>ylJOZDJ%$o7(a|rTFt+(U&%Q4%x(I@T8RD(q7T)R-cMq(cg9A za+`RYN?fe--J*c@ru0skxYQ&rmig>tT!p`4tDK_3er*FoJ~?lW&v@nS7eD{@uY0c? z{IGuJ{CiJJ z3P!F=JEIyeW6=s^;71^RBPm_L*Rdbyp)-Y#4NV&J03+bJ@_2Se6O~cnpUEir3|~dL zd=sxG>x6B~VHtrVCdv{LsZaWsrG+z|^cg=AMkLRCqv{Bcr{ps5RNAecF(JDH!HkKK zA;Uy1?pv3F7G*q!r$81%E&l#})BH^E$M99i;adE|t%}18BVvRm`!lRf+enGzFT?Vlh23$+x{p)?*7h~c6UX9w-W^prh( zpjF&V$r!2faPZ5uzn;n|v%Q0?$;@P80sq)yKfZiM>Y9RKYHvKd*UHE1QPi%7P7n8B zA}|ZoS5`0#i&iIlGAZa1^;JbPwY$2dDv+sTM$c5xnqSXU)WYBYt854}4l@u0p$W9O znQ9x8Of%)d@Kwli&D1~+*Bs?Aj8t(#Z7ay~WK`pbhw=CqAJz~O2`JYQ3J9?^OJ&g%L5qn^j?yN~yJzB%NnvP^CWXK=!KM;;&a&wQp0h!kIRQQJ0{$jQOd%cpA^Cy41$COFs`mP1coy){8n#Gp zFuq^Pd~Tb35~Rs+>q5^rhU6e zBeg+2em*y?KK)AH5~c5FLq0=Vp9EP)=rx!J;h}Iv^Od{iowm&D)y!!T%s*|JUnQ8= zGZeT@Cw{CzJi7okhIqD#JOVOQBE{S8c!2D7Q|)?Kk8;)^X)wopHRSV^)90UdpPNcS zF6=IN1U>BYs-Ap=4s&R?Ui67oGp9>4r-6O8wkPe?B*7@&+dc!0-mRQ>NieUuUHU;` ztuY&hnWek{?n7-k>>OKd(D{|VUopPlXnh8(KA)+4`xQPn(F;Xn=v4>oldzA&LtrUg zb&`Md1uraLo{`k7nS0POuSYxC)e&7SG-E|WM*3~5FO5Lhqpax|P9W8jKo!7nc<7K` zlvQm>>S>?XtXY5ox!X2>Kr^onAKUBCc#^xf9sB@?0Y=nd&iPCR*udv=tROMCS9E(gz>Eb&CG(^cm3l+)--!VcNkF9^$c_>la_T+@6HV zYHpv=r!^-tmakm0az=__ zTj2%+q;W(bXFvvuw&oc|<|4L$9d!)+GDBg}`famvAaV3hldfLzU`}#jNlt8RPT_F1 zyuy<5*aArHRwNSQ*Ow2A54{*!1OHbR+@h$QT~QHRuu{8Zebx@6A;pkSgyRxN5iTp( zTv|?@P1Bd;6&YN`Xj<>fSkFq*eOXIN%F6X68w{)nl$RRx#qLIyloXazF8y^8Oy9g= z6D!d8>&jYLt}izX%P4(tz0m4Qu{yK!4W$}mQMpE6RFtdVu!%mW>5KAzowq#hUvvIl zxzy6q&84G>sdLeDcLw)%bDm)(+mmE{nL(YGS86CL<1&_%pG{CsVB zX;uXd-O9q91}>%9ytSmgnRmr6>&fUiQ$|a^u!=_13(?&5KJ7TQu5-(ltex{gs=ymToXC-da?Yvej5* zsGuRxWX5SS<5y;;WNI=s+RV&s{K?E>pAb#W;s-PFN1K_lU0<57SdCo(gP&r^-MUe+ zq$Gc{J7+uT*!5-TC-aKrl2?Rj9yP_ng{GS}yBEi6Jtc`4L;QDN@-ttI+x`obc8 zZjoWs+WJrXi+f3p-d|`mNQ}3ZxkR@B>P%m^@=>BveJ468B@i`2th_UGX8>$ ze98z#Mg=lHN5<=v5r&M%k?~KWK^YT~VM9iB8)$e=k}yGNrAS%H>_v%0WCT5c5=T(t z07`75j3{Khq4b}X&J=d=7!%y!c=8#*Eb>$pgPx2@#4vM4@(NYK(L6>WVpO}>a!h@S zcR+9OW_SyH#{SB1-V4z!ov{oPiL%qU%t;yT!m1Anc}xStXrs71%^F_Wcn#c&-U(m8 z>S5qgF!rpEFGT&qv~){6zsaoR+j)v_#xcejjB>S~J@r_NOr?g zYveYgJVm!8T}3>#^I8VWhOCpqUFt&pG4({WYmPJld}EdspZ2xy1g}p2Stw_u6eXR zWsFc2{5NL617r6nM@E`O&Ii@fvc&eW#8O#)gD6|qMZ^xU#5!4?<|HWIycOK+H{oR5 zgqDm6#9WIgnq^KmfujoMrg6-Lvh=3)Ck&{o(6O>!>=)4B=0m7%mjUd>g_9 zHSmON&3)$0cX_HX%2s9wvN!eyNAX(Nb&-CF@x{dNFwt1ky8=~kA@BI8cg#-iorV|o zSw`63_JpC)x6o*;X)V!nHF}(DG+>tMHPPV<{+k?OI(iH8t0!0&Cozyb{NQ!F=~l*l%Po)8kj5$fULz5t}KhcvSVV*?$cElrCC+essm^~L_ z?OcAm`bqG%kj?N8wJu)4WhAp1%R{Z5u8hTO#xp4K5LaR;M*}5X87nv%Vb;ajTnT_D zXk{z4=AMn2J5<3NPK*oZi#%0{)72f7D zoLq*9Uua5Zt0;Kvd{prZ>$waamr=(rY~V6%Tt+>=@Hm&@{>!-*r&3`*7XvYhF=sqg3l8C!D2(C)QW5_S$D zN-uc!UxwPg zkS8Qhnw2|gcI6}@Cu+LjRU9`g$)7;0KEM>YrLSGobjz#w#3TivCZa`>q#Z8o9n-Qn zsU`I}yqh{a>Du8*KhYcfS?{<-e4dRPfUe|Cdo}CsN`!U*b!a=mt7(f*@r6m~n-`m* z@oL)RQ+#WZjEQH-EuY@fIk)A^b1J5XUAXfZhAHN;!Y}1(rzZIEbyHny^WYfwh;8s{ z^7Ab=PX$$Wb*aj{n&Nzm_ke2?z*n?u@oLI&WneWSBNTM)wCYH3pPA6oxuC`4Wd$Q) zB{Tb--r%>HtJJDnuAS&D%g{_?Z}1Pj<2F|^kwR7q2GpBwYSz*8>5w6P_SvDj=S)i) z>OO3+E_vzbhcC5^IoR?s$DwI> z9pKZyXKe||8h&UblB#MSeJ8aJETKMWj-;v&Tp5@_WO&c15^v&4U}%xCd`{Jat_**U z#+<5JR|cZgh{l|%4_q18)`-U3Dsd4<1Cm2D=2kuE%7|c?9_!GOSMPlIs%h!by6&Ua zZmDL;QOlAZ<-v}*4INU!(&l!2u%*ni(T7&SQhex`ceq39!1iIcP`6EEeJd`A?CYsl zc4_6YgCC?9R|^mtE>!cF8mS z($e%xyHVrzQntxAFD<3&OPh{&NcqMv$h|DqF4g=2wR)77mJ%U+=teJ4p@nT?>GB@s z)oywtc=KvEKA^oW_Jim09&Ky)j@xNFOf(S9Udnb;^dI8`+Nbbyx-`e?ZN@MjO~be} z=i>FuX^104CGgGCFeh(@GcF*Uxy6^hC^7o^H^o=-D`55HMA$t-$e0?6XY9sZ%=n*s zqb5h1#5{&b)}p*QPRNL!6{mSo)N;uG=ac@YDg#bM zj6=@bKG0GR%%M-yO+Y!c*ZEVmi01Vx% znbX@|c_XB=(VL?B9HEFY8f0rXjG^Nxis=;w@;p2;%!@qIvP~wwHgBdUs`ACceZh0`$2!iocpeBjwOf(D z_DFion$)5Arikbdjz=^cSbboh9&T0ou}e$ik4Xw%U)46{z$)R)i&5V_kLoWM?tAQk z{IR_AEld9#gX$aCrmlZ>O{z7a>G}**uQ;%}|GHA zUFbK&FuI(G(>>iSyPq~;pZv_f-;n+Ksz-z{Kjbe;W?YPK@}KlM%)pvSMIHG?U9T7Q z+$c(vzLy!j@PyvJZ|#m<-hwxw%8TU2BdgcuLZ7_V=Kl}L2I=lsvwtJk+J5es+hLN$ zn^Y-3?b=$+GuM3=FhP{_#@L2FQt@~2R-)!hpOsCveKzfru_9q*vixp`ke5+CCb`!a zN5_+=N*rnFGJZpYLKqjPmK5Yx$}V*X!<%=FNp2n=P-U8dX=Eme#7w2mc!2*fok73x z^Dn>py;{?npX`8G^9++2pTFTrmlv^@d^QF5J*5rwp8t*#A*cx4@ zEh!)^pRqk82(nhnQS{N1T~bCmLB^XWwb!c~ryf9)b!x}q$@0nn(1Pxnqk-|DD{Kcp zQ5L*x=4DtB94-(1-8XC_k(SKJ>L5$*s+t$UQcawmDc4I@=*Lc#GM<%l^h}OUG#6}6 zkZF{{0Fi3ED0yrz*f8$Z$5lP#Qd0Y+!57WIeUY)Yxm3qMx|Cx zm74l%oMY{%U>#eM&*=7>BP)X}$*Z4zk!M=ySK{>igUkz^XTXY9Rv%<(E9&S6-TkHm zaglkSR|GMG0X%WD%Vhm0 zIsPy4n3&254N7LQ)Q1r|oP6;oh?tsaC&CTif~LOH#+c9(Kg*#dOO(#L>hTzg9a{=S z?i!w4jVGywZFG%=emiYmf9gfwtKZYk7BGRr4Q-6PL~@gng2ZD#l!%!8p!&(+=q7c_ zHdPK8`g8Ui0n_eno)r0$juN*P7G&uk3u-DROSrA)m%gppJ_s(tQJ;e+3!p7X!( zfc)?ni0~=lhpGn^ijMHHFA5V#-p@hzKCa*eh!}$dL$yMZ-^SSOz6u@s!%fB6P$Tuf z;A@Zxzl4W-DqO=_D)vX_#4A)t@=ql7qpGIeMQ#OE)t{!SV+791c@069>xNzvroO|; zsASSNx7%r+!~cwjI0KJ~7(@orpmw;0*d4vpf}U;RTatqNTUZuWPV6^O3;ixeUCg2K^#mYYW6rgkNfbaA7Y zDetUT>k~hO7K-6veumP|Brv_dbBw*(7zkDJ>H|APOr+#S70>91x>?0j7OA8TS-Kq4 zdI1xhnEDa$wlk*4w^b01{1>n)rCGufTLV9CgSO$BB<}OGxnUiuRNDhL)j5ir)Y>t~ zlaY4|4^)W2xHltHOGWci$yX1RlKG*e*5!fE; zh`lLTbhEvR$LWoQe(&}A-gEjwuslexeoVRBw#bx{24>mCG8o|J6CUpWj#5vW17vn@ zn1*rP`&%Uw8@a8GX*Kl`?MLv0nle>}vovgirH6&f(Ed}Cei&K%*<+Mo@ZMDEfb!LT}8Q2yR0-|+#G{?<6hfsqNyS?HTMr;{#P9FH@1kk))*j0Pb z1Vs?>`14SpdO<|*E)tTqjXT*9D(A&i>N;(*S4o2QD_)9=?Al1{d5}>VZUsoPOfGGn zh&tWV@J3Px^@P27ozQN0Tbg2uUMHH|wQst`f3SOh;~1mi?2zzJCk=I#k6eyVSO1|X z==V(V+tS}y6W7&D*SMKP-IpKZ#rfXt^ ze?DnwsJ8#+ZRu&5?c9FHcp_D$8H!$4vqjREe^%?`K;iS@buUlX%oDzM(oj*WDtTM_ ztqcq}!lg;~)*pP`eGC7BtnvnrUBW$fWd zreT8t(E{t2WKn^vQhiBTabY<=p4x58Uz_^91@_+Y^kX-lRQ5#i_ZRNF<@@}a)ZX}} z)po~BWoldB_o;tO5xZ~eRtpc*=gIzpqCrh9vPrsnwB4H zcw7m~^rbzut55vooX;lMZJqD-QQAkAN!#Zg5v;7s1_T99cXdz#cG6PO%S@ZV}Emlyj zE(t|nifvIt@45Esx4gE{UOh;)?boR`6<24G;#WT}n1dxUwD8WOQ2g@FDqe4OxD8hU zC@;+d3x_rGVBV!EVWxUq6K9-bRIiH8`n-fa=WB~7pE2%K6v@A za`NN7VknzW5thVSb)>u#Noh`~T*O$QH1{{kp(GD|ZJEsO!UeR?uViQ!Hn-p*4#k7r z_gW7eF1e1 zIT>6~#cGBv^Cp!UpR5Co>W{=1&kab~y^+Co^F(luJunOV*_|I0@1U*7$bowGt#5f- z(N2!t!R?}E+C^sumUh}CKiMvz6#C%P(&h9ldE{;Jg@jL=$1P7N zZ{q!JkFrji{Ab;sAf{F4T~2Am^H7u}l1F&d`~}}O6~=ErFAjs5ds{Ha8pJ3z!UUlWkQ$#I599m zz#JB-All`N3Rbh?47tRj_})@Tds4WW9GUMeuYvNQ0`r;rwb+X`$IoqS+7D@?M&+Xe zKewNhiRP1c_l!Sizc;aq_YuRKb0{%C*L-i9HZnFZjn3z9tSLhl5x)!;5qy#~u@W7J}JV2;FCYM+vhp-poOZt z#4AAw3qtPT7KDx$mWc%+|3UHh1Fv!mBJLaBUlJAxa&(^P2Dyt0vWC2?F@Q@K7T9lW zBqKYJoVLgx6oQvu<>d!8OhvbrE=<~aV=?Qw0@xsPC4RI+oF63EU`k*X?r zkq}-^<2UNS#6^O7dEi4!E7i&Vf5gz9=Bk_$o~u{;ee00ny*7#@RsM^v5Rz&eOKaj6 z#iQ(+_=a5*w|v`dG=;oUS`&8#a|*B~vdD6dyJrq8EGEzf8_(&0aeUw{$*YE5b6uJst;Yb{63Fksw zZchw}CHJlu7X2^k{&@FZb7gIP2RX}kCJJW>7z~84gKUh4@Nb>al>fFgtr=F$6xoZ@ z+x_d$a<&%Z@!3hkQGV&=_#*W?MM07@o6 zPlhLfjU?gcCk={P#lvq)Z^(|G-Omf-|>^M<}&=+YpUbwu>NRT0O?C@)=R)`Arh4_yTKNz+0@oa# zc3=$u5KfR>hIym90HWpl8W{*Tzef^FhGDuH6O4O(piRooCd^IDr85tI*dM)uvnd0F z8rBBI85oO~R%cjbM>57$)#EeSorI&ZcBiOHNI0yU-xLGO?l8aKna6(5rwBy-{D5u}0HsGMd1Ui~o1jg~b2wi2o|LV*&nwvH1}S!dsM% z4>1-Q3I5%XNW$1;Goe^L?=I1@s2MW`i=6*d*j@lm-b;cHqtb~*f^K{RL_2iryGr4v zA*^bb+ot8VwHkI?^RrX})k%BA0W4}ofr{KgXRA4WgZ8Ml63e4VCEQFlQ?=)EG>aWY zEvCKacyCoa46ptj0s~O8Mm3f{P_i#rifi~#nx;k`@@#xMLpJp7P$&kN+p zJvGB~Gt+23v2v)3;^*q#W(TGK0F?gc3}0cci?>- zO+VIi^#q)Y&+|;H)o*QEGK&$Y2BtI45=OV$uQ~l#^A)%=K%Vo8;Y!3_j5?Xf!s%nz zOn`U-PHzh3;Pg*GT#?X}yXnmF2pwFHKf-eWoL=`|z{64f+Lx%Fcrj`S)!{CUeQaL2 zJ4U>Cvv{^3J8n>VZQ$H){l=lGn_0o%{o$12(lPV!=1%>!o9A}-Z@lw5y*b~~{hl|L zMA2Hqr@O~m`UcNkV||x>FGK_2?`!Gq21oF941WVcuT5*e>?#2tO+)4S)6MnE{I_4ttq;oCkw`AML)+QHFNg1=9n$GLPow( zcIU*ksej>s{^s}}d!rJ@M=(s0^7`VE8#N_gbe8P)s5bwxE9fmtn|<9teXd&XoW67M zmp0|lxCO7>E7lp9>M}vJAaY!w=o-KHl8>igvpI39q%JC5R==u25qMmw2DDr$Yi$>X zH`I6??T}J}?2pZvPI&BIo@knI z9<0tQe}P&!s}hlKcDv9%WG4{27~q7BX#ho|hVj(lRZqOtOaT1J#8%d5)kI36l)^U& zdT7@!_O$_({ABb2!E0=5P0QH>f)1+MvJD-Bk=4mo)o(gOJ{d0vZ~nNNI}gx|BO~R_ z1u>)%=P$BCBR;YW+81`T)0fT>oNw(i;2fd-dvPPQ*6p=zoJ#wY0g?9>pCI#@5oj44 z4P5_g?EaCXffNS;iR--tl*b+rm^|kKJzg6;YOFnr*bRprYXYWN^cbwPhp2T|ledV@ zz*sBUq)K3n8PS){a7Ssv>s#v{c#iaMBilVPc!`IA0Tz>Kdq zV-x&ZBtp8~n-@*n$*q!dc%#a*Mg{vp3=5C-ZU>rLVN2Sjz!hO4zvNQpd7ZX~KlbNS zqvV~&uIr^n^=I&?o#}4W^z=cV%V-VK^9WKL@l8Nu8EY2 z6b115JiI)mIRjGi`l?5~KK1nby}l&@5Q3OUsc}z&-DnAER;sBrI{pPS!^I$}te4_q`#|g0by0qmxln-7ht;=Bk}Zn$%YY?7w%ztF@JFzP%sEDksIb4T8d$kbzfDEo_p zZqe3e;Xxj`OMq_nzfHO9s>#GMehOyNVktL&_b`8H>VEUnuefFUUGWuiM7v4Sw6xw) zf?-+*gG^4HTi$N7@`n3fZj?d2z$I@24rR~=y5#Lb0_Ra>YjxBIuOT%M0r-t!c67PH zpt2A&pRJYSB{P>iMtjclboLO#(HnHpdx7XFUxayEFPHmBD$R-GzS9DFPS}2Yct1!T z_h-^kb~1{!w7_uk0GsY$+d^_WRZEpD7Qr9OViA(Dr0HD5MC0xlSz1gv#6ElI4AC+I%P4d_Xp?k*zoGo==l2W^N@yH;qMyccy zjr#y}U?!w4R@$-TXa@=SScpC}u7u$Hsu0L^ua`M(7ch_kPL`9$R`M{zgHlh-OZ~xW z%!@kK&JOBfHGu)xQZLYvWW4@U8tymn@co>&R15(whAx0(AL1>kbW91@to#BmHSbV~ zQT4X$`Vl$WukfJB$~Dde7W!g(4^5%${u;asI00{PtN`f;BIg?~B)A(-=)Z>2nxlC5 z-Wc7uELyt3rOaLdoG6@r%YWD{s2jrqll%rwwK=*mw3Qy!yx5&0d7R>jFK>1XJ5iNtB9BVBo5uL++U46V(WCX?FiGYA9{E#-$dN*pVuj3L{XwYl)j0s z+<2Z91l~h;I@~Co*B7ppIgMMHeJ#|hcZ9S9b704%zA+2XNd8yZKwh|m;OJS4NlhH3 zO-}tO9vtMM6E_p&frBs(^_hngBLE-sgk`inDnn#KLDS5gmA+7|2j;=ytqY-*-*>f< zBy14}Xf&|V3HBHV5ZlNSd{cG{%wWzaja44!coCq7*G@e@z^*lNG{9c{{V!udrr+qzPgmISSRiFXeIJ|#6sj5DkwGTaN@g=0L z1>~g+0`o{ZF%$cw`f<+ovhkG%$=Oq2e@KP7epuWPaBF!uewS` zd>IDm87cYJge&fn*?%%a$ZTTc3+lYcJ&u&cQdPb*MPz&dR2T-eyg~VGFPP#5o;Qa3 zoYQ=NFP-jQnuA7qsalNzYocU5?Wxw9O1B`*JXA|&(qqbJ(6F{bkj;{ zw%KV;$5+*07mA6z$)f?gDzl2X&3D6)$M86+T1anHdU%LPk1?|d4k?kW5J+Jjtf`q< z=brGLBHKENoBIWVoaSH-A^km%MMy2dDVPcfAZc}L?68&i3NO~^&7JXl!m-QSJ&NR$ z!z6LABAdXGZ01M`Cd_n`oFkB>4U>c+X>*ah%#myyZFm+;c8>sd)bUqqNW*xWi@Eh+ z8%2^(&Hca_)(LeuKVE9ZvF=oyAGZe(bm&z0-I%kZTfvGAHEzvpeC4dk)*?LR^gd>z9?t~d#)TMybhSs zW68$1rGFsg(J`*F{Br!&WT2&@hb7&HvvwAy+!?L~06IhX#YuybMIHxbbwEo)`M@+! zTP`K66IBppoi72PUxfpW6)Ys=v8$HQ(w}5N9vdeT^LGi;tg%`j&p)g1=e=1Jv^WCY z6f7ZBNx91oo~QYt%e*O-K$woFSi#1A$$1=~`xBCz8<7S~Go9G5?NR|Po#%HGl&29J zds6|e)|?_XY~wQfih@3g01hiyCwvE^@ibB1OaLe^mawaiR2t!Tsc`dn;`vSlc-E{Z zo`;?$o^4cEJ(GC0E+!oIBJn&F>;TWXlTf(5n1-&`dkhZp-zPT0=Mx*&+Pyf`|3-o% ze_fawh0jkK!ldk^4r-rcz{WrP2Eg+IVx#pzup#<^*w9Y&zfu%*lPGJROe;8L=zUHF zHhvC&3y1xSh;mgOjkDhvDjbpdQBhF(WGcKnt>lzJyN3!-kNCW2zay0jchv1g;UKo~ zq>uj#lEvY4nF7MX9%gY>9N!Zb7OvHLdPp(=fyuRmhMmz0vg(;jsxj6$K8u4Dp&W;U z-H!{q4)bxU?KG^dzQ^HU$(3q^dS<@9YN;|3$LQTH-D5;tFz1^00bUXI^(nxswE(aF zkUb@|sWe`7?C!&VGKHDgyL!!u3>WIT_-jC0GcHEGF&oHOL*c$lK*r2HEla-uN`>YO z{{#-DN@!}^2^{JuVmsdh+H^YonDZLYrjplJm2T#$4{S&EgN6IPAz%$*pFdBT>pR&R zo>Eg^_4fP1-|~*jch(eN$v@*vDET%qy5?2=%Woq>b>79O?|%dQtGRIB&-2&-*KRLS zyJv1u-|NLg20DzN-_rf*Zy)3$NWW`bb??mwg=_?AyKmDs+dq#Z?5g6xlLh7X@=iprb^PiK26r|c5|3h!oGIzA;67mMs>+-8_WD-@ z2?$hDh3bsS&G0$~A6Amrv4#$-p9ogYH^Qd6G$9Y60Sq0gUX__#=e`HXxO`)F$0&em z&(8J{fGYQ&!4x~-ieO~{sxx0KpGm}7m*v>@)1v^Y3p=X`-%-OjYkedG93kv%4GV#N zk7BnAhwiEn;gdgO2TVmA$uDAEm+xMu7*X#}C+1;$`f>_l58)9FdE4vN>c=k80hdV0 zcxbWL6@87EA)8K4(Wy16z;t+^&F?7*cH=ODqd1VQ{_+SS)7+rman>*sJW2z-$n6AB zP-KsvP!tomQH##E{!xUu%-Nz#aP{8(Tfda)@h5xhYwslT`m41z{)ON5OIN62Ei%r0 z7UV~m8{*Vv+IUgTIL@X60t*dvIc-*oMKK#099Gk&eb=to$iR}y_Mot{uIGG8VLSx+ zOr{TCgKx!@2F%qDW9I^-f)7K-)xrSwD0CT}O%KjS(55T^8+Go_N*EMg=T-0v|0w_> z2UC~vCQ~jWe64H0=50yw>W6(d8SyG<_~G#wH@M?V0#Jfib4(WY9g;PGC%X zXtNuPnJ*X!8UbSj0R+a}^@e;*5?y8mH5E_}3#h<=&;ZL4~KPlJ{mNB9w zKm|Mwb10nCg~F*G0wt@?I)cA!3;05Xbu>*L5>3;@hR^qRRM037(J&n*#~yM7v;DCl zA9DY!{+vWPIf;6n@E5#&f(_eHJ;BNw=V`XQR8YGKaq$kP7E5O?jZNoK6eaM*ACr)$ZA#Vft(AfwW>87JWs|A#VdY0{0LM?{@EgWFvRQbHL$5 z9hh|isul2gSpV?Y#Q51G?+7bnBQ(AcHxiC3&Zc)G=-Q8t_b4~<{u&&*mhSfchS9Ze zTwxxx9_vQXHD{3Nt6_AF<~-akeqz}Bb1dZ0u$wM4EORWB2BEnQpdcJD!lMvaW#r6q zWO+Quri&Bp?z+_y|NI=OB{GJ)9A) z{=M6-$2cV_GSw_P)u3+tJ*onNSe?d(dlqTaZdPt&;;ShJ_J{3=XwA|2&tqr$C>Nkp zq&m)D#s+^p70^cjRKI650Uc-Vh3ZM4T!x?Vlo)SRXPl|$F~N5~4Tjv&9fss7B;3bX zFqXt8Pm8_d)>9Jzbv0EIQ2Wa!2tIV2N``aT>%YVU<#am&N1wh@$hbg_>;B3(R^AU&L@~whRJ!7K>JV2<@jJ26SafO1G&JYdy-)9_ z$fWB+%LYhY2X|=k9t;2LJ4rz3IDo`PFK8Q|mrJ1^Yh6p=eQpV)x&X=$4xj`kG7M1G zj8`^_F+^iTwdm`A6Kv=?R_e14TZNIsf+9F9D1Qv#om>wKVU(&yE9p@+?X%wMxpW#5 z_taIW-Wb6+$N;BdM}VhT`Fw6iPP)Tx_%r0vT-SW?EDqXBd-+E{a83(+Rre(S=!1|c z_G%2E&s3=hTQPG>?RI9JK~DZFT)>mlHDHO;SRE;cj%0qckZ{CADoK7l7ICQ9kP8(v zt^j31#XLS@CVP^L@6xPZ9my+2a^z8;MK0kswm%L0l8v5P4d zuIa8Pca%X*QlulJ=4Q8@jf#C(Uw37&UIdeI|aa#-$= z%V}9eg_XOgQ;~k~BK1=yzj`^onPR4E_Dj%xr@tA|$+`&go6?0C3hOQf3Mcv@=7u+o zUxp5*z(1||Ek*O#11Os3@d!lo2(nqqtoyc+Ej+yv9Xy;$JikReH;*GEU z-P1H0W#Rwq*>7eY!%M7Vc$z#i`*?rw{3AJrf`YX9rwo#3$jLe!z6+Pn=|``?*9#(E zB0#uwRID_`7MNs)4Ed1^&uXom4`0d^R6sE+^HI;`OL+t!{p*+lghCI;MkuABsi!jc`o%7VeuRg~MfwZ#r4yfXB4@^;MrJ<*bjhbOJeC1qW7dX{XR= zL>Fw92eQ#FslF6>Y)5>xUda*3lERHbfWe{NL_~|LaB=cZE}*AMPhrIM%Y=I`*RCGZ zZsQ!BNH{qDHR>HwI)vBa5Z)ja4B=LtAR{5MEFmdDt;*6@>W;Sw8+C9|Oca*m-f%DT z*jS(=?1oEr2`x&BrE$3*+lC0P6tDp|4-DHr>|TA@ydJN(Fe2_o0tLh+u@;#@p7a3e z3?J7qI0onltmXL0+%dRyfbMc(Y!E}~_A&-}9Q3q{e1J`IX%yM^9nE6G>yGP{I1Ra7 zc=QW8KEI+YSWES;v);*Vw!va~I+>E3qfsoUpdaX^3vBJvdM!MP9{dq~*|J?@UBb_&P^8B$7yx0xITorgR1RuO2pY@Gwin`r!4lW_Q|APv3pL7DuN z_824rqDtDLzdJ|fx@lf zD%LB>jI#;wZcU9~40g&asSl1cA7!z$TrFa4bF?CEn|{b;S|Kc|C0EHs;ReM}K*O!w z4jk}v_sp8*QVfP*3KJvMnd>|lFTBV9+#_Hps`-Rmh!ii5p>J%UI5fl*5;`;mI$1;b z8;^9df^@Qt)LJ&-Z_T8>)5XkJz47t0F1>Lj zj?)|6^IUqv{DDhv+;ZuS>WMDBaeISHZ|rmFjkf1qdLuX9tvBj7jM5uHAB@r)@z0LZ z8%IL^f7Tm+`<33n0Nt-QbhQ5C{-fUb@_xP1vcau4Y^ZlF?qBuB*Q52u*Z1p<4nz{W z^hWjk`}9WN2DjdDg6>ywWW){Yjn)s`dV@C$YkmW{Jn&M~i4645LQ!Bh!4%7VZ^OUn z{lEzo)$vK_-qA5|$@-sHBe>qk3*ua|`L0`sUC^#*J?6>H1=FZ9Nfti7>fG=2+v z!*fc8%jA%p!Og5;i-U_}Tnv5TienVvqL zZMW{&@75jhF5R)ttvk-TbjOw;&gQ6|;np1nm+t6u>5j~oiAetItI89A6a$>?-b_RQ z=JGxwB9RxPZUiAr*O|Ze^Z6Ehj{vNn=8d>ACESZEQ$c54SEeEnE*QUG{T#lo1QtmR zERq<@H*&f%WvgG*vUH8eiTnJbT;WOIo=Q8Y@{G^ba(CH%ASAHxCp_v}ORR+Jrhz%bG$&P@SrCc2bk?&Xl~NqS%>7MSff?inX1h z6^{kgA$&#kVvTw%9n~7oDWGWKpz}-R@ax-VS>j*=4YoOtS4N}ssi50t;MgKHoXS%{ zb(DU!Cb7UH8$(r)pn@`EJa-dXAVbuP`em~m@s#y)g%&WM+@|?P2Fu0oW%XqA_o}Rq zz5jb6C@G%oQTDFX33kq_=vG$iIa|Z1sKFLCYEUmYbg`OFXpISV`LvTrlwH+y^A(E_ z-y4uW2KVlAXIpY{tEib`L*5SxnMHA9m211I5hKB=WV@L?$HceWu;=>LNHVuxc9;?N zkV0PdEve_ru&<}Dnt6IQNTbJJ31QwT65vfU?}F$Cdx`Kdkp`b* z)VOurvXRx`EzDhIYo{Z)eiL(-))ixlXPUuu|0`;wWHJFW4SMl^ZTr=*wx8B@_S%QT zxM1PElZI@IbN$=WVwtIDf4EQOHr)D9!x0wEmpl%ySxkqXw-w~?PoyisL#&~Ahzvz@ zAQ_4WlU49-SJADHUQZsjj7P$|*sr`{?M5~DM4UaV|FIP?fq~o^9qmD!j^|?T>3S{H zdRB$|8+Y;Et>fc;7kBmuOsrptKxopG(j_fR4~YMcd!(%?s-PVO`x1Q53-B##$=yeL zg#Gw_68?2hx2` zt3O|-ig!m<#21F}=nF&2Sd|w>raH95=^gIgc{;CkwAt>M;)w%lWe_aJSX9jAzbeT{iBERbH)`>_d^?ot9J#0c^Bj(h3FhTM_Z} zo8 zJ;`$4D4@m*y~QEDP-l%_sa-dNo+d%~B8*VvCxWd>IA`_T=8Js}cy5H- z1P1VqfI5&F>nZ{wpp`M)yelG_*JOaLU0Uiv?u&&==q&U2pgocsBm-|g97#ynfg zhYquBS(@FRbf9{#2f7EKXPwC)ikZpdDn0wcS!Mz|ox3AJ6eAP=d}h)!XWz!#naRWD z4==QU5$B$Cpy64SvR*bB2kK5*)?F=C4%8bT1|#mPGwOl*r(ncgd_p}?F9svdKT95{ zSH!l>nRX~>mzkadHti|h@Tby_u@ySYmTo&d0=$A|)bs=jr6J0rqb^a%6aEMMW!_J! zmggO>&hnE1QURcj=fST2HY|^hQWOBl!c!il_Gsf6^6_K#)E@&w{zL0fMGc^-**u(# z{bPg4D^iX9joFPJocWAW3DZ(PS|Kei2jcnqJ)SN+525|Na(_qPNCEEnuwP<*%l35= zV2k4mckl7|fj~M1=Q0v`K|UR^TRwfixe5HN@pNKxs4Q2}Ffh?tC3~y+j65*^JZum0 z&1o!F7Q(L!sEjwl@)QIZ(=01T7k~uZYJOWG0bM5Sey7XQ4=8#B9buT1k(TEk1{J6J zvP!R~!Ahj16I-pi@U}L9_?rw{KrWdc1C^4Wtz)A%N;aKzV}kiB+M&E(7!GUyHG4gg zYxpYYjS)l8w)esQ-<1yJz<`dAo*ybpv3pYz032H z{k|vedm_8U(8D14=CHPP4W-;AB8`h^4S~2ar3E_el+z|!PNNF$*^TW%C8%_3c{nOf zZbKSff7zd^kHAQAl}9YO022DW1sK4pmD z5j)kdOd1*OG_M0erW(L_p7NmQT7G#Dqi~nGiH%i%0gYAmKOI3NJk>!v?HtEr`ycUl z>@oaNoDOT`H#({OurlITOWb{wE2#enNjSRf?vmZZG#yV=qDYZy75NHwH#GYfL96(z zdj?b)u7?`smNd&ItfBECk52d$PIQ^+O4X*HkdX6?nsKtrO$jv?ii+MttvhjmKw1kSbtZ5 zJMgL0dTa`wc{(y0DkI7!VjZdIZoX$wbT{858yAZlCPpmTxNW!RUIN#sBUIt;}VO@)d0vl!7gy zLSRP_6#}J0AJceB?%Yjoy!vGl|1ra9#eGE*|Eoy+hll#w*Z!Myf8O`e{Y%1fa^Am& z2AZ|smj+s@r-A-;y1(%KAE1H$8Qs5z#L5wkT)cGGkD>eX|2f^CSKFWNfB43*9P=4H z-G6z#LiayBt&i@%)0gi5>;D(sAA9Bp+UTFt{a3$-?%&``_iyM&_g_5ouhacsf({k` zRj@!&f6L)^mm3x@x4SLTY1n4k0`6C~yH9QGtKFlra1J==hcz(fOOG21c44ldACuAJ zu{S;wU{VbHpBbeHR{j;bA2eb=y1x_iSCgv-BkZ@`7PCELU~cXJNLKv=N5K>bJR`-eFy{XS!2?R8S6#ThaHY(pRF*JNExg94v=;b z)DFGNqWKv3b>uD_Afp;~?66v&?K>-eq?|3}k*}@a5y2c7x*e3rt&KJ>Wn>toGF_xn z%05qvLK&?mZPWA|*GKS^%0pXml)JTY6Q8px%o@5+@_*hun3Pweq=cR~2S|G76h2u7 z>y+QQ%e(>te4Y&lk=E};5JS-Mo>`Ss-F2)My4Gh75&^ISdYv=76b)VkYsN1X=? zeNw~>iFCj^xz7eTV`XD3SW?<4c0oknmOgxg&ndRUX{xWsj_|^Bwt>j_qq>!(6J|S6 zyi1W%9x<}AXF!8(plM|NsKBQRdJHzHogxab!KG6Nq`S^4&pjmrT2P7G8f}t%>MoF; zf|fSGEU zdz(5(Vqa9}$cz+qj=ZhTk(nQ;b7WkUI!7Gp9O;mm;0(3X0zYriL#RGTKX1@OcvEKb z+e1MgFq8Bhix>Q{0RGY9u7iH{^B(CQ;;`y!L_4E@W{_ue$zE#jKaXZ|K4cX8&ZByD z9<_5G#k{D^qr2riI-$;^XG3>k6cqc;qk1`y-u9hGZ>#etBaqeo`xkV!73-!Q&H0%#EU9YA|#ueDq|};%;5EPSG1eK!-i?hc#^^PgPze~ zd!J5<=2FmI(SmHlX=EQ;N>5rn4XH}53EruiQA(b5f!mR5YM6A`6z2CFUW7peo;EZE zUv~F7@``UYDHcGgop{UwDqL1HnoxP^3bQ0?2q^O5-HPJ##(|3Bvm5PE8WloX-Mle! z<1uc7w?~D*cK@K?DRjxp9-dor4b7X3VK@!-DHp7cQuaVh;U8WTx;X1=N&jVWO?Z~{ z=&f86#&b;=hNZ(3jHM&oOm^^_R5F0$B0Q2V7mC|SK6)f!+F>IVJ2en~TIvGm;_-^q z5*zJz1T0Z`*{wpn<lX~G$sMu?&K~_2*6I9@Hw~cw z@zy%JBA3Ay2AcvI+bm;eV6ky#pP^H7!=vS0j>v)?=B28C^0FP~<#-p@o}++r?FsS= zn2&<_tUU`|RZa)L2UmoTkHNgbB0VwA>Lnv37w*I_a3%Ta*>TCyit9zkqjdHNqmqql zTCm$bT0S%}6xOHzpq}om6mRY^M*M{Mrl;EiF41+t0hfjhS?I+&58*)AFVb zjfL>vc=By=An(_P{oSy%ll~i9Lh0ljtJ8a2ot%>n_%oQQq(c1ZIelo$|C&$jkB!*5c>VbvBriTY?yIQegInAc%d&sgSS(#y zZgjSzL|Ffzg#t8H#o2LFqbWlL zGi+<4WAVVlbN6ju<3uLEE`NAZ=wnN>Me2cuH)*m1_v)XfVdbv>@X*QV0Qhie-MM)8 zCst$vD;zg7aaS(8etRRRo9?;$nyXv(M_e9TlVAEqOX|L3Qx#u0yI9WNx%)P*%|&m# zT0XQUbjzCi3MRq7QcVs{S5PWotn?A^_aW6oQ% zq%Zd5hr$kYzOH)0EXVk-ux<{|L6PR2`5n49)ieehXHQby*PrxsIK-1DJ@u!A!WI)hgS+)_6KtZeup#`!aX&-a z{R0}J_8;>z^fJ(nK-U8UjCG?1Z?xV#Hc;F%CtQ5nYK7x!o0wPJAP$}IGqi0(%0oyQ z{tyE28e?c1jA5?v*ZTR}>}QnoLgy0lEY?b)h_-9hkx`&9hW1~kZhEG)+>y2-U~1eG zd(u5*&TjIRx-4VKYifY>az(nC6k6qFGl=sWoT+xPE1cR6rw93!*O}`h{Yn#_^d!?Y zOs+{Xcp6-dpOdH}(+5_%a%20#{5}~08&O=A|^3o8=C!^&!^c|DZe##`gBLX!Sn zkJS3x$wt5{MK{m-;ds-R=FNChdVm<-xID0u6sDkW6qV@{-y!XdB)d^Q3#N~1-+wfm zv5F6#)s)rqQQcry6EabFShcm0V;B`--D^T&U~BDu-i%+zO_d!Dgd@E0btMXOzB z&VmQK0`n)R{;%AZsRgWBYy83X_8w5)y@$Z8tWLX%#jX_t3+Y1&@t zfY4%71+2MN?)o%mrG1BD^3g`0HjNpXh+0txQL&-1GY4U8?nfx3hR%E$b>=}%Q~9~W zogM&%dU5>^8-E<{Z~PJ00$N5j{`eY}w`o^VhQ!9sg?tH^ep5*|No(huO!!nl)0!Ui zd8fJQc)ZlOZ*VD^fu^6dG{&I=UW|&KrtyY)q7_b(;^qtz>9Wm~NR*_u!vD4AlzlTB zZFI^1!ty|I%1%m~JS`(=@V1ZKmqCN~3fCvOrVI-NFh)_>_v~Gp-$gHuF5|P|eO3#2 zR`oFQvF8x-4v-#3vM}#di;51-qT)YBa#Y+a=(xmo4u4)}IM3ZlYx?glyoEo-)4SKS z;$s^P>jOsPZ?uxF*Kx^ZC?rV3`gVhApV6Qcasy2(BKit>SVYx5OSwDs%?&9uC>z=B zbvN^+8$BBwbhj8@z@GlI>JaiFPF7l?*I5z@)01%$FX`cA3JJ2Ttg|>h17$c0VVt!N zndqk}AxoWtU{oBMMc*Fo9B5RR-aY_g7&W_st{aMs)ED0`0+ z@cq;om_%PE?>{!H84p}|&6b>kW5y&5@e7;W@`D%7^CBt=57f~#%z3_G2WDYn+#Yx! zNxC`!J==V~XB<3NR0ftApT5_Ew8#uyUvG zF+p7d-n;KVUuUxVUYs(-w@vaX|pEoMS*-d>*VFmGjTrp#LdkIbmfyI8(e=}%k);a5SPpH3`yt^@!4e2<&8 zl#W2=Cf^>5{!vR9Y2gkwT7DzK%`T<)jTEcXb^}LsYh}0p$~Yex!%@Yg=%a+ti%x7F zL;T1hPqEfYHxPBTG4v>IudmI&r~WC~CgUI;E_Y9(=!P9!Azn9NYaBYPs8L%IP-Q2hrg>{<> z9-Xx^u4V^XMPP)fzG8wW&f&`3%V+KKS$-oTbI$Q>ny%TSZ^FrVh*%^2QxwZ$CWMZY z2lh)WW zljiP0?juqrNTlpzPUlO-d@;s6*!_Yz0RScFNK!>#3;x*z4)1r=gA5NnBo*GqSJnBxN+Zhuf`PQL`f@jnI)zz= zyFRdx`}JxJYLOimaC-r&dn&jJl;Go5_V`aT z@Zhp3X?RdE74Y0niLv(Wo-c;IgR#{f9S!TD>lDx6U(C20bc=R`z44+nK&M~Gu>JU> zQ9JCdmY;Zw68d~~8|;adUbuQmfT2h*X!%Fr!X=vC6}q~9%AptQUvP4VXaN9K*zhJ=3N z*F`X=1>-j7-ntVS;Q^9kq9oUjCRo247^_j;pnhMIq=@@9pRW!q+i_(x#`C4>+;&T?os-x(VBsC_3t#3)+GH_=*=U_cXb>CPn8Soz7l>WK9I|^SA zgAT9%jR67Y!CkOLhMW_HLp+<0U$-^l@%j;NLxh3r2+scMnN=DCe4n z!lRET2uiqCvj=R}6H;g&MI~HkBP~1ESid^`h&_~!dCtLFYx$-d6^&h5qh7JXnNa3W zm^iJ8iJzwhZH!_;+u(;!_AIA_zb=>(epd_(OkqI_1Jm9n0cP&&OuRc(si_x2zn*?u3EJlJfip`CMg59xM(!u|=`M<_JQFFY+L+Tmak=>%i{XxBYZTKbc&2oKB&3_7OZ1lHxtTe? zG98Az=CA2I-#MBUypnko-y~{g&Jo)>Q06g%sECoxoZDx>Hh0tTZI??<6u;UFHEN)Z}Uv>#LY?6EOd`$;%=FEmu$L++^FDM`3Zn|G;8jjiPqS3 z;{dd?`v&sOuZ{uVJo5>^*I#r5KFnh59fUI1=d+!6&B{g2iw8Kt8CT?OlK?fnQ$^3( zPx7v5KcmRa`rF}ozjfr5o81$7yy*#5ZkCQUhZZUD8#FCIey_*sAor2)Q)%oc>!o`1 zwR+W0D0RrXLe%%KGxjFgZCf&qVq~^QY-e#6rlNIDkWsYmhxD#c3`r0zi{Sjrn5N;BC|X-w2rQa4mG%U7DWB z(Ekd}FL_lhC+UyIp0S_5a9?=cc7tke-*%_+)h_h|6xy0#kZXdpOY3KAp{@xHubKk6 zCQ!$N8gQ3J(s}|Ka6W55o$nfOO+B{%(|YvNqyIWI|IOEY>mf$ZLbXg;POZAl-1l6M(6JTzh$G_Zen-?b7ZOg6#RufSU}5-W5Rfr74QFlaxj30>n7a)#%fCd>Kh-VHKhV@qDia zwfF|avwbwkc;@p9H6IOX@p}=^cGI91|Du||1{u$CzK-}{q}QMpe-iP75U)WkpAQkw zaiT%SvmgJ4c#a1RYVjc$^GvTnEq*NGnO=ihJU;&+xSncIi(i0vwu1&4&vvk@@fy_P z*C3wbP=k!;eBrec9eE58bNM?Gd)ofOF9uaqtXmylTv!@k>?o?RS34wLQC{M3m9KWh zuXWk0UGdI@@-*5PdFeeZ2lXpSMDsE;vujsj3&?d;mpjWc=giKjcC1ko;!aLYMMYMP zv%*p9lTZJ*IVy|gyR_Vu59erD-2+fpR!&Orl4b^VLyNnj48OwNM`Vv70tj|+BUZ zj>M$+g!qKga+lSSl3bjUoSZZ%$zEtrO-e{kEKEq8G&wb~xM)g}BcXUwNnvVXvLne= zR1UMt$!LG4Vo-d2M;!w zLbX?f^d$*q5{vpUf}ukVH(+55_lTrGpOmHbH+DMthNWjaCu#KHloTQMNY%wGRy`v-JK=;Z^eVWOw%n^(?ofXRW&6l<(A=OrQ9-{ z8t6?kHN+dfIoumgAL0#XMtH;7(ZakQFAn0L`4rw9Y6v^a0>C65e;*;}->VkL_>64K z0^|KMgB=(w#s`!_{hi?ll|uazl`?Kq8sQm+ADS%FA7S`mr9qA|{HW3(GQ2}+5EE8(v1cCBc~JEx;sij~P?EJ!YKh?J?uc z-X1gJdr8bjRwUEgW5yhBj~Qo2d(+IbdczCHc*Bd8(JS*=F<$u7*9tE~O3-sCsy}re zc^Rw1&95T@ef}1!U}jGTvHybJXS!yY3PW$P$gEoJ#Ij!LnrgM>&9xFOuW(dbQ{odR zTl1@`DyCYo^n*gFEVVA5TwI(wB{?BsrT7!dt>vLth(lgZ|S|6D5X~#g$>i!@a2^*QG>rpNvm8UGcOUpB(X40 zWaS~0nVTo_mH_67d<7uK%$(_YG=9gug}F0V<*#=-vK%Ge9M_hCVv>0#rXbIml^|&= ziyR2xRTkT;i$#{*WzVUqUTwz>kgxM=T+SMou+BjSBs_)^Rn{uM6NAQ%uV25BK~p^EeC_E+F@Va*Akg!_R2~} zg~%$eb`-hFt15*;pwFx<5_27^36uy{-&TPMuo}~lxB5rqR+m>*m%G-BoS8ZEWqldQ zFRON-i7SfvKt@gVT9=xe5@vaPn`90ePnjhMXzhd!a5-eGQhZ?Atz4W)P#89zFA*vu zG%8)AOl9QU#B0*cP5j=J{Rmk3tR$}ca#QXr1B4BlVPEU86&ItrYcXUPGqbYTQ7cwS z!d&Sjt=Ozb{}}dMb**{n-ZXkQX zB)&!1VEErq3;qp=Ay>%o&(>s)IG;3`a~IBBI5EqyuDr+rGTuwcPej6s8ca|u)lBt zim@IcJ@$C@WeKUZwMqu4vHn`^69MVTcSNOdLdLW4d@ltjk4(7~yh=lbfEFeQWQ3 zL3f`#9N+Tv=nJAai zKErkRC>=gghiB>VTXlG)4zJVUyL32-df)O)*R}5i9S)tCe(7OA)(@Ye!>8%+OdbAv z9llJ57wYf|9llP7-=o8~>F_-|e4h?Kq{BOP_$eKJNr!)~Yfr6pR8EbCV#^5@a5p53 z#R|)ZEE)2sIbVhmIuu^B5%c*5;z&G!5W{8?VW1FG2yq}yCkzr|J|WK8iwJR~L)OA* zQNBHI1S8Bz9Gm?*LNK5=5Q2fWKd zSA+>doFhyWf_sQDNr+2?XxyuWMlj^?GfoCcM3@4(6d^WruHnWs$fF3+3F(AW6@I?) zMj=)Z2R+8M)_61cTZGdD#F~I$=xrrThd)O`8_G$TfpQXNqP+;Sg!qCm8*(5*)Z<%1 z)MJ1N5bqyKi1)`3=3smfPRF<)oFPOu;Y^f^a28|*gtLVxC!7QM3?a&0PdFDkMuhXw z--H-U?S#2PK+Y?S3(&uWc|vf`8W#%jDPca!M~FdZ!q_z~22X(SR*YZ5CFoberI0TY zE)!xJ;c_8n5rY0(Oo;X>BwQ&3*D&L4Lfl2TN{CH_1&|XF+EG5jLIF!vKnMl@NLVEB zRpw$xVNK~OdtqUp8Di(q;mNLOduyhFwW0@bOp}dM-gkB62 zsFzkQEI<9yHT_x$4E?@KONU|JuY9i}o$sa91IrNG*{R)QIt diff --git a/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_i2s_ex.d b/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_i2s_ex.d deleted file mode 100644 index 893a3cd..0000000 --- a/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_i2s_ex.d +++ /dev/null @@ -1,45 +0,0 @@ -wolf-lite/stm32f4xx_hal_i2s_ex.o: \ - ..\Drivers\STM32F4xx_HAL_Driver\Src\stm32f4xx_hal_i2s_ex.c \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \ - ..\Core\Inc\stm32f4xx_hal_conf.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \ - ..\Drivers\CMSIS\Include\core_cm4.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \ - ..\Drivers\CMSIS\Include\cmsis_version.h \ - ..\Drivers\CMSIS\Include\cmsis_compiler.h \ - ..\Drivers\CMSIS\Include\cmsis_armclang.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \ - ..\Drivers\CMSIS\Include\mpu_armv7.h \ - ..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \ - C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \ - ..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h diff --git a/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_i2s_ex.o b/STM32/MDK-ARM/WOLF-Lite/stm32f4xx_hal_i2s_ex.o deleted file mode 100644 index 593ff081a44195257209d2fa43dfce7216ed898d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 40208 zcmb5X3tW`d_BZ~_g_!|nhCvYqxj29qM8yFV5!7ZFAXHRDD=jZG+=4>nrsB1^aMQ5H zLWT641~s#zbug_%WiB843n(0U!Cs9FdlfH zEme6DkHNTu(6yzM6!i)7_!lVpyc?`pN^TQZE>iFY7u_))!bhoP*=3)Gf4X^W5T3uv)?R4mY2(K!k zR)K$AzM7@=O!v|%L33Yh;f_ed4@paYxim4Hxv}}t4J|5vXqH9KRv4Ldqu@d(^4DM|N8BVrpsga=UNm~?kGYQt7;1w`}PBoI}WHM z3tuD_e)}zT->*7bp#K4ui#}=9V!yP-k$)91+8Cxoy~B9KWK0g;lXqeI!;Dv6LTv5H z@L>PaR~SWmq;rzT0C>@R@<&W;jF22=3RITR9nLTz!z@-ZOs#-ng8C=l{S7}nYh7uj zc%!wpOex-^uia80*jQP+sYF~BDK0Azl%4#2fU2|5C_E z(A@^G0m}N?c(u5)z_MN<-lV~O?M$mc6>_FI_>4*R39ik-j5+udLui{exTiVzvN5zR zETpGd)(K`zAvbtxqOdViY*dRkN@~}mUt{ftbnylov0)Fn>IebDXn4aAdYK9BYYy%V z3%LP0#5VX3X38bv_28MQTaQ)+0#Klm0s)3lYOCE~t&MN3tx$nCF(YT%LasK;K5>L} zf!gqaZ?e{I0uLp%ps?;p?Rp5!R$HPFgWAUXa)Zz=+e6wMU_jQz3%zUu1EJkKn|R|9 zFd*4bNn$6Vv2(FCQjDqD_`nqOYeT<*Kv*_#-^L4dg@s({4gMXex{c^vS-Y-)gioTt zT$8i~rANf&YDk9ds2iOQFslBr;J#i+JM^+1G6^-3dZ39N^Da(gB%37SjS017Hkz6; z%+V3?MjF&-s$eL<&0hH#%)UwXnKAeVFSJKbHfP|zeML(cSuT)_g|P?2o?vYnWmWJkEheSVc3GnkszgBz*T{5Y7BX@Wr*W(i$HHuQ`(6mkZIPkMtP;r~+jzne-Z z4>ZbH5m^gM7@v+SS%9+i+Km!%sUE@+Y?9Pg=xgJZux~I>L&9O&pq9ax(JvEv6>Hx_ zY%s8;Fd9ZQY$S_8CRbToK|&_B!GGC(D|8vHnq@GF=V3ydWf(#ylpGr6f^M*8Jb|-C zu!rjZgZ;VK9C{h*4TB6Vf`Pqnidi$bK_cGJINY|2jWECDKFADmLSyIRb~EhH|G^}} zJW9ls#@dRK+H$v>7$SAUL|Xk>GYS7HM1i>`$0X}$4()>OVNmEuJLU|}E-=C*T5HQA z;nXap#@bB`sRx?y#$dhl4>yDM;4@*t{mtYoZt#{_&}w~4Z4x|7fjEAdpsZ0`skcmr z&#Dt7;YL%tSyfxnLa*SzHWi3V!DJ7g}9uh9IphC+ESx90fp}S+F|$NnZwG5-HQ{4l@GfYgWDlG-2FzSI3Cil z)^0J9gNO$JXcQ1BRqX~FIIrEHqC%)pJiI`Gc(YybyGI%h>a!l46_j|^{Sggs$c>Ro z5?Tc11>*Hws}=!qsIJ`vB_9-Nyj!I1PQhV?-Lf9Shj*^T>abuUHbcCSa|>1|Xws_< zk+mC2=%!LqTdELmN~e230upH>+p1AqAz_8aN}h&EH?{X~h;tes&03?IVY;^s7TVb9 zb2k(xVjh{gO(nEu%b^~u5U|z&6$VxP8$&1z;EmppE)xNUo?i0!Ly#k0IggpFdCRnn zZ@a9@?job+(~)q>GRvu(SudE~qMLKlH0zXZcB^1!gJ9-K-poUm*@tki3+mF%atdaj zG|jZ@f==oZx&?{M4Tb!s+UH9~(U&Q|H6Z_?Ti$DzuhGi~x<%hAI{=z`%Ye8{t%y4mQxwLR&iW7eVenXS5+R$Wj(dT$5WVM7yW&^t*FqQfYDP{;=u zpRZl=uZE=OCeq5)Ll-l<1T7-8`d06=0dnY;7qy7` z)II}t(bE_g03~jW@_%aNU+aDF3e);ixBMEI9FSw)QE~^>RN@~QdQ10eaUWW_DfrxA zd@3~ZQaxLtTht55+eK?s@*6DWHEJRPR!dMgcwai~zHHci`LO$nVfX8X-Gkd9Ivd#g zAJp<9NJHiGFUIHg0NwYg#Y9#k|4!pGpz`@nLxtdTje>Oej#2!(M;Z?5v$MrGNTw%vWan5zQr~m`Z9f1yb-YY zk8kh&^2fh5T;Bh2{j^#CepVX$VamqKtM+_&_=oSGUbyzJx%s0*e@m^s^u@`de^0r- z@_N`P>*7NUf*L|2M$8B`BI#?f{d~TLp4cC!G3=4i`q2soyJqf(>LfcpF*1;o`zKbP zzRp`N78(Z&7%NZrr!v>9ECyi@zJ`kVDnZTCunQZ}od+W{qJ-;i%K>vbPl-4Q`y(+* zm09Ofg}eCbV0V#%2~-~nmwASJ=+*8b6@wo0f)q+?GNVEng;v;VvCmHp^I+0Zh|NB( zsP;(sBgtMo8y+S2Ps8Net@9o}RprM-@{DN2Fh&Mr9QDTn&xuSXpW&%c#PGm>)biyW zMnArWN3`A59{F=ZfP(FgYB3s7dXXY>)2}?n>cOYjPHbqSGSD}}TJJgj;ZC8BpT^8H zn#&?B;gKd^=1dyn)vWS5sPTG5@AazH>rY3#j@ow|>-KtMz)Nit3cO-$#(A%+1YU7A zjlgTVO)v18VRZT$0~zKxWc?!O$4QLA9lgQ%e!SUrx`e9s`eY-v#p3eDQYn@c=h@<< z$=GUyoNo@!S9`G4EJ3i-u>J6&U6u6}CF0G%FX^=q%s@lLVU-?a|yjSg0 ztoFG+ARow-$0<5Ot^yQ?guig$HNS^AXU>2MqIidl-^?LE<0`ZIjAZzgqBOywH z=aJ&|cRfIMgRyos(1((R;fU?R}6$PJ4_*G45H7ha7VhC zjqJ6xJprx&psJyLDmuMqPP!;aYH62#R9IWG3}DAnQ2_W^TLE-$s|`HA#OD_4Hv6pd z>+rp-6OL0whFoy~m4|*3hJ8us6&K;_Z+M~k%Ej>K2`TM}SYT6D7^kb7;j`gBQkcxMYoa&@{kV>MSgbxB#y zit>szak2UIqQFqJx-hnUbzWt3jxKRUMqx$X3bxsb1u65VXtNgR)~+d8Tbx&1k*LCx ziXID#^2${4(J|9iOV_R~N>mxj*5ni!il0{DI^~(Pn6LX7mras6-ZeD(L z!Mft|!Y7OKa#cA6hBD%L(R{k5W@RnPqMPJLmMo{=OXn^~UG&IOx_x9}#vHmLDF;yI=b7PnMp3~t)ZcgtP4RZQm$?B!cXxP6i%=&MIOP8(wefhAl-lxEh zb=bgk3zp1XGBqV{ePK=>6!N}KPK6E>t;@~3KNryjtkfa+VeS7n9sZsA4C*j3^2m}@ z8q%=a)Mdj-{$E)dq^+J&Ss7ihBy;ZSr5j7~Qu6ZW=M@&MUO#;WRs#K}%g`=-ctvty z@rvl^6@^2?$t^6dh%UftT8Eps*wqz7YK>>&D&0_=Ta@RfNjmXB!D?0wA1InzTwY-) z&dFo-r=l#+ux5~>xy6MQR7$_y1T)s=Jk72K{B{>DsW4RJ4JkN1xL;%%%CNMT<>!^@ zN{T9UhN7ZuL(bFmoNg$}|9#nlnEx#KZ}n2k%GQ<*C#KC_TQ)?Ud%HF_ZwWh+WJ7tL zHaE8{ue_Wqm|L8iSE(y76c^_er4*LsqyZEo741$d_4OAF9d!P=r+G)P`oR$jr;VsCX>3saV6Wnya8 z_%BAAm8Q#D%`T4zrvr01OyDI;E0fpd=Vw-w(S*mu51VjwR93OHk_5V>a8n+4r(3(O zxS}L$uu;~~YwnJHJ-4is>#}U_lB@z4sESIumQ@a|*I~1W=`GGRl;zU+)7F-uGE{T^ zqIDG|>ngPCatqg{mF1P{G8bj&il7rq)~+kd$xB;TRFtx=q$sbF1f$D}(PhOh$x6x6 zW$7}rvX8Hgh1I1!oP}SRSt%O~W%;T{;ae~zDS6rJo>a{(&R;uNvVqL@>T(Pe z>RM5lv$||UE?CMdUblt{S7)y)EJ8s=89YW&VfN~E#fJ5U!XiU<5v!b}rR&PwnpuDq z4Z+foAS(Jr0<2h55|c;Tl>?^nP?q=P>b2|3+;{7sMfB28yirxM7ITqTwsuW%VaeJG z=m+almK3hRkX5>=x=E8%OOv%)RAT3dgv&5DX3=RR!>G-Qj0g#Dx>>O_LSn+N6%i86 zG_%zZAz2=8wiZT6F5y=xo@SV>8}Wo+CWj>1Ulbu}Qr4?-bJS+*E)+aU1%W7d5(UjD z*h2-QQSdYhj-%i+DhNWsS`;{lh8P9GC@5Etn4V!=)xl$ofcTRMsDm?*ICG8 z8Ufa$xH8>x-lzULfOKO}AK(iic*d^rP)HbcCQrd@JNxb%pcviux2!q09eC=9C8*UCEKACN{RoboS=#jFnM!pe40Ma8O#amvk(fUUg&&+tY^Eavn2PtRo5nlOyf zTwj7w_OXneTKTcW#_l?bt@zg7idMx)kykG3vkatd2k< zuY?&n-Iymj#q-i&!ogySYyiLRim>&;rh2-uT6C~^gz<5Da=$Z*2jA@$ZQA9}41ZV0 zy^HgE@B5$+d_%9tEEX&)vIbq_?`Nk6jQKz{HX4a9^dKO#U}`G!l^4zhhce zCD7BJj(xqx7BJQ1-_MJsT`%e{D{=X+XZi+doeSzu&9GH(QZYT;>oQxwcT62C7Id|a zh=vZ*Dzyc~nf$*sF$IFbkuZ9CiJIqv`%@R#PHzTZiEIJ5WFF_Zv@jhXWmGXvG5SZG zf%$Qe+3s%Bsw=|ByD?-JOS1c-X;mLrpb}Ca-94sNH@N~mS8&C&>NZzk;|g4+RrlO9 zxPm@Nz=I{K@*oGbj;mdV}5pw`L%Zp>U|1I;(Tg|ABbS*nEvXJ#_F{gG~`Dz zK|v^2;KdfmBKuRva0Lj|pvHp8eve45U<5}avj4QZ0L&1L$o}eyTn(%%6v!s_pN`@R zFfl}9Vt@4{t{|MFff|#!g7F*;)R@8*z{C)ZsD6*BTmj5cm))3NSLdv=rf+X_ZnvfH zIO5zvbffx2f8Kw3+mNRH$r|*2ng1`CJWiFS9km91z!gMt%67~e^dVP}z!ki14RUb> z>0H4Z)}UUlU>OQ57s8`|2v?7Yh>aypR*!z)=KtQHa_Ajx1^fBd`t>9K+0D%`vx7jS+)$vS4z3TEdak=P(FP7NpU}2wd3JaZ)|{y3Id{ z&$Gc!L)$L46*O2LzbO&gF=3eE9Pfjr@~3u1KsUVEf^zSJyW~$Dk5DkNoFt>`I}?wb zeon)5u@p(YpW;R!5%8XlOIDA^=$qu@vHgU#*&7)ulg$sV~i4fF;*ub02QPX*o;(3#1 zT!>1z5H<5cRH7p)$q_ZHH)=MhPCC{$GEREDEh>o~UPl)h|7#b$QFCJ2Gbg<D zRY&hu9d%Y6?W&@te;9po$GrV#=e2k(Y&o;&4EeyS@%7UmXfAY~VuhE?RV{nBJI?Va&JuE1Q_$k4oMDCWx|C|NB42UvF^# zD|U%&E)lN9jF-MwRBRN{l`C63P8Ap7h5*6Fzk){#czi*6mQyJ!d94e3G`G*hIX;qE5V;pf9G^zOr zl!u26qti@XpghtPFw_Ke2B_rzzk6Vk`=`HTIemc_(#>1j&3mt#Znm;l+_?X>n1crI zUvBXZsWSz2o0QIUy}8VgbK>dpu#rjSfk%VJEqk`Ur|0J76_>9%zv(%%qN}Iv{Ef7j zQ{I5Ulk>6!i>>6r=-Wv23#OzA6& zjV_Z>Qtcfgsmp9NnvD{Vy3EFID)Nj(k(F*e=vH%DqLDQ)vcuXDfe}o~OQJ=Gc@2f# zxuX-BMDq?iV96Nfdo{y61}4HEc3KyQJLgf^rClO<=~yM>-ngb#u3m}_TKSs7imbex zJOnD27Ou%#yN&`Qcz1tW`k0}xBCW{qBpbVUq`0E6=zhi3kCYEaFsKT)iYUm%j`L}3 zJj*JIiq@}*E?T>`Bzj$O+1jF_=-k3`+GIi|nwK6C&WH>8rL-%GzoltsR$%@p?FU_H zZ}^?qp;?`;TeW9-YRl9UF;hP}7Vg@6Nz#=6sPFBwMRggmMTsE~W3ve$@A3S5b@e z_`JmY>nn|y3S^&clraKL)f7g*fzdzed!?(Ws}sBx=f{^_2XBzfhs4_-ns$Dn%pc`A z-_^NRdSZtwKfiqzcyRUN?v}upXCefX~xAU4BkxS7zWMNaY55Q>7QlQ z)F=XDN4%6adYednRI)8U{?V9({86T!F3}`W`wml4*H>FWyr-*klIZlY@W_3ajHmS& zT7F#6ab+;NL*&Wdl7HG7f1`ZPi5<+E{2YG^L~-O?kt@3e?6oxQ96k3@=^D$Nuj7tN z9?g&MIaC;5SP*1C7OvQLiTOysET&`U&&U3HEZn&7lJX-PSSyPQ>Qh3@`r`b9!Iqpy zeY?*UHRg05Q{GJ5`q`6^)48JkIo7Ty^LtIQ^->7Z6@TKwcnDI`bg6&$;mxmvtz{;O zJ}|s|XL-zR*)JzSyL;cIf!(>M2WH&*5nLKq=O0}4(i?kgKI9!!riiy)d-Ak8abV;_ z;J+fjeH9d=B<{q8Sm;%2(d4Cj;WTS?ZeyR zm9LEmo%upU!nL4%65g^9{fh7_(%D^UPggz6FxN-)=6_>|e>~!0A*0+YnUUjj;=<^e zv5dVnrL}2uqwH^Xc(~4SZRhM~i&|^e`Z4Ng-(u02d_nvc0b?xPUJ~~&bU-J=aG#@@^aA@8mwjP!|&p`kYui=@%Y#p=#RNC|iFC zs1RLz*xz1c?b-&QH!ae*uebJ+wMn+{AFb#AVPAODdH!bi!f$)df9qO!tN(m!%H6_w#X?cVRy4xBjMHip-+b^{xzv9Ye#yzKXe12-@j*o1vHCNNN=VT7VZ$5Y}CbDwh z`-@w%j8lzSP5dpqhDjoi?2(Co3b62xdxiw@H#ezN>(yHIExlRqatNE9794DgM^>pq z$4g9eG8EEh8dX-_)C@)a{zjE@MvPWs&o=A3PYX@bO$M{RzEc>c-sx%f4IL?r9jNx? z2i|KFIudqyniq$Utg@$$m!vgiD4a|%ZvK#=;LmDODgPX+Rar_JRm=yp!HDzK>UXwf zq$rjhRFCu(eiqr4A~a3+8eW`T!l)#oy_4;9IljD51sDrO%(I>*>cEI}H*Iw!MF)DXw0uee0M%KeI)_1axQ%TcwY zJ!pl#B)J7+m~07^II4KvubSlfVX}PbjxUt!MNA}f14F-}=;tdjm=n@XYGIt{^jc}6 zfN2+Xw0P@4`d+6!j_H22S6<}sN4o)IG1@6RL905t@VdDIz|8e65e}Wzj?Z9wq3Eu|3J?dyJB*utqiW^R#=WsVsgd;@|@nVTM0km#Lyc5V)ELEBVEp2lS z@8cN_f@|McRCsyoI$o;AHDWy53T6nHfC1BarQg58M7VcP`|IuLG^(d)+MWNxEyTsx zXV6;q>V6p)PHS1ja(qq8TMxzaTQo7@}7F3J23LsEa z4pW#t1^U+B9Rf9^ka9>9$TSusOB1qWyv#EV%nGYl5-Uxe8q#D69s6S<=TWs*{iyY< z;9K=n`I>nqrn4?Bjwvxsf+S4g*&0mk6-5C>obK(*7@PbXs912cI%E@eT z8c-`+KN&0e@%cTv`%CG|5z|T113y6q`fqTdbz7wA;?0qg3jDo9yxs;8`w7cgF1}GI zX(aC&d~O??)J&wLpRImH%d-7k#X?c7cs(@>+)I}#x0xX$SW5jJ-AXQFgSi+jR?+_cT8RJqb_S13mGzSRj~IecS6`qxKV0z+y1`3_509 zPqvAmyGrP;_xQKF@4r)%%8H<}W>48kYWD;#W3UDXsU@@2KK!pOy8iq?3xRp=T(!c| zhz_%2?Ayi{4HC&VA45ekOQd8tOR7X=XT7#}hbb=JAgC}%9z8H_NM#2`Bw{vZ2%~u- z))>Zl#EGf_!g{J?utP0^in+D{s7{Koywg^4+8(&Cg%@r0`~wz5khzhuK#leod=5iW zC8uplyiAiy#*cGoq!rnnHtT7Pv>W_+H-OjN7^C2%XAowoP8`EN_p{G?v^yZ%WPi(z zqBfE3p$9oF;!hxxK!hVDH-^Tr!ZwoQdM3xUVA?RQi!`U&775`97C-BF1p|h4dzJAg zgAJ&7Y(WpxAf|q5sm0m{b;54k=>|A%*Yv zmE|)obGZ@n?=jjf8nWln(vOxkoW_zGzYuXh566Br6YUhUQ^h|a!Bn}@!n$EWGk#X( zL#IuDA|udYUxpE%{6OtJ9h1_T+XTDMS>Ll$HNQZwmwgAG9anLwWjj-dPm=X8?$DD1 z7Ih>D$q;oXu(l;e?XM6D&Q0w$lP8T?o(qpSimYAZ$KkMc+PODbOLHulERB7#c6v_c z{<(Og?lx35%(%dhgPUNmNZkv*LVFqgwr?e={W4r?C7HBR7liMom1+#7m74zILRzWX zNnO5F7;dNn8ZI2WA}!}1jbY=X#s^jXA;a+nWF4WbiJYlUfA#)FZklQ&jHhT3+u2T4bN%E(DMoD zK7Z)*4dt|oALE&(b~TnjsWiBCBUuN=lWaJ{6kioJ7T*;=!+KYKVoqjVj?0e50aZ0D z^3xU=$+w-gmV$EFb6Oq^I7so&lF?c$^+P!&f2W>B9Z9xMT0A$ElPl3#wN4GcK4bY0 z@aw9bv^HdQrIH$gMV!_@J^c*HGyQwahV}-{ksEX5d;S0|t9x$*0YR)CBbZgVOvH$u5>0OzyFjMV%Koj9-P6cg;5`?%uzIQ*XGcGc#p>-ZNKij< zyJa_z0}i>F_Ij;$LeCjSGgbJ=vYpiC5Zd@!SPjkcf180RQ2th9^U-;Tvf%doR6&7Q2nN@K?H{ty#6aL52CqMBTR!4^wa38b(Y%SC_o zW_d^ZG-+^S8R{Kuh)_fA~Q+2VEAHgFxb73(Em3xd!7-0-NuuC$|8Ci#VGD ziSA}4+TYgg6s;MCJ01^bEyv^G1c>WQ$FX-P_&O%&ZOqrrk3RwEx~=E}99y(!56|db zk^>ZC5VVDwsf&EiQ-PLii(bH+ifdRS`gP5I`*s-O zWxK;yGe$ERxqzP2oT*a~n$k@0B_$FWRCjw4govExxpfMXSao$sXV zOhBP4iUAJ-cE`=)aRlu23K4YHchcMs7|jn=CxD}051v3MsFQEj+rIv&l8mF<1pZ?B z0z$Vm;o?({3+=7iMJbCKJ|a-RQn}*5tnQpP_a>u-_x(r*SFXP{>yu&SzW!{vpFep8 z_eB5ba~msf$Kx|IM38SAw7X?@TQ0y|`%_11H-lfsO8DG3!p0NL^k;#c252v*mqmNO z@tG6CY)qYN-Y!(jH1uaEFLs0g*r`W|J}eQR5az(*;PHKz$V{VWsd1ahfNk}(7i{?o zm-a7k`Q1LWdQ3Q!?4f?zISh~u1)kMB3`Fj=q6u?Z6U0Boz!CEw`v8-$TofB=0rU3V zvCaSv>Uq3zO8WspJzD@lJ=dAgK5v40+Cc2$Jl^p87oX`E1vlEgTart-(FIEw6It zXzvG~h7v*&&9uiIX6%2nlX+=AN2Qrx5oAmYnSp81o1TR zQmI!fM%Eb7vvXSOXN+GrmdAZxa8p*It>b)wQNsCxW;>}fYl1fm>XnL&d1jOHkdy4a zNqO_PZl8ZU>y1iW`D?)&Go5@p z!w^UB_D;))fN-&2al5$9f)%!K4hc@=v-njvx`l|<^a6P3F}5IW>Mxm7kL#{8Ley!g&O>V6N<<-tVHu@1n{NC*62gHaA(s zYznKK8k>{Z;2m5w6obi&m_xcxo7&&H0T+I22cl!JwZ1(nyVDjKFijH3I8}9lmIEu! zt4MoIHW_K}nQ@-9AFY%1U=nwf`C6>34OG@Zevx})(MmNtvJaCI{kW5{d%VMgjcMUI z#3r&A4Z;ejR=~r#jEnyhhYnhI5juE7#)s$l#KR9G$q&42m)*yV(`LN0D;^#9lCb;BRCKXe(z=t~55KUJ%&gn|IkrD%hdLo( z?qry6Q^{R#Se^!V-TFM|u0LR%OXIi_vH+`I*aavq7f3HN(jH^L8B!J_jKZMbs$$nz z1vnW)P;$_78o#k&M_7F-_k!s<9^e78T}L~5gG1~j zL|D-sPvfc|q0+xlf2VNgj;BcxysI8xVz}Q8c@UoC&{$=i_9f{5YfAk?BNO3N92BrC zyhg~zLVHf10bJPK$Ut1PK=HH`Hiq5jb@Sd;3v;r@54!be^+;|j5yxY9eLVR$6bxE{ zO~d#K;6`IgpX-1ivkotfb$Ubr-cTmwpi7U!1K_1ZXC1GHKj@0JCWm1Caa&Be+6^65 z6Hqi+lx>V}#*zkf411OrY+KNe$(*FWHIGZ9-|`Frthy_k6$m8353nF3RBY>^y{Boa z_t#iB6>qW&Cnkt>sP1^zw{vL^;qxz=771~Hm}=LEJ}}zMw$hx_h}OEgc!7=lzy^Mx zl^@uFcNeCHe#mG7YHSM!0BQOIrnv$*N3IchV9tIufA7uQPR^{J)f5x?bLzFBxS03S zbTUT@Qp#S;0prTQj|F#ZJAT)L>1VOv-Df+e0*XAtVZja-3!=ZAML(lINz>sWgk!H` zF}3Ms4pSpuL`F00(*bWpYn9#-vng%T)^Kl(?BmIB{O(cz&%8g;sQ*o)p2kXL@t9{~ z32#m$m{B8>{KBqP8w>bgd&jUcs~9s3gq#?a`5MrsIoJOgfZ^v!aVDI&>&>jljN)Qx z*L~R-*8CDNdOtJv-w(hJ&2_Th2l8I}gf@bhd-XHs)qZ;e!}EcwqdmPF_y6M&*2EW(OdT(g2lqmV(fIkO7g0d_|0Yk+0=E5k z!EVy~{2K^@_J3*}f8<&O7&|9DOp)P~fhgK%b&cr9^e&ETN5oSj*7WffdHiWC1WG&p z7Q8XUAq>p?O2*CWG3ox}oOci^QlB?7@#ESd9&%9QYq zaqjn&7w3Mrs%b06g={phu;6r*;7J5iTJ?`|P(Rm$h59v54kOt%e0QgPog;bCP4byx zyE{#;FMH`NA8ogE2?ISNioMoIiRRNqhyN$9zM(>DJi-%%KFgGR99HU>k5yZrcWdB>UGs%i9^`cnO zikK2Ia^4qHS-_-O$pI#Hbs_;Kc9KGjnPEedBrnmv$4T_0H#bS8nPjzkcW7f^wS*l= zH^jNk6GD6b+tP)KNl%C-TX#=s_jjJz)9js}cXq(ztvB-$EcQp=mKG|moY`ZL@mE}m zJ*l-$dZK2Aq$2MuBi0@(3cRK|vU`dpRQTSTc>@;XV{c1sR9Wk1e&SMWLvki6FOfWz zch=~oeZ44fX&5TEM+rgMT5Ut+lZpX!=WkwhDfUXT3p}ilY|1-p_16BmD6lKcxqFH( zQTUfP^YpbYuyIGxb!HE541e{d*xNd)Y_)dgpUoWM02>R#2f)U>^nw$4%eJdfd9p7J zWE_9xrPxy`Hn8!MWIfmz$phuG@K&&~kSOnJ71=cBAqMmJNT?8%2Si zg?FR!6T)}i%&YU>zUFP|W?!)3m`auF(};~vt*-pDEu)Ez6%k-VSCqc)M4ojgv2lXf zFvSxaJ!!RmRlF=50-@SI9= zwx`=sS?LKmt0PRHTrd`tbvuRcznQ0eQ3cBRBQS$u3up$r=BmL)k|)?`nLw5ArCi=O zrTvKT1Ssz&o?i?9{@fnzBj#n7Vvo&Jq4J}i&<$4oN{ey~wYGuXYeZ^jcf?-FJE3{w7kw)Abb@`gj7UW)B! zD_`ApC;zM~i7K}}3^`lwvXsYou6tX0gRQ*vP&X(qBFcAmC4=%Ds(kI?d6Wjp{uopU zEKu5^aKU7xJEnn+5pI=GcgYY({4=p8wbUn$>fc9zB#3 zf7PRL=LyffCwXcnrH9Wfgs&XMJJpr;IWjJoRrxu^Nbq2$p4c^`{aAS8-b>DX1|&(G zE$Tam6b@HjeA-vYqPPC!wU-k14M-^Ez&?L=SLda^I!ZaDIj)`~U} zbsT0Qczm1<9#0m;0kEfDmHr4)q7D^%_T6_bb1Kfh9kR!JM*(QPKi7q@jI7Qs6Tx@) z1bMBZFj7!!TOe54@*=Smq%Z|Z5$1Hv@H@req&cCo`Z3_Bdm=MHuU?7M=YSfO|5J#p z3Z#eY$WF%vC3UnwUU zr(nf0+VJ3Hy^cFs@7G4(ZeydX!fM()ZykL{6VQWkTmK+j#U8=0HxMqk)WiwprreB| zjeqbYp$Ny^#=C_`9=YDue~+-Qi^*x|9~K*{#~uK zO~uANkdsh?zu(37EoHIvO639k1q9&d4Twj&PoZt%VW-dtC}%~Y!nF-OD$v4Vk(mct zNBq1Xk$dO&Ol7QE1vKTm0tCfYD&Hv2WGnv(Tp)r9sdU=zhD=@!&UI0?_DD>p>jZ*n znREcd-*ODqy!#jgk`01vNfktBYo?9$-B~}A-f2VFjrE*;?8ds8_I-E9Qt*xTW|1%F ztVXyYi^2^(#u7H@lJD7o*y@%YTS*3gmXi#Yy=*2K;4gpOmw`6wK^b)FL;Eq8B~;ZN zvpv~1EN0v7?eM>a3Ux0hVvB_Yh>I#Ej?Rq5%@}&>Ju45}Fp(Bx`#w%|k56GToA?YO zv=3o_Myep8)5DHMN^FO27xs8;J%Sxe@;3i%kT`|hozI_!y74A*+bk&?QJF@^bhejY z1@En43GBIa|C9d+KzuR10i&|LTm@GDNZXx%meY1e?@cH)NU@kZ88aa)*!*3QO%PY7Kr-15`0DzZ6?SFv_WFfqM@moT#xdzyePx031v*!U89?)O?1d6OcsA zd#5Py8{4t;vL^b8n&lEF@Qb&$wJ5MCj9^qW!Kj{Uf>G}i#C8M_K&#SG)! zk0z0WX0sp%?V^Kq+V)qF7}<5UsOwYs{C+s?iO9;Swv8y3<026oiEr~!{*YO(f}xsORG5uMBqZw-vuO` zBA50?0T98Du|e-lLv!TPR?K5_X=@TkA(?VjzU~z+m-cuT2PkZ>8CHb5ypaMat7cOm zd8?5E`BWsA0(mzZ`H4C%1=7A3DUd;JYzid*1N+$`SNB?gg=pUak1_cXwOk6MaVkUv@3)`~I#vVY7)@sjWqxZ0hw0p@hNRG1V6@Bh1M}}3IN>$)^JVn~)xWv8PUWdpZI{z!ssHajEzx)T# zuwKP00o(5&&=^ED=9_rs!{QeX5Dh~$$MNc+*5RFXziN=CG{1yY$s-@bpEGr^K8X3T znKlF64X|Tp6>98&9Xc_4FcUbL4rc0n50Qn-6iK<{ys)$;dTR+x8BEU8MIfA{dAVkK zW;F%5y9+2p#?bj;iB93oMHHuzb+%n-0jVO5^i9gt^B66|V%s|Uifz;?*rLpXLe}?5 zuITBsbozk-IuJZ%nzSD*9Z&N_r?B#^4hE*~>6BhFbwxR;Uc2HNmKAO=CNoRTvfkUYP;bVet)=;W0;6S>jy?0}V&v zpo3jVW|rM!CSeF!osmvgk(w3Smpm2PfoN1Fm)U6WsW8x)L*_g%j*9vKa_f>;JXxVQ zZ>b2aEPja<%77#K7=*HT9)!Yp{1uenohE#rf^Vr4Q;}2$sxG+z0&jSM&8RC>Z0VJw z`O+O6ZF>kIK+P*L_5h~;6ZO5e5DfUJA7n}hFo_W0@p(CrSNE%MDr^+)F=8vSk`gCh z2~&vYV4hb@Y-5LQ-l#MJ5bQqB0D;W6sO4MGb4OMcJJrG;)eP90ypxA>)V(#P;Jf5l zUy*QAeZxRg{rG6$Ddqs3%l5ob123%&!HgN^51X;QNsV;GsQCgH!RFyJHbeLo>v^Mh zIp9(!TTGDnAV&YrMSnNcvX$zuAGTz1UivLhEW$y ze&B0WxY!E4+5w=Qs{mwm;7~diI%J%NObWft$Jaq;YL59HI0V^BCt}-P!*&wK_BR=d z<$Ya~XtNO7;&MxWvjDpPxB)^XtoHiRFe&qx?PI|p9TAzPH zqV-EA8>L{R57>F(dX~{%|C?b5d+sQ`?C}7jF$>?~7)>BX^&`?k6<{=GAu;;8gEBeF zqckF<1PFhzV@u;sL%Lhbo!x}7D(Wrh^$90H{-Qq){n>Ow@AX<7^i+(XQ>)$@)mS#8 zXF?KAts3(ZD3LGyRUsXA@#eBns^@D39d@a!v)J?yHu;i~4}-l-7{%?bQngl1Fqh-i zK!;7pV$AQf8DCA#WsJ8}l*2l^@FzYKaGN$7KaCaP9tT2G3AZj@REbRvGE5@b2haBz z&6{RAt)CO}Puh;Kr}fp<^M+|fb~9;3`%cn|cimPRD`rwl2X`ue*Enuf_TaSPwBE}N z;_unV>4%m3db8zz-oparlb+p6r}bMBZ+al44Vaw#vKO{4?t`w9Yixe(7<4>l8(aZ< z(1k?<@ab2=qZEm4ULKox#;-*c3AGSs|AZtP7U-uMpEv`O)lwhYC3qj}{WQY%Y#v(w zgw=SjjM2Q*AQY&T&nC8bghLB|ehsCnrIcgD%ksd+szf)yTH%Ztcax_=5bpWz-#%=< z^&Rf4It3jIYR}(yALXIsdX zHD^I1>?MM5A97_UFKY4T@A#u!G}CORZL4Y=r+78&DQ7Ynp;J_?#4(TCzeKvhc^AaF z#N%Te7I?d&Yu{7edb9P}wg?ueW5K#T@|UOgL2^FZNUe-?wt63^KQ@|@atNr$je@JW zUD)XPPWpBT;c(3hEKqko%K`P5;L#3?uxSHO*Sp3sBUzx1X9DW`ykLh3sAmD60H?2d zTRNYBb`;@sicM?1fz$1T(+3Er8?~8;-%XPg<(;*O2wC^2?7+W46jU`@G{EeoiVg(( zI3p2+EI1 z5DHg#6Tr_81Hji!5%vS%SKBF?N%3e$0AY3`!EjM28|h|Y_(e9-&BE{k7KVSPpa^Uz zMPOYz+6`=>5Oa$U#qaKhQ3UoOiaDyb6J@0LV5H-Sa!U$P-YYqicUCo$M?ux_Mr<7x zu=|Ydv~@`G1?8~G#B+TrN#jjoL+?+!fu3+HzU8MMgNk9a_&rjnWwAefljrBhXE8bf zb1rU|BBJWtH*ZTT@dr*8Xb1n24$9r}I@!u6_O28pu<^PZ9&GHZzN4uBa;1>qtgAXS z-}1LM0Y2eQKIJ?s>^%-Be*oX^48^&cwS}ZaM+hyRBDV+CrRa%fuP97P%jR#Zc&l)F zwtfO~+O0r6Zg29I=v8WRgs_e33tZT&1a=qcVSPbwZDq4AK4+#fNE-Bb#{+M8F#`0< z?e}yo_@tlxF{l`3J_ImQn90gQic&xPe;C62RLKTE-C{pgrAn0?mMrFn`-R(ZJE|ci zBoiAPOJTR}P@yYl^gUK?Gttg1HxFOjKOi^yn?xuZl-c?x_O5r!42c9>jPn$>Br5DF zu3}4~LXdJTKI}q_)Akp9A%_@e&*unIev=nJ@GZ6^*zeq1k1ffjCfTkhxEQAs%{8m? zuYbwKIChoh98+}qnzcs857xP8zDw_z{Yp&V}y5f0~Gf=6@uDZM@y3G;6yfV zhSIT64RMKFvU^?orjw6UsbCRf)^4ZJUQL7#rAoH^}dFVx8;aCOX`JR1~@t~mN%xl!j=xxXG-j29D5Rp=!S+`TH_*y^jg6fBr2`M|HXopTl|6R2uG`8@P-y3LxEwh0Zchx8R~86Q^>WRmQQhcP z@eTl|(VWblOghAf)Kojy!D_p|-w6K;e9!H|?q&3Ck=F~GDSZv!o%mBoQ1wm}JamVx z5{_%c6Fqppdp_Rhds?v&zkCj6d5-LfN%iRR`?BW;;W(1t5-9oY+Lx0NC0kz8=ZSgQ zJ0E{M<>zUYEey`+tGgB;h~+0m`d@#W!fBp@@y7lQxdd$5jfSiiV+^krATJ;=%RPCkd}`!x~}{o~m?G}u-iK5xdEYWal^fn2I7 z;UI{gL>;w#^)5PEF8T_h@p}0Qwswaj!HB3UZ@mw)pRn0Gq@$x_{bMFdyz*N#&#e7K zUWTDGuH?gy&mX|cf(-!0*ex7i&x2ZPqzs*@4B4|ML=noSDV7lpXZJWf;iwoov$c^Y zs3ebNr;wCINxo2Jp}!5=VkE=3Rtxsjoju--C z_D>#I*pZb+?7lbcMO+gbQ|(xaO<#S4HFbMt^`pb=??xbii5R%G3M$z19($xAI4J%f zZ1^{L!ahQYjLD=#TmK0nw+jpI*bx8FtTh7Ba@(@chJ~Qj*_o6iKQ~GPoBB0zhm`jH ztKcvd3i6Zmmx+e!7J!Ce1mcofPlOk{Ni!-5lB5vMI!p(tj}SDQ)D7_BkH7uJ{uopY z6NA6nF;bY#e&_k^24^~9gKbN|ss{1t$#O7~-GrTgy!Ed7s? zp*r9L0mt0@gU4`!X`Fh(@F)U~^!5Gg_-4Ex0mnz-p6}$vSNsF+`CqX?mAm1dw>9lN z6@_ooKkP~?2pK#c{bO0c%Y5#5^fEyQ{AB>q_9 z8EXwosj08ZKPuS818&&WB)go1wjXz;eJeY$!=9TT@lX2tJN88LX5a=eW;~j^yP_-Y zedfgC8q<4?2Bw4!q;|*}D)C1P5NOSLAE-uwkIPqmj8F4rn|b*;zh0^Sm60>PZ!^YsU>j3aaVL6LrGE4` zM;Aurz@H4b2Sj7f&)5AMP4Qj2;TZrTd()-X4*`fex{5A7_9p$wfK|pz8i##lmYU%( z=r=TM)A_ENt)u?1TAU<+Jd@&rqUoD``;+-8nQzI4vZ1XcnzU1mQm=Q}&j78(C%8ymXSq~Xi% zV|RdcOSdzzh#F_VHHN-kuX+ZdTgmGbx?K@IaCS~tP4Txtz(Mj8GlNdf4SH*N(A$A~ zCE@6zcN29{V_(xb&U~i3y{N&U2<0(F5?4Mxd&igcoj+4pIf(xb>L;PT$*hGLYzedN z^WQ~4VAhD?Sj~_iq$ifmoolbH<3BqZUH2N4Dc7$lD&34}+0gzyy5 z2#S$sCS-U9L(irUIbS!AgJi-H4#_?f@TFVviNO4^g~29?u`m7 z;{E^X>FJpUzuoor_syJhs!vzf<8*b^Id%FB;CAdJXrHW;Kh5EG?EATFntYY`qTEGt zhE?04H+F@?e_5)x?|B>(<-$eWQ~Yu2MM{iQ+6=Y=lM++yIEtSChlnmg#i|FpB4Qs` z5?xTNM6R6YOiQq|4zrii6|JAMssILC5k&*=;pshbN@^e@SJpIaa5Prtd?mff3-6CY z2ArzO7XChIW7k-i^t)Uc)&*65c?)mXo;J=6gKsYyZ97;ShB+NG&!$E$j%Lxu=@XGT z_7NpwiL z-3hrbv|kcbWu5)&9aTk``o4qN;M%ie$AK6Uw!18R7bNfU^a|dTx@P)-?pxXJ*ain) zzS(&BuF2ZPDd6vdnKZTlxu3tc7P+6Yu^i3w#z0wEa0_b4cb9cV0b~VUW?5oN-i94c>a@)y~INN>i?R7vu zn#6{#s^5W=<1(LK-OGi!R3}>{PWqI)erD>6Cq?+r&D={IZ~H*xr?)99@ZrXMLasVuJ;Ra^^+)_4O`YauuPd18{ZSRYOOSET=1W2JjXo2SsH(t?G> z)>-L{D?T0O=k|a4nVt~FZU@4#jv5knWl=j?2{ugn3}XDht!?Fs zarJh&Vtn7>$AuyzXJ{}LYd}ecO(Rx zPxd?9!V1wYX4kZavTNpi)kFw;->M)xf%};`Cek)=&el!(5+8gCTT}2}=-f=< z*GzpmWBj{jYdE{+jip!vdpF2`A3evfYs#zEaA5Ao7iF{2HJ57Ph%US4)aqovuDPta z7G9QqF^4sm?8f|1Xrvoi6d3i!9vCqe!u{4qm*s+`ekw<|zf3s^)7KxWwk(n^_I0eF zSJYDX`H9;4nD%*C;l2}{hB1HB$NSJ)VK{Ryc@ONNK=LQUa%~5zd4n+3?j;EuPPsfOrM_0r5 zJ*lEEyI^GxXac>%-_;S1vRwwVT{cN2Hr9uA$4@yLpI2`Erdui=habjPY~3AOrp`ol zzsU?vpO~JV`cQT%x`%s=Yr@w?)uknw{Gff_P0n1lTMD3hM3!(#LLo(AN;OS+w&`uG`sPh=h*h`VNN ze4q1(+Bj-zPHl;~$azHZy(FS<0kL5$H(>4BlhueW7s=@IU8#A%F>Xz^@D2OV71nK3 zE``B4cv92Ix2l=1FFg99Y}=QyW%+bCJQ8Aa?@b@fk4#L@ z?T2eSI8GPLUSX3$sd=^Zjwv2=G94}S`i8Jq&_a`3`K3E&i;(pY%@+&uz8?31cq?M{ z89aBGe01eDEzBSz?22S<04oNh(z`mn4)(nT8!m%gPD2Xn%g$`wuB13ay;t&MEoD{g ze@4z zSI|c__07st;PH0q0XgcV#}pUfCP@{qLgbG)Y)3z6g-mzNsIz3C8R8sI?)@?r6|o=h z&Phw0V<%~?U!%T@fXDZ+;EL|WD{M7As0ZwfSufi3csCj-S0xwXBRf|rQI(%MtO?^& z_L+RjR#lca@hN+kPuZ%YAjCH5Fn9e8%RUUK*}0J8>;E8ruBtAJa(pp$QbZ?cOk3U{ zu_s}cC_BcEvsT|S(*ixM+B*6%w3}uDd%DVh96y_VR@St8>H09t^UqdJgQR=yeFb5D z_CA>Eir;*9J{q^@X|Phg@>`Bp?!uEz9Icwl+J1!IoNzg&lRjFt-ej~o-{k=M_+V3? zei*Hqp{#LDLT-)ID7rQKDvL4C{s{Bz!apaz%=*<1Vf||Qq&ZnXdqpa4G3&+f2Ai;_ zaL(|JP}-sPPzS{;w+1sv^oh3$A`{ZaK3v}0~e8Rrzo^P})xj%9uD0(|GskIKC3 zGQ6Jqk9=s@oA-9ocI;_Se00@2L+PK}ueQSU5|{9jb8;c_Bs*~3m{=kc{D zqjxcd5B|46LbLZ{DO2EmkHp7rd<)OSNX@(dy^WDq*Kxh2y=3BJBR|ARyaesl$`0sF zpo5wLyB`#kRosnV(GK1j7!XM~j@L zH*>D8EP&=zI;x!Os6OyX+J#|GSmdVBoun=_2RT1TN0mdVRI|oBOpDy-ax-5%_vgKs z3l*7d8Et*ewk-4IuF$IG4xuuwNizQ=nY*wU>xVa@%8%1Sq#%mSdGu<@y`9C#TSI4r zR1?O@D;^;@HNB(0ZnhpU7P{B7x zvgw+*0nycot+B9sHHxv2Wo~(dWrlkk+Br)_&b6c1bl=$z!}2LzV9wA5=Fm!Mt$mCd z#a5QNehAClOs^K(b$cOvk)#XENII$Smi`T$LHq4xguPTZmgagxmiTnqk7O>1?r+hQcrcUD0tao+oA*g&PW2Wl zb9{ETZJK>l+Sv~J+1bi4_%7=RJuiK?u#HpdY60Zob_#JTFukm_<9f>)%x|p*mXpTG z8_F0qbjg;XH^`Agdy@ zF{8NoenKAlc|uGpepV8+G%A{zM#yw~k|q}v0?G%Wo}L4oUuM^#o=&0ScXD;8 zr+bh_n~n~d&ij@l?H2rWsHbm78v9mrhXJs0i9ybf~AFMjGp*L#Eqt z-!G5`9i|U5o#nAA+I@AX^T#2b&w&n^9tr;bNT=NCP*0zLbbK*h=uppR8q(Q5I+W>X zXCBiG8Tf`W;3vz0-|UrN*exoYol@jM=&!{d?Tfo}XL(BSG2ayV5#E$VuDSgK(>)7| zj8tBY&@Z0iU%Uw%mwx#iHcaTIgMi%=0f~J20urSCi}P%P>P; zt3S(r1-r>;6nNHu4B(kjo|3!qsbXInmb#0VditcLxKdoR=ax7<{W6OBWn`qKr@0H= znQ5+!K83D6>3uW%6wMrv=5ZCJ&nnC;%s=4h$UxVX`C&OL#og^FawfhJ=P9&DvIsX@^fz zcLcD6gU^a@Q@2y(B|z=?;Bfeuc1-UN?lyfTcZiQ0X`$^DOSpauhmSv${9)dlfQENa zuuny_waOeKXhRcMf>0<~?jVRY0;5P=|5G`*Dax%Hhx}LMzbgMN0#~DiikC0VpHFCs z>TLf+|BEFaB>%CIO5Vvb?%!dSJU00s?7cAPb^i;!iPnVyiPjdB)pm^~#u5CX8k`XP zu4*T-40R7astSWRrUn_rd#c?aj;juXIH5)u#QSP{gZMy=F^EPr-XQ*>Iz<~O#zn}( zvdK}XY}t;%K~^SPYk2Ni?>Wm_^c)5f%M{r)UjesF)4J(yykRUef@Jz(;_d{A|CRX6 zL<9HSX7J2TGScU|419i?#G85T0~r#BGn}w2>2Kgm<=jGiL77>WEz`du{+k?$vlT5X zhl`LIxR8fs6zn6Z@*FE>>7$if;u%`GC7x+Fcm_HQd~k$;XSX--TrFg)ymmyqu%hQg z$SLnlZ9}!jRNFAw`Z@l>m9}5=td)&w#cos)q6sjb&fT(k3)FQo`~8p@^%1R@F~~=K zOe^L=;v2PQIYj*TeI@@%;+wT5Iz@bo)ybMpp@r_0sC+dW zK{#(n%NefaW$^j3H*x>WsI4}ueHBA?j5%Du` zmMQ~lnojta-pE=jy^N755G0d3d-PbB{y2hN3dHj>`UNrWgdU0#XVS#P31avJV7Yk{ zgcj;gXtDm74TJp=;+$XPOQDCL1TwcoFlL~@{7Hr#6pYTN#T^tz;6dSwJSZ4?Q250j z6vjMDX2gp4#ZweUKt-bxGD@GU1_LY#Bc`Gkl~EIg5miwbVHLfo#t{%$QD~7B(G-G# z9|a;m3Wk0ZjQuD^B3a5wK*UYq4NWP0u_=WwIHk~{Q+gBFEWYrRJQbLr2d5Mxz0oNp z;tNm73uI7AZ*CgS^7uCugMCWjA>s|4$c`!T$W*QPM!Zj<1^g7|h@UdyhBY<00%Lwk zzVUr-${8>}mW%;mKZSN)6C8#x^$Yxo5;H|c{uDQfe=55nAOxrExy8$k=f*D_CUT%e z1@hmR@@ogs9FE;?PPK)S1vD2k_W{B>6OleW%aa#m2wPb2c)Mt$hS;zLM~PC~s~tqN z5~IW_aY`p8Ug@SJC_NR+ zsjonT1sn_fs37s}&m{YKXC9a``<*4K(V4zfjmCCK@1fC8=%kl_n~8qjMDI1x`Wsxo z-7p2jqYM z6DImI6a9mU{>eluochW`t52ATE;P~cCc2-A9%!Nmo9Jv4J<>#vH_=?i_?K_0iB2}r zP7|GiMY8|(aVC19iGP`iK4YSPGSPOEytg;eT}li=odW8Dt{r7}pVW%M+2Sp&^cp)kX?-1fKLezuLkP2aXo-j{{w+Qb-{}N6R z;$y;zLR=t(C)&4!5W4GxlTbd$uzD}-B!u~bfn0@ppAZ>@lOeB!P+^QCoC^C8;WV@x z;dHbcA=>Xj!Wn2c!UD7#p&RW+ScrBboQd)g771~JurK6{&?AI^y#YbzXSfRs7kf%Y z3MSkoB4y_N3l<>2yGX%wI)&lo=N2w4@hs7qmy|3PDKJrZubqp4^&({XdycnFMWPTx`T zBL5r5E zwba_%;L>7id$HP^++#8#mjA9NBL5e8}#RR zN+zd2@sIqqzaP(>&^bAQ5$;9>ds5VIQz^=W8B8%@%sBYRtqOTw*2zxc2*P7_GAy1` z+<1OL>}PXK0g24`ogVRhf^gqxo-oxt+)$TX+7TR*;8R;KknLpVb@Gn-isVUkqE8v& z28(b;=!}N2r(0G&FFM@`e7A}y>H)llPwE^c%Bx}T3OQ(j%QZ_=gRf88j(QJEUz(t$ zJ%AxxIW>Lsl(c77tzI-gk!`y->sLYG5)lhXDaw7{6uR$|Zjt)g1qq9N>qZP>ieXxK zA=JUp>RxHxg@e=gTRwgG@bilg1O;1a3XazH*D+(N8CA;U$v#^XV7gtS*~dexs{G5? zRwbwXfV82?6fd0YGb%k}=WBI7Q#Jo;{p4iO{t)+s5eZlcxGeqLIYd*`a2Sv3Kz$}k z1lKm*dEX^%eQN@LtCdE~Ou%aPx2&YNOiJ1~Nf>@`dQFp*npwAtX?huJ$yJZOzv5PK z?0)Ge#0B`&F5Hl!P8hYz`?X1TpY;lVw_9#ZsB%YL%4SbaLtTvn`H(Hf#3>xCz<2G7p@eVAB4y1+(tSsc+9g?PZjPYRAz@*>;gk7EG=S*s7J z-pYF8i(aXS>Rifhe^#3)3P@hYV=*YvlcPP^@4PY@+5f?1LnWRS&x>&VZ?C)!dm^EmZgKhp(oeT*Th13w{z?&-?r3%ERt6mcCgYE#OhiadyGv-V{91_xImyEMoUt(a zSUu60#b4I{es0`7`^izJL)a-MkGPJBOKF*V`%a|cC42GeGsoR3-RLV7kat#G6Lo#=BGPG;wHr7@&a5ojO%1pI$3u;TP zTqD&L9MG)`I>QikHU(Vi4eVw?;30o_dj zmrX%!!GYaPUZ+5rs=ylz3F5FZlxvc3H}Y!NgIrVXhGgyrD^kN2__aL{6b8Z@x}eKc zP+wEPso=mHzz3-f`Ug;DJnnkXnW|e4R0S;HfRF+fOkth1c0)t$+}2v72z298e5x(* z>n5-B_P{RS<~s3B4YivOnk0B=JDGCMLFUW>nma$#vUKC%S;vY_w5AXp7(w=sfxf&;Jg20X^9ZX-w+ z)|M9_<0DhRS|e+-)-`j>Bw!gV$N@qJC{cfKKwmFdJLs|&Y!YNb?g1n=Sa)tL#oNT= zZj7!qSkclLV2zr&8_}e`5Cwn(-0J0@fz_|_`oa`&gAvrNMf3XB#)pQZH>fi>@D}O{ zax?{9mLVm-u0qTDg)In%^=X58ob4N?0H7iqZrKBRs`#D3{9AayWl_KxT~N2xtHX}Q zK;slJ(FOD{T-w1dZJA43=hCinX*apF?Jn(J+?EBMs`3JB^aW4ssS5fU+AupQ>gwzb z><7(z13Ll44$vkGx@->`FgeONG9y<)GDkpQzP5nNRe>M{sBW2%xUQxk zaB|wDR;NjuypT{(KomG&4MYu7;McG_tX`lAm>2AP3w9{*L`nvp=?%OB4JxQj7tm1^ zbRODLXd{!}z*ILFfo(D*4q1M

  • 5T=SSAXlxgJVBHw?I9Q{qMWMr?~* z#3wjFm0w!@(8CMVCDO2vzOydcoz0wA>OkUd( zk}hU)|MF|+t>JqJRhxyYGK7K-uB|oNX2Q_T!X+8PJhw5?o6#PjTJmJ}s-zE7`R#;o z=oiBLcTgbym-bob0u)X68H)BD6^$KAq6Qs80i=%+;#-b?b>4?ag6#|v?%74iMxs3> zaIC?f05Mf=4zrYb4RLl>1r)<>I-K5hfNQ(cxxH#0`7QP;sYK)6#n!89xaO+ZS?pl0 zQG*Gir1FgJpk1$0bB!7VX7}sMoi?*O+w3WtT|And1+JoI6A_qFC-VYs5Eff^0Tzv1 zKje=(h%6q2k4Nj3ltm`Ku4Mi22I#`s&VYh`CI<8+D(rizW$GD5)-zl`1QvhedPdP` z!r~lcv5c}vJYxXs8J2W#-OY9`KlBuKS{doI@2J($Gx*=yaU8F>*>lvuG$enVl80a# zX{xwhqi1L`MPVpS#%t19uW|1K%s=Mpx2%{jKM$$nRo`~{Rk8Y2RDxsg2@Pe>~COe zTCRTe!wXQq>R;iJm*c44O4Kh5#Xkd!15R_@LGFnx>b^o2A5wQ96?rzZ?l9$d&_yQK z9h!_ein_pBSoN_1Hg{ku1d&0G`mt)jIV8Um$x{mh7b7(7<`G|pW)0F*Q<{wZvhzrT z7BI4}u^ZW^^g`w%cO&)R9QB*d+HXu>bTO{|ru|IxYefBK+3C076f?3{UnNryXUe+x z$#v8(?+H8vXB_qWE2H1s-oT=>oqpp7Ad7if$Rgf`OIAHZzgkwmDdA-5;o4}{$IpN_ zrUc1Z${@`X(Xuw0!bdzi$2`d3?MJ~dXN}mlontD6IAz)O=Jhcru{YD-0*lhX|FSn{ zJD&$2-EMeDs%@+NG{VVau8MQE5(y3;Mu$95`w2YhSNb#L9W9ky7^}PZAh3g($Ts$YA!u`#4YG zokfB+>xZv=B^6%A_e6b`49oQD+d41lWKPCSjpy%Z&6xBdPbsnmrPs%v!R{_0h8G0U zM!~3wzk$&PPOz1L(ocGZJP~c@71Cx(-Af5iZyBD_!@aR);5p=p4m^nksBi&M+y}6S zjDAN0^ox`JM4}qp-WOcr1Q3aj5J~qPJR~3Vuq{RFwh{?2Z$e&n1-1uBd9dn6TWx_K zfBZQV<{=7Wpf(7BUw;e{oXMKwq;@3qFtJx5SuX@uddxbTP$Q4Ls~h3tyEeK+3n&aTnuFdlEskD+lY&y zN?Z&<{NFGhyrJ%8rj1B?b3z2qdgTWpjgQ^(%1(xCG;`nt8)Fw|5G6>_HUyZvM@*Vs zQO+Cx5Ctnk!7Lz{)s|*#MQM15q&v^i+}Bgj;ZHg6ko?2Z+|K51>wr?d2`v77+L`&Y zlHhcsU6jr5?u4t|>J4eOZr6@~gzOM=vruy@YMO3NG4nN2uNu+JBbeuDKqW_B;joqU zGp6@OvQ5}J)VQq?{4RNbS~?C+3aUJ7808o|A7ISk6oLk@4DwEqG*B9G`JEtG+`;eL z4yXzN!IIg;Dt9IMmyg-GGqB1reiqFlmZC=8Mq>U9IXof0N8{%%KSOKw%f0V}Kl21dqvw>q%v^o2hd~&jRDBnYxg{!%0$JO7ZMwYWGob;OllXb@&9*>BrH03_Vz1r;E!0B<@<;xSum-eVpma zS@N9t7&W3J8o^{9G8U>MKQuMxuK2WM#mCx@e)e`WYohwRYYx7kn(!+c-&y1%yNAse z^s_S%$sHeg*TCrD0I%^BvY6uR7|fgS7_kcx+eD=>*zFh$-440V_B#f1b|F}F>Qxp1d%@{`$I=coH7Wo4_Br2*fx-Oz|d$k7e z>`mwgf+s*^N!)u)s5))DqY2fG!7WG$4pMRh-FMIxSEu%{VI@cu%)G>T_u zLe-;YIhv5~MBLYu;~r$aI{3XNG)^+}T@!k+4}}sCjl@%pbk%xU=Yz2cD6}s;=(f=#8hH?p95}7FLG`|3TL=Yfef8`1LYP<7eh`3 z&Gt6-E<-GCX0vMIQC=Vyo{USqJ@e+^(rBnp!CM456cHC7@ z7kFcr)}1{T%}DYO+EPBXrDIORe55I#Ed#^b+dylZP>(af9iR<4rbMw5s$tBpAcN+z zz&1;jPke?NNJAN9nBK!JkdQNW7W~YVqDtqOO!-7|^%v=MX$zal=vO?#$(JeDbel7! zJ@0T2e%Fz_&gq<}EoUqV(15~>$7~u`4udZk1`Wud!jZwVx0WJBvaaYERmC+XU`qsw5AUP>}n(+BxzXu7t_e+7Ir#inZ? zIL@!M21!w?3WzOYM@CMh9myKjh)KuMsYt8YXjtmJN>d9Q%U1=#mrXlk$A(N+)_ep9 zJa}dz<4yDaptl$KkX60w4SaSw(7A!_ldQtPw?ND%4!S&=0-@7pAQ`{SX3FYX8F zb2!H`HCJ$u5p9QuPsKFbv5e*LsP#urFl1_;){RtKF~~(MX#S(?M(vd;=c&b`X61JgPgKsA!sTK5=t;|0ixH z4G%tb&K>;=eyPm1+djV?+wD}sk)1;^M^$IZo`NbaWb8fO5G!$T)|HCm{qkIkDdro2JgSW=2< z;ES>K`(TtKpBv@5g(qsscCAdL<`yFE@c0hE=as-if(c;URaMlw>)4}eq^RSv+~Fo7 z>3(F8a9g&)k9B0^;(oNX?gbjW0-4Ht!Wz7yAF1EMj&~71CYLyjccS%wA{J3oBqn09 zi}>19Ty`;Eu~d$IgY(&d)!duyI^R5x4PAqW6nnZkoMQC*aZ8Lp_|6$=Jc8Jgy$cWDQtF zy)2Z@j=j5f7IG%J1yT{`^yo!gJ1`$P4m$M;ib7}pvtBO9feIdS3&-$Y#Q7qUkwGG- zN9kAB!(2kX2cp;}273--7eiJwnM}`Kpec**6AtZ zPruvgJ(`oX578KAsuAn$YHkf5=sj$8mM;>GY%QG+haBm1tA#CFE9S)(nicEfX3aI3 zwar8+045IMf>r<0%D`_OKaY3GtQNencwuGiKS$K!E=e)J4LLqpbK^Qc2&#@VA;@TP zn>Nw~&>=hxe)hCxB)+5@7JauNbGm!*kd#yASo0EX%D~ke$p(q6<(OCB#YCdJ3=%P~ z*vV)p+^@s>5uiLmxq_w4oOsZ$!4bp{@HsKqQ15gwnywj+o&%Op#f#% z0ImCrj+3SCDc%@0kZ)EwMq43VV6*@U<_l1`Q9-ys!~D$&HAOoP)dDpOl8mgQB-ug6 zJgwddgSE&yj|S^0DN(fJE_89dj4=KN7*C#vn^$~) zF()qDaS9cQ#qR5MRBG5OS+-yrbX1lCB0kFRoX6-FOfjxMsFnRj%3IsTkS`d!CB?rK zAT@C{CQ);g7K0D+O^3>V6^4rBn~pUHsyLydey15(iX|+qa_9)(>D^J*R5UST7>0@h zmm{RpnNU$S$uU%XS=M+hsl!q6^eRLw%4M7+lB$HYKu3QQ9zL&VoJ5mILchgNNy{-e zTJ)L|&{d@(lIUkds)3uaY3YybW7+uAcz7f(-EE5)^R6X;VI`{3k5!4Bh%vMgOkDLD zn9!m$HiIlmyLmr5zoOF3v2IR>Fv8w>a~i*#}3ohiDq=2-fcCyqq#V z9myO+GG*wKtnc{F?2AYxh!l(YWUK?~voQCu-he$6`47kG_jJ4fKk1&sL-H5L=~I3g z{D^6pZiCwS*~P5i(5N*?Pv8nda`$4p&y<~DUJUi(k)+guEE&i1GWX*E%(U4Vj=RV_ z@7rNN>}DQF2hU-?n3H*iU_KT3Vs8Hp%%@^LB2B;C78YpC7jr8A+2D0l_{}rJQ1}|; z+tSWKW0}7U{S9A~MSxbEJFkVJY@a0c_44yp_Q?|T&K6WPcIrz_Kla3~1^`;`yOylV zOl_L%=J5c9DBP@*A&U6l%8Pn{%Z4ETm z;{hOOu_r_=ZS{o;+o-U<(6||3O|+87#BQkorN@xdCqCZ6Q^x*Qvtsx^59*) zIho_?<65C087z{GfmnuX!oW;qfUTgS=@o?PLcdSU%77wMLsEZUNXr=I_$qs0O{r<# zb+HmGXvDT2d9x6mQiH9RuXS)r!wDt)%+$Hd0g5)H3y3t1K_cC!5R8Ftv8xW1egcPG zADplfO|<*`+_a?zptH0aCQLDP*>WigwbqHD zXn2gZ$~cz4)D4mJILG?rg5iPxeP{yzs|e#1X4=GH<5CDxOdnvfR3$O7g@`B4dSIh{VMs_30g7nEX>S)u_O%SdHAJ-tJ@D9WH$;Z;jjqx>W1B?=L~V zgc?zn*J-(;4s=P1yTQx1@RD+V?J7}9(nbo++E2*$!D#)sca<2s*XBD9N=uMsUI|fP zva}Rc!7Z?5*+{x(FC{2dVF{z>d2h)L2yq0StGePtgn@Dj4|TOT21D5_cKZxC5dlk( z9ZVGrf?BYbA_qse!FLH?r4SRkH#ZLe#NM!WZJDZV3!G#X(?>dTKO7tn3_8F^BX&hJlsRW)Ye%Ui3ekO%~e_64zt3-U&9F{7W?to&) zrYjgy7bz*brk(Tzp4;?eMyJ9}wCn9Enq7N=Wy$QScNu$!piQLqrPET5Z+veo=J#@M zEhcoc=EwTsrsaDvOfy^dvQr=J%O`Fpn)c)P;Y=%8y)g*!@v>{N+gA8ZZ{MN-eyZ2bgBCRv z3GbBM%Ko0nzO5fCI}~Uu=G_CPMrb*~_497nPkKFN%Gm4Ojml~QBl?=c6!QL$+2FLS z+on}JaA+%kv&BKBuZCi{3swgzEMGhN8`3Lpr$8_gqWw|L)-i*-9m&^Ah9o~R*~_W) zJ_k{m@g;;xG-j;Z(FO=fns;^x*IvveX#$ERJ#EL$b$1BtPg$H$u^#i5>UC?PY+>ca z^N(;*q27GM7J|N*i>WvAaf^YRQihc%jJX^xG&>39j~%(-&tHzgTkJAGW4=n=%SB6O z(7vE(YJC>uqNx0*VO6sRWXspNG4$5wSaoB~ff@)SdCc7n`;TJm=;e+(ZRvwraac*; z*%lS@b>^(l5~xOn0W7@%>_I!qxu@i|P$ibtHPZ{tpdq3h-Iwozwg&3)X5ivZmx zN6B%hG0!)_Z~EUq<~Y<=Tn0ch{b$%Bu5qVEBGC()G%jFXx9*yK-~>tUd>Ns8^cF`! z7u`5I0IdNC;a1^k=sVcV^*{KF!-o#~ ztDAA+a~GYVE02b)*8M8@yIn1{%AK77L$aWnBVp(V*27mS zq5j%d6viYxPc_vwa;ocT_=DMiXP2BA3%*9jEi(r?j4K%n_Th_cGgqEI(~;Y})^&_L zaZ}!rCzQw&*;WG?fke0D%$%MlyAKS3&pGV2o3Ag}kL{Fb@$(e+fZ;u*!)juP)N`f7 z*nTZ)IvQ@X4fq`v-gY&0${D_k%~pD>q~!~${uG{sj-(@MZOm#^ZAjGrcmhKS zrD!h~u#^BUmJK6=bM}=pz^dH`oplU%ixreR=62Gq-0CWtPl*JJloSRG{7n8GhY}T0 zC8XO7qQ`8v@yz72K)d=BzKcoUl$kMk9CfBX7&Pa9UYx?X0}fQ}i&MmZjU6rU$) zP`xRz7Iba*0q5q$-(cTql0sQN6y#gWV(zz=84OIFnZke{yxubxdeN>L#Vpcbo*#ad zLhR-^`5e}+WK{xz(fQ?5n1B0&^B1s7VPBgjs`M1PiPH5?+g@_rhC3jSUHOT^U0u*t z4ycE-=EqEOVcZTbVqmf~6b6ps3QhP47PlCU=q_o{x#ZhhQh<191gZk`NaGU(>lIMN$|dr_}i61~+#irETifTqHt=@jcT~1G;YM zocvnf<>VuWhq>HM_&s_87_hULjQ27DjE~M6xW#?;j%6T>RGA;V``LFUfr$*rq%d$X za*rTTxLwh^5z9}Y^VKBbM=s<{^WA|$#lTeOQW*7xOJEqjq&yW9cugTiT#k~!E0t5k zC5RMpVFIs0rihDaK8!I{qyP@pclK8S*b!wsnra50L0o%S3e`xtW!|Em{)SGbXdDEG zG`L^*p2{_P21eGn2MYt8R#kKjWV0)8ca~}JSH&o{)0pqY?TS;F7?{UO3iEG&+FiU8 zMnwBhyHEUz9T(Z>A~xMPTwmYbgvF5tD}4s&yGSCEUU}CET31Gu67n zz6P2*xYfG!zpumnNvSo*&Kgnte&~~asXxE>`|TGiDo}2V;1ion30puW`|1*9ovs0C zL0B5Cwn~PZHD7Xvn{on^*AKG|$#C=EsWlVzZdtmhH(OB-gJg!oaaPoutK0jLZTG?1 zfAVuL*WH7=R{h;=$546(vrK{se%vGscmX42y;>61%N9nY@BRvYle{%zu22e-Agq7$ zCnld*fC3kAHv;}sJ?cGxasX5g$9n~x?RMLL9ZNJO&!*a-W74m$$;U|YS{Q1WQn7aD zUfR`Ihuz${7yNpxS;wdbEgV?P(E6F5JLYjMV9xUuz<2lkP7_&+kwIa^{phm%&!T;IcpY4c1+~4;lC^uivw-dG!C7(IH}+CfY{Wbg#d`KE7@8 z%=V3Rl}}%QlKAm4ha0Cw2xHd&48$_H#6pR>KhM<;x+q;VuwU zwX|?JV~8m|_BuLTJ#IGDE1K@%9B$R_MkkbX@a@C#qxxqE7;6uBKcndqjsw>2K4Sm( zB(weB_g^+$%!T(snvx*Ck4y(CFV?&D zRw0fXF8%B-!V{PcoMi?v*6D`y1{(Q^~QsAAxhh;B@#3gN&in zPkp^U2+(3vZLlf3ORn^6Q`z~BP5CC7E1E9D0g6|C8IRL}KAv?2A&TXY7`f z`XA2~xa|73udOngjk|#<(Js?2hi#I0nTzhI@(>)dHTWeDcBFc`!tG3?8oyfqtemOS z0r$F?`|XtRn9Hk%p4P*%REU>XrB79i3$GyqZOE}!Vi-?vrf}}_S}ybZ4O;c>mXFD& z%#K!ckJbRU{%0=SN(N5V?Sc(%+%bf6wS!yDz-4qRw81Uy(FW-ibLp8FIDX(|4%{(> zbNxxf;V+lM6;U`k$jQW`VSY&r+3=E+`j9IdhvM+NU7l{63taLv``}0YaX(JdLwm8H zSA3&V&PH6WEL!lr3Diu_5dfs;QU?&V;8czsh;AFsGf(!cv`rtIWa1)Tpn4XU1Ect5 zgYWG?O5FmB0K`Zx(jKC_Z99r~&og3bj{Z!@VFyddmo4r6L&#y6nAYNcU$oma&RL3B z_c^livcV4ylcrZ5?_NcNAFzN5o`**CO8>z+iAaLPgHVl2H`F+86N+_9H^8qlUL~2& zevajI%Vnlp%DWXic1w`>VYh%{6pY-4F^(&kj1}zraa6G9p>mi8C+3NPHd${J%rfD9 zcWudicN@dtS~vEJ(q6A(;V_m=gX?d_!#!};X|R`gBuY@b;We9tTxQbz4V#u4w;p(1 zo)d1^XY=v8Jg;VWv#yD`E>DIvtNE?C*X6xf%O4Dimn`W{vw~WCnRdevbA;TJcL}S% zv}5nd>yIa++7U~jQSsn0YS?|GrTD3%v;Rj0(bjxdMD&AJ!FmC3RGLiXCf_H@P(jDC*J3GxiHdV2vZ1zd< zLHDy)x0QP)eSbTsD55%ef^dS%y=hmBjc(kX?X7GFz=FXDtXYS^J(~b9zY_u%4*=k` zXA#)af>3UclxHAt^+p7)KwxuU1kQ>=%C8Yv-421rd;q|C8xS~sGXnQ+3c$X@GSA)# zDjtNuF%1DYy9)xZ?0}SW5ZJ|g#_uP^fgS*?nue51haelf5ZFDq>HXQ>>P|@cIRY=; zjg(&^uz58CKOBz0{~$0g0x3uPA#g)y03PStu;!#Vq#07yBXHX0X3Hn64R!@!Y1HSH zv%Lp)24G!h1kTxqluskD`3nS29)*I#1kU#dV8H|g)_jYUmm{$GFal?eLCQA~SUmxO7k2^R$Ylr|eHelNK;ZIo2%Itw zDSI>mVBTZ|j_-;*Z$e=AV+fqk9Dw=P5V-0Sq%22Z!%PGo-wk;_guo>y5cqM&t@pO6 z?jdmL1f;wffn(<*aBKkbd=-H!Pa&|X1yEM~j=;5_BIV*O5p%D&uR!2A!<+ulP4E_h z$DK#u=Lqck4+2{zA@E;F*_epHZv#<4u@L_aGa~%1)`es1ZNvy)6N?th7weGDWH0P! zS)LTdY)MdlAt*dOqOARppp>W(#aBX5RuYt2f|BP)P=<8JRt)P&D`N=C**HWAZ%$B7 z5EN4Zwju~5D60vI^fS`Re1c+}j3}x$1m!tFNx6t9k^O`>ew*#xKL}e;q(uy^!<@2A#YA}HfXD>n#=X&XWLp0rXp6;Uz*2+C4#6u;yO5q}avQTD)A zvcDv<+#)FX(-1`)Oi;cjD3+_FmAM3^h@cq0BCWh3C?(SgWBmzA$ClWN;kv>VI&l*t zeot&g^EE-~=Z5`~HWN|!9}|?L1SRWdY(;RIpxo?*DAnH)#+DJ3l39r2JBgtDO;C8Z z3Cbm5Q}DRBU_?MRSXwTqh{$iwVjJf^v+YNPkBZ!E%PNP()GRN0hbh64EbAiTDKs zC8#a7;{FF|Wjn)IUjz85;aAd17(uC7jwt+6g7Sc%$X*ka(*$K?nBmo&E7gAxlp{eiR4U8N*>Z4JCy#jg%$k>1PAEgW&HFwec$x{Od&PZ4|b^eSw(+4h^GkM{RxpNi- zs^-t0G;PNGNmHgyo-tdsaO$KPb7m}@G_6Naz~q1ViuY}%;P=YLrZe)A&`~@YuYa`@ zZy;Qa=ox;ue3yUgW9v=Ns~b088}2My!sB(FsS$w~`%EZIiMn*uy-VV&4>#Vcz0xs) zSJT+*3ztQ=giA%P%UbYEIsLQFoG_hH8oc?u3mqcv9&o<5uEA9?PZrSR$sD)pIn7?K z6`kRoe)mxJ##?|=6_zN^Cz#NNd_iSKIP|Lz37tKq&gT+~2H z%V_OUxGc6^7Q|PcAHd?Me67h1^U;)Rt~`f)G+%c}l8o^D49@GHPmR6DYYYMMCyM8I z9bby330%h)(cB1F&!@(hDd64u@$9=;Z+N$dyc^xN3hMtfDuAL8eFSkAj3(!*=MauM z3xQNjybiD%c>yD8z8J^`zAG14;! z;zZXtSF{V2^k4a`&;LH3o!7nBzw%jlMSV6@S#rkFXYaB;8({CVvHMLt_#gGzN17jUzrAFpcrq^>#iRNBlBi8soFB6SzK`YxCL7HlHnIeYOGEJjZ9}Gd`P*K0BZF zS%aO=&L=(_$NFp;^-JQjONr0Mu|8W%-w~f({r{TJy3YTPefEFhJUg#P_kZcLJ-Sn$ z{Ws=WX$efIw}&+g>r z(yYfBZAyGwmuOp?G~zOi8$2t>^C5XYHvT497OM+0h=Q5_(+Gl71SGbuhJ7I>w(dU& zr?wZ0|GTmE`=@A}oq6Evlj|xQd!3h`>O7Lq#MHG+Oug~`VKK(k7dFML_jJ1V=kEH9 zYX{q9pLrqJ5%H_mDJZ$nu-P)>fo6$jOe@h@!9lOu=k*HNxb8Kdx(M5O75OKfZY4kO zJ z*1z$2Qsm#@Oe^`S&RYUlonqhq20UD5bP_#i;eV(- zv&{I=OFkleJG0EVZm!+9{=7R`W(;$?aCo#*$b>AjWUKez=))t+jL92bgnFj&$ui?^ zzf_-ZjAEvV(zv>j`xfy?6HA&t_}brBK$-|m^OAqu(3?kAGTZZO4-6{gktRM1xG?wQ zXts&=-B%xs2x6KTrGGKm^K+(&PbZ{K`j$3P7FRdkc`DPy*cK0d_5Y^>~do zR9-V!{^tbwt6B2bE9A8sx{haiu~_8=UaY}bXrh+s(*1C6~D6L(iHv#<$Zn&v~Aaf`y{2m7TLf z6<3EqnYqi05wvQQWr^lV2LH66nYWvzjqyf2fc`lUK+~lp`a20LwggzQdBIE~J6_|-qIs^Xs?bgc{2gvCIEZ~ z023!e{0IO!0I;o)y^I*=+#uupW45v0a+*D>6AHDSOu?kYWo+(Fvp&22$(<9zp?N zc~E#sB>=ntfJy*Z?C+6m9t}Kv13ZibfNua`%+#dp6PKM-3$`}Q6?XAR?luORI0zKR zZ? zfE@tPqepm&8UP*vz#Ra%&;$BnIyCVkNRbUZ!~sAN0PMQzq*Cl?m@DlKV$SL45_u1p zJP81^po!XP&=&v@4FJ^u5WW!X;Wq&I82}CeK+NWB{N90N+Gt;veYEzd?$ip5Y}4 z@gRl8N!1>BFh+uydN3HtQ1`VyUxE|>Py_(l>(HBf8|E4YdL+;O3@Ef7>K@$ZOKHM9 z0H^|hy8v(n0QN^gUu*(R`V4hHDF+J1p5b26Gm>NnZ#b!z?gJ?XgC5dA51og)3nu`; zDF9dw0JQ*63jl^;Kw%f~5DEZGp^0bEL=4I1zjkAeLVq3dGi z7}NIEXq^<{^%mT77zmF<*ucQ@K*kN;S)qmS`D*Uy)36yMZdL_}N>C)qmJpTP08{ED zd$G}UOuLpb=~jvsJz|z;Lt&4CZ&yOm(Plh%a=;BcF-twagDsT&GM>U+{8ra&A!HRM z79tVf0_1@`K|P%w{!|wRY{X7&2D=@8Au>3O6$|l3%PvODmL4yItb&8JFdWl_Es=lC zL!e;z0v?eY;2~l24jvv2htJbvHUk}tMSwRysMm<$k3PI`7u*iI1plU=IE*Rp1el_m zs0qB|nrfmfWuys?^2Z(pHPEc1F}0Tfwmc9XdM|kR;3iHMY;5H=gX7Gyt%q!K?)6-a za(Z0_u<#3r;BZnr-rN;`y^ZbSb>NAd?=i<`;5*U#-|@*i(sLAVZ7ZiyJnXcwBWL(ho@WBaa(ore_|5NkD(77?-r80TKIZB0bFgs8gaFL7AZJ=|Wmhw~ zi>YtqKVUaJi!<&Jrx&&i5RUC!aL!2T&CtGl5XLb0{@{Kb=7OW zN901>ck_aWb)$~<`J`Ah)$m|!r#n1+z$_aplxo<}^ZVkNt-~L*D8UZ*!yF!M5kj*a z9?zEo+w+f*t;WVYC$mv*(h|1Gj^AYt+eU$V(@()#FLyX?+Zl8bokR}vkwY1mLzN(+ zi4$x?`n7lhJDrkGP~wW1)*vzEeIDW6OnC2sytDRN$#eR;1u}J;!_) z#+3(7?APKcj1B_#FDE(j=cgz96(N60d;a#g+xYvU&%69Bh$p)3joRwP;V->|A8j;Ui-F{Xc`7I4KwCqQq$o3dKEQ z+m$xk-rM#G3XqKggyVw>0AJ!qxZ@UlOqNZ8P0KAPfiEV5*>x-Ev3*-dJyvB90scV& zUU3A_xLEZFvTR%CXm%zMUK8y@WT~__yCj?0(Jm19yhRCOk$Kf&js!3zj97yLoa6|w zG8+Xbm%~GZ584IN26&cnxd6NqTuASUvaI8D#w(^Xh%BUx13;6adnFpF6P^)VUIVBh zQJ&znAuTT26s+@kj_hfWJ>Oz@SQXqVX*rJU6*239zfacYkq^?>Uv+aWKysvWvr0;h z3P>BWGoww3223&amBT|c5*}0rYUs71+xTlW+JY3bZdJBHv6d-K(;tdT{Hu4*-;1X| zi+%E6;o*h9|B7ba``;0o74LtC-|7A<8OS?gAMePgC$?ipGkvPky*KD|^?o>&0ZZ*6 zL$!a3hgEbqHRKSpAfCxpX?llfu!P;raJ9B~w2AMX<#kh)~3(@6=8MNzt#ZBuJ zFf0XJ#~*hz6?$itY)ftdZ)Qgq^S#-xPZbT%qyB18)_Bq<7nqbk%0XHs;h;ZAtsjG; zlgY@XKMc_BJ39Stjk4NzAm*;P4lw4Q5t^NhqIobGxgJryYz&ErQd>ss#X+?g5UTgU zgQ*bDhEnvKk%Rpw;k?`)61n6ic+^9fu#guqqA7fda9gp=mTlhkI)-C{bqIX~9yHEX z2zgK{G|g=bDZ$Cf2#m=M1O!oYFjt>x@SyV!b=^Eh7C)3lbrT+XsKo>mWg2u=k?^u@ zbX&R(KjZKjf>vwfXpc7%N4MOB^s|_hiC1>Tsd-Wt;onU>R%5i1gJrT}pTffytweca z$?&KlOgMfY-suj(g9Mm{_4oMgg%rYou}=0qD+JN$2q8ni41Shpy@n@4pR}FEcS#CQ z%x4t(8%QkIAOv$gz*JsRti`9h8I>5h93GPM2tmT6XzygH*UrLj?okgQ(QHv0aps;S zruYaRyxiL`f{Wj?oOHqp#D9SJn3$&UUoL{~WLoISbQ6K7u(lu~t@rE>{Z_BrfL(Zs z(}kcnhIdPUvW)oy@kqUOTm$e9RJE}|p;WM4{| z(!@-M%=1`<_-9Fn5PZzE&OEvVRumLsr4>SHZGkbDc^=r+aub@1iEA!PGsvysvwwPM zOUN&CBL3o!{!&Byg;^%S4QlQlSW&aCXvz|}K`r|OSf;(`v4(`%*F}v6w}#JeO5+#9 zRT7?&ZMsgI58?KbY~!Wwtj(G|$nkp}GU5Il9@J((#7H?}P5?&Ab1LxE`&2nZKYe)S zW_Y$f$KN)PZQAWlmL)>~T{Isawz)y3afizK?wcFHJneeM3-Ep7dUF8F}a3< z6PIj^1J+rFe4A&Y#&%*dB~u%n&0fzF_V41-03+ zP2piUb7mP~v)pqvjAA*h3T(6D-mXJ%Bvk$cq{HvRgN|Y}?5LR262x8UyaPkah`G&e zB1(?QP(5-$|j@x8>?X?m*V$YzmAQk2+J&}K(EZ@wvQcn`~w?G2?(hpg`T zQG$ieHkNACVa8~9=s$-C&NkL}mH5v5{&xm4?+oMOl?#^_Hp1d2Z>N2O#Z8v#I*~s> zxgm*VjmRPu=C2&z5`dEN8h`Ll1X;8h1DQpe=0YrmFZ{g3c+BZKc(-z1e%_jJn5imd zP)PvRr~WZbdn%7S%9|Pv-V+w{mhUz?;cN9gi=yCW;}*h=UafgJ^kxf}{{w0|ioTf- zRzSBftosVHc4AhH`?v6j#HAcF1~Iot%u2_1(r-BL6fH5~gtsf-HUaPLMb<+uIQNF* zut7~%R^15qBl>?0cXoz^_gYA-PAL$BYCl=~5gHNIa0v-k%{a~Lzl4XQ1#32vrCK!1 zbWAyAuwaN=`u73@hP!udi{!ZW{gB247>j)pKyW(2YMNn$Ep7AE#&%>dNkBwQm0DwM2CwyzuPN2jLh8rRQJ^%I_>9fG41+)b1JO%Lpi)S z9=&LBG7nS1o7r?TsGgy-OEd=+M?y9u)RQtXp zdUZz*&0G#)Y>dPuS<=ERPFGbM2^++ixLpOyn55p029wVSw-AN9#x)qSBqIg`0hs%J z6rdAF0IB2+3UC<(h~^4_MWApeK-vcCwb8gv*O#mzOlWK2=u=e3eR$=(O(+0Yk1xsU zS@kKviB7=7sz5YIsbQT#$i|W zaeF!ZDq4o7Tg|ovOZ<4Sr?|wgEVi}W+d^~*6_Ncc{EOO?k@)2+eZzjd!dMLnOqrng zRmN@r)13vP5{z8wx`xI5nLvFm@fr#_(eYs9zMem0*2Lr zVnYz5Qig0k?~>9VqLe3nypW6Q$#~@g94X;g-aUlDuZ=`}(RjokL-1j*L^Ha-#0J6i zC4m@iZGqWAt)1*i^x*=(({Ylw@D~AogWGgwgv7eN56S@u{Stul!DTa@{2K#w zwWFY7ym)l$S?z?u9^gLZxe(#PNy9Oz@Sq3$cSSq$UorewhJPQH3VXtT#WUIo)gE-i zqQr%)U;Bc?$nkDV>Si>^({DV8YpCpF@W{gfjuYhRH)i2G15ViV9TViae31`(?K-bh zu;6HCx7XhK6AXH_Q{kb%2M;=+n4|*~(3@UgKww|C*84iqW_lB*`f6}D;x&v-FaBU4 zB4=aInBZTIJu^8f23IRfZ^Ju2I3~LhlGYMD&2F2wtVs)`k&ia&0&rFb8E@O>WuweZ zZRe3#eL6siUciI04U_4^fnZ2>`r=`@^{UiKR*tC1H!OJ z?D(5JWFqP|hv*j2trN?%h9~Jcl6L*j#GwAIvPx<@^*9cHi181vv*PP8wFVbTXXo(S zc?3a!PV?>)0RO=lGx8+Fm=6q4C6mX4#VN#?6^7ZYqv?ju!6prNpfz7KP{+BfBp>ZT zMC_pg{)Hnf#F#RuR%pLn9ef1)P&EgkVUV$^i-|GGb~O#W)gG--Irq>s(gBp32F92c zC~V;O1!vp;!O#TcP=g$5xg63M(|+5(_a(vx={1;btZYDx88H;QihJ5r#t3%?xp@M> z)RgSE{oRAnwmZP4ITgULg$VE%1;_zBs{k~{B&T7qtd1jxEky}rC;^|_tq^0X-_hfT zr%(Xjx$t0W&%$Ja$GcKHvvVHiXm(GWq7a410?k=vvBsEW+n-91wZ#X8zJU^$UIRD{ z;vmKxM!JA=s+c!SjRKUR0Hla6H4+kIGN+2*LLU(q;+`V)C7nTJ(PP(97ossHbBc8B z!zM70Un@9bQ*hyy*2vy3$R3vZw6a&eRx!+ke)B~I?m}cdpfTpqa@x5VW0ttjaWEs@ z@QJx-n|eUzR-c!IEn9aQ+d@LrDTWWLK>h2PP=RdKlx^8!Bu)bM|56+bcYnSJ*kH>7 zh}vC^gEj9oJajPaV(k~0f}N(rzt3*KO*VF?s=QNQn0V#I7^=(YR*3ao=j#}X=P|pe zWSgM&(hs0HiTW=>8~o9A(X2AMQ;~i-9a~z8 zEOd3)kxzFWl7{n^30`uOMO6N>BjhKnjmF!(v#r4_gip9l0To+*5{y(DflP_p%K6Ko z*hN0sk0yKJoBgMva>z_shRQK@`dYM*m#gr{4SrvMx61!bI_~wwqQ3JSs`Ti;u_RX_ z$7JK16Fq+u;c*_|<$Y0z>V{AFGZHK?15~vO3-2eDI72-K&0SNcERj;C;9wm}+K-K3 zNGl{Je1Aj_$>b8}Sq1hLed4mQXxi*hl>!H2d;n9G)7N13V3{;-(G- zNYO2{>x0(C$!9^la9QQ1aVjLk$6gcRXZgI5Bl>~mGhukj)?h@s30x*-leXE|eT*pr zO;*H|D`2AMxB=LV{y02*Oo#!#F;GmK`3RfwMI`-hgg|P7OmT#}c-X^%>mO>tUvb|O z8t>@~+l!j(PQDy`$@otYUrX?hAU=+RQqP}3NTvnJ_$G}`;){H1(@Y2xKecNN3~7ml z?8s@2<>Lzozo!uCD5@oT>YvutiEd084sz&d^}ai7f=I@DZy?Pa<*ZhWp1n{_WG(N7 z7~Dlkdg(D-{7C38scF^lpdA{$whjIk|B=IrZw4_`1F zG`2-rj^{DWU1(bi->7i!S$i-hHwpNy7s03qW~1sSZQ~ruSo=W-Yd@7stR392UINvv z_`H?5XzfF0vDSW2G({$b0GftwpU%T>DqoD$aV5iTcJkQE4S-Gdg=YA8NpzGMHj86> zSAX=B-%Je2S=67S4xdGT#?^AY4)4AJ*FS`=U(=Cs{Ts_+Jv!eeYVFn#?1AOj(NZ`h zwW}Drxi0hMmx+2oY7fIBKK4{w};ldi+Ildg)9U&9N*eRxQwa}UWX znzmR0KLSBA3^GSsDTBX4rk3N2eC#+oj0P>p3zPMQbSf;~)a~Nq{46jO7B7++EQRl$fwlF<0O{X=oK@?eAV9)Cw#Stk`P{~Z3<#-27 zTvWn!A`;KCYqim*%>7J4&N14EfMuX7c@4IqfL>$=MV5;zG315J`hq=QJNVIc#gFXy zTK4o+n$Dq8eb5vkbCJd$8G#47pC>Ok zQ{3CnTh^de*CEGOq{3JOcwNDdO!Sb~O2hBAO5mB-Qp0}@QN(nfFt!^0tKtc#;D6hA z8O^Z_(;V6FVWH&#taiyF7A=flEZm*I==5 zo^J|-!@iQfob&wl1V~4Kve59b5@bW%s`3pU0)=4gwyONL<5rGOL@kT}N=U1*8yW_! z{TN!(|AP>tnZt^TNiY>1)j~D`oj->VAW=O6jbS5WID^FWq<0VSwLyo_n$j^&wYWM= z`$jPly&koDJeO?7tt*5snI?E-JEqNKfTS?9%X6rHGI?-Nx8}ACwDA8B_vUd?SKt5m zi~|fJGPnkA46e8XZn(h=qhe|{E*YsA;D+Xc=2EW1qM%`7qETuNiiNoZiG{hbDWqg* zXjG`6R%lvisAQPG=ee)fow+mk{(L^)_dmb)#l+;cr$ldO}|=`$x| zLu9S463r=F+7EG)oF?ISHEo%Ag%Rb7@fQbMM)%MU*;&Ckl&&?c6UMVp&#PMe2!z6z zKYeB>wd6qsdg><9?yEFW2>tLCk`7yd7vW#h2&g-!b;zw47O^g%)oeWT@_U%J#YxqO zW({Z}{gS&h#g^(vNlGe4&9zmmzCE!~o=lAlqQcUGbZ{E=;WZ|J@OlE6$^;<2pEFAm z08U2v^d`!43Q=7`t)_?q0Kx$L_$*u$K>o~0BmiG3NVOM0lqVB_9eJ_JghDiI_n!|0zu}*m5Mnv)V`&kjn*-GkON?Cq(Y(Y(3mC%wqIw z39ym_;(U3M6D8W({UMILXL;r+TyZpiV>Sh;UUYE45Y9GC|HRy+BhAN}xdAO{k};A5 zO%^Hju;7(#9T54UaH?3WgimYb9FeC8@Gir~TO+>lcJ7+eBk4SCylt>joGphb zNlC~WSH)P8kacpWU|@5EZ=T|XQ_&lvomEq6d7nJDeg@c3_k}0%D&>9Bf5u#k>Yvg2%!kl@YG4 zuw#Yma2!vc2T=<@4OE#uP=OSxlX{h{r?ZI~9y| zt`~lwFl;!D>h;49QoEEQNuy2pfoef9*7W9=?NprJuu8kMZC2`0tM^@b`o;)f4*ra+s>_9j>+NS+7$gK}5zA zJ2EsU#t|8*oAHTXL$>BB(O%t|RT>~w!uU5`2XUFV)>@@~>!q(r+Ve@;wOraB>5b_H z?LD+}yEAOSAbQ2YVTp=n9X$-5NqT7(#g^68-yWy>d#HXKh7p?~X;uDVkfdsZkH#Bw zMGQ$1^oaCr@%7Ht3@G1+fZD_I*pSqfh9v!g!Wk2fiUoj(6deT@Fv(cj6% zGwXs^xtkAOR4w!HnNc!mZPZ}1uknj5xgH~D7zeGb9%S|{FG+Bt`7M)jvH#9!Pxhkf znJXVE$2%e5VYbsqHvO$YcQaMC99jl zBVh9+4YS##3&OkenG#R|ZO1B_$^AA-LDrErT@Yo48{0HFM4~LNd3PoRgCZIqugmSN8%?4=xgzLSHuMfo|h6xDaDh-4ij`9+yz;8fEy%V|dhiVGy1 zbhRL;jN=?e(+bDip=&9UDkIxoAcc3?*&!odHCD#%J5T3cUm(R}Pg56Sk3fXVx>%x! z8Q0PP#_FNN2eYHea?*4L*EBurs>O8L@wO4< z|CZbs^nG$u@CxOUjt&sV)tuw}#>%@UEZ4#Zok6$kk?)y8jsPb%3ye-1$yAxpq`wo` z-_$FMo0_T4V5B0()srZ7q&8%>uhFkq*~)y?6URIYO-^%{!`}1PJFQqo|MfISci+iP zz3|UkQj$B_fa*0a#t12d@BZL9H>kicRG5OF-rBiF*OJ6o-SD2WE8mz(9jaCHjj1fV zrTPuk7leN3&NJk{Rt2wwBwbHJlC&$8Wm&c+zFb6lqM+U&GXrJIR_Q?b=J%a%Qo$`@ zAJH#xevBrP6s6c*+Aiq~-?;lpb%}4>jbCZIaTgxgfo8ZB`ICv)oGwRc>F$oFb7|LZ zJgvM~+*0A+Nq0fA@dD93#ZD}X0vF|J=1I+#wM$wjZ-X`a*>)Rjb4^z|9|`v=r*J1l zU?%N>C7+b`zyR#;k!0OgD15S$8f205s;SlnrB&uZ%{h|HXNng{?n&qFSt4o+pQJHD zU81C|0x4wl_q|=x6fX#C*v!Dr#CBp#JElo#C!p{NJ74v6d54WR^5T5abRSJ$d2xR4 z`HS=H@4g{&J}JTdHCuAx|Eb>4wOU$IvyIZqz}4@Q5c36|To4zFpv7%j40vBSr ztq?V}dJ>|(;~hzexg^9^7iA%q+X^whE}ev-_>LNU-Cl@Ao0$+Zqa-1Y`oki`__}PW zm*cWr6yk$s-3~;FLi7&mPC`r%_7Q{_UuW9b&4dM$C9<~STeAnOmqpedd;ZFQTu=|T z&T7z=h}3lh7>_i5c!c_jp?e}wns)q6Nj)Rai?k6;~8TDnK zzu^)5)0C|oF#O(YjyS))4IMGQ(q0QV-rH~lbUnj_u=b57-5QMlW~f4S@t6sd;f4<2 zeWyDvFh(hFSI7=UO1aCAVrq9cgWA1r;LvQX7NJ`<%hi7iU#XD_Ffra9-MBRDWlY6* zxXReZ)mGD3E(#t%dfG|HR5`8KSnuwQ&QbeaGwa?mejBjm=i`G5l7C%wF=r+@& z2au(G?TJ3Go~`UBtb`5g7Lf4VxcA7nB z=^H7Lb1oXU-)KwQO3vn%UlYpIHp_&iUZnRlJ?*&Z`^#F>6|SYFo;I~}jU|pPU!qV! zfZ-evOTDqq6m?if?-kGTyrOGN&%myAIgO=WTm!z;Lu`yg2QcPu2@OtYXNvxVg$6rV zLxWAr5gN>bQ{+eInr4tkGWt2csa4NOs?5N3*Nx2=3sD}6edd82HSG#|twQV|ox3SM z+eJ&Zk>20_Ng>AYRB0uMP{9O-R%tfTD!GQ~WF~cF0q*T&3t+3Xjm;xiGtw$ecP?r- zc4pT3f-Sa6yBNHg1;89MxFL|EXPPiWFm3sfeC$lvLr`)djhh$@G0z&zR%uzrn^-;m z?rxx+z#RI6PfweSn8i$%HdewoEqvx4H;y44KuA*xA(L|VDtGc5tNUCgzmc8m0lzW) zx+~i9?5tW$?4QLleS1Y?7}+qVTc|R+n)VmnUId7$ZS1^(!&*Bp01@mQ(W!NE>FgY+ zD{3UdmU({+=o%e_7E zDY$R@n$uuYEbOT&H5E}Mj%^!6BS^-n&m}mVY;SD`DMsX1t($m2x{00LE2w$zK)HEN zS6GLY?@)ohuh2jHfAK*{0&BlE?y+eYou4X~HQ8O=E@?vp>A0)eHQOO-^MH*%6Z*bY zWI2k!N*bb^`r+&ZXI%j1i^YnOZ`>g6e7Q>!3QG4d;uPCN@CCpcefXNZK0z-SKMseJ!|(JoUs{=yp={zxtM{~Pif$*>>_Yd(9}K#Ej}fWh{FCq?Wy;<) z`o?wScT6v@(u@q2PdPUHX?M!e_D9;8jcWBo8lh|3!S26l2qT>tUkzY>L3x>je^jOy zS-k0-@avMVP}wl$E}59@O2b2zlU-(V}>cJuGOmo~&^mBp4+#74i@cth;bve=9CPbL3n8>d?ssFykKu5Fi;Z-Plq(eD0 z!5Hq|Fso(&VEyaze_x~jaE_(W_nuJzdDAzVxgeQ_yaxR|g7vx&yE z6iB<)0LF;5P%f>7hTmxnOVzb&jl2JE4|SNhLW7_w?KW%I+x+S|&j)6NW;9UL7Cbg} z?UDJ^F__qAYC=L9Jk$);%Fv1ej(Wb(rJcKaCrNNPOwJw>O_C^YRN{p79-~@BeqLP zS)p8uZ8+`KcC?qhPay-Es!WM?sIIN4B1xvb+Ct@`(MO2NY^TjMA)zkKJ$U&ghTu?c z2h2vAx-5f-KIsTqVxujFg))}5mU?|?aU_>>k^VpQ^1@y}{sH1k+J!MOp%HGW zVYiGNH}(`Ms6757QczBpQ&>g@t3#w^j3U?s7Zt^UZ2V`1r(`JCI$WwNT{By=BG-F68kra7@ z6uDFBV=+2U*COkH*Wu$5VpXRZOa`KWEpgA5(1p%jy)NXBg$LW8X#pLTC%ljlg>Y=hVVpY zEfk{%f4U09;*M!}Uy5^@rh-wu+npDyUjuCMe()cp%m8LbB zfcf5elX|&3_?*)h%Z*zZ`Ve_fx^B)I;^A*}1FsR7;M)uH?M z3ar*@FqLn=2?vsdB-wL7K;JK{bCe-d2PB+pkJi_r*=-!^zW({e- zQnM`WZ{*Gh?V~un^BKdeWkl)C_>&djOX&sLWNB=T*W63$YQM_>U zeX1SSJ_{sr4o@_HM!a+i?k-L=;D3dQ1_pCCUG2JQmPwJZZC*oqN5;>8nbo1pIJXwq z)y?qnTEIJYrPGqguHuYc6-YC7ZZ{u3xeoA3X6jRUe<_eQh^BwCqL5DWF)lwS=Xhp z#WJ6?p9@ztZ-_QD^B#1!&V?)7evu9Nlx13UcjDir&e@W^o)&&(wf!6Pvf69m*liRa z;@+X+f0@$7Ee(K&k{4?CDtFROk4J~;k1fMP{-OsXg)WIt#~v;o|3S_S;`^+&ze*1yZTDda-OGrfKT>_e8tXX{A>l zIYS>DlCzBkEHjrav%lTf;h>QScK-_~b2-7V_h})P8={JXyWXa`L*9}$*u&wBWCpVc z2WFISacj+vUbe!8_O!n5ikpqIJUa)82A(;Ys(61()Gy&xxPc!WPjqCH;FPbUSGega zcI`$d8#((Q>#mCSKy%`YWicAb`6{B(%Z1&g|Hj2GCj!7=j73+#d{b~fv?zxl{`;w798j@8RJFWbZT9k77zQwZ%(gf{F0!Gj;1 z^*4ShBQ~QYHqDw$dp30vQRP%Sk`t9MltP?hmK?MyVRP0OzW|Y*Np!hP1xCkTV-J!< z#7nNFb4emnuBB^SBDfGSlwEpZH(YgpmvnK*TG-|qcIW{npEX2^iIcLv^^N?46zDJC z!A^D6NzuVlgh1IjCjEhE(CY?oi`&hY5hOSz>i}<~1K_$!Pzke2;oj`tL!o31DP)!U zX>vExs_NN!)PWaB%-4vz6iyv3q6d}Q+xg8ylL@1VFh+BXuyd9#OTL93_<$U_%lC_f zUDArr7%%?B%N@?kYcc-L2};Et1%GQ{1ii`CiQZahhBvvqUGOGXi8}XBdXuYm7ao>! zIE@#b)>SP3()GA|-G%LyFT`B`(Ld2pJ$Q=KTh41{pEtV^@J|G67(&42cml4~UcI_K zw=)4(4BSlAut;| z5ayDOz^vLqm`z&=_^qZy##q8!^&(*oB4GD!1Uzc^ljC?Rih!%O5%4cJ9+qs+eZAww zpZ#x*Cg8POMl3uZ<*y$z-*ZK0qPdKKeRmM>oMylrzK}52y#(O(7YTFNAi_Mc3jzO5 zzzMquIE#Rl%R65B**`1k2g4MnHV;-ro{tJ427|u=gNAM|W_&f%_$dKbHwSR;$Ar0d zD}dK06R>u|rEBv&FLX3AlI?(fpSS$$1))QQn=%SVh3; zpA&Fx3t)~u&1im=Xl}AK0`KO`A~GHogN*$27ms~4Gv_D)pK3{Dl%Ht#lmCewc#6nr zO(Uq=xR^0Gw$;g=hGNHFM`wEE>z?4>ZJg-$Uwuh&Ve!$;*Wg($S86CBf-E7mxvFd3 zm#&9Am}<^wNljx|l}LZ8QnFP~^klFqX){@sp0Py6GEb^fa$bx5bNPSaT7}|pXUaK# zkmVe2h~JTOd=w=d@3?VKk8Y{HpPAi8?F~4$SLgEVnYsFz%31%n^hVD=4gP=5BmUfH z1Mrvtct9TUhgEK&LkBiIFj&qbUM%GiKXmON%OgHX^D5;L-{tj0$|HU`_JfEs=H<6P zT|-&MCutULY@xb-$m^d&9#0NGEnhgPN$J%)+rINX;9R%hVdlL zTA^7w$#u^QYae_S;c@csy{B6^+&<}5M`){$U;b-%gk$)MhqKqF_c`EvXTih8b3*mz zYfmdFt9Z=UQ%){#HOcj}57s^zkgoXEO-fZgKV!vP4<;yC!tJfGm-lYn-9$>b-R9u5 zT{*>4!tJWChj$C!aApa&KXp0~-^Eu-xP81|=*b~}%L%tL&)m7)5Eba@pu;^UEqpml zPPn}wbH%C$eWYyIgEw6MeQT1G4SUy%2dC|(Y}k}Gd_=^iL^Ph@WMmVlk`%GSFeai|v$)F6ot@839^;<;C9-2jA^CL`UW+J0j_>Su8^#19g?DP-&dwX>0O0!+ ztxq}t9suAVQg|CTHH>+`RWpZm$nm}LO#u7=fJ{HVamMr*bIDmW0f5EG@vR&H0Oa^i zz6yX7z)%|zW2!mppuE4SVS@*)n>jcR>Fhk}?J@4n?}LV$etKOIFeDc`=>0YW15$V^ zk-~e_3S@~k8w}>Cq=7$0t`<8Pz!)s05m|3Z|`BC zApvQln|!GC>4@~%qh`dIQ;HnI1GY45@J0J(4h2X8J~DBPyL&4D^aH>=0F(nDXA9Wu zfZWz05Y&61p&bB*0$|mQ7*oPG4$7`u8#ajP+{|IdOP!rvcA$y=09Zg7x`81FS;7;x zHjHVHG~(e%;q9^u0E0f%YTxnK>uQ0a4j7ss3Ait%5$_Ee4uFP9065^UH%^=xV@^Bg zpx19}*kEzDW)5d2LJs>u!xUu4{t8VXjkxcvbI1w}fE(SLIdq%~IUIu=-U1CZz;Fi` z%Fa9JkA2WECWf+vzX2{zLDXvi@Du=bz)*)Y;wez~jXu!DJmi%wgR+jIiMf6B#!Ite z%o*Q0=ojsPsQWi_=!7KT8_R*=YhYLkfIpA~yaGwUJt)sOl7Oc!1PxWda0vjP0H6jK z?gE1zNx+i^HFFrg6g1ochARL-mT==V=8{Euc-!cg54**;Rz;OVqma2O1h7J9f*Dv_8F%<9k|U zjHws^sQ@qpr1w}Z%@Ao6tpoRBh=o8?U9 z4gAM~$ekc^AZU0CH2g+H0z=OC4*CtB!f0QHsFA5$g-qqiD**5a05x-?58&(mAP+9se^Lde&iV+k0$n^iP4}T1zhw2zE^|LEq#MFieI;AzS*!IbgFC0Q&(j=}qVY0E+(Qs6tTo`G4ghrkcmfP{0BD#AE+W810odFC03>AhK|*$;oRD2V2LKNM z;CL2IAR&7)60-aB0{{}Tn=2?GI{@}f2Zln(VKV@{01)0^?@<7N#2+2>-bY{$v!JYE zDC;8-IRF3)0Z=h7#<$={2j%`Fz%Uyaz5|Bczz_t0Q~(T{4>o@Sn@3@Cb3wxuSkwVv zcohJdpkWpON&t{~6mobIa`*v69tOZPWQ{)w40!-Z_!<7;tA-6C7NGlI1%|JYxqjIW zt+pB%ssK<5fQ+xu#6np0b@+$Vz>tI{P6Hr^Xeb8_#{jSxP5c6YbHK120KEq2jbRJG z1psmh;4PT_uaM*gaIp&jLjbU90YnXehQ}f5Wnl9z*!&R&a|8g91N0u37Q~n`t~%(W zkK;{<`=9yMDbBKEkBL4)ybu@7rP2?<$F(q9`2LIC- zn%AIT)S+KI0S%7;a1Q|G3t{#EFr9ALAb2fo@?X3n@DyzR15wuwz<>gP8UR!S!-KUL z79C;%8Iuc~xnUUZ!hH1}V|_71cK3j9Z0QOiG;#u|jsR;Pn~Ncvz-sU&Lh3K|1#)A(EmWh%hT zH2^c#5w^9|x)ETbe9*eSc`Sy%jliZo18m6=z&6GYM1BUaq)7mC?g4BwTB;O0n8B?0 z0886^mTkQg*3$MliR(ZXmz8|$I;mYX zj)0=O;LQPrqsv)qsD!tGD~h!vpA=m!Hr>Facfh)v6tFHE8wXxXuqUuKjP2OTTk#8KRq2$?XSuo< zJ=fs~$HgrX$NMZC%H-mM9r39z6oa~MYlJ=0OqV6ZZx!*Y5YyU+Rx9b+!)L)xIOwUNQ?jE_#HCg8)e9G2}3yMPE{l62FPIr-2l;l zh`0`hAWPYx1yr2hIIai9g)0@f#+l#Pv8Rc`*+y%5JFXLbPPr#4{f&N6`meRB7A^q} zzr^6j2=_Srm|CSz>Ryx}$2Ip(Cask0#z*xbKK4?pYrrzm{z)7r;Uh||E|2B0Wto}k zmBp~K+UM2_W@S&FTh@S;-51M>o4WRKI&(<6)al~Agx{J$wg(UAtFlCMbn9+7U$%sG zxa}bfCb~==MI7=vyl>xd{|LXTR+IW>lVu5A=Z1pH^4ExEt4;3JxZt>@`_ERBD-2*y zin#9~OjLynFUdCyx;PebwXLE?8C=<@kJIqO!P zsdm0V&h=PRftPGa8H|@=ffq#F>77-?feWfejcrG9+TGt1$Q#U3T}#HYOCS&aqKf>* znZwON-x1dWy70u!wAvR!lhc7O70Bf3R%-U5Jg9=CV`L(-4jXb=`TtN>_WZIh$b`%! zc8(LWxI{Nherb=y!`v_n49qZ}5pmrjPN~>?623YB7pYDi30-O}ce( zW{Y~gF9>T0b4%5`0j&BMAFfN@bg?wBvHZ{#tZC~>WwE59j%052%3>+bSi^D+{)aN= zmi|N8Kg2@4GC>UW%3_&_w9lm_pOtw(w@jjwnOS|DY)0~Y-VO7~qPvSYi~T(uDW>;N zy6NvaQF~xqwWFUgI&nnh3k5pIFJ5qrbXT<)HMzO7pD{IYcrN|%a&vb-qhH*JN*97? zxo$3gJU6;|wGN|*-?(a}pX_ZeY7UV^63JAwcktmol-uvqoR9FUl+Jz+*{@*RPcx{@ zuz?7!S7v5qtb5igOQN#a=a-qNEdTjslFwp!?dstSW9=nAmlh+HsWv^gOvmckl&v;t z%OMBv-o|UzTN**E_Zz9V=BMMs4Z4I46%X=++~;+Y{q}tVaV6oy-6gt}>)+^BrK6Lr zK2q6~=t@p|QEwzK$l;TSk&L+tHV%F!Fju2&@I4qEerlLNMMp-3fr)y*K!xsG=6M_8 zg#+&0#!1YbAm+>>bE{3&br5l8?{QWt;$LJ`XqkvbD%|_hX#9^T;++C>83J>Ds?w z9br)k7*dq}ldmZiPHkw~tj!mUrl4Rh*=GQ$t4!pm7jfkLAvjW~hEps?(naKp@KvyP zy@J<&W1aGwH?UW{mf+UH+9J9xz;8VV>WZczkVot3W4)ojxASDSH25BH`X7jH`6S)B zwJ(T&pPtCzC(iTs^dkV4cxV}7ig>a*v&KCu1!5W7S$KvbTcY9`QBfgKp~!Q>BP};( zh+^9r$;9>&VV{N%7h9Fe!8c_C2%5RaD&)-)bmbTTs^1Wxwe#qPf>9JjiA2OXBBE9x z!W5|Ui2VrA<3*CoBBttglPy%c=x|&e=_%%4 z=n+QR%^>YomgB><>#x_MC!D7YCm!zY(G#*0x1)o+s-O;XUUW7yy=fL{*g;l#N9g8B zE)8%PKkZi-UQUHO^@N#O=pZK=(DC{-kt1Cr3K8&PmJlg&G?h3~_5nuKu=dm`!^GOX|@FY2|*VN(28*KrQtRtOGFrn2-Qg&lJnXg z1j&o~2tH3em62RQ3>rjeiR1wy$psP-tC)unh`_K?rJn+l14NRGB$^v_$eik>pZ|h&4n+l|Y2#rYp#)Np8B@)#9cd zzauyOwj;UeYFA+}+490Ma?@FNKw$;Nx7oPqJ+rNDdc_EG)7|M+j6Ji(&U>ni{7v*k zeBzVv;r>Q)(}7kuUD=1+^fb1+5h!w0H=NDgm?b2^x-E9Y+;pwrrgvMrX01)v?7N1# zrtUH-SKcB@WXJnNQQ15aZrZ)I;HIZb&?N+|r2P>NExBp-k`Z^)BX15MfT{4%UgObY z1vhQX?F?`6#RkEtkCLFL5%fd>+LWQhwI`p}f;Y*Rh^QbU!bKvUC~{jwfn?tu0?9We z=yZaP7NI4QkBB3SQ6hrn2AV7ofsrLb-vuNe5lJ4;pw%pq&Qt+fA~`@L+0c(k+03LY z67fV4-STaatP@F|ECG%7*(7oW!B@?xk&0E%aJYaIlyJ-!n_lWlC%7WEWu|Jeai@E?@mPweS~wTGPsK%NtZPo_bM*XQ z*_MB0NdM9X7~VdErL{4-$~CnGHsy4Vd41wu5mqy|n(PKGhLaG0W{yae%%i?tHSw5E zA&yCp@DVnP_3haH+QH|S{Xz9uE|&WB?okPDllRV!1GMf&GGCTJ))3?DNF&u%}#Sf(l}37TsE(G?`RI&MaJf5 zvA?$PB|)xtqjy7C(!&8X1+r*cmTPW1wlP*r?Yh$}L6B>1yGO(e%al^T?4gCv`ek%= zJ?Pf+asEYRER{+9dTe8%=K1v=P(9P#=hDgcI}@K@#_WcrpQzuSwVqM7DP0LIqpBJo zv39SElIbN7dMy(Xbp&CX{hnmI-;nLj0uZgzJ2s~M!t1^(t?S1>l)F)=Vqk9(82pMD z3ticciIkZ@lnptxB02XZa-?K1&m-s0MXa6EL{22N19BXQoHI7$R5Nm7PZ8MC=a8e6 zo|Le$NJ%;-H$gg0yqJI)IZ{qVSvoJ$@-1S?$0t>$zT{5*29;w_cZnuJ0Ru_{yWvTCDui;7hu=e}-rR!dn+7ef&7heX zuKfr6(mM({z;;-k;F{XBRe<~im&q_fh1{#IPI$E|Dr8OtojK8~7PCCvUx}j2CFU9K zj`;&8_cyM)+;~#Bd4|FC@i!R+6s@c#Y)g4e+Hnp2#t8^Y+olBM8dAKxW1!tuedP` zCh_AqAtl=6v|*^GW*6c~CEO%%;ST3K(Bq6xk%GKR6_-5{*JUG?HAmJruE`g=lK#Ty z;}cIpuxPTs)?qr`zWI?du{}}fd*xu>W)^9_DbXB8G)LRfoa;f4BrT%{{Tj^ANKXfu<&JQ5j3|ZYf*fJl=t#=U>~v zu8}pR$K__Q$K}$EIZjb9Y!=Ax)UEk;;Q;70bo<&s#IN+<8D0&)Nn%ESbpy z=V^?$2NG{XI)S&M+1UTdE=y`+ecLU8J$tdB|J-5dN|o!wMo4eFg{EMmM!TDGAk2&% zuA_{VvXCpbv?M{+kdU3xD&Lr}7)QHsqCA>uiEeoa($GjxTSzJJ>y^Dj^-_uB_^<=5`^p!C4uq}HX=iC_G_|cn< zoklf(E!0vl!*}=aIoC!vejpd5{d%HQd+G`_dNu&XA7J)kFS~&O6 z-NKcO=@YNeP~d!>kjqz1OmU*bU4lqe;^i8j7X3+Hs|c@=I|AIfu*#ZG2uA(#64CUt zy?CKWXQRCZ_)2Jt2yLj0RvSSZoL36*QX94zhvAB>t?rHcSOeA6z(=wWF)Rg>i;q|> zyo0BixP|1WUyhF&|F;Y#795=&kyl*>WUlQ3U4NSf!PUa^cbZ8It$sCt z<7Kpp_OzcIv6`+71?r;KFr5l71m?fOHXZ}6qfR(_GfOLiSMTCzyuA_+Sq5|o0acM+ zh1Om1n^Gla2+_P!wzsZ@&mgkK7n9~{Ua;9;cTlOZBBxQ;}OS;VFCL+7` zq-|gL5^0qmS+kGM*tZbB#It+whvB>AiHc#;7}_5&a`0K6Y0esGA)uRZmuE(&u~hdy zDeJIo1Ka>-?CVj?Xt0izn%8c#nGBPt^s)jQx|3Q=APlAd6Zp(Lh4}?fIwcqs0dLA% znZ+njGwL(!D46xjM568?;ZA9&G7SqSi~b`)X-)EFmW0Jx=IgB0R>Itlx~%w7y5|Z%*tF1OCvhyQFUWk}ndemM`g>iw%|e-`{rVV@$oJ|E*cEZr$SkyFz{W zN#xBvgx5fG5>2Em3(JmF_AMgR_cT7LR>Dre%^0erk}Fxy`xDPIan+Hy%tER`KPjDl zEiq-HK&l>w;n5Vs6G*8sxk|FDs5SRI)|%ad)~^?P?b z3`8njPI$b8-b6IybM(IFja!0!fb`ykI-K<<`*iE)Iq{z@d3D?JbQ|)RS3n zR}@^BVZ0aO^4F_KpR4kDshj>ohpq|3sG#hY@s|*n-(Ph(;`%`+B!wUTgpvj#CSbPb zWqDytxpJjHq>`DQmu11kAFpA8A)fQw*h#ap7dv|}(S*@g4SiTD-K9!VQY9u$ck_8W zX%-%5KqUmEYRjdWCrWeO*Y?sZXtbLww;SeDL6mkw&Y2chUz#B&>WBtkLT^zPG~{rc za2^pfR3zc_C7k6PCp&CE^MrEKBDgA>5ica`Ri9*HVZOlg{wDRiP z1&M<*L|!lYv-7p&`+(FU_)_B4pLp#d@|rC*JcAl8<_$AmZ>+QMdSBqRH}R@%O1$2; z;k7u8cnv#?Pkv7;uUpUK-~J-6#bZ7rUguJi6}(Bt>pEAF*S=dBudRsJV^&^`zTmY~ zL2NHJ zWcfP%1$s>-6RdsCum9&nZxzvNvZYrm(wp=xdurt!qBo7xi?B$ihxK}~gt3Nc+ZLm3 zFP1Q_B8&$)#{az+o9g%CpNg2anFIz~wf&~KH>L(ILj_m#W`_eL4Ig|o)v_8Domhmg z*jLm)GmP%%0B0Ct#W;`N&T(v{7ckCe7!6$s;{zLvxgy4R2_wrZpU*KSDOs3FRo9`4 zkqu}S(7OPA*X&L~RQH1?!+o`9r}MogTXtu>@+mR)V2MOa{j`6?h$k!^E zYDp3K{3r*5(aI!$4DW8DYFEZG)%GAoXfc1KrHiE6Owl!{uQQA+cf4VwRkf=!fN`Bh zRPAbp(a@7HTHc^w#!@L_jF&L#&J&FsqomqAt7^los%|+=Wy$NGC8;pJ;#&`*14wC@KC`n+9RRW!@5(sC;?c_|SRGmc$q`&t$N#GPQ zdV)&;mu_4Jz!cL&$3 zVbtLYHeF+##mIBX43~wx|_9(+>7)%%k*Zq}o47Y;|00P;H|bP{dsu)egQzsx4xwWsf%CUXrAU!6L?L z2_r?91jVtq3AP21(`Xhj+78MR#!{wQTa31YvV^gQFeY-0|J9&eyOXK*JBT;`HCeU3 zax{Q~hNRfPObos9a?Vv1S>`EAKSuyG~?*OCi>{`N@MHtPt z7;Oh-31bh!c$Z`RuLk9RSujrp6WbeBMf_6%Mc59?|EN9(Rk3tzqcPhk!gf%8#xS~n z4>&`l6=R)Zko}W(XoFLWGK}gU}M8dKj!3X8` z4&D1uK@qm}qP#B-!UVA9*mb+JLJkY(5xO%q4e-O=e`*rvJ#^{P`>%vqm5^7&} z>2FLmm+uS5&Bp^%J^G)|m~%pU$AjJVOe;B5Mf$AZPc!hnw=^RQB^gSMW((JEw*=Rc zS(zw$paPFR@O`Tgm#fqb-LK#i`zG@@+QbrY5&W{S3>JX$`EwGweA>4%xeIHB%wYCx z5NkxyT3)l(DD#ZL_7jI5OGxjk*YMF~axJl&bT$)*kVcF;Uq;Ux_5l0;4B&zGCjeM7vaiM;w5o{&JzBv6yU>kaT~>uma_ zFY-+=NF*YB?kLh!;n(O>+8g8r46q(vxX22YuJ|>j(yvP z*Z;>^LtK+0>U~Rz*A)Ju1n+&}4oA>{Y8p}V33`t^TV~tL5fY^O%c=fOULSUG5zpLK z8jRLHQ0NhT7kDy=WYr2Tt0J64^sw9O~YbBrh$Q0v6dY6f7N(CqB+nCgDIqHNNkyW*NeH$mrRd3&nN6x1bEvYvB?b$A~QdyRw!)+Pu~OvG*) z@d!V8+yf%-Km=#Z-9iW3<_=EQT*~1lxUEz@rk$V~9QH0nRh)o-*ezsUY zU#hRA`r*9(|9W_*P*Es?%TVzU@m3LWr~aO}`@>!&12?j~lq3@MLx`kTiWh=wZlohz zL<&hg;@Y-bB69xw8vRG^$T|8#x4Kum@zJ5Q$v0^b#51xMwxNhlJTC*L?mcRoa4OSw zA1U4aXMFP4$fk}}!k5Yr*iP53I56R6usoUB=zKtG>bUJ>C>OQPSD@a$Qsl1FE-*JC zcbuMuKR6*3F0!c2OsNt$EO#$xLb1}fnz4so z-ktz^Px_9I1WWehjL#b|{#u2;>!t>muzxOYdZmW=P!}yFNmP4T$HMq_A5yPn!EpI& zVeF3sm&SqPp7Vt;)0Gl&ZxV4@Y;Dmt0+Jr+Lg+ZOV*Erje%{yV4f^8}C@}@Mj;3%y zL%Z9I;PNKYsE_BHg;T!!A?)ca3M6}`YcK1D%c}0;WNIv%*iItF%FBfNAw7wWDyb3@ z8hdFy-sy|5*-Oi;+jq)Q4D($AGIGo%Y|H%XVY}MlYJUUgdw8lLb@X>uUl;Fll=(Vl z02^cjKo0u2B)UYuuGfp7(h*To4eDi%{@GfuP^wo!^;8?>deU*u-KI-d&}m_Z8raa8 zUPFO_t9#!qQg`e^VzL{TD8Gq*_x&ZT-WbZIeEug`$x5(H5Y4~}E81uDftcDmfHSwb8TjkjERw1gp=TciGBt!!pt25IruTE)7 zrk+iS@r(WA@D>^!4{eBfH}_LhZm2o;jkH|dhCT1*#+&r7ne~zQ>l?F^R|BV+(N3vb zoK&4QYMi`OPT|o`tLZP7HmjO?+;wPGKD?FdfY8Ik*vWFfM}qV=qw8;4r;#2wZMrG{ z_ayz73%+dp1rq#kB>1~r@LZW+#?g?Qzmj+!EM(p;yYwwpqR1{ggYx5RSB3k&l!lU`-BRYPgx)!B>=43U%dm6exqP|XQCzlnJMjbWlYHH8 z;FJH6jeNP=`MxdWdt8*SdBS&Okly$NW!cL&Q3ZhJeBcv9>R8Cw~NU5La2P9pPg!(|Vb zbRV*~XydvEKP6d68J2chyMuH}f0DWb@5k8_%1HvxY%C0Jop7d#_FE|7G?RQ)yKLlJ zCEM>#FY3#pd<&YD5%z9Gd>JR6+i$8Y->5aLiwWbE_sd}<-z<`ER2R96N%B1|$v00u z%6zs9qYcXVX31)%%$l2<8($em0p;9SJ{!3!j_M za5e!6P-i+|G3Xf3Qy8wgEG3Dkm_!b8i9{I`<87v$5tOEjO%Ys|_ykt|Xz=ifz)Y0Q zQFZYRwnop^gqsqCiD&BgIYdtK-#}j7O_&OgN@a%kD}K*EKvUt6&ig>qKyfPEeI28T zNjt+zlaA4pJ%LSyjZQO&rax%f!W~b>#GgpuLrHK2TyT5>(PJM|{fB5+lPOFfGD=w` zmgcJ9oOKqQJN`}LU?*{41P9U>u6%jo9ZM8P$mm1Nc8QtT{etc0(juk0<36iT%t~gh z1QKb3jND4_LU64pl^3Np3IaDc4kce`^LXyZq zl1P%EQ}vjWB!)BVtCbDCK>4jH%KIv4kQNNvS_B()`5PKMIYfwDs7Y)!V#q?=4w zK&>QDD^V6h9?+(TqZ4E@csOKo#C2vAg;rLMMQ9}^R2_Tv1CsBzT9Noom`_MD2#Kk? z?251^y)m8$n=cU7mkA+%2N8CR+ggGYk*a>_3^8V8`u$AUr0Cn}EBr2H0y`i`uB4QW zd~}OF=%64u{e;TD2#MkF^QF_TQ{V!sQ^i%3?^NJUL8{7^$6M7N^3pd}bNh^&+i0T` zS7^U&$I(dahxll|7RV}1{(}@MH(@pLaTAV{lyXQ)=I(5vR~<8u23&ErP$roJ%L8_o z6L`yoVi)*~tE}lD`(e7Glo=7LMbV#Z6_xK4*PZ17tCHs?r%jmC*v_8^GD}hIA|_7B z3bCC(-+G@~vzc_=ZO#P0goJ29FreI;ln9Max)M}lQO{61KTRDl%x0h^O+|}}t+UR!Aex$xH)uWrh&vd+=%}AZs`y?LMu^FjDLYdOd zzoAm>F|KqT9m(ch6$8_o(MCu`mN3mv*v2IC7IC(dbC%!umy!w0vC|fU?|FBpIbuGw z>HWy8CDB*e^@YOFi)4H`M2G5Yp)X8-g(}7F#z#{HqeBSfpaO7VGt*PQ_AS+}rrHLI zM5VQ-6zhkyW(`Wc-9x_vD@ZCYg zP1{6#6X zCEy|guI9iPP={Uw5Bd;Im1R;?IPEq`KubKRinu1^t;Nh&2a(B0S;YjCDV%ndOol04 zRV0XQzqK;cN^6rOvu5~zNI5$H|? z8te(oeKm>*EFnI9seWUszeubfBGvb$`mwyebaq%%g*!JzN_MZNAeu+irG~VsLNca1 z4Pboh+WDESnoGS*MqxOFkESz@*V%lUTT)~+Z5lH<=2I`qZycHbDmm|hi?@(OGjC+2 z-T6n-Phr@%$IY<-St8AO)uF-{oR;gNo(d|L|F-L^D1gp11WwJWh+iT=L9 zRWzIW13vNFKoKh=q%i! zs(%Mc*Rur8No_`cDBq#-r&F_a?S?`r11XL>cymCBO3}Ol-T$u{sT!)t38U-V!J9n< z5nX9T+$ziQiBGW;QS8wr5(gW}v9CQ#J^_g!UtBZ|)lbt9;{ej=}i-rDjcpEif_?oa$15;nzza0sZ zZ%@D(3392XJ30YLkJvURXhW8-n{p;iCU}}829;8RYBBvq#zRs_Y&Jpk6G+Z!$tvBJ zDzS}M?cT}co5Z^hnM;Y3e8zBp*}@g;is^KdU&QdvXSGC+c4QsZlEf6i`$dM*+uwR6 z#5`(COK0-X;{At`mXu6Q^DEJGi!+knc>SBS%98It#J0&1c`v=fs{BP&s(2NyuA|!+ z^~Z?!K?3ik+gT+huqvnvYp+hKDfYa7$!be^$_8`ZJ;|T&dmkx@^Dv#Tx#LtJp}tS_ z)Npz*Z?;}SQJk6>N}Kx^1K+bAt%WSuPqvUjpV;rX*DcQ|X(;d$pOEZ0rA!&}HuhY{-7GR^`|`poVDXb9uPHO&ano36&3T>rEt&`5y4L`8LK{w@(QnCHrXm=aQX$>=>W4z! zwRXO6kBI98o`NzsaooIq>qq^=Y63@(2)|^8Q@F`jDB(;Z zoT_per|ng%qIc}AJWCP_Bff}Z=bN}TH~B(V{z4>IGlSz+L3;;l7;p{;IDdsrY zM4SsG@c8JA3>X+qY^_i2r1G1`r-i=zG2 z+({uYtaXu6`df6pk7xc2Y^rmFaus*IviTIQ-hW9hunr#OAF!dJ7b*l*e`_7O7QOKW z4P7S?(D@8c5@FO)wJX*C2^lY%h{1^4S@{R^sVTY`f$DPaQ@6WNgRi0K%PdZI>&82OTzJF z)M=Vhtq^XO2Ny3#OX{8Yc#WBDH>Gk{QNPpE96bJn5L^>{mny}7BvrD`>zw_T(N9s( z(Att6)?4-+3i2M~ry|<=$X#NcIBs^Ij^CdtXlU3G<$W#b;8r8&eRUan3F7fJQr_1R zF%ajb!~{#`9=%kaMDrPKfFDgEB+jdd^X%N!!(1s9N5DtOBrtYlPy1+^x1-e3jf$ol z?2YW8$KT{@rh}`XoC|WNz`AU5=l;`;B^OoJby;o%4YEOrFou-eZoMM5!!1LNAjGN zR>-?s$b7VfFZQmz6-RtMQ7S+~ec8BW(e`)C&B71@*A>a=A=wz>7_>wronhoHV@x7@gSb}Ss>+5p0n zx>V>`tqoL3DOaMz48umArNj){-RVfvwLSNIEc*kAk;3Fu7^*@>6Pxp;T4<6}YUKDe z2R^S7Vl8TywP&jeuTalUX9SR7cs7|fjB0NpApV-*I-(L+(@!*~_F6GJPm%q@9zUcw zy7Vc_OXNJm)fGryTOU{wOPN6A)=T^zL495{$6H&=1mh?CSWa=*o;~HSJRLW%9 zpw$2>G5>eYbQV4Gp_qte**r$i396kVy9b58O-7*N99B!r2(*H6p*~Kv3b<1VSKV-p zvt&Tg{j}JR@+$pQc;9Lv)e<8WM3cvE*+SO0c5S0Vo~AvkH$v^;y-ei2HuEv@&a&NU zc@@t4nuCmb8iKuw1m0`?SS2Pf?QX_D})=tA%&JH~YPH9qmYFT*J*cJN&+%kp890=3#q{|GQL0 zPg_IrmMXHf#ui^A!(-O!y;?9l|5&P&N}dOmXhWp3aSr;QO;V!0Qf&`N_L+XQ-2b%G z#Z*86?nmqs@bqD*l|+yF5oe*y?u~`kDAiWTNEEU<{hOnSK@T(s->y^K zX8FC4_06f<`U{$*Ko}fL(wSrty~ZlZ z%>(vQC8b=6BG4`srU+bN+x;S{rbrARm<2xkt*X_HY&`A$8B4q{?q#H*ijvDoA>;?5-f$WtPD zETIqO=wVt3^#n4Q;gnJWa-60FG2hkpf%XE)Ir0x-XT(==?9b0KHRLZ6h@Q!NwN)U^ zTi6SvNW!^*D6Zx>Z3L1Vo@sC4LnU$3z^r!XIht$)5>Qxxfx{-TQ|x!uWI(iY`pi3y z4`vIT@38sLqprwjHOo^rTG{6Ie)l$wTda!SQbqRmRee%65-xq5V2ptVHdZpqnh1HF zp7dA`(DGOJ_;Q8M@AVIOINI2&j>B0Kuz>03Xr?u`e zZVozs9f&F!SxsenO{HP=GCL`b){*7RBXWFF>+fo^JgxP1H90dLN10VK8`1IFd~6x< zQZcYf5_m*o%L3!xKpLmoztrd(T()aZqvEsbAnSy*aQ{=P#C*J}k&t@n)6S6NvsfzBX!}|hqdO-% z(@&@I3Cbw3&UhEiT}(gKQUeue;5n03^=FL05+cxGPhj-i_Au8V6Uv^s2cy39&Wp{l zfKc{f>!h-KV9Sg84MJozS)+J_^!~})o2NltkDRDdY&{lC1y8E>DQFHWC`KRvA5z`jSpUt3L2_R z>+9XFh`ln>(x!L3?7RN=+ZC~n^=?;0-DBKRkM&w%FQTb^4loW@Kn&U1Lf=?CN$898 zQn9b8*P5NoVe4YFE_~PH_$QOdu!Bq&+1B4D+UYo=@Oeczv^?=i9su%5Z<=tN5sT+W z>d*JRLS~KvSVwF3Pqg*2odIN<3}Rn5^9icvP@%z9=x-;sPoe=QC=Mj~j;`3`(T3d? zto~>RwM2EKB6)`*_sw}g5k#}nXYM1c{aO9_QQ84u3O0BE|7uf3&{tT~+Uy=*e3Rv* zx=XdSBlzy|zv9+rNzgH>C1y;z|7LgUq7_t2$7_NACmo1?n?1$C&-YWNA9n&(d2(NL z-ngv+h03xsU%rH{Yt;xq8j^HS65>~F`r>J(1_Rl>W`^K}>V9&epI}#1i7C-L>rKA% z#?@TGYR3ZTnP#S}MBB7bCgbOz$L4q-;b(@LoJ`P8PLJ(Ap}Zd!pUs%m5&nD&lcS9f zm3fCteuPBC3wwnUu$h2Wt++&FZTc-(G@M~^6D;E9uRjoCW`dCd?}ZHVvQ;4>$z0fne8&*8jD&B)7M|Kq^9*e!_O*5FO6Fa$TCP-W7{z3h3x)-joc|0= z1d2@5{LG}Wjl#s4H0s~&tdtNL=~@v(#F%j2YtcgMnjy6mP0QmP}HY%n1bjM?dHZqHuYtTEXj&bD_;4KB#w4N9q2Y;s4GOEB|uI1Ib-?9#?^ zaB=H!SujDrl|D5Geih77KV3nOl%DGVSI zqK->MYB-1>BI1OIgbTx>&&?m!XTT>%u%4RH}??C-b z*JO4tOBO)ga8WbMj3SA$w5zcxWn}Lsz*6c`3-wba^!7f|%f-8g;Cfg+y3zig)g=pAYeNG#5eHFgH z7s?(A(fHp#1>9_fwO90NwflokC}n9?Q}Mf9&S61H9f&V(`LO9rRNmO-OW?%@rt)W9 zh;OpCD&zM{_y(a|p4?()4l#}WV1(p?2mGQrTAj2rdUdz-$#_#0_xvLYP*#KLFog4HLb=u&VTrO5tGWe(Im#tf*g-pbFIl zFn8)KJdP>v?_MJ$?oz#W+WcXWnuc*l7B#LmiC8ojo5-~#qbzN5QOiZ}(sYMqwuooe zr_RExc-n`+>@SvC8qciQ&&R^-WKU#v+n3SoBVs1)S1&LVM)eC?^hXp%0wJ-sP3~(44h3skJBRHA_N{0i~cW) zmi7~KT#CTcVvc5DF>v%X;i4_k)k%50uTGW-z7pDyyhY29S(zW&LErYocO@<6L(xx< zJ6qVxF}jQup!NI)@+Wo+S;Q^RA+MmNKs4*Ba3s zM_E_%?*Xmb8(LUO%H9N#b(P-v(mMLZ!u2G}e`#{5(cJ{grAFE|jh7l3E>v4CHOhXs z{5XA)`t|%%P^WwqbH7teRu+hr>B{ysem%|mCVc)`WBt|`#wpM0(J2bqcFUyIqgKy= z*|#jSIG$NTrG;6}=8j`9HrTcUcBGWTg8y8dgA!5@w|=8?+v-j#R+FC-g<9~FZQjFY^-orq4l znRdwRq%yh=`_n(60ni%pB-aSOJ#xiS(5Gy>P%xtp1k$mtF4WvE2!pVKJ20Yq?f7@y zWEchYnQMS4vkbeBS*9E`2q0?-q z+1-vlZ)|nt*1S%dZUB~!MX-Jqf(N$+u%tJFkBkQJiXsGSIwI#M2=iErw>h(_?aeyHR105&S1|R{uia z>8Qrmb$;{DS1mwr+4y#+`upEPaPij&UfdCN{sY08;mG+4a@M6Fc#0>g@dtwKzD4kT z1Xnj8xL^iyeuiK!6~VtExbzSVpabkDT|5Zek75&l9bm(qWGR{ zd55HEypW{u6S8FskyOn>l8D|!GO81rZ+9L^r0)~SB_c6yB$5+EQW=FLlEFlhPbB(_ zq*xr0EE$vyan$c1lBYznHU>#d0YsweOx5QyDV9Yf#e-2X)n2k?L~C?%%^W1jn?NLa zM54S(itQ(o&>=`tw?EAWDqT2{=;tDd`U7bns6J1Kq~IqaDYKmf^>NBjBq{%br0gV; zweys#AYfM=*m5En{AN(z@u;e5Bq?>IHnzeWJ?8rzk&I}A=5I?t61R;+a^y`^tm+pc z@#IO~BE>Ed$zmd@U4SHMyNSfz1xfS|NU?E5a&9=1WM4xP+Y>}mxe!UJ4--i~k%awD zQsxrLvJpt4yiFv}+Uy2fDi$G$A0tUd7Lk;^jU>Lm5{brf_o<(~DpSao zpNQlnk<>pWk|H4C%>Ro}a@>?|9Oq;+SJBFbV$conuy4`3p~}6#(DaWoBYmX&mjYb4N#f?9WYtrIeGrVgvcn* zz&UY=Q3-S6X9q5fk4&61KYoE{M8doo(Q^`JL=W;B5Xt-z5g9oc{u=`S4Tb-PB@am+ znmi12Iux>V5Usl4k$!pF$e*$F!PTAr98!k-@v6>o zA+ZWyYFp~i;^~tKuQjs?e<((E(0R)I*Y)!?k_#>zx2AKk9JDESKtH`Pq*%&v#VK0+ zBhFoa?R=M0k2j6WcnZMcdK)8IT(KZMJow+uU*<7722nl#?R+C=S_A?j@uqEBz+|1ii-lOMw|>gb>zn` z9Cx;7i#xYUAMV?Jo8wT#rT(Gwe}7`ji|GD&O5AfVjzmmbb9L^BR0)Z=HSOSzpI@}% zNJRL|r#COn;6?Oqci_KCuUhaT7KWWFTHJ{jk#qXtnOo<15uf!4^?Vd=7P0Vn%7=o8 zFTcLJ=Ic#n5w{M7-#i7LK`ZLwpWb~G#fwRDVc}jvH;{4ZF*9juF-#)aXQN*+Or}qUBv)dgQMj~t-pUs@`EIR91><`c49iJym zc%GE?JmrVy%N!d@CN!MQYB={p!zIUmu1xsnTGl@|Cj2+e#w)WdJ4f^9d&P_N-M%;K z|KK)!qL$o|oa>j-;u~qjgVwb!#yT(O$`QUf(W=5ldXFEp+CR8=x2n@>B(cLXT3nX4 zUur8|EGu{=w|&+|Uj*WBc?Doth@#&W0PG#8E}RsZuKoP1jVS>EU7%JE z?Pa%d9sq6v;A;S+03hu<8&kV1`}B9aI@$d8HULrp@GMt3<#zzwj7-;@0zi6}yjk+7kds z$pIa@J7vZO_Og5TW8lyQIJ^abB;fEA055aw(_8ie4k5rH7XSkR5Cwos0NC@rP2SXX z_I_6Z@NgV(*am>NKtv({9)gJL0Qd?38+wC?2>{p$03YCx2Y|q+bj^lypyUSo^yMBP z;$0B29{}$H;1B@90dNKYTQ=DHz1tT=gaU`p0Pq2bI0=B1sC4ZM0K5c1hXEjBIsi@p zU;%J=0Dx=2;pllVa}!wM2_nKl#7O{r1c0Xicm#l70B~aySOI{CGk`-G0CGS?k3rzc z=ydJo3!vm?u)+&OL;>JD05$=KfdGh(PS;!oz*n2Wia{V^Hi)`EQRDLmfTq73T~faw4@1%TCgV5Yy5&4CqA^;!eK1pp%ekP?%wNxN)ga>%z& z9}?)4d2TIm=rm5Te=q>z0B|EFT~!2t)%o@%$}v#&{sUHY9jE9w3IM49@SBsa&ADP@ z^4epcz9ATD)&}6v4**jEa1;P>0Qdy}HvsUHXaK00aYIBLGxG)P=KRp$P)OR{+>E z893|(zytsk0$|n09*yYA>a@`H(h(?nvH1}0HUTru#SU>cmUi1KoxK}J2zdm{wMImVf*xj;UMA^ zh*%7O=K%Nv05!njDgeF!z|k3?DoP&Hl|6R17Ie&`V8pM z02$S3t5W_L@*xHQ7k{wHEBV~M#250R?{^T3|3I)@wkoH*Jycz=Bo3l^-6pTymk{n~ zh~@=I+AR?7ApnR25jTLt2>_%3pyh1H>PrCF4uBB=NCpmn0f)x`c!Gdf5K#dl3P8j} z0PF@p$h>q--VK|)Nk^e(#e#^dz~LZpcpm^qKt$|3Xrln|6#$mc1ra|1;4lDY0H6vu zoCXd#KilMa9S1->h`0qJz5)>o0Z#!n=hM#WtD7Md9}R4r3x16eVC*L5x!~5nGHMeWKs<7cQXxzhpYoU=<@R_n0P8~gL7QP zBL=}AH61d$!_-q<{9ViZoOjFPFl*(S3=8{GwfI>MuGr={;QV8t1eSze`Le!EF#4$ zy@;;J&8{WxG#Kv*mgGixr-O;!r_$h(($$lSamw%|URRwyk;D70+f1SRuHD>$1h(;~ z!42DlHNLCBYq_1{m6If}Am4$j0k6cnhe~kMO0{i%2fTjUIo`XBu0zj5L;%EU(I1o93{lP5MwTVS+ia+7n%fmxH)2 zYsQ8C9UIVGBf6scJFyG>JHEuS9rewdH;7&i03#+~4u31 zPo)?B1vk5Wo-z?u$*wftIO|PEsOfhCp>zv89C1Fh&V}2Zr-eMenvW^bdZpG`51%XE zQ@p7IyCr8h?Z}zB3xHZDM@H z4On@-OJw{)C1!Y906YxC;2}2t5No{V1)hMw8b4-4E;dg}{Nk9g4IX0Sud&7pm9^A` zwzhGX0UmJ)9tNy9Ol`l(@Avu#z49wOQXy_a8uMkce{{9!beRFpX;83bDJ>;`LumXOn7qtd<6D>ed?_8(%DB`Db@0az+L(6AxoRy-E}I~h+Iq)6Sg2inq1Ko zLJfQI{!$s95eSPw4=b}Jqd@+BR(@S6$sdUFGvU$LC{VpnHj!T~4+Ht`to%|}Ey^#Z zG_7bVf3!&cZWCU=`3oyQGZR-YI}SzpxmNPso5*)-T@Uhozx~u=e`JqnlwXAMC07~w zL9IRT6VyNJnHKDmT+9?TW{P17JcLYvj(12sIIi12TP)}-9_=;;fU&up;xL2u=Fv%r)-q_WGpg(-Qh7b8oJJ~#GD?8T z!^*LV7OBjm^GN0Q7&Nc)HCAN}uf$YTBAHP_AFf`R@d2n@$*LU2qn9CC&!Bmg(?u$8 zB$Z91au}losQgwHsJu(0GLLo(0if)C2F6_D-n)L(X zETWAJnpe3*q;f8)EC~ephN+Aa!dFtgJ;j&IFCbo)9Ts|Cjuz!um)*d;nAv<84b)~e zUv?AeqMI+fg=I0#m)*v)*yhXbU|C%AW!KT__>q3! z41XleEXR_rwkn0K$NtIA7kuHz*eI1_gmG8O2FXY)eAo6V7EbI`=?{f3XX&u;t96o5 zSUBGH1r)BB(y77^3a`v6gu;@CIVR7{G>6~D*bY(%efsH{Z$pe^1F=861dpbpd;fl? zu_bi=5m41P;lYgV{ri<*nX>t^Vk~oSzHAwmshTfaf@R*#m!)7C-OOdJ3%$$)HBXm> zbeiVN60t0-`LYBo(>7nG!?Kv>%i^(2*L+zVmL)e|7K>#on=gyOGGp^))LRuaUp5Qr z3fVFkaoFsDJgjwOPCjsMMU7D{CM+H`Cv-OEhV7LzY#0=_i+zzzJs!5MhD3NUJ)WsI zEbC~RDrz z&-bvDLu%77Tkh~Gk`YlplU{-GYBSdxj0kScJAhsNdL3X@+G1c)&x-T!Pd+Uo->~B9 z3Q?TGB%H|;)*<0a5n*8~>PlWBVI@Nd9ctm-nQ*s~ ziDUAvh(}L>{x7wPL38_Y*phB5@`5K-R!Zi)JB;5`T2^ma1A8NFrDYbMb?4DBR{>~Z z(FOZ)?NJvwo6@JsF{LF_kZCGBgp@AMlcR&n$-%xJ9OiHt94a#$5elDAhbb`UWKygs`#4Pgt|Bup*P)qt@1maT;L&Ji&o*dg9b;yR z*v!GyX7gtLg5-jkd1U4?#>{$=nX1-xI6??VGs9Rjmz0p1D#pxWk(rwA)Hj8pnK--F zXy(o!w2UPz5fM)DM?wP;hBJiF@KqEKhFKpkxzf^{WnHDYE7N;GBgE`W_^tt0%QJVDg22}II)HEfV&*2btuJ#j8EQ~a2%?oiue!3m&??8Ox|jIV-=!W$F;;t zUdcpNTPkWDK7P^wdKP0;=};&kc|!u#7@VO@RBwpPj4P$!;K2c|NvxS2C!?7qteL|^ zX5RF+n7IR#ZA{*1O5S9~%)4SUhn1t5&Of1<|7Fdzr{t|-%+!m_tooQH?aI(hJKVD= zn8`PScNxN!BEq`XPtiZ;kkFYSghsGVS_ElTqtY`qdYS)7U@rRzor2fo39;Aj$4=5H zV$C@c>m|qkG)5P9ze{eKQ=R>M)Xt z>R+O$mPL{Qi&4rIMhe&JeT?c%M@#aq9x00IpIVG+L^wPQN8!;J)%-Uwd8?SHraQ99 zD;;?QqiV#c(w!7S@~TXfyf2yH)QHT~I6lYVJVY~-84q&PgUL)E#>@thnU(h}X3|WW z(DH753(dUCn&~4l^SK_)tR^#0ux9qA4u(5psiQ60i_9E#7tJgtGamt^U}oJa^p71w z*iA&}Kk7abmQmKTgefi6Zv;YGDbKJSajxSFbV|rG6vjG*?}$aLGkDh7$lC1>MyHgP z5pM(oYi|+j0-p6GWKG922x&#E?}}KLGFnHltaVBmHc68P;(&$kPlL{|O;T|d8GvV! zrPip&C%Bc;Zu>iSUfPG!uD5t+&5(1a+N=?)qT)t`&|mHN~7 z7&E7f%nY#cK|veP%<=Fqp^@QsswjC`Gv5=L+3tHZGmXrwfPXcb*}ENNeTz3N{&5Hs z5jtH)!aO3p$`ICcuvt*}F|-SBup_p60eH)JYB@S34Jv1&Q}_|v`y$ruiM44K!mf!} zXD%oP)+Hj=-A5Da8e~mp$OyHFSUXG;vF<>ud2=zv8_jid#VS|EM73K-HmVt;pP>PD zD8&u(u+f0RDoWl&CaUG4sOs9j!rGUF$;+QE!+(+E-o8Tp=?6?ylSEO~?)CvevK<)J zSol|CRM$v(sY=&TQ24XLvN4xu-pvS;LsQOKfH!eK6t8e2(P8U~n__ zr%MqKT2wxyZ(WoTw4o4ovBaP=>aM9lh`+ZY&x zEfBHpO03=Dk@aIM))z#qm+)HeMAl~+)|_k;Mzx!ZC911oyOd=#>!|942B=Yr0lok> z8c;lwl2`McrK9n4VUyQCBnV&Gk}(ykAsHK^S{FpgdyR=|*mrEpt6bL(^{m3E1_5DX zlN1t9EpHMNoJx_I7fw@fije#o5H?12(v%Zh4ix}42n^XO8h`)r1>Jw2^Yi5xFKcW;&LBRlS%~Fi2pQ|N#9hQos zIwAt28j%PO15jI{+T8`4q)H~LGhNx_l}?~<4$50Vln4JcMpbo!`qO$QI8`Du%bhx+ zp25(LrPd>*FgW1{gR7S@X1)}eS%2SRX1cfqY+%IIiNw`8IitAoD9%}UPvggc^^A3Q z#nw%s$$nq5P8f3X4PQ2+p05`>stFiPUmkGI&GkQK^|Jf@iW_}b=g8UfNhjt|T}lGu zWw2$6R)SQo&`-eAMsvU22k(m;)11M=nXgvEmn>M^@PW->(w*k93pGKyoe}WqqM#t? z9aRSZuaI|?=6`A4QJDWVptfHr41}z!~bh~VD>8hUtxh-1OKlnfvV;BFY~suf(K7NHMCa94yUSiv8V z%dO#E5uRiPzmD)UYq$%-Q>@_MBiv*Se-q)$tl%d|_a#=kcR@HE{9Mj@W*_Np4eyNb zOIF-B6ZfT7+&dxsiU5D#Ha{o_dbXY!yDSqF`Jw!mms>!rhVv0|mP>11c$6Pnf(>~g zUuIdR5ZjU_rhi86M=WcR@ks_;P~ZvVzlRrz$J>XoBCi zg45TnIxBcfg5xeevF`K{jk`l`U`#zjRlLp$PT%SjR`4kLAd0sri@DQhKW}R|a+m#O z1*flzLH`Ed275`3ICY`@UJp0w2z9Nn1I0WBV_w;$9u{t(GCILH88&-`PytK^m=m}BeBRWa=q|gj%us6y5-nRwb z4};U~GkdXuBHtsA_@asYGBKD<^Nnl^!1P{HdpKxZ>VQ(W$eCMZvcjoexGhDe4HR1G zwt?7JZsFDQK=oop>Mf%X%1FI3Xm7Fc<)(DTSKTR|1Mr{)rl|W5N9vL-6Fv^uC zV7Ku`d7)7WBBL%**30Oa;Yzbn!q=m$1s`F<%5xmbEHAp$5?@MXbWU_7uEH}n-rk$M zF$2k1Ev)Sm>i@mz_p$zK(4Mr`O#8H8GYa72AQG^g1W-PU1ausT0;u5<3wTHk3<{{; zs)8d>*LoFOnkZvIyOt$=llIF4&&#j<28#(>KkL`}>^Sl)c8u=(1JbHi@9@aD;+64i zct&Ucj8S7V5+^YYuj$>Zg%U-fH!X&f4nwkx>3-9ftpUP1i_tvo!a zY~WzKql1Hn4Z+TFwZ}x|!I=loX^&peesf9tUAea6iuP6o`U-^^SAXG=am_2^J9(G`fp@I`2jVyWp|KU z8zz*Cs;Td=77#@O{sYJlnj zK7eX*OwO$C$>O2vTbCWk6*NaS%jFS0Uc z5{SGl5?RBGd;>*3X(}>V5ZSfQ2B`7_Sdsa>NF9n)TZt?(i>x7$cSRy=d66Aa)7hdxIEzl%l1w3RvOfEkey%2vQ0~;`xwT}K)kM#DP_oJeG821{qq$fFqj7||Z;&YBHNFGGjsDP3bpse3#q zhMe7ANU3g&;o;?LC(x_)iB#}7#tUL)=cw@o6ylX zZhVJE@N3b-VT^~v0+Gm|;)yIBjdt@8Y){UihgUKl&WO@QS~KZIlIftOUPB*$F=vOl1Q8k zmuj;E-g{AWO1AM|;Ls8@#>g0RFX|?CYQD%s(gB!6 zNYkfo!aEO^42l75gw`uOdKevkuvQt6fvg(M8S;mr=%Vljoft5%dyABT$1jgE8?`#-|d=s05GHVNs z8Pp%6g&!~$#-61p4C9F`p)A-u6hnzO*{7B>*5^l8qV>sWy}Bb<-vifqf4C)WND7DN zBUuijz8SI=m^#M6M3_$Rra~8QrcU)5Ph^R|bha=4L=nnE9p7KE;&W(kZpc?dl@pqeZ4#`@67+~6yh)-+ zXD9ia3mE-+QFk}QN{vo5ydqcCfUBS%wA&07O*beTYN8OG1ah>2j94Pfka*By*kKt4 z%MjgvHli_IY8;nV~WA*p>3=PF=r)<7i;5+}pbBXydN^QrR3x*P-}F$#D2X zaKO2OOsWs60X3(7MO}PgKLxF>L-5@Q) z;2Li!o#0c|)Y7BXXlX3VbWEWB*>UY%gDH20Oi(INP>J^O`#i< z45Hu*q?)Jt*g{o0?-ABbJEV$eN>v0@DrbDbDZXgz25)V}xD*G(uCOrZ9{&UxI3oj- zz(9D5SlMMFy4>#tAiQ~(Z#ib=^839e0I_T`y8Mygyx}638;@JLye1H-3`F&$DV3GW z<<>5Lw1OB+L9w_Poo3*Kfyviu{Bl;k9u)%+$O{s^8L2&d>nbnk3mZqu1?N41+f@EXeHBc1&o=3j>7dquHyw60F{0N z&?SR33^b63;tC;GjR1|1wrI1`(j7v6w|)sev-`o=*6i>oH-~Jt3?@$gf;SBK0Po2=9Js@vQ{j^I-(G z5(ae}-KI7U$6!NS81>`tr^Au;D}T`5gMnyGVd7nd@;+G1ijyu3Y802ph;yvS!R9&- z#Thn2rH-E!D1%9hdihYxJ5yGL8|ow>oi+)E6uBu}aFkdxXeAAX`}LteaEPX<*GOWiNOm9D;1*j~%7o!Yg(gI)EV0^m2{(<3-?c)?Am6J;WY*K7|%hu2Uu0E;oH9ija~ zqnC*su5}s)r!6nDWgIT6zkm+c`~VOC_XUTqz%lZZLB{bkMy5@C+@R}tn{&EP#xEA5 z{g=d`(JRsD>V85N#TO;Z@a5vA9N%Z&w~cKDny0l}bEn7b8(F`H6s5I0f2T*(%dC0h ziqbw&JNB66kaGzB+3x(+MnJ3fJ?3u7`h84MwQbgtG6;8WRN;PnaVc=Vw9Bs`&7p5U zkIc>mg$eM7FfM!c0AnAq6CMU!=fzgQjHOiH?eKlTZGfe|J3gcO9rs!kWa-KHmgdSW|c_`lJANn z`!A!#Wz$t`@E)}&adN!>&>DI=8@{pC`uaLp(VWcix(LS!>E zDUq7{BFPO|C(!1>C^;MMDWG=;s>9vHl7+#guX{L#eiKl+)(Jfk>_3`m)vVd&EdZ{r zI@r~gs)AK6mRi=NS?h%L`6^kT?llb8lEm+&w_j%lmj&1M+3 zLrq!(LoL?HmFU;%VWOHLZVk%RFRe0RWaeQY%a{%i$GiWY3As|dF0M{w_A>VwKq>ng z%`Ov}ohCB7#N`N>ohCBdE%0wN`zD&L>nbw4p-HE1^R^DmZqgvEtw*yf(QLPoBD2K} zLY=w+%zh!N0JHC-+0q&CaI6)XT_&yoLN_w_+;zZKW3@3XH0K?p=*ud+>QFq|82l1b zRB{9!vge`{trVrG!r=*|sJLG!oQSK99rG|nPk+a@R95|hy8W+KZGx_Q4%SS(R5dj> zi*1dXvif5t-d&s8d)4W{Zb9 z-*w%GW^=gwNV-yFc9Wsb?6gcg#iQy6?OT>%ZB70L6di`574R*J+JAUG7|{Y>4@U8? z2d4e_dN2xSYqiGGgsidw?tAfMTl{!#ENx*@e$gs;A2a;W#8R>y1CmpaX5B^}mL{2BeB#aVLmXFbK9 zjcCbCw8UGmL}=auz9-2)Bh&F9*+R4li4u4s%R7Pp`tWDKr|fsoH^K)@qj^2kuCxt8 zQz(qDv)kb!c*hgK#^)~~^fa%RK3eMW)Oubo*ghJV%E$>Xg(jzZ#a*#|Uo_fR4j(!K zGr-kQUE65D?O}sgPO>!IH80xXzs5H7q4^a_ zI4&nIcqlOSX#h5_72V9uXAeAQ!{LqA1r_v8q`-F~Ay#2Mx1uVEyef^U`o?5TRlm`E zs!D!@RE0kvRl#3dCR_Py>OS(ze+dIQe5{xfGUsm*LznsKS5ohfk~M!4!-+!4%^pc&R^E=amYtN={N+g zWun)pc61`hWqmNcMzx^VDB*Q6>@J2tauOagC*hraBiF@TQC9A`j4I-q{M155#qJw} zp2JTnRM8Y@329cC$1UV{3i)+`>I}1OJx{}^O8kPH-E$kVy@G6u1b1EOiu2)3h7hec zz_nZAA;iS7!-3AH02Fv_>@M`BBk?uWs^h5cc>#or2a`p6$n7^#cvYitOuZ%@$ME%V z6P&o?FbsH#Eoj|sc$8*|T2QNwBc{csrX7c4_!D$@#5eFTsLbx>-_FGyN1gxIa8-%; zouZ)~wsVfSguo~Jd$zx{>Nwnb-v+bA9f#dsT84~QOs1;VvHfL}j$>AjF-AH-EpXTe}UnCh@!&;(JQ(nb=!vRwDAXQnscL!MN9?u-b*C4 zNTL-;gckbJ_i>=o99N~S`2@BI?xH1I?;wOzdHKTQWMj)@*W19|ng@GuM&g3uPH zNW_Dd!a%&KOgv~g_~Ug9f)XA+#X=BPHJf{R{hnCmUQF*Js3Bd%k}a4;6BSKzPj?!E zAkID6+xsvGn!E7u-!2M*Rqj>n%CgG6kEWv8ZfDT!5rWw?GbGME%^1ANOq_cald-jT z^gy#~1heU_(kl0=`(t+^&b^}E$I%xZ1AmVrcQj)3Pu7#Df@F7kIb^L^Zwz+2tTq zJlWeoP(vq#1~SmLO9)!YO!mIwp>HBINPr40aOw^Gn8u%8Hi8)dy;@~}-wi}m$0T|E z-mVY@*BCUYc%7w}y|;4-e$pv^3{;W{Op}~C)rIT{in8>N^}UHn0y4>7V=q-oG5xni zYd;od2!)9`x2L7RFymu*I3@~j57eW0U)1BY^iZX!M{&$a;Khw^VyI)zRO-;qqE(X_ zLj!_O!A~-Btv%C*M-Ec#h-}i$xKUl zReikB$|SV148p55&v}>brUeG|=o&Xq#;xl<*-rL^GX7WXqwnFx$Rzn+bHqIgHS^Dc zhvSF;haAaTzn>R3y@{b&>p#I9NyG5U-eU}%s}Pta2pO zV?Ii!L*=xBk?n)$>95+A24mpB!m}c&f;YB1a6QJ;&ahC;}#X}_sl}%@$yLl*n zk`&sCEyUGCTm`65|1&PgFxXW0X3x9=&w6Uwt{FmiQpPnUg zvT(Cc1VitA>Wivr$k6vJhGuPjiOEw-ZLj5LMH2=UJeUJhT9z zlIcxSyK>(Mj4ioCgQ;!qJRuKwx7e~kz9g@LBseoDL4y$;!iO2V=83j zzCUw#$xL9jmmrfoV)CUh7jR~d?X{XFa{-qpF9v7((7_McZ?JO#P-hCDBEa`i(Of`X zpQj`)qN$;E`s^eU^CpX-rF%p0Cmre-G2No0<4y-xZ=^WO7*n@z6ng6sQfROl zt<)pF;gox_nfzG-u|^9mZCMpgS7_EuerPjAQfBIQMX{z?z0y9#R$ zQ(C5l+=k(G-9=w!w8I^sO?7xGMyfk4g@iFKLaPAkeNoA*9(>1jQ2t*DFAM+Dg5)2(+nZ!BY|?_{ zAEdVxJW1@e`U8u?Szp9r8%2pKTgYVlIftcu5-}B@@R7D?B(uw3z6(ui=mFBBxqb@=i&ruaMybTt)RzOxm z%i=7-JJ3XHs!9)Pun{V!3h{xtxlndAFRa7WJ;EU5OTSy_FOq|+(s9~XU$&cHeMRf2 z6zn>x(bg+^G<~n)`x+%sa?`A<@K^}!Wg}7D+n{c4R7{y~2=tcX^@&G=8?`VjY7$rf zLsQU}Dzv4ViL2w$sYsNEssoXw_o`S;GJW88k_n52y9~5)QRJaX$hIEYO2P#fG0V;b zk5`fj24Z*D+!ku|qP@x>}!UFM7XR9g(oSdHuDTHvmjn{sEy$Hz%gw+fIXOKD== zJ>EJ}BP|@V_Wkrv-&0)cc?f*8NvUD92g7FEk#GEx; z%LLr=N5Aj<|X-hTqCo)dAN&#)yo3vLB5!kRuv|${28IG_!3kxh4MxYuc%VTR>(azw#Rk z;K;KMiD+60Ya0B)>>$iP9BwgfmS9@1515^EylF)u)9U+HqG=j5O-Y*+pmQ&OhfLG4 zrs+hc`Sndg)23jILtw!a?d@BlM294>RN~EZfn$-1KO9(NrfRIxmuJ#~l&gqX&s6Ea z1AFi%5~Yc@j0K$g)1s2`sJZWE%lIntNH}~9PgP-zB~eV9aXB3Y@cAkdaDFEWpfHIA z+(Oq-g%Asvi2|}wfZGgg1#L^^zrp|~=zAmVj)P7v^)a;Iu))*LGA7PAG7H0lr;5FH zi!z4?p)UZME`sJWP_+PU?6&*UhTCAYTEkeKf5f-ZYBg*1l~2&>YRaY!j9Y{Ieu`F; zTc#$0^-m^I%@%6 z-2xuf)&g2BLYZOK0%*tcOltv&D1hQ7wqOfdkai6ohNY~F=Ar<@G;0Al=pr2oD9*BE zUjC5)i~+8$dM&|EwL))or85eU`~(kJ$DrceY31@pwn20J@e{N%4dZ0k(4-qIJranF z%aL*QNZ3J42VXbYLCj~33NdLy*7#P3WBt$Och2f@=Pb02OsmoTXf*ny5~)m$R4rz( z4PrB!h>y}fXG&&Cw9wXcCn_T&D_tZj|0tbAK<-gDQUwrI0YkM*M0M{dr3KlZ)<_ja zRD}$cxHas4jE*tj?P{coCMxqjV)Djak*vsLI`l>r1#q@t7k`9BF(V5$6*E4}KSpOD z)F72wpemN(`BB}>yN_{8_3CYGyt973g7G#{PV8tB?|a8eFy6#5Z8nogKexj*I%#Gi z%djVY?m_t7@ButrlbOm~)c*_2)I`kGDyFr#cWhQ;riuk5+yNeZrtV;>Lj2ezD1fSh zNWdWyZ~+CB;}_hGCT}UuLQe8>hwX`QXj*ji+_T2y?>X3yF0^6A{i$KajwiK7=yMPn z15m99TE;-@1gJ3BO;|%pO-HMjFjklKuLst=)pd;3-H*qh)qGO0ZoTPcaqCXT>VyO2 z)^KY9Wc3m>KrG-a3aF>rBoc7<7P|E&JY-@4i{K}N&RPJSlW^Kv0G)8*3|acxBoff# z4*Zm6Eub&CD8X95V{{S4O>Ds>w7?eyq>W)R?`CHdKt~sgE%=SP5p3#R1DGkivi@5! z^RTIh)jXCqvitFP6rd#wp!4J$a0?1_fJ}w*KTZubH80gdg%Xmd{17q5CO)+uM)LX< z_;Qmh8q`$sSwm^h;cguKDyPwO!r{p*et2TUQC_x)s^$=-CHAkW3V|v+jHsd+D)HE` zp6`$;nUw-nxt6HRV?&Z<6v?tXOx-xShjtmEhKe~v70bvf5K%dMQd*GhzJe?bPh~z* zfno^O1mpp=7c2P-0TYR4)gpP6NxzLw^|Y@^3)?tBt1{WWa`rr zTE2M;n8}Km%6#oSR%Y2E%+xBTwFvWU+nA|h0kqeiGF1}K3Yc^Uc<@z0B%tqM6tENp zBtcckjZ&2>_rjaYdO0306t4E(`VF*<;a+;?43A1`SY_ErbXOP>1GLMx01XpCZ!pmN z0#q1cJezq3Smcq_Cm5@5v`U5Fd8_X;R>yd@L#rt%vKhDLpDJ&3tD3d?*%ewIdKh24*IzLc!~)7N*hY+-Sb)bJ_=%1H7YjI#O_*#kJj4PH{|UTZA6W}1 zM*+pw0)kP18$QGW#xBL5@X3KdG|0B_Dk#|(Mh)VpL{O|zDBC5XxssN%APM0An@#!*;&XX+CuwI~=!k==xl|R0PsNzH# zKKadJSA#$`i;fpv%~HjSsGhZ=4EY3o=nHFR>1{WWKjhndR1C=yzaziwi&O@p3J|D7 z@5t0xmx&tCde5hjZ>}Mj6H43!g&l(s?^kn=HgXJ_ZFv>0_q>LQ6vPu*+Q@s~{)Ls9 zj$ltqWSX$oU*M_`HD&4*CR1OHU5)}M6k-9dQ7g(+<#txUeXMI#6~qE!e+Nb;oP3uJ z0|9Wr%wR3N>2{+tuwW+ene8#FNkhftJAhBa?{kJL<{>dcdjYh`q#*;9{n%vm-2HS7 zDrE<=RK_@a{nnDzlu99tTkQtE+2~dkV|Dk__2^c2YXJ+$YI3Vcz(I=J zI#9?}EZ`Zs6({0T#R6`i1wqXSxC1=YB5-&f+cNZLeV?f@Oz{*8=H$XM197&kX**p?EEPx}gU)^IJ0*hxb={A#o4O|9Lu zJHV6Q1NtObjUK4vK=0-x+d|?>LmT6k>gD! zk)kh9rf%bjENx`&Kw3+J_o=29GnF~=EG=v+#7vbeVluVUI$AkL2_+Vg2~Zhjs*Y(b zatHp?SQW$qO8y3!3V6Jx58LnC`nP@+%S;#k)akI*bm3WQSbd3Tk$FfA(}h2|0kp|< zAp=zlP+|03Fz^*B6^mAvGgjxH)zrgJWVM>Lx^q5S%_qez#;vjPwP-cDHIcEpU?8nj zNw5~6MXSrvtzrRA7`F(@1d)KX6zm;P=qeKM`Af7Q#aaLj&*>6Cv4F4d01vthK_(I) zPlexQh45%1paBJxSPP)TyeMvB0bS67v|4x=CbBMyOU0kQghvwrxTx2)90k}ht6B2T z&O#RjQH(>F%!azL6Djo561JvTM?5ij7r)E zj`{cTU_#t7AmDE?SRzpMAS!70=zv11z~b>>5+74CrdXgFOviphyJw*ij|Wo@k}RS+ zEl>r}dCUJY9$a<-sbpk(nLzcwjt5I>Ep}ZHsQ%aS;8{MEj-e0B1*-pbJb12OD*BM9 zDg>(kZ9M2bh+37KuOL%!`?6>}cq!y$BgaanQhE=fWfHicABdRY+J*aA>v4$Igz z#tj;Tt3=c|dXYV2QuPf!~!}3Z$72P0s>F~U9ce*Z~*I!=@AORRdvz!YxT}t zYYE3qv(f%>Og_3ibee4s-GTn4?M`0Z7||yE@^!D9YSr**D@NJ{&OTo!Gu6a(3UqVc z-oLbuP5zfrVav6N$K;0xke?e*`BWIJ*skZy?zJZ3Gt>5c~qcX|4#?2LRai z69ntqAvmlXfTbY_Hm)MhZ2=rH6u~FQAm_y;S2>zMCTM=B?{l%?~lH~|Ks6p`1PkiT}R|X?ExDTq~(Gm^ZgW%8F182952ri$5 z;AsxPxqR%Tnt7dGAvhxl!M^(t+^=WO?TwPX2(F!i;4cxJ9g3VAJOJz;L*PRQKF|R; ztB)bLA{4;3w-H=74Z#=2dYtO-zZk&0l|{{2+p34oYy0`I03=h0RWa>L~!v51dr?pV7FQXum1o!A3<<=5`ym{*#8!S z%f3eN(%#!|Z;W_~;G%E@SFW2h|9tUc1TXVMo$KG(cRWh_ErS0*&XU&%uA6~sDCDG) z786X;G6OOFoH62hlM=ORZA*Mqm_n)*l+}ZXL*iX^37i6m?wW!-8b znMx%0XDT7e)o&8XLte^vBxR&+y9e_+1$ZHe<`W{>KqMz;AxU0uBGGn2#Z>2!Bx5R( z)DcPHCL$>(lJ(I@5;2rWjuA=KMI!l#6e}NuQhawJiS6G+av=t7F$EGya_1o9@hJUO zvgII=JRFh@d0zenk>s{UkCn|+rrqACUPvT|x}ajocYIr%>R)P4^?iyrN+~{@W|K0_ zb`rG-+Y%IO+i>{52qnj9JHy3bHabNs8;)~>-v%2M!FkROyOrni8(=$$LXp=lc$fRR zT`C876&bub-{GO&;nLHV6>lGrj>we0rI&OnmUMyVX?m8?^Md4x>`Ae$`Twep&Nf%g z@G7Zuw&cx1iF3A^o@w+ny{TNPbc_@J&plRgTrIR~-Nuw7kH{Cjq~&$H~F2(olp0IOD^CLk2`P z{RMP5u-pH6O`GzOqY4gaI29LAXT#lgV;j(tm1iI4M0c!TY|8 zu{7Q74{qEWZ9bCKe2LHl%K`dTe}pco255)U2c{Vf7d_Iy{&8fv`_#eC;~mTQRO?do zLt7huln#zlZR={BU0VGYcdJXAy|S9e?gb!jjQW-&RQ`GI)IWzEuzNWEd3|K=yEb3! zUFfgf(xL@0F z`VUuW7R@s*UaERe!mS;f`PDkyzS`vQss)J{v9Nq(s9h&s zL{8?T&;81H5uf!)%AwrWfuxYZEc~WBZb`ot-jGLwecy$Au_tPXdP{z#%p=T~!HyA^_;S zIAyvG>t&Y_AEH=s2LROoI1PaH-`PZXXW5rzcXi548wng11K>FTo&cZ*02KfzM1ZSP zrrHOTTm~W(o0W1mFST>PtaR1dGMflxj(v%yn^UG_G;mlAfDQoY@1?E`0l-NB=mDVW z4k7}3*-8HcBDw%zC~$}cKm!1L*V&i!0wp8H^|IT#75p%4vocNxfV%*=@V!k$B>*<| z21iZkW!I$u02<)12Sr4IAI{lC#B8uH`PBm)6$&~W0!K{)4kv&^3IJXJpw%W&a)49j z#p%85rhNrEBmv+NaJU-)=k^uj|IY$B331BXGN z11S0PB@nR#0HXk~EIM7Kzi1QDcdLC#(om<&*7LxM8^GZx0OkSUCU7tTU?l*uhdE`A zn-3gr1BY(_unYjN0I>X$O@z~S`;x%nAR-X}4**aBfc*dnot<8I6aWhVU^@cLOaeeH z0B!)_7y#k{@CyKb1i)DU99jf`KLAh%fV%)V3xEwj*hCE4X?d zs`~)=5dhc5LfUTw9lSwA0_gAn02RRD=#LPKy^wMfz)?Fuhf$#9QsD5pvFnhpJV?o4b;PBQEb!Ad)df{UL{00EqP{`_oP_w22hhxBD9sq6v;LKH< zh=K$5C57*SCy#)VF`&a`0PG&3b`G5jNqfyEV(uZ}Fby1a40M_%3-~|9$ z9fp((2OUlVhZNA^82}!Eh`VzkX@7#G1;EA`PMLY%f|;oxLa|jT?>Q9eMI4Bzw29Dt z4jdw#GUuHID?SDhE?bpxBZjJ-m&HN#zYb=83HcBWI$Q)-Zyl$w^#%?}z@ZK}`~rX< z0dQ?Lc(MYj|32UlD&;s~N8&EUCU{B7h=cbV$KLSp!DZf>MVxS0fSZF3<}`dx^af#%;f3 zd}?w9t@6vSx^T0M+TQRH-4>5wK3&E6D&#NkwV#DAesq&@+8I!jjy`Sm7i~K0jCLkqXm71!zzyWeSjYR90|(co$)m=<9u&f4yx;0 zi?iItI8Tv*Q%{agw!*o?WBOKNnXdUVI&v>tRHpOSI%q+F-XFC+ueSl0OWOE@v=009 zxW}W?g_94nDd22Dh|57E{&bUm+D||AOGDyP%mU3om1+K)(hgnv+59|E^Z7gKMe-?e zvlQD*@W*98O_%Rhnk0Iq^IzZfr?U?WQ4eVr>W@q-EDpHoHv=8;D>?v|)xTCTC-kCjOra#gt_3(^t&}Gn(;rCh7c!)^Jn2Iu)x$#wD%X~S^ZwLrHr|r> z%9P6Ez$Dv$mL+j6w_1uM+i+4)iPr108q}4p>5hPWy zqyZcx_UO05z#}gD!df`zcw(CzOMc0`DL%#>K zg>(#0%IyTI43<M$byi!mZGOhi<1zKV1XNqre- zt}VTVYLPSPI|`W2){yVKaUIBOEm|POxj?3dn9DT(Qs&!y**5fHar0$GD6g>jGCX*I zr}JOdR2M4K-+`ZbJ+UU#+258tz=I81)Y^_RjnJn{n9ZZ^vhoI)UV8L5G-I^Mjt-6m zm{F=w>YxET*QVO(q*VAUdckcl@Rt3FG}qukmvX^iR%j4Q->iBCzgE)3^F@GCgIM3= z54{}E1d$|3ciwmLHF3-t*~SbEkRwh#`y3H6nFE+=rjAqE+5VH(W*4SxF6Lc1n|DGh zTBE?2@WGx3ynI5OArVy%u<7BLq6OWu6A4wwZQkx&{i2r`4oAc%DKe%jK(&jJN&UvRj>jY z$G=R)o#DrFS;kyDX$Sv4@?D7hP*sM7M@-sQP}r+=ic;tXE|lV@3CG81VwK=$rW^R~ z?E#@w(eA!=AIk3!sH9SOm zQkfn*aoz^10N>x1^ofHbm0Hwx8+CnzQr2=f^f9-JdoPx0RKAcbLLxoWVS-4BDK;IH zAP7t3N)*s)jlS--^uxyFIudeqJ8N|el z&?6!PbBjliHe)(bzc!MbuDJt+(w&H)etDv;o1JZs1ld|0G-Fy9d1?u2G|`r-iemwW zx8gaV%Q3B}b>|V(SIS0_&UMJnA0Jja*K^dLlg>*mIxn^8T%S$Vh;$|dqqA((5e%I6 z4m^1NjLzw@ONC~guUK@>;dQP=ovSQ56M}Re!|PnQ695_b5Olsd*#QE1&?bKor<>5H zCR3vGE3^zkO>u{E(4c}JNA4D9^=PFmSKUp49`vQ$pip(uiVahm+W=8 ziMcqw%IRCFyR*_VvG14~hV7l?EIbSo;o(Z35&<@xOZyOx^WRmg81;CB&`{oU4f_)s z%K9Gw$*)Am4-PPL43z)Ji&Lv=vQlG^UmC5Xn`8QomK8-H|r7Q(mA(?ox$K#w*SqTXg<}O!ueA`K*!Scm$1h zr9DVz=gUx*R{;-Uv>UH&2~N?aUmn2~t?7_h!g;$@S_a9HJ*JZ?NG7?;kTn{Tqa)WH zf--)W1iH?*L%GG&93vv=1Wg{nm>St50r{)YRGvR$YTM<-C(NcwMmCzNwEqcBy#SF- z4YHU@2r@N;*V!F)b`$H|hO;pleS+{vS@Ss-w1ES;XEZAUiRRJxINAuU+fD%5lFElvO)YP$ z$5rV@a~ol0D|;JZPa4}IG27Z~n~p16@uhp8|9-Y~SD;RT1c95itzE6kbZ{9dred}- z(2QZ+uW`R4vq3Q9!f1oAsxDHj`}~iv`pHNa>u09HdbL}sZ26wlv2jrS@rwK%nMBcg znOmxQiAkin1F4V#PP18rV0Mi~q&bghX7kjMX2mO|M6>Vr_(a#zY#eN(S@Ftcf)H#F z5}Gakc|`Luk_xeYJDO+q0L`Nm@=w>>Xg(;?JRPGJS;%P?L^o;zDq0Go*Ei^!AZ+!$YqOqY0c6=l9wRi36pb@q5yzOXVI3hoz=p?|A?a$|ZR)DZ z1|jLHEPxG95p`9pU((fMQ6}EiEL&F#oXJ%5nMGYKv2~R}u&(-vG#3)hS}Dz;t|2lf zY&gdBSYX=%hO24W%wI)yen~@)g^qj~*t}0td6QwlHok71Wv)PtjDuNvz_Y*?P|q|d z$?2}dwUzzR)orS9(-+B`t5+1jsx~H8x~r8m!A$frRN%sxvUDgnW19nNQhRq#jf@XNnldKXM#&OHCT>1$<`Epk-nkWL@fW zYIVy)QMf8e5L9%m?l@1+46S7Ils@vW@DX*(^51N_WoQKzmXYokAtb{4Fo4YQ$STq; zV*L`~t%>q$W7RFWHsRGRWi&H=xSrE&-oYT4@X8vq39*$d98G;RE8-c=N+K)TM)Nl| znicVPsj!l079k`w%Nm~~nyZOsv3?27y69k~mF7J*n$tv@Dal4;mW^fxAx=1v=9NUV zR!Z~4gL>$ePc6n)LW@h@j=DwN_!yDG&@!cH+^Qe9kEUic-DUB)L#<0Jez8pc4gkb5 znzor8_bA%zL{8F-51S8P`WP-h$=u2BJfG$c%izo|Ca}2!Fn$Hm=Rg@-AmdP{VGcfQ zfy`x@%fv;u=oFLoE1)HaZacFDQh0ro4?@YT5FsxDQ6Yg4mt`^xeGY_?(HMNFwtlNE z6y@s~o72LT9_%RY8>rb$6j_Zyk>=QYKuNhlcw}sf5OS(>wMeu2Rg@{%1tZcqpgAI; z6`pC!^KyMGlx=Y+;NYA~T0=HXMPtCz*DeotLx7a(Z93a^j0b@lR2 z)>R3Fq^pNi)YWX(RaU>Gt8H33wZp{?>gs7*SI54NjrPj0Db$}{I7LFCBZZn$ z+bXl>MVsiR6ZO&%L3*o#4Y^IB-n>QPpkR+V)hT2AQ}mPKeNblD+AZ{J{Q(lGFdYo~ zu&gy@L10aTr)msN_h-R0L~=QdI6>arJq8r#Y1>T0S0^#c^ScOSxCX^Da%#;=rbbZ~ zL9C&eX;C^yjG-YDXrEweK>1w6lu>NgCV7s`T1FP<5?&UYOet9h{Pu$J(E_tc=Eppn zIX8ulUtnJy6;X&+6&@~d%RSaA`IVP%!vWc9D>(pDWNFGkoouypD11euwf>m)qxJrM zXp0u8g4GpR6KnE^Msn#qgT4hrY}@!HYsjPeT=fVarmKxk@7HeH-(jv8Ep0UA-{2$l z9*AWcX_+d7a_+_+cNwAep$v&?Bm~O1++5}IMCYy2C^kW!$N0z^mB2n0M>=X(f7EIi zNvvg83#==Y@T0m5CACamecI+Oi|%oa_It`N>=BBO&`hzBllWjsn1nYEu{trN`0>8(JpU^ zh{rZ^toK^6_iRjfS)QyXba(8Us3!svAI5rxW0)s2ZPpe)_GY=`J*5fmA2MU|!1_h; zL~SPS4d(_X#kemY&vabUz&_UBe1C59A?MW(ZgSey1tl|awAUMBdsUJ=7heGvWHgQN zMjAId$M(8UWo6PbIGNM0VkAe#Y_Z*0Hm>@cMsfl5vrxhxk?V;A_JZr4u7xYr`$E3G zD^oRVj$X$5b<48VkhiLaYFJD)Fjps+E^n1vBCfF7Lz_itGeK8K+w-SUP8@JTY%fiw zQwPKDKba_jzn?Qx~0c@3~5SJGa=_X$4SPHd?wF2&0omXPo7+78{O zR}z1;d-2hi;KOW299m^M(aA>VsPnCaabYS9OXGwIK0;q1_#z%HNoB5YIU%70)0b3tSP?5U6P^eV>HWpTej~WoS|EB?fH7uc?RD&bjuY(?+5_;AdtC}R{ z@Eb=sC-C7T``?aLjcgaN^9yMVvhkZ_tfrcq5wl3NI0OD+(0cYV2aPd@R=LwrtjVqC z`$DEgfQcNRwh8YA3u!&(*O^zoYZ&F@fo`~jk3Hr8`+3!7IHUY5QT~a97CvRvA^S6F z-sV%GIIk|N={1i|J0;?`K9)HZxA8Tvlkf&PW}Qg&E?lD%9VsGqwDfMO&8(U;zT8*uOkxe(_>rbK)jNCTg(xqi&?F`ud|mN28% zTxix*v5nZpQUhT*oz`%%9P;0!17-^>uqM_=3g5&dg~~OuKGbU{qOezrF}7WY7qt>_ zP^Vq5EK;5RsPXcz@sFf+f%u1R;PQOW-sY%PItzBw=PD!UhxHKjV(Z_Pq#yOw`0)A4 zHB?pMCXz9_;}V&Ua%q4=RXQu1AT2`>!wkH)a5{t344*kKC4L0Ve7Zp6Lwo+(nZtq@ z_RzSAGiT&&@G5pJ?a4cZxJqhBNTnL0uTc%;I^Z=xt6DxFv9^OwWYBsBO-mt%Ryom3 z-9sFs_QHg)&=?qm@oT0%5d^u5GbtKc61iF`8ppPuhiO2rq8_f6_)N%;yUAw4b(EF= zi4SZNoxgzHoz`#i6G5q$A&Id`x{#-|n@&P(rah!D%{VTWvuP=FS&=M?rMn!j;DQ{F z#uq_Ou^UW<(2p+`t)*P^7slh(LX-mHThzw?dWCifbcHb%cV^hqvY7$M$c0D5H(U~+bob@$RIQP! zMXZcnVAI{@tZX+cLlrzAYWrOxJDJFqqbi(U4{Hz9Ewc~XMrq+%VuAcOs+_YYjmh_x zc!0Ik=}PfDgr(h@d$l&JVMhhkt7GgyhggV=Nw$Uwgvot86NtgvF#uC9{a~}7YD6kO zBPwbBP-vDIzcV!vV4!Y4s2i{)MnYZoekMbVI`-%t29HN5U2M1h9tAh`SOl@ndwHTn zY&*V>(TvPvVhb|J0k3i+lri8>ybv~-Jxu|oI( zyN!qKw%J%Q=#xrfh3`>(^ppf0ffQos)x&llGU{R?@aJPMkF!xXqvIl?u7IdBTdAv! zN17f5nzU){X+HG4fAxZQ0dG(I}G>U@pI!6z1!4K8x zWbcOIUL&Ubqze8{b^2<9hT*FMTL^TbRhaP!vFb}=)o2G%EF8?rjr);{szL! zh)?-FnLTRm=qQQ@qUbm@{{dBALNPlS>^4$SROOv@5XC{Cucvw|8UK6LisCRkQFPt| z7TJkniAd!wqH;>Tq8QxCQ55qMi8?)5ygoOq)H#Y`i-V4$xTe!bG}!AO0JrRXeWIB0 zIjFM}#k*%24}T`=bbL9Z^((1*t+gjm{O7lT{3&+x+~ZTK?RO$Slan7K z9Y^7`qe>Z+mqX)dI)RVADQaZm&4cu&(4>H|(vax~srI_HmJQ0^)Zj!b`QrS$Xq|s? zv%sf=()p+E@+oyBk2;dc`NXIC0P9o_TY3)BS9VkVEB21F?@1!DnUzF)t#UQbaVWQ* zW4w4syfATII1Xj}9LJ$d>GBzoSWF}qa}q^e$%k?-cws-3znx-3`4918`hOhC>@Fv$ z`eRhRz^lg=u@~yJUmbpDb>_1=lkNCxvGO;Wh&M_3d$G&sRC_7au2fj%kn`8Y%3t#p zh`>cDe+@?uk_Z@2;*;OPj=u-3TKh+OBx$NtYnxZF2d!^@F67pm*NQ#QL$+&XoCw;YE88-cCDVEw>75p5;>zZ>MZ)&h4QI(*cgc z$V^1vuvN!#hUTSw2E6G~EvGADc%2DvJ;!5O?zGi#@>Q7Fyp}Be!Qlsq`6gn1vWLUe zIL)R;gklf*sg`c-EHQ&xM>AnyJSqk8{E+8r4hpFm=RCO`$Jg3K4WTWSxgr1b}JVGiK zk!%Kq;%phwpvuQ58vPO{@6N<>o4uLixtF@wow^ur<8=&$nlH`O+xx!~Z59e$3UE&jMR@dw#F1i6-?IHIMeM1W*Ar{ zO4gmNW0#3;EeUJ7coRfXR6|HcM&Wh*COOh=c>hMtMQM^+IqceeDjQtj8h9W7UE47CkxR1YfJN)9j+QKo-E z5}eRYPu{_3M%iJukBjP>4)erfw|o|{Q7L2n;*3)5Wu34p_SCMK3`??=Q=G3PPBE9?S6Z8D51Qo4M(JOnwwZ*x7sQSLG3#rxTlV9ofw7y#j_e zO}biViFh*!Zx6>~tMyXrYOVCS4;jys8nP+9exkLfkYv2r+qQzR%SubFhOCO=9h1(D zH6q?+!Yku=_SSoUGnoI-Zf;!5q@mNC!AJfgmju2865Rs*O%2>oGIM{4ZIv^5eMKCZ zK|L$rJv-q1nnGzR_yNG3A4$#-4vN5Y2)K{~x74WulCV12x#Y`x-KM^mQXj&&yNfT@ zd0tD3s6gv&(_V(_?7eJ8fBuUS(VI5wOMvFL#0T=hw*If?o_9EkI&+=%UdMXh-`acb z0k-!zbMJh5^JC=deFWFy5)JpL;#8yEH6)p|Kh?-=PT`$F6j`?&~YF=HDNd;ysc~U3t!`=@GDN97MiP4!KjrRemJByDV zZClU7vRsO2Y^3L5x(kfJPl&+voWM5ZX85;5W}k~M-$0G(4fq()dhLN;h2Xp|3#rZ% z2NY;+Zu_ybZ_znzJY9U1wY8owE9G>i{x2uYmbxd*A4h)ybbTj$d^*GKvb#=rr_iml zSnxkd%W%WM#J@mH@^T7&LBvi4Nv}uaa+~z(nP?D)jDEDvSVF#$9QFQwo3xAS91#-- zR!YsNi+%frhy|Fo2b_m0l*Yn4fO+QkjZMz-hMXWd%OiFdadyKy9Fhxps6NxqW=%fq z%Z{F_+0X_!$iuM@m@nY~RkO9gW~n~xTTMpMZ6+%cqv*`7?0vQz=oPaU|P37wkJ*2js2FjyqPXm43>zoG4 z7Y(PgzWKj`hU^!tYK8Yi71VDM705axD$QG!OKJ7u{ifQKQfm=AlYlH7n}`g3Qi38#10!6LRITIWSfhP3NVKgFp5@;rY`f||! zb~JoXvC$w34VP@AaZQ*@%g0v|Rz$f?q;71W9>?JXnOMQ&l5){!7HVe+DZ-poD03`# z>&%VJ3qbYhj4_SO;b(RK?c7+pfXxj$Q_Dsu5sN+N@}dfUYZ4VCG!o~A-XCG#b`gWI z+1p8?#zDO;Nk%viTPc}DWi+C$!pN*<4o&j|-ZW{~%`6+@}u6RcsSp(}mRoEYEI+qt{5E3CWD(SbTO3fqL^ zev?OIGP)ozn<&|PDa_Axny(F6UOpBkH&{K!yi>*Q*X7#&u8x*W(oLC?+ zBWE2X_UXsq<5MlkMp5L)fvkc3Y5Qk*-Aqbeq%lpSK|WJZ_*V%NO_< z{!hnnKHb>`YTB+Z`@i*gEWyA}1|eZ<#;#MfjWWetrZK$Yc(@KG$XiO1IhQ&M&@&?CYLR7P6a(3tYO zlPT*-rfmG0>9x)S6*&*~h1`Jiz?C_VI?;;3`)3-?MBo5XSimXd&VxO(yB`t@{wLW; z-o14n&AWtwe4S#uWNCz~r)_Z=Sa)&Rf13@=G}PhK+hJe~om0+}(nbM-qWrTgs&CBR ziCT}bD%Ww!_3&kg8(kOedJOlf^(kZ_ikF5gZ@Y}`frvIYY)+9666{R6y~bvLn%a)0 zxm8FKU9zTDQ724D#KV$CzX7(j_@@uY{RyDZ2OuM55I0MDDT`u!b=YAHYzFhlTb0%H zO-$lxIcsfAnA`pU4vI}w@Fw^&lYD0f>Qj(v3mPqX;KAHBlm2vp=*p8^oRDvfdWt{! z5*6jGunLwh%i7d74BdLt8dqwv#_KL9=^u0(2J719?A>%XCB$Z~`n3NCdUdr4_bBVUcM2Hzt!cx0<_1perl$4X=Gz3agSI=F}%*;oGR3*76 zRI-~M-4Z(_NV2hy-B>j6I5iW7N#T#b%qu;ZIDRTJRMM+4 zsaqaWlcyZ$^cl0Cx|_|qOHFXbqh2=X(#-JsjWNVrH)3uuWrp9q~lP9c1dW)>Kv3hoMMqjKAx&&)R5CEN$k>V}y;kLX7><*YPM?8zm z+FXM5f;%<7JtCI(;2JgX<2*GrCwrAkl=Wg=VEY@yuglbTB(=2NoY~4=J=BUw%m`L4 zfUMeW5cMKZ66*-B+2|x16}5IF+aTubQ{!%{-OY;Cv#t@(%Gt2=vWZA)a-H?5c+xyT zDkvT*=$e)F!hd^JX2=P2GO`G>>$xxD0Qhcb)(}V4eed>Bz=#QQW?D4_kLRv)@xp%& zsI|X%(Vjh$R!J<)<>F-BYf5}*%mdt+d}vDlIzBW-|5e0P(~tN>Z+BR|)I)c!qXPLB zwoGX(-;vstUbYai%Lqu%vBmAGV@x#{ki3uoAUSwOM3-@*;o;u=v7;p^-*J`{lt8}e z?4euZEkP2a*1y5kh*T~%n>Z+s}rOzG6zwCc5d%B zZToegf{JgL;Wl3L3T^wOLGEp@P+#>c@bUSSi<5OP_b(SIa@hMl^NGbNuUlCRuRxd? z{j+x*%Xi>C~_S{D@{6kA}H%NEQcK+r6JU3pw!RE$KAUAri|`fVj^0MD#HUSOf+`I`_yo-#Z(X6hRq680bu?9S zM*>xZ5$FOdsOZ`FFD|saRzno7gtO|oZx@@U3d+Sqx7&jZ8v2k}q5TCPeV&yS+%Hvo zl*O+dy_kf_Y(G6rkA4KI>^we(nbzrnqac2LMCBox={6S^yB8t4xYpHmah)BUhME+; z@Cmxi8O8mf`!@&mq=LzKG(KY_=;a z^50W?f}}bvd}?W`oE)avx8`)yB>e1VoRI9gtQjt|^63^z!pE@km&+e9QfNpzYq(s5=_V?g-~ z=np=#8;Sm8`m>WoiY!(mhuky>r2Dbi{;-WEVw~ zyIKVzQ(z8qiLcbd<||dz^_3o-T#n|lzr_d7VB6oJ&EK0y=atoeps@UH_+71c1nqrs zoN0zX<5H(^6qP`mH*{59QK=rhj3^m~Pf!#+)hG3ao*163p@Is!6pRZh zN1Y@WRC*_okU$-}egW0U{5yQ2)=Z{K6|WKQKwc?a+m9wYc)Wi4Vghwog+sUJDdQY$ zqUz5YhfqQJ7zibo-jkma`z)97QLL31c+UnK=uac?391CSu!i3SUG`oc)w`^-3}~>G zE^fcrUoGZ5HYD969vg|r`6sMA#!hqXJGAq&JJs0rI*Y^k6p%ilI9p-&0-8FWYDj&B zjqCUdBVK3$F%(m0Y1u=}l0*_iUZIsie2KjZlUHfI>sxE#G0z}hB62%jT0?T7#&K?aHH!t1Y@N%Ku(Tz{ZBO2`B@9{Bgc9<*j!wYk-Qo$36 zuTR^6SY&t$pP>1}6W@k|b*Z+QC9;yIAj217R-_FPi_$)yi1J=%PCJv_}oz<*TUoOmud zh=8LCUfcbGeoL(B%kjbns(GhBYR(=>WZWGLGF)f4gA8pKBBQh|$jCoNWE5{C;AaGE z8Arf-;s|&X1MW`13)>NJ2?1-i5b*Q>0GCh8`Qdu_`XSW8D+KJ@kARcf6L2*H4qE=? zu;;yigI7LGSwc0}45ga=JOHeTB4AA@0eiTh=HzUuxm!b`c_jfGhf&Qv3Ak(^0pB8E zc}oJ$+eN?&2-vckfYXLk&BF;ec`yNw=m6m8P6VvmO~3~Txcox`t{~uLV+dFnLcm`W zu)Yrg$9_z}cL`Xwoq&s8qnh6*;LM=}Tus3Fkp%4f2?4il1mNJ^ZLVJrFBw6=TM5|o zn*aT&P0w~D;5P|a)5-nM!=AGUID0SET=hBu7ZY&UD5^QG6VW`GfVH1f&0i2O9+d)c z!kA>gwFEMGvt@m~<|eMZI!0cu9DRq;e4K#y5^(vS4EPe!_#jAGEDSW~WD{K3`$2;gIqf~3MTzeR z^;GsBuCu-$^ttTLhEv~)?+1Bzjs3Qv*7|y36e4;2eb4*A6 ze$ds_suBE&qCXqwe950EI=WGL{PoHv;uA&7o$q{}dQxm+NXyu7Yo91;qh7F=KT*`l z{n9M{MA40xGjG;DQKU(&8bePM(L+lc{d4~G2o@h&>bF68{0;sJ(kACS$LSR$lci(- z@A~z>{7U~TZ}q?WZvXG!?_aW_{|{UGU;C{8^`reu&-K6Y-FwOuyyP>k&4^ff)94w! zX*8gj%N#{+DLp5(JYTpW`|U;73U5uD;ih@ID8G#3^2-b9O`{3)rcv8=^!!mzylJE= z{|2CEhXOQAU8vZcr3~%aO|6-dm>O1E;H*wq;huV{F`f|V($4KHo;h+of+vO+1K?R= zs!{i~v)X-?dum9t0O#>N+PMv$6zALUJJgU1fZ=0Pje7u)0DxNn_!-X}$??q5`V!Qz z9{>~ZNKrAKIWpm)Bn@5=vh>0$B~#HvDFD90D@L;ca2Eh&sNquc0O!+q2PuC#04lST z%3r&wRoBL*>Wa=d%f7)gMr?rKde-XL0E`0jJF8`QVkjAp6qV0G6TbuCDv11wYM6-8#0x@hJKR&l@x)NdLX0M!7+U`r zHQWV2DFBXr>#SZ5fRIQuu^8{(`~xDN0pJ+`o>2|O&T7AG)DQ(C@x)LDo)~J_aI-QL zPYh}B#E{VhfEfUI1c0`9VkiSo3^l|PL!o$LNHYxpWdOJcfc?>^0Z$BN_y8ab00{v2 z8UUFWoz>lTxu?z?h#FP_pcw#q0bnWsZYE(g0k8%D;dp~61#b{};0>bnF9Kj80G^_T z8UVQOMlS}V7wgf@4gh!!0J#7dfj5ZuTyj=V0>C2xxO|8vIs@QM02~6qlt~~Gj}#RG zVCO3k`;CyOKA>S10Dc9)Z2%PEks>1=DbfrLa2~e<0I{$7X0F`~nd}L%pPXte#v4Sr zc!Nm$n(aNFY~^Oywc`5-?Z}$7Zcz9^x}Qfj++gBE4BA@+YO{*{Jd9Mfo}4#z%a%|X zi(TVo#Sc9;{l3IL^$3yZSPd7&ns2UArd%Qyr{|IOZ;V{_Pj7Y)LJlyB5T6z&%fuKToU6v%#9*rl!@qEPm zC8NUhh!A^86@h$osf|C9M2o$yB#)iCKR$*g`0#8&d3zfs!V|D?lhdz z1V&lY1h%0xfioyg;NA!qL<$LJ5S-UQ(15%K6T4je);VB%UGKI z1iP}ARLTixJJfLAHg?fXMiE^sVSo7}EPXM#YeMuu9R2h>w7df8A{i>T@tU@5vuP?d>h$ZwHlKMs85&TX22 z)3ef12^XWqsCrQv*392q#hFFvc z#ku=}(Z~10F{tE1Fe>3==c{027gL4SBETp1*R9{y}M}*=mLv7X6}v}0RpiLq>uWfzE2$+@`Lj+h0p zP8oauf=$=Dc$2A4f#|DS_6&>161V?Q=KCMY#QcPHXkm4#>c_i4v@l-PrDYtIY3%Sm z%nm$;fo$V}flSE=e!*3Z_<|=1s_)DG@Q-O@lf4p*i4m)0z3|82uC71$x^`T4 zJ#Yj;UP?5fFzUTkmL_Q_9zXYVeTC29TmzF;HVuo>ZtW0r?YqFtG%O_nRM2WC)xHbz zs7zgdnTg60>MzTsvXuJEj8v9ge_0llmDgXENoDGR_|&D7iF`u+Wo&gxk(LSmq=Hi0 zWJzYt`wUE+HH;0`S)*jLW=QH+wpo)WYPR7t#ml5D?d*$t4%z-^V34@Jmn=gdS-2Y_ zfeM{cV9^A+g&-8IMY*CMZiy((HXEzl=P=n(cUkhr>*yZ8$#+MIERs9hesC1GBvj>2 zSd(*n5w)fpq-5!(a_ChY7bl6a)P|)*m#-#c={8h7xAZa_rDEo5Z{_t9%;tt&u#Ojc z5lJP^L{h2I!;z$Hf6A{KnMQ#@#+wq7z6qw>sqGj^@r8;dBO$WnZG+AUR(az0(Wx*anc zNuVq``qg>XyxOb;>VRK~@*JX^-9hBcoOh94vUqU@+Gvx)?mcw&gB283=;DhXU6f!7 zpE8BZnKgEo#_fRQQpn)!Ee7uJ)xgy>q_9jl4X##+Jmh(FaHWm^T+t;m*c~)H&)3`wMfI2k>JJ`$!3;QVzkCZ zwoDL;Q6+?!Nr=%$?GT+>t_0#R8{#l2qG41iA(jzhz7%n5jyDcvji+bK6EdBhaHba) zCTDD)uc*WJ`78dRRh1==W^oyu?OSdV+Yd6fD@F7y5j{{cYFQ1~YBTaNn4k3!gw&${ z0(6fJ_y(4^6teU$hyS|ypt zmL}q(Q*@wcW)TwB4bCE6rpJ6pYTkfaq0MuV2yJ}fYP0re;#2FDflc41#4$uby zy-y0Qn9k}p5p=W^x~%U(SGv%-_@YF|RSjgC`cE3dbTF&7V|Z<~IYmpS70?r(=Tx59 z=lc2M=|I&4Im~6_3Q_`}$N<4cB=cJV>isSg(Q$ONHjl==$iE?uz9u!CtH2e*jD>FA zkRw5do~f4JYVWj(p0HA#HuiRk#zKHQh(Ee{B3>SG9*bLt(d|M_H{Azj5l9u1I!gRa z8R8Tx{w9Q^zCgdFTOVs(kV_g@!!G}oO4!5)anGR5v;;h(zM5HIZ3DbV!OGY*#yVwZ z*wR61Wb5J?SXpqrWrJ8*NWEnWR@S=SvNKskXK1};23FRg-m*cgtfRE7D7=O1Pz*=T zuoBzCR+ka>f$L`$7>SdWOyC$NrCeq&zX&!iOUtqd&$s@v^;D*;zbuo=!s;(`993z1 z>}eKV{dnnAC(D8?uhR$7s`wxAen1U~#TSBUnVQio?xu_jo8o;|MKPOY^$D9v94L1C zalKX8GQ-Kn_|$USHWUZNF=KL9D4Bn%c5Vo}Pg>RbfgtF=%){EQ^r01ZoM<;V(*pK^ zaRt05U3?`P&$zlfDhWz_0*%MQD)<7lkj%pJW`l0RESx)o%)(w|7Mff8((3Pe9Itnj zIZ12)pMSJuJg6t&qj(!1ZUe-6sL_WnW}pvRbCV{}kvVB(%1m)0lBNl(97z(^u%}6; z5lI4q7;BYq5s@To&PdwHNE*dR(vn?L=K5V7k^=S-NhuSFq*)S@xXl!{W*BvTF119@ zT1a1(2=ikvlFY8ZtUuw+tha0p!=n^NODNQr>dd>-1MWBFs#DN_Y?UZo*DL}<6DD9; zduyb;y(exql0h`+-7@kB3SbPLoLb!##VKo38hf0HZ9RS4334f5yXrdFbyR5J*j2~o zKIP@7lUhI6c7npd#~GJ8b-P)(;^XNy(=c<5hRD51B++_$ zAgZ}#twvbY6xA%2R$~@amg;@VXnmOFgIodvEc;Jg3S1hd&q>L`T=xvJ52gYi+)fH87H}neKivH zaev$VHsk59#Z()GDoWk9^PQx_{XN@hF9>9P4mslrdDrWa=;t^U^Iq6+LvU3#yaz__oOStwmJA1$m*2N zzupN{rk-1G*_%`*tG{d*l_f~aL?`B{mpY%Q^$4%-&A`g3X$8!jTCEL_aJm*AVUNF! z)i!7D&2eqwsTW2-I`(3MrCTdvNxAacoU8LcR=Id z=7@MViW(L`c#6mFMvY5{k><+?Zqj(vaQ@q?oZVULGLuPUWca^UyYT7pOU~=~tAaE} z5Cu|7r^hd8RA#8ZY#hU5SE)GOa22KcSl(h0o?y9r#swznBAxaa;{Ev{RYH4~h4k`$ zRBOWjwOgdwo`D>*sS=w2C$;}$JX4eDcRcHHY+)%)} zk-p?EzA0>1^DJ9p@9ZdM`?{ml_P6-(Z3DM(YhHe(f~ZTz|8#@~4@V|l3~4vB%4Txt zJXl`DlYhgUCG(up1r#&Ogn^CIVL6AA&U`AlKo;2OEh7FDNsG$rl`@VeUvAuO%&S6y z?Mi)_cPTZ5yK<6c8F)IGvu{mDmS`~R0cgvFX02r(561pvX25MCidaOcg{ow!BXJi* z91;%n>Hg198qKl`pM?KkeE5Xncq=!qU zvhf?5%3ORZ{K;a^cgM#k0exUm)Q!lzPY4fF$_F!;QGhd87*;A7~I0b?&cm*_MubWZ5ecoOP(Dg-wwL-q65WIV7%Q;&H{EH5OM zr}$XMN%e-R3B{I=8&_`gNSik6I#bUmarYjrlP17nn>LBV`01$=~xo3J;7<`aIkL{g&PxlgmDn8+^o z0TH&Jf}{7n4<8y^ijC6t;1)T^9D;w1(JQKZo~(wm(qw46j>8WWUtfJ zuLxS{QWQ`p=)}1;Qc8g7j%j0A=CTg3mqqd5ut#sbjvgWPUG5b7@9v*yi z*~{!17Jrv7Lk2W!aIfp(6#oHVhQxGi@IsHnDR`WP|LVW=;vNQ+g#MSR-G`K zx@V&9B{Y#})h&}4(rOWj)}>$_MlV%5daFgCU;n;gZmuqjK^ z#PTdw)^f%xogJ;G#)UF*Rwm&BLg+egn}9`6WQ4M(j?3vRn@*I)fQ7RKka}pod|dd* z2$u@V{9o86=_L}BQ;vcXY84dktst>TDkv437>Unl@O=56mdyYUtDvYaGD!}xN%HC) zDa5dH#*3Mp%e8?KX^ceYwqrnIcj?qNyD~4!Fd~soyjhwg7NKykAqlO7<&J@1}`=cfCcKpq-YM6v0Sj2Y5N%-q?kmbgbZ2*0SxsLoj zEAlt(lPMt4j=z^CFcM!U5=-s)d&Ro&NW3MT z4nBvoNdzp6#QA(WhygCv=@3rZ_CgzpmQLQXnH0rn;zbtc1&=hj#ij$F$6xljPJ=_$ zE4YL~gR?pdrSdyptdnJUH1aw{`L*&la-;uwCR{6bvr76R1 zX}bM2liyLq-}`p_-N~0G6`RYF#X>)gIRuTw-(c=r#4gotu_%{2E*7hgd_^RdGZJ|= z6Z^%YKvie4xbI3c!0;9kSnVjIe6e77_7k&`;VIrGyr*^@;}bJfzSeOxi??j0(VR`A zSU#D@d=!~>qQy0Bv5vZKH$9&YWL+Oa zU5J54nOXdf)05%Zk7*6VQzR4KKr5c;aNuJaFJDKKS}MrGwr$jPGj%->L~#MH zD)6^iPD$HLBuCeXYqjzKISQfByQra|7i&pdeN{%Sl(TVJ%Sp>ih-gWhh)U6h&oi;! z!G>3XAsTlu1mTn_q+W~~8X~Fddq#0NQH-ksqA3IA`oU$$c~wq;jXs@7A3X)WB$tmW z=dpGo>)#yepN3v^x4I2=E%#HW$_OcTxotq@bI;O%vJmEA>427WpsuO6<6~F{28ObY zXa9XMwoO6e$JCZ#zSvgn>`rte^S(G>u+4UAJ-3iriDzQdhOcMSBH|U~8+~y(cru~s z-p<6daj>eOfpX@cp#O47YZF+{4DV5E$y|C~QpJy+h{o0pu{EZj`!zLYVpFU=Z_LUE zkSc57%C1g2ec+K_W;=?c?rJC55O&mr53Aalk7!B6iI! z$RQlXHZB$=y1-NlQLRdo$Xag8gGA0vksRy1o8Z3JD*LOiy>|nZh(fVV()wh@Tw?tK zV#aLAFz0S#4#)dcUoj|y0L{0MNn*ETPBAbcSxDG(xq6+sRBAR)cAZLCQd>5e4Yz_} zE#L!?AV1ZJMc!H$=(KlKTgY&dY_V3CGEqv{LG0LJWrw(GhFYzXiua9~v)tS&X?g=wsz|zYREknT&s;AF5a}pk+K^fL!NEQE5)dE zTA`uT-pd1?oyERd#49H}#aDK7Bf>g2O4?1QEt_;E-u##i-9}=51(yVFRz=XRQutjx zjgI>?>s)L)Nxt|RaYREs%kE<3NLJe{9Idv!3@yrz0<_kZwY3O5pRtbv!#A`seh2y< z^MS;>_tzxqd+RTC^xV7C<`M$vF;AYr`8e}y$HLDdQhFA2IT zk<`o`%$1xdiW8h;u~E}-oM+dKv5TvzeHP)Nt{qJl(JDDR;Qraif1E^1V)EQ66fLAe zl%S)3)~sbw3!cY+K$9$Lp}`YZoVs(J{m&=F4%x>F4l%Mf|_G!BrK{z%Vkkz1QLbLP1zgW=_H*1G?T>)S2(jlY=S^{`5* zky*%+5er2A#ROq%Lf_g02g@BCIPlY+8BvF#t`eQ^TA3m#2%!RfFVWrYzWpUtSb!fA zGmMa*wYigHgV~vPF^N`f;$>{P;|3-{n|p=YT-4D^RU}|4%6w7YldBo4wV?^_Por&S zk}e7Gk6!wOTsv-rq;4rOTN~YC{>wf1yJC)Hx{Syrw~m432EHsg#LxssQUeR9f%&T> zQ)wylZB!By%X>;2=u3OvauQC%_tFMpsR4zFYO`w~oAuyS-5yYGt0lg5doY+9NMSv= zC~e^1dXTN5HW{Y_?Ruc1Wh<5%Na!K$fd@4p`y3xbF&bcoX~uWjN0N<(+ia97wo}Dg zSY~!puo1&o6TX57Ok#%Z6)Aqah`)pI6FL4lzpEEv+4TJ2m|WK;fX++XNTZ=Lw>gF$ zWoBQZh4dz|E|YJ?y9^pf`=ak7KyLV}EOLCH7|j0V0UWj31+({Fe*{G4zlD#_pVreN zb9v!C%&UhKOQqWHP6u|$?i53smojfIzA8x^w%#y`L^F$6n8LjrdCkjPBAi$IQ(-?L z)}0-ks#pxX?@N3t@wwtRkR^pJ#SzSNU&nV)(k^x-y5`b+&!Y6liOGV}&GjF@frsd< z=`tZ{TiH&J2L)cCvtiZu_~_r0D3k=}3;0w17<_!bghFZ2=B0?Sn#_R-2$*KUOM8QZ zYNK>J{G#V!wt4bJ$q3`u3aIOV=b4H9ByBbOncAwPwxTJLg1u=qxh445)IKgmR*=Imygo3*;lAU2sv*!l0gu zlIlI%<9zR~r~0BNQ+<^Vn)pn!e~5@OqFlaELd3gO=kTZeckls{?R;;~u)g#sBMpOD zG7S3$9;IHUQ7=uBUY=?8kQz`jItNP|xJ*>#CsA#kqz$ZL4eX`{((D>wnJ$fW8(97a z>R^n}Q;KfBw!Yi&0{*UN-M%Gl!0$v3RU!o87@S4q+lM+fXJc8+#&V&_jcjwu6J7i?&Xej;2JBvozgARaT*}aw){F8sm;Hg ze4_?akcBgU&=?@C}+>`7)pAeo;Zq7fT++_+;-a!oaj-^=k<}pbyKN>hov~7(0gk+5Wk_g8f zy)oZxCvvWnY8xUsZ1!#YHz}(rB9dewSzr1m>d7TDqdZ2UhKG63+LH1m)%G`9_HGtj zq!mWL7L{cYB7RN_(<1=UBLM;NAC|eLBR9vV$cOQkGtU|1N;!k_{gE@s;+6X458(ys z%3g1|_I0~C;asx9a(znED&_nwFGL49Pe($QrDanOd+zc*cK*YZMg(k*BH&R3tnne> z`@sOtf0clXmlNBWV}ki#|gNsH<6)fPr$zua9+z#Q1h<@ ztX@RG6+;QwZ*9%Ab79d0JSCLK@OA-kSvJ)i*^q!&67c$A1l+@e$QVSx&j?r_O2DDJ z2{@O46E+ZV-f#dfizi^sD+HX}0l@iP2)L2~mlJTxRs!Do8r3|9fXjvu@GSzC_b1@I zkE!OT1f0EtfOR9N<~0PIJe+_>bR?QzAz0EK`98I;Aeody0b5S%JF{>BbK)3K5nP1IcEmrZy|#UGlzM^ z#Nt=so*)yV`*wYO*7%vTy3U!?b8>Q5b#ih{!t8{uanr{pOzEngIkl@MVeGrJx~hl2 z+BN#s*Is_DYtJsdyM$SPk9keg^|k49W{w@-b>O5av&YYzG;QL*In&0@o-}>htgZ<& zr@k{`(#&@zO`A0PoeAB;Z8>N7UqHiWIr;Z1yiG@k6&@WSh&gAT!L{4STq+Yxxw2LO zIp{>4(duQT+lp?lx>Ut}6N;C7F0PgA^B9OLAtsq$3ps48J*lr@2UYG_*z6$6%I(VXs4Vkq zJd zuyW*EOn=H79&Ciain4kZKLebjnXEeD_t{3*S~-0U|Neg^r!%_sbdXd01@wHDBY#lg zZSMC*NxxB6Ifb)#LL>E!@@-vO9LO-=ue{%6nV7_=^JJYu5R`k(z!OTerLW!mChm9R z%9B$#k8^;eM_XKR+S z#W*ZwwI<9-%D%L(5|`BSU1N$pft~IBt?h!Dp;alYj^`>eO!AlD!{bVe{N3b@44s@C zV}7uETRhmY6`RQ#LXf9R5T*d|SU#qpudm!TUk1~BX$N~lFP$&{KkN1x(tKh}x8pC6 zJF9N**T1lz=3jLCafxoP@hq~}?O!Tvy1m~^65amT@@=hdzu=>_>GmZKx;>NYc4G-L zSbg>Xt8Ra2)$PG{`a7NJ_J>y8KFqFcy-l}kY`Q(yrrT3IYIVCyN1JZXtyj0_GTr{7 zRk!c4)9s&Gb-Tsmf34fIG4|XJA>Hnr;Eb=B_wHr<6m@$+B>nAfrSN~v2AR>@QMLEx zGJ3Rs;n9DQQQbv}jOGTMvzO5`5*a-zmC?Ua`g+ycA3pIm88te{Xqdm%{-}hEmOIL5 zvWawdG}GA>lI>`J$Rsv~=0|`^><^j3W@FSXv)LGR%b2cb`ka>)yLb(3mIE838<)dC zvOiWb8Fle8G&7+r!LBTe$>jvN$;ThNv?mmMo*8E-A&rjF!MQxf3mIoa zwyO%12s6(ZL6=mH7!zjbdjmDz0%$}kq>iK5+mT5q!-|o#6j|<+O6`}ll!V#i9ZhDt0?4iYafP?`_>21fk#f;)E)ngKeXYLmB6}o$=#7jw z2PDtiqnLSSPe@+BtA8IId)hef-mi;0r5}GkvO0Pj?hv2eQS*7=(`nBtrc*Y?-yi-M z_L*DF2akt@g-&Q>`ElQNZ&%<}_q%#Mzbw96`j~%y_|YS=>b}o&&BW8H1%G|Kq|>rX z*CU^8dEI%=nFSSro}JRa`#th`^fpQax#!o_7E@yE@#k`j)+L*Oa}T7(AFo~)dAaEb zVU|KL?{p0#%l0SBN@4A2Az?u}& zbaVU9t9Vk#$JKGuc~Zzd-%qSf3aRZs>^p3a*nU9v`>Ihl#f*&~yb!%XPE#EfX{Ny+c*`NHg@83*)tU3RVn6a_F{~p8SXHMdlW23hJ zh(D}JA(y)TzI(GZDdhd2_@P&7T*M|?TNZr&BwTFbHO19;4{fwI@v>>mXS|8QsgFn9 z8!t97&wtOale@}ATW`I#{~PaHQ(NwK{{5?K=d5`r+s1!;WsJ2K?JNtv;7xRDaP@uO z#I_{U&Dtgg>K~7xCQ3}Fx8FVA>fV*@_inVh|MT|y_gX!8y#2vHt*V#qs9qKH*M=Q` zZ3}w3d&kqycU+LWk8@iS+&a7FJ`$0Qe_t_Ik-Maysh{fS8!0zr9dCI2=B@ep4b42= zwL`Ka^2>jF6}L22g+)~MQ*BC2E}N%2Ybi575Pn`}N%>KB)>)r=Lf){mtIHxq?mx{b z-DF~zYM!p|87;CjDG+kZ;eaUoCmztjs0lh#X%fU!wc?F3qT}PpYLug{k_*Cr;0GtBA z1^`S(BFopm01fYehH}*KH2~I}bI$I#5lwVK6K|u2BLJ8S0A#u}m7$5#0N4zG*Sn$@ zV^G5h04zciHK^e!0R91h$0qlvp5frbI1u>_25TK^2<@)U9X=sd^LdeT_GAD&20+IN zXrc(iy%_*K0Wb{!KLg+z0IqaL6BFCHH7-FDCIE~Dz*zunJMWx5c#C_~A*8}wfmE2U zUkAXK0GI)QUjT6Byt8Hh7RW!_ zymB9dbrXCzff~%HVd=Nd+5S7g2V~pKK(@`-|3Wwa0Kl&RD5fR=FbV)y0Px!)0Mr2B zF97@jfC>Oy0l*OeY>32QEdjuDH1QlXJO_X(2~89`TRI|{=6Gb={2l2y8+*L!yA0_# z<2&_Go8proasZf_?S85f0G(E2ERZX6Pk#U)S7sn`WorMr=xp)W?S3i@={SE!DolA7 z)X*FNM|-Gq7fwpm{&NZQ;$tvs2O`4s{k+<0J{OO0@*e< z^g|Q#P{VH2@CyJge&=in-|Zf?6uB}xAy;NJGJY=0+^ifC@_)#C7qFXAf{+4gLpS%057CwBbwnQobeVaA(<(W$-s!9qGG9OUK&Ib6%+G@3Nizzq+}y` zAwwP1jFc1&jg0ia);e>TeFo}%e|^vQJ-`3+^*kM!v)9_|y4PO&a`x^QoHJrBxZEX> zVh@NJ4kUI#%Nq5%$KKDN1_c02p9^{bfUoyBCw(v;^spPmd;>^qhZ-~hPz*J!zHFZ{ zc%O4p@6(DgC0A|jE5Of7>0RYKUfW$E%F&{|Wgc=Hf#2Elschz3wcL2nU z1b}=X@gb0S3IGoP;06E~0U-5&bD?}%OZ$DNx;p7Th8pUD#GgQ-4gj89g@$v`Iq9Y8 z;FHDRQ7c~e=+SD6JfeI5;GBuk5Mj#gHBkWYWO~bGc4xXeOf{^t8n1jHF$!8% zEm-p&)Gz^5oecn!=O?Lmfzz%8fR{c3f7k#{`zzG&;}*Fz2)ugYoFvt+H-HBKI1M$p z)Pt()0AM22@DtQf3N;*u8o~>lla4HfBZJd`#GhcSI^f|E0Nk0Mr263|FbQtID*>$e z0s!EU*}X3Sz{d+(aSJKb>Fn6j{=B^oV3LfWcB2|htX2ePsTB~?0|2aNTL3GP0@yxB z087>Z*f|9I1;Lg8SVRzlDFDo~ts_J#sScvSasV4uq)t*D)F^^;t|8b#XpFb;j|B)1 zXWdR%1b^%u0*I|U0iur#5Rar7?K2(&qWUjHoDF(dJstiC3joB!P=gBon6L+HcoP}x zfsAy#2cp22P7ytMH5#cOd)i3Zz)*`GS4-l6}BE^9~u}23$d}$3(?0gnj z-8=)pOj!Rt0L%UW!G@qj9Rcho1WQ7&ZxE~s!JZ-;b@0dU@JEj&0Kef?fM4m2@M{6S z**CyO@=Rdk7WAzv;E${keE|NYwjlVY0RQGFfS>ykz+e6Z;1?jg5y566SRjD)_#DAr z2e5Np0qlcMLCit~`v$u5bMS}i7le;P_*W4=2ib@Kwan`Y`s@eE#!C1jyYvPy&(EO#y#S^thlH+SeowF82m?S}49-=*1dm2zMVC1^ z7k3Be;#9Y6#)>XcSY~dz>>VttV9QKyZWBAzz?jcxt{P^rl!?jA8fj`X{JcR%Bh0O^ z?}Gn|Q#qio`Xdueubs|HhS>y>Lu=-=;b4ZlbmA_J`(XLHN&kdS8{XsS2ouw-L~x7| z99;z)$-<6&1(Sls+zFMpTU~h!OMi4&Vd7~Z%I{%N7{s{MJZirb#*Xn0m3YSPZP;7Tg10X^VSQz(l8=C{7}Z z$W4+0bX0Dk8~pRL0gvGm;f!g8M{YAOcqPe5gs*pt-0XT1>T5mlszyRBaytj_8tQiJ zg#e0**mA=Ay_~92Q&k`0on%v6Il@=t(g8h>i{K_|2N6uyN(U%Titx(v*w90+2qPUZ zFrRx-WVF0cp0YsLyVK2PEu6rmzH$TS5PNPMYCk8|Z9>FTkG${usWit!a>ia&Ccoqo z+-|DaQ|D)Yf8lDc4kDQNO~@9{Loe;}4162E!r;BskZL(1Yj8GtykZAD#9QG3hZ|YT zvarmf>9U2D2DISD3u)>#cr+rSwqjqW<32zr86u#MM7g+wvWrhFKgVsst5IcP% z;R{*e6XW5F%+3|8@CkGzYVZgYeq1BrD_P+iyeY^8WM1TuH|>GH_$3AYv-tX=Xtabs zx@tN+@W2Oa*?cS;&}5kyH$uo>X3I+ZObzV-i*~P}0{fs8=RMla;XEsakl^?$ zAe6!(X%l-x49SO`@h6Zav6P|SF-Nx=-zTWkPaLQfhGbNG&IMS{rDd4fupBMG8 zJ4!doyEGd`_0grM3$mGbKl}wgB3jw3IWB_de+XS-ev-)rco{eU3gcK~NLU3KjF2o0 zsM<~Ng4lT~01)}u*=HQC59-zc8QC#-*rs7VEssDscYJUxZgym*>@8(A82SFDj1H`9 zTvmV)Q;VCN8kaqyu7t{{D_Lfz9G49H%a(2b)Y{_&w9G@W3M8#1wy+Nvr|7Wx3J!#x(ZKLdM`g$?Ztu)_tg z0~pvm7Iqlcn=F7G$iU_^u%?%tN_v0??f;oMW$=zY1=M-yL3K@d(8#grs722655Qk+ zlo?AmZ`6=?H!4d-Jaf}!o3Jbv9W0cOTs=c~xW;2*a2-x@Rj9)EoXwCw>{u1DLUIz` ziVWbN%GXYJN=YhStat6!sk#tyEmin&fcg!fRss}cPwOYtyyqA8vE)R~Eh7eA-b;r* zqE7B}(1z?5qY^#=x!Cd)x&xhGDaSpSjF(<)nU7_3er4k_awG2iN**s-Ii?sliX7h! zhetzKU=Q6w@`FL#61$R?*gv`k%kKx|s{J5Z^>#dK7j~Br(n$^}5xBE0&RWvt_|p zCMLYQ@TUNe%8E#(O_%BZp)9oNvWrNshlWM+|4wDrtPb&gFwtI*@i%ONz!F*^=A5Pu(5^PvI zF-D>nGu^jj5^a?d?S`Vz6YyXfmShrj?aHRhFgt%yLye~q9+jE@q0ICTWrn89(kMQ& zyohcjc`#jw+}*YdN#TUkB2p1CY3V|E?QA;zF&bncYA2htW6kk4B~#glY+1T@!;W(s<2rYER9ncrE1iP>K({ZF-Y%lQRoP^4-6U_+5 zKBcxjwq468W?gIif;T7^>6zJPct~2>8DhZcf{skbIfvo?K@;4sYh8)8-~NfbIBpA820Y1Ak$cxn4p^ zKFjyWX=y)0sRH@dmB52{N4;tV@NKsU)x`0wX8Epnz;Enjdy((-daQx#RYWZ|qM9_Q zCNrt2`69+DBcmu&%OP^#u;lEJoDV~8I!i8XIg!goaOs>5-Xof0h8)M##c2#KJOZNb!hBa^4%v%6^ zxFdLlcC-l7^B-Aq+3S#;j!w@EV97al!StLVm&=l?YX!C@z%@dl*5yInRmd;E1i48kKw)U;E%HD_`{)B75s=W(U0Md zs|NasJw3g9-qi!d;hZcT)G^034_|uqe2i$bb4;tK;_3e9l&3|$t2RO5Mz5ZAfDsXY zFm-vK^X9%iV6q)xGcKx1mBT-1?RFY|^r9a}+R=|)^y5hz`tc$DI2}tR5t;ZS`7+?} z4s>|r3e>}6bRhOr^@drMkJ2&SQQ&Y!R{;+uEgSg}r9#T4%Tf_f)pXf1EK@gKrp2<@ zrpxNF8EKm?tHZLKrps!vtfuL*8Z4tj`g!>v=-K}a*-UB&aBto#Dm&qc3qi{;n^3@K zMh(8%h5DQl*25kezd!&Sn9A_$PQJA%hhdVmo(-UO-c)TVj=5EAwO&2Z<@Lyd)~*>g z(sL|{#}|=AXaSN~%#hIPe6cm2!v^iu6C3nSmRzv+bCjYE$)&U8B8DNkEFzaE#zrb2 z_w*5xDsoMy7Qu4NNd7Sx&>X&H)&1NDNraw55;%nCNoY@ZMRk9R?37Uayu^~T>+FlajToKg{;niN3wi!BcUi!36v@w5K?VC%IN~ z$pUhNZ;^u&xdN6P=im&vJeHi%mspnAUtj|pp{MdwQdo_bE}neuzApcOynpSxeNih%_T^#hR9(QYj7$WRM0rhLhfajoc^FY;9Z1G zPWA}?;%%c1ZHo;(n1)AOTD%o0&iqjv1 zM<~oQS{3JmcG589`m^Lpz9DiSAvw&s8pw58f#e2Q$-O5ax6O>?3`7p~#@h*o8T~s! zmwv%IM!vwRWzi?3mg}e%pxK}n$e;Hry4lA6#RRRphtN3W;FZ`^{O>jhElIX90ceA5 z{!{$lUWJmVP9O^6@sj+9_+Rr4Exde*inF&&XqcN@hjg521w*B0HETwxo7`=B$tv^hZ4z2B5+}x`h<2+9+GAo?bB9L?MJb8xrHGWEp^Rd zeI%U&+k;?Tc)tHbkpJ>A+Bp;_2W96ibK()v*e`G+IqDaLBe|?*7$S9q@~s8sKg9OE zEhwrI3V-iFToge}5Kv(Oggq=d!cMyEpL)+S?Q|*nxX)Qjj5qJoJKWkJwT@RYggCCNb z0wK3dzRAu4=YEa2p`0KVN8o$w|6M|cV{fSYqmhs^QH5E&kPW=|LAi?lNhcm)&xO=w zRk5qB#|e|u$PJ4|XvisCls&}iO_JtgL@kGFj*si zg2W@85|8+?)|udx1GKcKJ%z$xOTxoyv<@`nq%~JBKN@nfOUrh>XQN7XqDoY>(F?v8 z=cXew;wQkgm!m6^+{>=Qrk>x7ioJ&oVJmGZgQe*Fq?}77usMKb=xi>nQK|}{a&bEg zLwsfs(EEc1V`y<#S*m4kS8h4ZSEi#B;&#mX-t989l9;T7cTDgJc$zDhF(5n9xZ6d% z%(Xjgc;VM(6BETl=eIK#?r}Vhi2=7Z>)^QNx8gZk*FEj-aBH)PiAArx4^Vpniq>Y; zX1_PKIp&)72D59kKkuOCQ;!P3kA&Gpva`o`(oAasve};D{O2U%+=67~d@HBOhsb#j zZpVvOZgLC|L3nyFhqgPY+YJUmsswAUCoBuKb1FdH2S2(1OU-cPfCql^SG|1^969i^ zQ`URF(C0L{9=p@=IN0ITF=ksv_NvcO8ehm3f(*PlH?{kznHU(U^I=wjs!4e9a|D0S zBE0C!5eIOSVerxt;KX9XktefI6DHJz4tFZiu}Kvkn_ST?f4K|Wn_#cgD5!$lm88;q zGvVMdqd&}}DJI-`x5gDN(R|nQ!}j%sJ&RsC4sz1=lZM*!4wHuzV(lII+ATr2xqmde zRV_NST4Hspk$LsQo#35p5#u_=u5Z^g1~i=}32gwYngAT$1R(XST~L|jXwn9@J`Ujc zW&Gk%=M%tD(F@e4fZFIPeAPrHA0!q4hOQ%9Ni(cO>yOH+`_c9 zDNf!lZ>XfFuHPfx7NXN5X+D8nQuN_ePgG-JD=~K?(-MrD4h8HsoZkwuJ%p0-;w*l| z8~1EpMY5$pBhqh{`at=mEsM#o-)jNJeli=<1HSEF8chqT-B8_CyzgG>j8pXo1>2PJ z?cYZH@mCY|}fb66iT5 zcq2#61+g)omR@rTfR5PP;>6r2G@T95iT<=;SdCV|{n?~cwSv}*FhKKq8+nTA<4nuf zr)^>*&$C+;jL2USEZPvc)6iuPb}YPVt5=a~$qBWEmQE|I6=sTA*l8&&o^9k9Oh;Kg za32WX!vzWVe=Wc%wjye}I~dj1y3!_z z&S?2m4B-}bF8c39)n_9+Dzslu-SD4yuZ5icQprA0b_OeH9Vcl3R+Yv}%I5~p!>#l) z8Txgu+Z)-q3i!MQ5g>aA2&~$Q1pGLztO8d0 zzmEjSw}b?;G4V7sYTM5rlfk7>C=(DEO^F;QHsidN{`siEVshTw$S$n8(&D0EQ`#fW z?r8|7 z`pp@9o&&vpDc+DL*e`1dxyD5pM*~9nPej(*NZE8s;wtDh@EXIWlZbPP*0+V<>>&JG1v^A*; zMO4Aw9j=d{S*&$j5>I}-hZUiO(>eJ@1up`(l1t%TYe(x$_t*g5cLs_hCe2VT&F&EU z7A~_#m0|kKdyc7eFUBw;LV30&NCyXIqG5^QbXTk1CEwES8xlU0O}qQHJOy>@ypX^i zAW+&OLj00v+-q@)&2~RcK#6hfcm0H0FI5_cIkp-PeJ+%L2<0p6c1-{R%GYK^w&*lQ zt@OFfG|fQTFp`FojV4}iJnv>HdHr;B%38+j69Xy0FXNCH-Y-xOCOQL^h5AE#h9ipF zQCfb-l9tcO=>a3^Cihlm+3f{WpZpo6XzyW%V}R3^F+h%E7PQtH!5AP9#{fK|)usDU z$?qehCf<^KL>e+?-fxzCwUQELO%BN)Tj({ku zP!B!7xQh5dPV`%7TO6O zGW>3b9i2(aFJTTv^g;(Q@m5Vj7PaS)@T(^KL1ITRz*oazTzg2m$pcN(AF>)4o-Ade8q4}` z$>BamF|(L0z2o}hq0B*bs8YQ3@0m1V2j>quE7y?lJL3ho;0WLrxBNZrc?K|f+&{^KTOFoCDDI>Jy?fp(!>bYfk z(X)ViQTf>NLIF41IKwrLnm;Tr4)ZVC!zBqwVe3VXLOqH)xQrq9%J&?u&tSSwf#c6k zI+m^|5!Jz&IWbPUO9Zn~3D;ddosZ|}WaUKrOu3=f7nUVQN8`VbE&sMiiT+TRxH7TL z*Et{R_^WKL4Y~^Mkg*LODO*u-aOe*IxutGVDV&+iU9IEOjX7!cT6aVWwjfz=dyGn% z3hyNgA&hu0V2;JtZ+j2cMZD=gBfOmiKWMuOS1Q!|{{{?OPA1RdfGS|63oZ(=Ts%^y z%7M1|V%$>L^(XbBFOpgvgt^qZo42hahr}U2p=0OZE*?`$ORU(Lp@vhfUwn#cbxT!y zF{e=bEbla>!CzX7hPFzRdYE{m$%{`5hd-DbEfhdeRf z!Dr7ll4$*paO`fpgXX<0^waAY{miiZ>~MpAR({Bp>bX*%c<$#;?xzNSsy8a}3YwNu zmjb*Hv2RToG?6M#`+In?h{y@9ZSY*yV+k-98Jtu24i+t&$Ne;%;eKXwKTYSjpTFYI zNlEj0F~CD=4O5Kf)^H1L`EL^yxyj%Z9r8S-F&fKvw&JBvQ9(+~D;ut5;O(UK{uqr} zhjz+h?n)3Zt+&VJ#*`DFBL2Hu^E+chz&193Ay46z7gsyuR(0Q?TN%G-R7uJk!x}2t z=p!{KTY)NuO4k&KMXxycz_lbR+eq_3Tam%BTfkazM#D3!U!{LR{3@}AT>h#Kp1(W0 z$By6%K=Bso;nD|`UXJ`#_Gb9|qwNpyDuaYP0z&#pd!)hn>oT2Rtw_7f1&(E}DpI?` z%~wi~7xccT^`?u=rFB@T0xJ#nM@!;&yq@++p78fL=~nBliLyT{Q4Mz3%ckVpG|8f+ z&l`9%_CmWZSBM;50Vp_>2TxTU)#K|wofKJts=7leOC03oy3MgbR!wABcxfq~$R*tb z3gn|gJ;wqLo>ETnER<^_=M*R6AYj@{Fy-JA7P2C6kuFrFOuZQY|{@k=-d+9ASnF z?BUD(XB1R z;2Ux)LAvZy-9WH`ONt9xj?1o6(R3Ypz1b*-+hpV!g+Mb-QI6NYdK4$nd*%*ZQ3iJ( zGFIxh+7jR69TIc#^IEA`Vr?tF%SaiUkc`n*8BRV_O%zt60A*-RdZc?skqUIfvhZ}d z0BL`dSR}Q7^aZ{zf%iF12H?l5$iQ4j>z6@SCF3k_g38KIh8g%t67W;Bj2fK*`O);V zv|V0T3LmNlhrJ0A$WJ-(Q)=bMC6M8#l;KAq;765_j&T)7s&H{egMKoc@Y`zvKQodk zfKsMr*WZSp2p_5jzrab*B0py0r_9RF%DxOgWeh)I0)FB$=&ob~R-|Y6Q2;+7Zmhk; z%ST{9_qY%G$*|=|>qFHLKUo&%t<%D7wHD`1Y;pc+v8dx2QHMHFn`dhM&RatR6lcs| z)tQgMui`TH*G#QjfM|7q+4WV!s#m@I_88ufZVE_!`PGvB@ay0mCHreah_p&0El-q{ zWXNW>E!9hx;w2?nUg4Qik3L>mStGcAbNGKB?7;VyT%_D*Bif=2Wf!fr*n$rQi=t*> z!#;|kz`(Sca-R)|bd5u@_9rt^!cjf+&8FQe5cDF0o#sYi^DscO;Ype^)+09E&Edq8 zB!714B!x>~MV+y;Ns{Q?;4UR`a6Ke9ouqxx&)sXl&*K!a;O9JxhE!xRB^Oyd$A`Gc z;DLA>sguCUPQE1RU1VCplZ6vB%o%NU)1_j#Z<-HLp=l8)MiyGR@)e8M=`*d3FY0d^ zpQ(w)r^uuT^8m?4Sjifzz`2Bt?{-=wk}W~9i9;=9A?KN$27d=i@v3jW*XkQjoyY+c z{i!AXY1d$cQWRl?(o=*gWg-;3hX|$g6+wSpC}?B4)iaT76_WLNwSlbt47e+BWuUZ! zmF(L7pCH*vBrEyDLKZUIFJC~0Tc*fi%u*3H8+kLKS?*fQA{vZ#?d+z5%V4$3k=d{! zH88~`5%69L_FgRldqcZr$jS!oC24WX6ai$ro&&Bw=l@TQe86F%TDhe1ZhFkE6gswg-o_2HrRdED8k%Uhs4# z@EUySLfCMa98RcWY##?ne`kRaeAmVz*$5KJcOTXWYlE&KS)ZfukhB1Mgv4rjn<#>P9tACq?yhn`jruV|M8XkS758Bd@- z$B;uFl;bdr(P0^*LwMhy!_I;}A#_6_a#)T!tYkR!?FroW6X@_w7xcgkBrEZDvMGa6W0k~VM~1_rz+s7i!CzA-r$k5S*RWVPrVjG#_=SU^v7J1uqCVjDPK21o|Dx7F#*=a_9>j3d83T z4itANd7lw*_%FiedvlRP%_$@v$Z(hk916qdnLWfI?icYk@f`Bu^SvF{p#~qUMskP? zpPuE7I(PZ*qu9AW_!E3N>{ClVQHA}brE_ohcb&Vmap!JwjzOkz7l`*Yo}b8I#dA0= zOW3)Gb<0JvPmydaPZm0N&s)GDWW!AQq2fTFA!r;AN*qEujp+w*2tL`6e!zQ7Kl(O9 z4$lZ|S}}(>#Px9Ry9|e;p!1On(vP^^$C1Mjn5#_C^JL>4GFn#yhmapL9BMhx5eS;a zgK|0yv~mbO*}x(Aq?N-`0f$xJ5Qi;5k=~CP4krSK0|gvrbvub1jzzL2o-9oBw0Q~~ zLdMK+n8SgFBWO7f%IUDLl|%5=1`Z(rTRAKfa9G=YK3drmIegA=h_}C~1RR#{&O;6- zBU#Y~i*u!SP&(tpNPlT3YpNn!dJdgF_%zn6vN%1=%4T)LInxD-EEt|rt_BA29n~P z6xLI5Dmr5VGY^n{jTpqWkCbqjG2;_j^@ige zfU#V?mVS}XutnWZp`LIdCc$rmH9r^ce2RTcdShnFkQvQCGV>U2tm5*@XNuGiegyie z^eATLGy;95D1rA1KTL$GiVV)ylwZDw7@CU=h4F?1=PyUOT8X<^bMMTZ&ydAjWHI^}M6sHI5-0HPBPNgX_ZQ>{A0>rnZBT8hERWiiInPba9N5QcWgSZh^ z7K|?`=YqvgYyYr<4&*?WBB+`Ng{jrgJn(Yuu+wIMRet8w-3weJB0$MZ5vlf~@g(ig zc#|#nkBSzqSkerp^KW^I?^vfne0PzYB`Bw{LCzSbVJ_%jEu|f3N_2pS4k&VSvM`xB zA+T!teVEMb`Oz?Cfl^J+q-5;N|Bk#j3AMm z^u%8jv?JaR;=1DpFW$w-pAv-B*6+Z9-9P&@uhN;LNTmTNbPTW3$Y6OHh~jaY_4rNQ zFJcoshhz-A5AoH^UWvL(A>JlZ8;=$DS2whANUMis?gACOD$Qm>+UG5<16CzUnljZ! z1-dWe=}J&s7)X4R2{WH}Mx+c2k~Pdk%|%Hhf$;ENz^F&Rrw^*<0`eWj_<-)qb4Xwy z63_qvkqa{;OoO?`Gs0ZX2~uojq}bX68S?oS9x0JFQiyk#jID92O7@!H3uIg1uy@et_w{#A+y|+vkvLJyYZ`pbI(B{s_912jvIa+uGfR z_jbsXB!cm-h4-xWFJ;tc>i(MsRaE&FV}oszv62juOi;msdWli@diCh80%@@wx ziJz=|Rou^X#h?*qxY-2Fy6%_Ii_OTT{4-033p1JL_CUAkDZXosXX0$)1CRWCk*Y%ZGn3Km;u9;|bH>oXMV`z3bs>DQg;8}sFQf>yWlUnP}S-GCUftDbs z-P;!JDa-ZUaS}TwAOe=uc#kR>wFX&v6&~JYwr=wEN^+C$5Mm+YCM7*Sz*mDfBnUz= zPVpGvSN_EvbZS<*;*a)l>M2C^mW63}NeHWgqU;GMik1GdyGq?!v{OA{{_yJ5W^|Eu;<~|WfSS$+Fu&>bDo`I zd;p{s_`$C@-?E~g{$MApj;>rN?ee`?s}Xnha-=oJWwZ7J-ycZ(!&`oUle-eNRe1>> zl8-FflDjz!f!&)kl$$$=R0=ihNytx7Z0gjo;lgy8HZf;G> zn}&20e*@_aT=uoxjz&#(EBC>KV@KV$)}*KCt0>fs8WpOUW>F}2zUX}~)tA;4;fj`T z!xuF7ywc)VdJj9Vvc*-=o9#)Psheaj4!k6Qrs^tOcV_@@w zWjR_Dm`fQ@N8M?w(Bi`jQRr9{I%6j9MKQUx)Ii>sE(b@Rw0w|B2L^*V@0z};;*su8 zLuD6c${a`a9O`yUxlZ@Or0e&CF0sWb*)8QKx<5_20Q_}+m~LR~9d%HxdCXI_erAns zp!$wJs8;^^Q+2MfWiQ0b0NA4`s$K_*4;cu_fv zXVQ{Vs(L*_-7P`g#cj5_dgzftL^7acm9t3mUSacWT|Hud4PqA}wwBlYCr!Be={aEY zUj#P)s^2N7TbBzDSs-i@u-ZJ#*5=9yKv^ZQxwhA1q+E)Wvv|tf{sUnn8#NCo-xE+i zGz%#g9Y@Lo1(a2`l%v!@IYK}=YyWRZ`3h1t2`HZyQvNQwk)P*oK+0ltcG*w?o-UxQ7RtAsHvC?y^q-X*ioaBIqRuJO7xcC+GPpOF^!8P&RkbbZS< z+@-|jKLj-HjHe$2t*=bb`haroaiDxjK)J+qDYA#;y~_lYGX#{A-T}(VgV}a}_QP+G zat=PkKME*cvZY+8&x0OL7-(xhzX_O?_>deE1lnvtpj9|lL7>eR1lpXp{(25(kOOW| zG5-N41={Jhfz~LkYvcy8{&dxH7}SxlY0E!^yQmrvTNv&}E~!L`RgdAJyDku0815Pp zkyA8A7ySVUcJEq(2P7i>MEfCq67&EwPCdqu5k{c%1wFtof*#~YiSBab^Mwq7XqB7xZas8eyU6S$mr z6#5-A0a7W8Y}79dJlFz3;}KL%o39{Eqv&jt0%gdjG>Qo;x8aUvN*9an3tY5OObF8) z1%(S*rmS@+j)D`C`7fV|+NoU7ZNZJQU zOKL2nA@SA+2vT&&RrnNLtuOleN0EEgba#)z{9r*}lq4HyST#sng}IU?JE=L?78QC2 z73%ecH9ILfI}=CpdT6&VG)o{mIoYe@_s}pqiP`%nIG`v5N?c-Tm@s;YmE!1SsdNV= zXG3yudaCNI8h2w_$ch5nAz4g^6a2ogL|DGNGh{DEK~KQL8~5xrARQuJD>x)8uj0}u zb znWZtvY6-F${INx2u! zWFTAnz_#7z0dXp8?sFxYX2A-e8gDai0od4B8jY0Iq0Ff85XmwOAQMt;eX3ka^FtT0 z8r&Aj`;__+P4p=diS>Wow@XpObh=M4`aiGX{zcuWl3P$oi4re&c;ThWvJAfXj|Nk= z{PHOtd?EYoztPlymNfH&Mw2||HMP;~&bNmf(Ho#Br3@b4RSfTOfp4PmM!@?7J=1n? ze;W>6WkMA-%ca13WU$KF12iklD$S+j6W?RC_jt`7mY@Ud6a+MnX}@iDr2PS6 z9~6ikFpoY)+6uTYw4tmAz}U%V>gre>h@B)5JM&5viXDOI!6^cBtpyzH)e8gd*~IpOGw)JG&#)pB?^2P$ezm}laC?FL*id90 zqgO(hEk7l%V>KygVec~J=TqbdHVriJ)5K;1jvwJ>0@Hq~2wEs?$YA(+4*U!h=qK#h ztH=*#jzOcLnmZf%L;|9U%QQv2}psN4Rz1+OJd*)B}kw!%rCWJHo94 zvB!dtAMGF*2MQ+Guh*mdnz0Ja8t|$~j(w`cdC*rcpwA3=lU96dW%({oiO(Dsi+=)i zOp0KxpK zm1``mALbc4e*mecg59mO_Cw+{!(eNXyBw$DwXm}H$!1)N;x-dIu#5dbX3Ctym-NT{i`;H5Z??z?1*Qmgf0KlKkHVL61uLpDq;E} zP=a8~*4m}MWUl7BY(^)~-bHLl*m_Vx87U!*b^~%sXuKPcQ-W|epzobAC`S62IhGCp zln~p<8$AY%MaeMZPGs|(J!^9+JaLQWlMzoEM0r;y2>Se+m3cz#v7 z0|HpHb=*>=?FT?2U2{8d3gP)z$PmHngC9hEx@CVF%habjT+qt6hwTEkbv)OD z^IEobL;&$h>j{>#=?2H&5EzIm!G{q>(By~<}yJM{f& z0`h8_3fkwD5O)09HR11zsFZ;!M#te_9Ub_Vjgn{+8GJC+3%6e49(Gs;{~DAhrEPA{ z6zpR4#W4Ze3L zqlP`iQ*0dH_ip?=X*45i>Y$Fu#HsNpYuiG3tC`Sx(+>))$;ZqX%y}dVC8LYbyHgR+O9*)3Sc!R~Rf)3A?J>d2kcKnNrVqN=gRoNH zWp+jU&3AGlT{F%d*j*7@KBAB$U1Z~?vMnwB`<63I7Tqmb1yC3soElQBEx%!dHoD<^ z-f?pVT|!4K7A<6$-{R{Z7%Ql4!F{T&0qb}ms=ZA88H@EN+CEVTIOtJ`?rk#87)>iv zgK-A_8RZQF6#fIS#49*)CHMeL{Bt4X4+#ogq>T@Ve_r&mTRbCzVNe8$a7oWHvvoA; zU*k3%0QKK9j!2zl;}+N8{o6i86(_nGcooCGojbs{aNmx3&?KZ;A>V4#7|q9NBh@7> zI>RK#@p6YBAS7`+u3(eo2hlOK=?%7T@Vczxsxm8WtEw7AO1`3J{A%s?Ok~gKR?H}% z>NqiTL%G#zjkVj~M+Mlqv#q6S&;WSnZARw)?arLFIs1@6j*nW!zJ`$9&oUMGM5ny}bj?SB%m zFSz4kiR2ZvEuR-+PCeGLiq{x5hK$sj)41Yg`P7+{f4r<>$#IS~Y=4-U>BZBaUiFeVOiiW@_@%SNTuP zj5cIPenewr$OKp?2f;h<8X@2z|5xKkZ5mHiBKi~e4YV{vj#KFTH_*ysFjp)523mb` zFjiM9-wsIlCWwHjSQ~qc%)HVxy4l#o?~0p zt+7~DN>CUhm+ymkWY5FlBSrzY)*v$}<8lOmwDm^r@Bs@r_7XA|j?DereQKExmLLZT zhmUQde&&Oa>Q=Rk8)Xd%0&3nPH0U{#mcOY={*4K=Mm2o0CG7c&xT=-OeAUo)&UJeL zej?aEx%T95yV&w zSj=eaK}_)k#QN89IN$YWU?+n6J$ra&V$(k2Ma@co^OjpfDGRNQS-7-|EJj zRL0jFf<4jqnZ3{ElO)V!pPiOQ0lD%(<4M~+e3=gm(MDMg>v$WLYqOZ(!jFZY#heZg z=Bwh{SJg?+c@E{!`e!@eFh`#KH4b(fofa{>s%wT!K)X(`;}=H^`sH{S3cu^uektyS z6YTnxnMR{!jGm)xR3S69KN#W1s6t#zM{cAif5KQo>3;>VQzn(5-`?2$-*59BabPPT zN+v5|!(cNJt@tFG8u^{B_8h7!CwV=uE=w@i)lNpYNOxEY%*<{Znc;~O!d&vot4ls~ z0s2>r5A5?5#3_0HL{!sE*70Ozf#?z$t;50C4K?Ib4beWktR5;W+lLCPZ2gRJWqJN& ztT9G|PI+&eb)P9Wu5DtUslM?(Q}L4vC~QgcMjK(pPol=OnauE|%#T2F(MD`Ja9}}f zGR8qZQOc-mq(@(_>T-wAY^wHsQi?RqP+-m6!`~pIPN`$sq3;tD0-A(?2hJ=qGP+rN z>3h^oVjFBRyb{Cm+ubHCza{@U*f*8Aue~xFO=D~`)5b;DUO9hoS~}yRnNJo)0~EH_ zIB2b-uILmw5s7mgjJB;cHh&?y#!}6f*4A3{TE1;-z5S#Ng&ruuEzLBgRjxI3WVYM# z>D$%wD7U6foNe5@djH@wwh`TaGE>?iiqTHo&{#BOapIR&7h8L^2&>CzBLK|r=n8zG zx6ig~UD)95hMI9h8-FFC_TL6INFuZN=2erHgsU3-puzZ4qd`OH(?!^%L@8T%VJ&Sf z^y%E_4lxYR=|ew2?UhtAJj;G)|2y*BVIlzPJ@(>cEH`@nPlJYQGswCf7V_4GK?8pD zCLA>63|)jYO`6>{jX5WO8qoDFAwQh7d{U}IyN0&FN7Lx}sEw3`<-9f;3LajC0?C3{ z#)*oaUL&KYY-5^4^jgv<)L`u!ik@CTg@vWCZ72F+1(AvZK<%~vA|N*PEstsFVifjD zrLC|g*p-*^1;f|E`AAN(P>XzR)U=*ii7PUEQsal8<_Yy!&Q*QQF55>xSRKhS>m*0OLKw(!oTch9k_3viSo)PNl!Rc@w2c7f9dw@Z<9qkq8 z2Rnu>{g7s992{>X{9ef^C=M<1*w~=9{_?I(1cI6=)CxL2v)R7Bw&tTladLJdtiEJS zr&5Mvr=Smk5$`G^tN)tuSY2gD0boJ@Es{ehTHBb!);Lklb$f6z^=McAkfucL))dN9JbN2uKq%Hr!t0Tc>!sq+GoGjXJn7}5zn3C0Z`xee-H?M z+k%0>&byom1oe#^SXuBPTB0@scbBuH4`o3dDzKXTa?WbKcmcx~`* zVDi5K$dZp(9~S?GHyI|Bq#R{@*fbw&kU$MqXBYp4n|%|?Q*u~9|C<1q@^Kvof@l9S z5U2}qkDB*0pRa6$RR_KDTS_iNuKWNA@UaK-wXw2wbY&VF2u481Bn$*mT-DEmZL5w7 zBAUvOudGI0`}7bRRpWhzv3^w0N61`#umJG4rfjf?s|e-_=Pnqe}vAm~rYNuWL3IR*kj*&piGJtwQ@2ItrYf;$h< zuqo?udDnn%Czpja3Iv-9Na%^p4)7Kc1Oiddj4~Z8;3f&aMAUKYidN*=u&aAMY;_l0 zzRYcLZU}VGV-$XLa$mFG#X7X3kJ~BkTZ*rf3LrVD&B|-o;?{y7=}jW&R%5b(JW@IJ0<+I11uSb%^bNrD>xM8IGh zz;M46#~ABBnEw!fQr4RpH@W?sb{?smS)YFJMlAqJHpBuTHz;-*+U=orLg&R}bOLkA zDT`LM(R*B%> zbT8o-3q;}-4?JFK!rF5~L-ksMO5iVn@ZDBg_CV6rLzEIZ7S6! zZz^G&6Bv4HpAASXHJz@tOdInunlFQh{Io=8)~jSKqaVQMDt5$0jql$FH{=89SOr~08r(I4_oNOt7-vK+%KQPvxtS}{S^FZ8^r|J$4nT4LzMoOnormy&c_oV9mjly1>MGc$vyx9~^gD}R7r5K~ViJmb~;2DlO zY9MiiNBJ<8cn2-5qsWbXen>tEL9YHmE`21QFdn7^cCa$bI!ME?2Gyz?7Mb}VCzj~eA*WY% zFgyYH1O%^I!4iyBI7z;!Vthg@5ih8-0rA6DWY;sEk;h;(U|>th5#s9TK+9qqx_EbN zf)}u9O}ks;kN4fqOjK*# zD5{ByI87e*J7DR!*r-M<38PxEVj$WhxYq@ns3r@LR;=!Qfw7WDk-B5qtvst^0tg*2 zsa*9NV~k>j8f&cVDZ~}sFca>iq&qP&j^gcbN|fxlHJ$M)(s#PCV}nIX{lR@W&$4vJ z18bL1qEKSJKE29#<@Gp;Nw#^w-3JXla;8+go}4u#-=~{`0Re5ItSZFsv+6UpMctrGjs7?Eor#WwO4~ zE3fyy#;6fN?%IIdGunWdyFA^29qwQfQIH+_x`{WSh}Q~v;@rt9g6vRLq}+uq>S!GA z2MVtS*W{bP3`X_AY2oC{2h-Ik;hyc9;u<&`3rf}li~7gDy7t+)<*EU41czf#aWDQvc>gqyC%?0tn)=R ztlVlZkXwhaX_3~VgA-Z24#MaFt}?c_yQK)@v|G(vTiVXr2a9m;j&SHPh%;%8Yd!Bn zk}zxB3u_ejGTn9LN!SEP95VM2}T3r63M6^a$#$06><$~H?X zpMpdML%7(Zv2}jgQ0Zi>LB0Bgjq``f#2b;|;*hn``B7bi?XRyPEDXpSgGz)rCXfIQ(L|~kZ1cpIAvG>7q$9@TSRCG!r@Z& zh5q5u)-kU9v_2cnc{%K$HTdXS>9(VfOz=HzbxK*dbS}D7*y>hWtojZMNFVeA;chgy zf!!slRxJjb9r{!`oNa@`L7E6B2M-$;R;6uItZ(Br4ZeN@sBnT(R5_e(0|a2%xK;8p z_c-HyhhqHA@BEm+IpwC+_@xWGVH)lkqyRoMljU!M6z{Q3NCj{!e=1HDcKs)#(Ea76 zHC|d6F#LX)hV06de?ip?zr+5xzr5LWI48#C`uEhPe15HgVS4${pCKtI*E*%zLC3x5 zjgxeY{E;GPZ%Myzg*xCk4>_L5Wghw~ePb5#T`L_-3H-j%ng_@xa&pMmdhret`mmK4 z*Q5lgOVnwuAE-#@&CEOX zqtdTE(k^T?wobbEL!YV;#o#H9)0{@Ub6(p5fhTkZVDT6P&Rv1PgPH@dPp_SMKlUjZ zj=(1nSltGJYX~?7fy-AT@F@gN9EvsPjs)Q4^$2Y6M&OBEkd0LcT(u5?)hz&6^A-Zv zj>4K3IRmiBAAz601i(cl2%Nqdf%~^aHr_?x?AH-EAA!vS5O{Jo01mB2V9^%{dm{3Bc9i2poyPim_PprS1qk z1cA-nx<5QB?cs^QyO53g_3IZ;S$+(GJ>DWVUPhkhA#mz`toaZEXXJG`^<$sXw>-vA zaqQ~~z=qifyr35V>%Kx@(SYu?N2NctMxJkC&DyCb;HL;2y8wZw_QsmeBXG`fta(5i z0QPx+z$I^!HV`;xF#?b9N1lH{V0AtM??+(cQv|MvL;-IiuxKd)n-Dnk8Sz|zz>g7F z^8$fureV!3r1`f@60@8=gKd)GYD2|f}rFyzN`Nl@O4uo>oD=hQatdg^cqI;E4lzq}e=AYMo zLR8ihO5RLF@##h=gWDowe&>m?sg5mvdAIGTKx8cab3(a7D8;i$mi~ltoKUL1MU>Du zqEbyL`Y(vF7le`;MNMWHq4a2nRAMg@V`+pE(-*1aWD*r`H`G|#97L%cLn!kICGLA- zY%8JE5Q#v zOFp4I?w3Ah{`uIiiOL2-IUFs|042XeC_~z#EHytUL-KoxuMx_X{zyf6j8O6jrRH5? zY$2iSBoyU!V(dPls0WbvCyB9}gpxL u-v_X#ELCW&89C=UolR7fZu?x-<+EXk5g zD2fiqSZXCvahITvEf|PY)Mp4KkWg~pBPy#2Wf7s|-bNIiH=#Ty6!SSkd5cgkEkK8< z{ESe{gc9{Lp$s9EszK;urtc8NF@aD@7b2C!F9~IE$FPiJvpnvTED?lKHW*P9R|(|= zq11nXD8WYw9CzMGtRPvp86hF9v zP;L`S?C&Ig0iiq}id0mOiLs4@a(D?+(cBedn0mz<8LRpOQN-5>rQ+4}@cHKrzmxa{ zgi^dz{?ql1KJ^?GLh%a5ZYb25x};cD`s0}MvCFadS>Cr5GFG2Kvb3TeYPTO!i5-q8 z;+}+J7>6jPHH5O5P*Qgim9B&m*d0;gMiZ5(gmO2WWciFxPPOWCSqvQ}{HKwNL^bel zOZ(8C9`LU{{NGm(yD(qKs+67{%bLUQM+%WB68`NxvLt<+%doNVr|1uZohWp$|C{g6 zTJXOA2OspC8|xn&8#_$1P~$&l{tQjDfAE5L{Z*P7^WOIl4u9Q0^!4$tjrZ@@dq8i6 zfNmcof2_l7PSdG1CgiJKsGx&OC$Co=CT4{Jt zGq&~eUIroz*w$&UXzF)w+9q=Jdf;$GC1TAGQ%0 za))J|JY_gJPT$r}bmpay>-!yUtV=4DiWI$@--~gp`Ks09F67MLM)iqsq1j}mKvUDdcBxwfIp^ovegB z6izQQw1tE>4v{1Hkilt264$5w|UJqq`9gE>hcGnx%?UV!hj#-2^IVbm) z!>xdDp`q5vfMu7UEJOz1&{rkjdLT0EB~Vjjoep_&0)FFzr9iQ_SqE8ays;JhJwoac z=oG9-ElY~iiC`QVpMlf9%N?U-^}xGGa)--}_hN2rsAML!yd2U&jo#(3)jC0mA8x=+ z7_Nni`jOpf_AJo|6kr8PqgiiJ2&o+BbfepI`zlybGZI^yd}_Q&Ri{@8`-kK>sBIIhY5IF9<`bW1y~ zb71@9rT_2s$4UQ_{&<%!=G6y1Tp_7;g?7+auXM@qa@pbMlG$oyW{bW0F6VaCy%58> zZTcGcCqdu$T&BBI@Q2uiVa}c0jL*4?N8`KN*#FOS?!WD(v4`Hb^Y)V}ci5%AIe&p2 zmuKJL^6dN@B~r|@BmHNuoHRUk@qxwt&$@JeX!d`udS_zuitG0`e|K~pGr@Z?(7rZ?>qevhwQnC%rJd+``b>#yHWEIXLw zHT16^gDy=9NbmIG$<37+we=5QU~-?oeYD$PS(kL5VawB&E!~q|CaxXrxxSNL(_?3? z$ZfpCE=fdib|)uCA20od?9N#yueRK$IcE`Te#IdE0XjuMvrE zx5#*K*Zky+!z@P;4k1QL4vg&mJcNc2L;A(#FAQ!g;)W2$`+G*;7ts)6O|QuLZ>t=+ z)Fa_he05SE5e*^4tFO-c?1~*Xgm^n|`^j`EN8-kUn?UY{Bq;LqsWJU+<2Hct!~B5x^>h3*nIPk zjA_sI+d#x}D1s_iA_5Pw%T2Ywvv_J}GWh+UinAeMA;4L%h^SyinrT zzMX!EGV%Mwn|3R=x!1d^5*C^lYj^At7l>Q6_pI%xuim47D0*oGf+is7kxQcMb}PSh zta4Xr`Y9J{2k)~xAs*u~IjL(`rztQ*>%V1_Tsj~ixZFHFNl|**UUO)bb75?omdjr6 z*VXCAxnn#WuWXV>9{_;KGm>&npRw0`y4tyLMEjP@_V)*XccF#~05}m4?DZ6q!@mGP zwAQ)Mqf^Ue-(n4MV?36h0)UeN!J-nV;n5j;J3j!p(!Qm=;S~V*aEynj7KVwx0Klag zN!skQ_I8@J&dtg?wX`oD3IHFC@iY&R3ji(vK-M?*nkk<;7oO?b(q8{Mkk~TD!($Ktd;kD708ssny&c>ZQh2vpOMCMh zU7b?103aLyz65~qSxKVobM~4U8=RY&dH|CX0U#RyCIi5+KEa{|vyyUtIcINI4gj}a z27svmkPAF4*es8}+b6jE7SvF3-rjE0XU@%({w?iyMgl-S0DJ^B)B(U_tN{SZ0l)wN z%9)_35)ktf(1TxKaC!LbBvDqWy_`T>|c1OQP0a0vhoe`{|CcP=+;F`%XW%$TlDp;2J&Q$XUQz+h1= zkhlW?MgUj<0L1_hb{zmt0KhH)81oKj@`AnHoNYj22-L8st5f@50pRIox%Ah-V6TdI zl5&jS*=tsP;au1?sO2)vQUG`k)~pABXCOsMR8r1{i}o5trgP!O5n!w|5VPIu9?M^V zq89fJ_NoDZ3;^)Ta4tML5;VCU%D@MT7yJ6~ghbp?KfkNP0U;#kcWw6)_79+tT z3oOQh#X$h3zXcZ2U~vU3z66UgVDS}LOazPLU=a_1=0mW!3KsjoVjNf;28$2Dq8Jno z0}%5e`o&dAME*W_)OZ-`C@3r%s!;p^z^?#U0m!)~iCD7V-DSii^vx3h_<}+eSo{gV zgXsx+eX%5>&H?wl1(Du~q5?GcY5*E-QF(Uit}UJzlc2W(@Ff5%CZoB}K#Md00=K|n z04Rw;YhFid9(2!BP4iAHJKx65xMQe7Isz0jyK9xvGZWP1HxM6=y61(*dM9dcwsFh< z7J$V7`~^T20HzX2gytAzm;)j2LCABk!)IGmG3p-L;>g$pwH1Iu0IYK%#jt%YVHZwl}pk3of3+%%`~&=9PnNqt$?U7l4{M60iw@pmu<$jVEfIK<$UM@Psb-GPD`+FGwC=eEH=Q zR9tjMhlo8{t<^lDihrVF_h52b`jP?)O z*3E#e`T;O&6kxGk0s96cZuNZNPSa@QuGg1X_QVmjUUVmA@$ z#cAnA*=673d-W1?ZYR`~-6p}O2m~*+a1G-ydDrAi$L6^Fh9xAhl?1lEugfklPi%sr zGZA}A#Ms?F0t-!upt9sA!m<@U2_rd7^o35b-Rau~;(4SrAyIdwdxnb|(pCbjc$-Vf z)KUM({fY9d3_ZpCe=%QIaR$nK`7MKtJ8xpUF-C+VJvZB ziaJ!S*{C|WiF9RA_(D!y5@&T$(lm11LN0EQvOG}~H_`{6>Jmk8TK}LhG=iq!b1&^t&rvZC6vrHp z_sSrTdPK9&W^$8!`vukf)b`Kn&G?m_WRORbD}!})nTpE7=&VL{nXf*)3UUk&+9Ys> zo-2v8Wd}a;sS585CXogzwhp`QTm8b2twy>FTwY01W#i+u8b;Ef)dMb)$+QI4u9wyITBmGwhj@&23wv((>*FL1m` zyg9`}Dq507!3AI7ct;7vM@OIYuPd%(Z%BT(S6 zO#wPYAfGExKpmq|Byc1~ONfE0e_)2*_3RWu)!$jwuvJu*j$iY-Yb0FYM7jnzob1Y*)K_m!gijcP)(I5o!xT{MBn7q!6!7#>B&s+PV~{|MCps9#?uK;a zl^28egnDx3$LwHGudHhH3b%re6MzVZ>cns z7lnOHmZY;sHB~Uk_g0(FT0;ecJd?^&Nrl>FUy=&?|5C=h{9o!Zll@ECGZLeA*&dQ5 z>&^UFnORt-pQcJgSIq57%Rn+!krw8xSTo<@H|aLzGN@7oQ@lnDdKt$o$9#}{SQvv| z>Zr`Pnyku~O%YKSE>>fqi8ub=43^J&fcXu^KOo;-trSNuO2UY&@gNspQ+KXI)La{k z*;Bz_HAJmq-9^kAIo&R521OLt>q2qp4pG+wgK}V7OuHz+9hG8rS;G zlV+jtrzH)Pf#HG22S(I6wK7+vS1F&JdFK!X%(z|n5L1WY115h_{#MC{cONHHm z4Wh0;1q;ZwXJn15iR^v>S(Aqgt}(jRCa*U)NMyiRH0y4eFQ-$jM9g^7z1b}hCv|sY zKO=?W8zjeOJf>(7G^)-L`nlmnx%w?`I3~JEgOd;*Ib{_%06Lv6)Y1FM9LF15wi!hW z!IlfCoXc)bvFD3(LRGLxNq>mGNYVna>Qvq2g`8e1aiO1u8gjC*j*pbO?V9LR4qxoMG20C^9QRaL8fXB1($2LkTwtAAXd%;s!9YJM9Rl z5DHYhiV3uw1Y+;@f)GrgW{N^w&E2CpHOD8^982=iN~#8Ww9aSYc5$!ZV3=FVJ|_ed>QPsiPhDZ23w4E2X9Qg#tu(pO={%#T^cdsNZtT+t%_6lh&CD)K!p+lC&3&K6%RKy!<^ zl`!&xW{!Td?%Q(eH~#P8L$(|re7`AVVd@|YQyMARrO08If=5ETEPlf5l1X-XEwGEd z8Gds#gH_lJxsB<{l#n$PQWbctt33eB)c))(kO@X2@IM zXoeV}HQm;-hhSzOHDsvXB;%ziHO_}M7YWe{8#qwJF8^W*cXNINo8X=n1~J%Fyxw2^Cip_Hs3`?HhmP4 z-5?-a_!jF$KT(8lr!rx$(#$>-o+b6b?beEXI?XSFtKM;k?S|IfvqsFFAPmwh_8glG|9oJDw>|Qct`u*2ig(vC1t;;^KJoJK^pN)*Ng|K`ugR{Dpi z688che0avJi`Pk@OYB3&k;F>31dO9{BN@6EwZLgXw7D7vSxTPH6F93%Y0$uJI%}8)D^yPqz>u5gqg|`_SCQ552pDg z_z-jjpL>{axzMX2h`7?+%LQRY*-|!LX8j6v!f1GQRLtvBRe&eL-VGcH(Lfto@il-( zA=)aY$**joUlg8Ub4<_3FG_@d;YDd}ny(xgj5vrwM5G{qM+xncxsKj2?DvxF!d~UX zJGHGDraPJ;!D+DjyMme_cM?8iC-C9i3_AtvqJ``V*S#iouZUemt!9Ym?P!K0j%JAH zEocT=JbPMY_6eg034t}6r@@-JLi9iz+QO_UMDtGu&(5?r)5|{8G`Qm-KJHIlQ;g7Q zKg>qQC{dKiXzui&=iBx0kGLWCT5%}ca}@U~tXzvnOT9uQEzr2O=q(w8N6SND)A2`S zZ^>xJFm zWtCzc3S(kI)3kx-^v&jCx`-FqQn-fVBz2k)x|^Jj1{V9Ht87gndxk!UqOjlaA;(m6 zb=fH@Q@^>)LS>pammR0F@Hdy`P?_${Wo%9&?#*TFSzrI=GB#gS@#eD1yz^v%!{ADD zJHC2TCOUCScVRbL!w4vj0AplEz?egczR88U(F~_V!Pd*2j2o*z~peIm%JjPHhcu}WXo7)4^*Q4G<)PIB z#bx23n$l8DF{G4wLMe4iKJ@$QRTm(zp@+b<$)7MkF_UTYf5!)J1Dk0V2~GRRjrnQ_ z38V*<_f-vxP{lroP%2jm-nLyiG?C464~vEc(nn%U#N!-&6;i9Rd46A_Mw_#%smWBj z-XP*MoQc|WC7mqP_~B#=UZ;7rhqH(vHqUdNnt`4VtDC_woY^KDzM#>19_R9NQzSeO z9l6g#VeT_ElBgMri5jITJT-ox9o~kniU_$(lCiOwCJ&`FdRv5}cSIXDUtuO#won0< zg+?wwro$gIUDq{5_AT7Z_O>SspTd)-cZWRC#)3xjZG8A)`OQmXiKNRSgwK%Tp|SKt zl=BlX>Ey7yhh?v)r(qL&8Wz)K5gx~c54D#i0||VTnBT%T{%M%oHjq6z({xI>8%?KF z2xlaWL+22?mBh}UbmQ5De?aWQed*yli9LK@5wa`k&)6L!cGOTjJC!#%QSmT1kzU&Z zsdN&1Rtjd1ti?jB)@$2`j9*Mv)#3kYwPhrx`^i)_|5p&V1hv6VfjhBDcl9#qpqNjC8VlI^rzwvS|#a+}ph zg>EybU+O>x>bj*g^qT{M5xd2-RXo2VIqq?WoAcTVL+{u%7> z&()WNjKW=q5+j=4@!M=?6z+MCF}m(xlqT$YVeelgMkZoZW@m)UuJG;buXOgjy!g}# zjeCBBPlz5)v`Bj?7POd>r}_lT?`HArwxMl2AJLKYUW!HdIovoIhs4V@_g0zQjdB|% z+{dM(=lo!FeS?=~rdzh!QpPH9hy5*imLyi8xN1eIk8u`NI91AN6rL|9kG?~4Rghew z8mHGMk1nM$?JPi1gQb)BhS zCb+d0yfuU0tOd6cT*1rkl~Ql3-PVOV4*A4JtJ^Ro*Thqg!|Dxua&ao%D8lT1NkYru z2yTj+gB?GRwr-Ll&~FTzh#JdCdLl*&?<_6e(3>P!jBz`j9d+mJJLVC|VY9C%i1K&t z{Acea7_n?2K4faawu1#p!|109qGl1ip}2TMPx>jZHUl=xWmD9N!75@9v9uNgSH|FZ zZ3b)_vZyu#f!e6_IvE$K)TjALjo(1W+_`ZKbC&X(K=||4QL)+Nt7k4aCfmvz1`}sy zCVQ>`UIVKHu@PFD1#B@SJIOLEq0?*6cgSa2U6V6!c$43U;** zhpLt|L>zxF14BfAifRRa$APGF0?le3U$@KgAgt{?pQ2h89ql}(3%vH|D&-?`O`Jc&($uCHkVgT8MIGb^)7m`vvYIp;3MD19#b)EqBFIGB>x0MWIoe-xPA{n##a;-k?z%9WWt>`)m1pK8cc z{FN9-e;J#r2G{>Kx5FCtm$`t`b#-WhFZ4~~$(RL*Z7pm~IXqK)Yivi*7 zOgi3(ec13`x7ncVtGBd>fm^RJ(W-NdMv}lmgq9gMDN7CgxZ)gAh0t@3Z4_q;E#wyZ ze6mY>yLah3UF@QU|CkkX1f3v=Ry9KoRRC%7;K%8YFV~^0r9&cgi;1SE8(S8O*xrGzY}8}F+R?##QR!%#?M%n`0dBI;MqgcQj9Q>RM;OW(?QQQ3RtK` zS6WmGRN+opWhBmsM!6#$Xpx+S#X7@k(dV3jALz}I-t9E&(hRHD9^zIs$t(`9qi7vv zA0fn1F*H#Ua2Kr78#zV@dD`A9_$hY{K9a{_govFm_HAsFYxcEZH(ccBqp7$#6!DAU zA3w`hXr|JcUB#|UI=yK94>_0TxVf(=#@*za$`aXDl zJZHh+lA~?n6GXa?Ys}c24~W>9!N~9Y+Ez{5o>g1n^Z7wLonH1IzBpw2A$PF-hp!IX zeux-u{~_pzoyJ`I56zC+II!EBbL@XGcHiXKp#JLt<>f2;)birKO{yzNS*7=FmDqwxi&+R>L1&=@57Nj8BpXkl!FI}sF<2nk zxh4P&%`>rzh>4alp@lO0+U3gcC{rze;uyyjz9t&`Xzyu!FfA|Bt6y*mJXC?ux^qn{ z2Tl2fzpjC1*#>+h^E7pK?+=?7JH=i=_uvZwm%zP#Gg^_nLK5wMt2KN zjgV}fM|m9Am%dx(v8KcIXu4f_XijrvOY!}_;xWohrEb{bA1w`~?WJQ(x4On9@he%y z5oE0Nt)$pyyRmr1#0y5hfN2V8$7^~ULANe`k}{E6AvzK%ynW4Izb)`dZQyXC9$}~M zXm8!XsrX$MSDQ#GwFrB+R^}>4-YQI9^$(c#jPw?PKTg>*#6n(?Bak6%!C10}g4UVJaCH{9 zlUy%yYmgPgE6tV#4y#u#vdzT>4x5aXSChyEjiy#o7N*>zMicSI%JJPudb0NkmGYhk zS5VMJ@Y|Y!BHunGvq{1-X2I<>+h<`UNu(r+MASasu~NiwW|tr(ha9M*alULeh5l5} zsDbY9pS+oSt}K_&ydG!1(+f4_I!x%$qqk+HL6oRc>J5IbGZ9;lfBJ$S{cp8nSAU8JEsNSTCuI$ zjA|lyyQ9*T-q2^cUy10DS^R2u*Q6Qd$jXhw9)E6FT{fxLmNed-^7arx8M}ACh*y}8 zJQe9Ag_fyuP#{GfvyyBfS*b`Mg_~cmk4TDKfhy}Nt`iZqrYdtLG7Y~c(`6ith-S1R z6h_W$`TOq_O%}Cb-2e^6JDJjNGdWoa8Bz1GJ-qRHoVJO?8qNxl(xw)w$po*;Z60hJ5Q*uaHlEBL9GWm-f*11d?^`TMNeN5UF?R3 z&000X_xI-rZ1(sJd5nzR$Xv<$s+Y$63x;-G>-QWa#qo@!hDfIKB+U}qrZBdhcVDiM zqZQz+2CJHL3K7kAXLXbA#3P8xgrv!+r6ABS~AQ;{$k-0U{NG z)0nf+KZtFF=r8~xvJHC$9o8DkF>~!UC6BXU^8jYxHsopWqUID1qOTq!wAm21nZ$oH z^|H~Jd}is3mqv33nWnMpNU6vhb07<=R?EK1EWZrK{v0`2^er)UdMPu4U;kOvGuyBzPi=abj zsxantyTf$YPddDC(m~@mkl0+@%b@0;Yl3t+)ju5(tewUScpyqE7&Rk~?OtvU8s@g>cw6E@g1KQW@fh`0s zvU-$IibsV^ii;%03x^b%Jh(`>P_et83?aqTzd>k2h(ob)6)~#!2j?K&nk|sTkq*Ag&cTcksjK!>N$!8u58h$bB>m=13{ z8fO8$q0~9+82bftk>gM*xv4$J{$FJspUopFR5ZOBG0-7Jt8V!29Hie_NR9IcDYnR= zm|51WR*-JZmON-XPkgzvdH>&o^nE793zFirLki6Z^hW0(y>U4y7DtL1hBy>kzH(R1 zARYITnfC;lH^|An|L;M1YavNtX67C0kfIfh%FaQ0)lxDq&5QdL^NR67dhQoKe^Eg! z(&!x1%9aQdwuC;`Ezg}z>#Xps18+BYNIDwpvr9#HtmBiCZJpD&In*J`O6i&Tt z-0FB>WX771U^EFvEt*jR2BI1NiYzn z;X{5T$gu!-Dz!cR)W=rIv5Zx396t-os-UH%k8LXmlkGJ5n-hVijd&)+(L5qdZyRToXJKI;VurOz4N4=j6`w?p# zuUv=a!T|p772b9j;Jr;`06jCnF}nfu@uHEmP<+|@se^-PG~;lHID}Vo=ppIpN~^{` zJ(tsR(3Ch=`lBKKz|z+;>4m+=@^H4k*Kqc)d5?Bf^jGS08tLt&U{yM=%CWF!dfx+t zxCpVgsl!wBwErhr)a3j-(Ds~p!)Y;)GvJY;q+gcmgg?^&RGf;Q%EU8X;-j5v;XGG4av7Xuj3J3e5T`F5>X}NIzy?R+eng!n7Xmem)e%FlRx-}7_y1sd?CZA4I%7kd6q!xh|#4aHO*|k zR=4$*a_KS(Vrxc9ZL?K@0jJx!^ySPVntVAu!&AeiGk}vCNy57gq-KXyA6{WnZ6~QJ z>{4MxQP~~e&7X=FS8tim9o>nz(tO-&MHjn^@!cc){hmaC8Q26-lDz#IRc) zE9j>Xe4vsbhIL?bwa*}KeDFrc% z8#wVgb$b)7j~h?gyS+Lxj@`(dGUg8=lR;$kK8~I>aAJz$3;ZU3CFp|pHuRyNGN@-A z7x-w(nBZzzO1n4_39lw%+xB`l)xf4L$$YC}zLo4a;q0bj+oDLKNT18LummT;@|@Iz z`LHlHS6%TU8Q6hbQ&ElB5x+b1D-zCBlQ|r9BNV5LEJB$6qcScjdn%cSqPehdv3&o13@2$(Xi9eg;Xij*$pmF8nbhE0&eBuEam zN{M1+Bf8HevcVN!t|%xq*PH(6hD`H!StM3)quh&-4TZP+3Tyf1$cjC02k^V5 zf{M+2h~1YIc7n(jf^1xiq;VL9GaHO?$W=CODtYBSl1tr{Z;T$2Jv4|7cy|EX(-0FU z#b%BRBXC;`=V5krf%DeQ+Ktb+F!MONi>4?jQ`A)A@ag23-DDRl*(Lvn8g1o2zR#$~ zC=d&y`qu?vzs_O&E55%k`Y6VV6zfroem(oD>bc_P8~sg7+dZ;ywMH=V96o%S$R8_b zO}BK;VuS$CY^CTSCK2req0a(88>#hQIW*#7Q zEbV*45i*9fgK#aLHwxGGrT6McX^+r|SzN=*;yIspFNX&&cFQz3N6wTRGt{y3>eyRv zKfYsA@SO*ucLIt@L218t`sx!zg2k)iPQhp{zZ3x^dRg3y^N+v_t9L$90IWM@ z+ns4VT2weXFA7frT=;OouXiY%r)|gUF1^a2-yEe0gUCaF2w~}HXo~je^cEZ) z$6sDG-D+4b6*u%Lluk2eHSMdRN`_J51mzxHrmHZh&~JZYltQDfZBY1}*srLQ$Xg7#lhcA>E72a2QQW>Oz) zapAsQ`Q9-3Ag$rNvVpD#Ic)<^y47i=EqxidbSYxR*p@!SBl^jC6bZ!j`mH~@zl9#EH3I~{4*nUUm;L7@8#aPDg~a&EvVRnLd&%xu z$URy$c!X{6i|b@&HmAL8M%v4^w}*c91Cg&@mx<6z9SddlR!pC?kJL`3#=`xMwyos% z%y!xDJ#&`bcycVs9=FL#&+vLQ9j4op?Xur{#>>9$RWwOynAjhydFWf{;`Sc5>*P2h zkk*)XkgN~SMs$48$(*nlH#ODsbU|myxJ6+EdG4KY)pqvsSU{d)fT_$n+DgS|ccGr2 zflczJKr9KlaAZ6$O_~lnOagi*0?a=NDGrP!`#k;Gwi~746){hse@7x9TiO#FS^jIU z)_h&vtNFlZD(-~3a(Vy=DQ8}dzT19d)xDl}AlvT{YLO*PDjtFR{L{7`&28HIL`|dqT zPKH~+)RcgvEEdDd&|5dhqPOl(rZT>_c5ZYYb;IaGet>^8sBYw8H5ysBhGdW(*lTNK zc$pW{sGD|KFIBf?WER7v9;`tZ!dHVEoGkcwp*Li73wtD_|B`^qYY_kJXdj^!X5=@dt^Jab`?D?Sc8sZFq}fM zV)f(D$2`KLu|3J0 zQTPba|47W>jrny&YYi;t7)~2V#Xebd5!9i}l=4{*q|DSwADN|vO+DwuL`Fy}%u;L9 zl9>BxXv-c2$e4IXuTu6R($PfPBq03>k*+tIkv94qBGQRh1lgo0>OO^*EM#o2!~4}3 zAoNWb(YZu4^+!h-7Dbg)r6Q_i?T%+lc78B$bc2W0a|;90(#doxOqabj{zdUtz_gyN zK07a1iuwpF!zC10)@(TC7=`OaJs>XG#KqLRdKC8TEv0;ItSw)Qt)P6Z-e}}&df22z zBaEkb>dBpqz%;gRmg7-(@@Le@;+y!8m(xIHyE{+Ww>#KgIqhJpwONE6Gd9(w zIM7y~7NKx`1?uNZPbsMD29B;TF!rWn#D!yA9`nX7iPJZs%sQeW%dB%m&9F6jz*x#& zeD&tU>qJEEAaZ8YJ|d!Ltp2tWL2Ou^hWP;c&NRIdJ*wNz zjh{fb;T_c6qz`_Vvr1dfIxJ_1`r{C>4Ey`d)|nwCdd6E+Iny48s;#s6!l$g@F&H7q z3!@~jVC*{r7QEBu()XZOAI*i3Kk6oTbfZ&)Mh!w zF3Pp}Kwn(M$R1d=!;z>Me_-3Q6k94WRzGdX=M`@la&&e6u@8@qCxDzL{nnnZqPC`N zs5+ylnGK20-=P6dvF)WTqo8a^GN}?F&pB0ZdH%(WnU8GggdN*7*D_NY(EQ z*-j=pcmTTm*FpVJ=*sG`X}VXHE1hQ<(&=+Y$Da2#bGX|eC;y9Y;W%;6*WSS~02CLz*c19}2m2B;$2>sC{@Ss-K~isV=|0hJ5B8wwv+&JaJqIaPkBA z2uZWrhhDy4r(eB>-^1uoxcr%5ek-x*1o|o4R>={w>P2@cAQuUHC2*1SMnoi^<^kkw zy3s63!puWZ!GG{}$J|?T=5z8q6+MsTyF*AC7Bwzx1#(0ieg?OHyZU`O)p42%^BCR~ z`{HPSFh3QR6p)0?Xq9s;0mL+B1P$up`5Jg;H2!q*%LVXSL6 z8^)$z%A(Qm9X=A+;Ohm<2ACXpE$?Bs0oR({Z!mZhzhI=>JqQa%Y&lrBI}p1%g$qX6 zBWNq7sy;0kUHgW2{9!%^mzp+L&X2SSeXW=o~qa6tR15Cc17 zX>U#oY>90_dz4#jIF0?LwCgv5)2Zovd&=Ml>`&GYqBGl{?xIbI6C$s*0H6w z#r~Qd`#9^^rS+&|KM{89GbcAZhmKa$MM1Zr(b1{f6f#gnDi7ivRQ2n)b4762gOZs4-s~9hpmYs z&3rNKpRT!VGQBT(N#xekSd9{TN#L*uoFmcaE~&Npo&5b?(pj^wraEmJkH6AhAW)k> zDbENy1#??mcdvl|2h)ltI})q=Ed8MI3DP>u-1ehg;hMHG$FA^}KNrKn<1G`g-Noq? zSL%2gCH?2_cH{*ue=bK4k1vdw?pP5U)bmE(gc-uWhohQbW(ZOTJ|`aW)js@|d~Smy zGg_CrYhMxm<{tRib_96x`{mb+lwiL++w`&K6734W;8nd}{&YK9D^(Ao{XR;e8h3v# zB{j;Y*HmNUrB3TU6Y$*_Mi$k!gj%I8WAd?MqNBElJ+9W9JDZw6(a=d?+Q6f=Ne%93#&44U z-#0kS=y8LYF^3le^Xpb5WCr0zpyV5CUjSv2{a$03K>?*5;j%lBZL2A^=DwbnI{9Nf zec@sF&%kdf{PuAiyjypq1Epf=@_RMI#*yAMIHb#;2}0fU-j~SzD>d64UcT-~R|1OU zLXVmLEd#!A2HGi(g)oS;wDF^zm_K!Mw*gkzZ7-C#+l@AV2u|Isp6!8!cFwZ_9f3S8 z?2l=^uaH2Yq8&B*V_NUm^<9?=y4f$E+=P^}kU@JW?0uAvCq<_XW3r_cA+|jWI+)jK zS4qS|ns*FI&Fg2+Es)YzdM`v;75tH4m&(F3tP$H)@Quj((!$2SgLQtpV!=$@?1e3& zJgNk~RN{LaAo)%!d9i(fK6CRJwRpHQaNEjlE+Ob7wmG`ssWw|tyS9LlSRVGTBdoy% zd00VkPl4FW8dLV0-+txg8eL#na%7fjghQHvxA!T5Ld+DMjKGGO&&+b^VCf?HQ?Bka z%MGzX&JT8)-wP(BXOM@8#dy^-XAH!R+ISeh^$rfN7IL(T^m_xg1IZ=r*-a!l!-^aW z_-D$mlg|1&0hk72+mEtEPy7;CrDxcTrAn*x^#p~diNc*(+h>yT_L)Z1i2bJRYYIXu zDeLi^QYxc|P1_Cx`*5dSOc!N^+zTCSZ&`kL(=I+j1&2Zh3!+g*+sOwM{Tv37-M^XE zF#`s1)S|7yAtlbWex=qIcX9^)L%`$Cz&|4on#kTAjR94LrhE)5^>Ot_u75e@Pqyvv zbt4LBQ(!)Rd`r8?K$nj5$?>Ixc?~XCQmZ&rTHJh1m(mov|5uqsZ=nw-hIB5Qn(7&&4TDK zQBFYFe}eZqa=p9HmlLqu8EB^%hY3MFyV+g2r$*w&ZjSrc#4Ws5;DdMA)ZL2X3 z?|NZb(`;U}BX`K`yAe|QSt53$bJdydRr3&ZKw^R%t%yrVRw7+?8a!l=Qz%NudG)n- zD?BHcTOYy6?%qS-iYMPX-&44>Aw&R7r9<&%uFi<+0f6-1awIktpWS zBae@K#(LyVm*t45y#oc?D1ZKybT;Y4PElD$3X_$9%P*x}3P{^AwQ$2oh@ zANbb~oBEW}9RIr->B6uhXE1%%$6rAFm&Ozz^LtzP85`xP`jidxSx#}SET>#{n`-nu z#EZj^OyxFGeGXl51Tn+%B~kL3g1rdl9Wi|Mx8Cw}DKIeucak$Z|?+ zI%@LY7syeq^0_talKl5Jgyh#Ic@$*ZYF$t>%Nb&5Fj$bY>QB;|g`Z~US2Z#NeaK;u zLBS434kNETNI|;H?}4DV=}#Ua;0w_{A@ExQ<~jrI6z?SADJ`<)8V-he?2{Dy{6Z5*%sLNh1%-C0E*)4<$XEKF%_oT$n0Vu?DYP_J+{dMg& ze$0Qz8JO`IBd_qK*ZmaK%wyP%@c){}Xlv&&uVr_@B~6s zlv5rv&|TV^#`yBYXg;@y(U?=%(qHesg6`Z}sR!al*v5N_paoKO`VYIN z3seD6D!{n?k5i|uN8R$lxZ}@9p}BThH^3wX*vo*iu!*jkUT*7 zX!FoR0s##P2AZ9g2M-@f!KeQJJ@|A|W|Ab?-n9FMUsbQHnd+A%7*-R%zJcRwj3hZa zI>Y`tY*-q3PUyp$2}-!@C?y^(s-K~y#)g3VS#}<&8Gmv$G%Fy>E*In)VyUPF29-&SK%TyCHHR+SM_T> zTxI8Sw4YP_)Od~L&r3K=Q7BqoGc{?Sk9j&X0JE8>$KThr@IT@VdG8LYQ&-tW#$(A z>ga(_jM{Jax_$&{8do-Fd%QU3r9(dc_YVY5)HM((Nrb77rL}jI=@KG=Os;~f3#^_E_h^3 zjnL1Un?6y{G)<%@scA}+77NTfRar#=F1+yrVK|B?#rXDGJRwtHjNvOp$1kwD2R*;a z_UM3~Y70k48?1OkIEu(7E9Z~T;;pP4=@gDCYlWlf$_f^a8vj-^95t1ek>o`KmIx%D zu6(rRKZgVY8deynzI@T}F%*v2+c4JrpN_Q5RO+$7{5rewQ$aWi#&@Tghq4u-G~%}` za8k{16hT|ZUWZLggLrYFf7c90ajv7?gz?48s0Q3mv+G37aFkC+IbO@G*W4}-gyD!Mx(E+mdh7ov9OYZ+8ANum7&|(g z!qFqO+cEs=kU!tcNQ0eUsPQ`rM-OU+qYj5$=*iw!pS=djsB<`KE~PhshL~5x^F4(R zN6ERHKvllCY?8p|n@dUmkPK;Of#-arG&PH^6nIWbvVpBHW;_x0m*!G>;akYo74gn> zC$PGjivLkKim?0w5yL(-VV&P8HHueeZpE*HaFnb)w^zCf(loC0W8r85g`4wOW14ZT_ZC{>ustB14RV`Km+jS_<;m-NMMuv|!oz^WS8KPDEx$>Rw0kx- zMnyQzn{c;2tt+KVFbjnT{37hN_8;JD@z1Aek(#*&Bk+V`x#{gGMLpX*Pl-Yw$ouwvq~PsHwKF2K3u=&wnHQx%=`m;sZA zwl|&_`U&r_Z7*df0<%tkY`@)wG0`A8p~?u<<~8ot7B@B?=)JBvb9FCH zl4}l$3p9&wl^<}#8*yWC_hM9ZEcnF*y0NvN9*bifS(>H5;&nQ|03m5)tlENYX-hw$ zJ8S7m-ne(Xq>ih47pN`RZ)Rk-TwgGX_}i~_pJ@$A3cBCGOOw67FI4t2wt ziF*gE>nc$9RA7bpj~bAXsONY7ZjoRFne90YgH61qMqQQ=`}aLBFqPtrit&B!Ow@Sf z;~I6jq!$)JON2Eu9{H3(0w`Lu~A z;_Hs_q~1D17E$~o!ny8#i(eG#Zgoi(VU+2dlFxNja>>>RJ?7+kKT=z0QQA;nA?CPt zB90p`(LX-o`F81u@he&_+S^I&ZMpoJwoYX9xo}zT?=elDsw%g268lL`9-PW0l`cHu zxl2)X{Krn!?YP;&zXa2tsxM%`_w-dN@E`iT? zCYf&#c;&YQp4*rdd`{r(i3I-XQ_ZY%)+Gc!{a(}WI_3XE;KGvxZlN3m%Y^4LpQ$4y zOtjhre1%aKLsis2u_`xMl{?)@7xPh8C%MtxCg;h#dMbG^1c`8Vx6Nh zK>dxZ%86zqSn#hJm+v}-^B)4t$f|5)RZh(#F4{d}cNjUsms#u~(`CG@e)-HM z4|-9RxNEG+C03<$0jqM9ak=Zun(}v6WrTavEFQmNB&(9Km{qyPs+?>-Xy4JP)Bhwc`QNfC6Z@H-#h$D9g9(<6 zDu_|^UxbR|9(3Y3Z^;Qo9SO(P!EfEnioxkhkDaYn@9gNY%VY4a;Iq3l?b9n?i&w{+ zD_)B|;>}*=8h1s)QxW$>&2c`6c`XJOnudFvdKcBXXK^AftXG%!=T4n7x6AzbZ$-y- z(ZnC-I9>Xux$;+w+nVu?Nh#teN{edbiK>I4-FU8U%FL&^89jU z+WV6DGmB5m%Tk8!fAt8ZRlX0OUpSDU{O<4kkjozgnkK%we|vTMvlr`LGp4r&*X^+K z(!-F~VcR|!5WX<0@#y^3{?A{@CB}w{4}RY9D*e1iX{cvT3titRv#?)=z(YS^vDqHHzbu8{Os~m1ZsM93ti{ zIsG$xAJO%lXK2E4r(1{K{Kxg-?m9&rM=ZR$jeYMw%cV9J^KutI48=7n#9~REtCJhY zix`V-gR+}{TM3DY#l(c?#RZdWEE+e>y4LxX3uEzZysq!UCyi|^)=C~0)cM}VVwmsP zE)xgYS+vSs{Df!G!TsvTjn~^)+$zoT7AuyX#Hbu>S*yiS(@sUWatn@>gF_6 zK=(kcGBz^7oKqmt8a{T zM;o`Zu>jlx-~<2`020qgv`yBz=b1raYTq_EY&=9EJpsTy0L}uCc19B6w$?qbw7GX; z832Qq4pFT5D^2D3EKsX?JUKys?~FureVx0@ML%yzK}Z|7)gOaJ<4r10b&ysWIVHhd zR49osr?}^ZxAacTeY=fY{#vkT20+gst!Cbo1pT8ziKr|E6k3A9KnS@G6x0Ajg2HJ4 zwtg=W4gVB?Hr^7+FsQi|fSv%%1BJ%`+yme`0C(DYCyGWv$V{*pzDcFp4ZyIe3Hpq) z5>dnkXwlwV^7H#pGi!*#^Z@{e0f+^l{H#QKeS>>KUI*{Q^f6$ue~3ac8-SkyumX^E zP7*PFqkF=g&alIO+PJMe4!~jnUI6fPYJ&RFIf=GxqkEpU3xu2kKp_C@0chJ*s~i!P zV9qR(M2y`8A%g&j0-y*oY~F;{1mILuf_lvlk_goncb5^}y(On&+PD>72Zcib%;>5O zzYGdl0O+=WMR)JSh}br69yiez-@pzZ0q_hI9sy7Z0M`r6J+FGmxw;v=I&Czx3^@G31;gh ziFWTE_k_sz;1Ap35B&hh1|Z@sZTP9^1oN6JXp1l16Gn^%APayH0CK=$_FGz|1_0|7 ziRgO(c8&py{a`T(GFSmf2cQZp)?Af{{P%&vH~ckQbhr9J_anVgT+Cx zhysiAV6hD>dV|8E?qC5x)pf8q3>MK~aTyNtB`AymU_Y^#2^KfN;xJfz2o~4CVm|hjbT=5)TTm0H^}sB>*paXqArvxCg+6lh6WypI<{rHz{JW#0Z)Rxe{$)t`5f3skPxF z)QHa=l<1g^JfUnIzj7A5GlF>mmLvo0B4FCT08{HD0LueR zHI1ls18i_p!0y!r%q1DHRr$IEeF?!Th}uVhnL+^b2mq{g1EagkoYjD73&1oZQmxfY z38F4-IR~XoQvoXiO!XmP4UQ1~@qlG? zCi)uz`yMcT6~W%_3TmGdwJSvJL%;^?gM-b)m!G=>|25>v=z%Zwx5KuIA0fYK8q~Z< z6(2DA=&(=mCG{mJ3?4sJVfu$S#!E30^+&}PJE3^b3#e$G4vr~CiRuOlv(S;} z(3ieVF<|-C1%)(J)DAIXO#hrJUV^BZGr;i%V5&I4Qu_e5dOKhd?*V4n1DNOvU~8fQ z8}b%ldkOXj#^|r{WyJ@;7w&^b{l~yi7r!L@HEOR9A%=Bwf;tmllvkY7Ah*x`S+h4=lEUVCMk4Hx*>-AB1632$q3_p%`D(6~JpOz^f($ zuQ*8f8^8~Ug;{nYoru7fJk3$allv3oe>4;LD#E7&pIQvO@sX6WUVbakF6(EQs0o{e z^$2+YElOa@OL!PQ&?5#5Rw3-VIX&A3;F#qJ^!Sj<9?>d8?J~NBBBWecX7#Vz#IFiV zlo6dL-~uC854_k&ZC_*=E}&&*eC%r$z8ACq5(Pl2UoYaIc(_?7*j>KILc)ug@Erxh zgOP7QB3>AEp|g%oFyfW$^u|C;46a^qU$9SbRBk8KPyPuLz<%tyP)xAVj(E=4m);-m zGU+V-Fv}I6V8+j~G0U-4-q`TgQ%tt8IctAfEa%^w`nb0%?$dc$@P*?&71NWB68%M3 zE{ZqN5D#wi9&hUeF< z(?t*Wv6EhdKZvxol9A4@>lJM0Rb~Gn-2Q`Nt(d4Bl)xpPbiri|7EMx~Tsy7qsDLWP zqfKvxr{{#mO}nZ|YT=O^RdWy|#8X1qJuKWG!Z%f@z;>rC$~*1>iXmu>iv zli_g5geg#mwrLS|-IseNa!L2_bu~;3cd{NACGo z;^J(z)=iAL@HK0uu&Q&Q2S&o>dLri>j$Zq8wEXwyNwk?N(vc{+`ON z(gELx!y?P{)+~6`@St^$7D?`RoLZ!mS|oo5KKK^FI%lF97eEa7evrVJFDsyv-Fc|B zYlh!+n;4noeHBa$N61WFUmao`;4+FgwfpQp=%Bqq$6d z;kC>3iZZ+d8@Hggiso_Zb6mrlc;%nW?P3Rln;8632L{&^(AxlIZtr(wy$fw|At;IU zt~+=wx5sz5_p5Z^g>OQ;c#iVS2tV|dwfYT`t!8h5eByQF5qsaDx3>Nf(v7|0oLvP; za@ku|TmNg)%}Tn}E;~wP$Nx)N&VMO8^k2%d-&}T=wW!S>K*tmaxz&mob)@VZx#dJS^48^M1=1tA|%$OcVhCx ze0@fb$zi5bgZ$gz4^g*rEmpJFbjr8{oz<^RJ?0}HlaH{IgXDh*G(4?{`m|9ugtb{V zqlF=8fhH0CI;HC^)~^#oAy^7d6rMX`e~*Q!uOSaZ5^WRY7C+(fD&t(f=Q7 z-yRTS_CEfOshP^uM8)J*x#m79xof&078_cd%i5VDAqk;v(bPn_7D86mFSoZQT|_$|40gWvM|z&B~b-~0$(FT|V3(X`uweaS++e7uNUh@E`15uXxZOq*1f zD$r_uyd1 z!}ueRPBBJXfLNnYs&bRqQ@E`l_ zkMMw8zb~@Rw^ODe@*^rt6H34{ACecs zgK|6hk-qp%^l^jVO5ts-reQqt&G%ovx&6yGQN!Q*Vr0|MvM_~~ZWiFXnXg!vYz4!w zw$t~6>+)GRM_J_v(jq{20SBfxn+L7JGlg8pW$&@XIzo<7P-F7#9fmmmo8CvE1cuu+ zz>xROTa5+*Whp5=BNSmgH{?|pviZhg-K()$RAIFc-G@gqWO6=K8vx9(9BURAAk>XX zNs*iFQyMC%VVh9haXSy*|OFVvys&;c$y%bx?JC=WNwE|MCq} z^?oblXGci7q~8syaC6R9-1pC0HI-BGQIChn(ugb*9>BxA=D%n}JbW;Ecnz_Ukl^*= zA+euNgWvM;TXMtSb`ZRv2JsRJUc=u)3Er3n@pialvJ|U>kf!yh$4%5Pu;Fjl@mmo6 z4JJ5}a-n>Sm*)%RYhY{Qc&v$)RQWP3BdN47dy=eMaR1F@*Hu&AZ+@ZkMcABt{s z{al_vwuB{1+!QY>scTmzW42$Oca!@fl?`Ses$0q-Yf$V9HOA&xc@^Yc1>p`#bxWzx zQWx|rty5G}0mS%%Z0d)dh`Rx?QYMh?MA#-{0;3qSpzijC*ZcrItNd%gE7c@=%O{ zC$U7U$mO3!bcu?+pJ9oXpnGOvzmhM}95u2lA?&shcBg1|Qy&p_pCP*_ik)i{%z=A0 zDhWzo)D}wr?EPr4WhFWA=h+&a(6b!V@=xqpR%3rz4>HsZOkhwfaf2>)&8THCqRGSF zb`6aWLse+`gG#K>qR-%wjAK~7LK_mBU{#>ljilKz@>*nf9oe~4?6d(`73TKGsvt?h zRNg&U74!~x?9bf(wCBZYv1^}m03PBy@ZdcUszS?LI7}(4zY`F#M3>E?5r=(|gor_i zs53=u)T-<(Oic856lz>D3!U~oKo`)^C7w8K_T3|+P;WAakr}#YSZ~N-uP`Pd`^fAt zOlez_Fy(N8HV?IH<#8P(QiXl`ehPDgoVtDI{ort_C@JP;uhiNhR zQS7GD?4nbfBD)vJE`wsn7DKNALNOc`ilNs4su+eXCexk7KDv9rSttfSmuFB6>uBg? z7Fva~0R=QPA9{+U?%HSYQU4Yp$c)hvWebRAw}Yrq{QF#-Gt*r_cgGF`@9wHASm!e6 zI_K-s35$c=mzjpG2tN!YBitc(=vwrKkQL+I3-UOMY}zam%#N*qNHi92)U~`D3(_d8 zaL}1U+KW7FFDy;ki$AkaNHGy|DNRj-)K(H|X=Jz?L1LBI3d7woI#$)_h5({;1D25= z{Kbbx*X|gra!ITjMpEtXVysdGV4iR;t^O+)G83vto2tMNb?RThQSigrZ6O*2lWgJp z%Sn*HtoMhrY1e9!fUe~`4Iari;K93Ar8p_D2L$uud%-O3*nPA)P75E{ekee*!jFZ& zc;TTBF(ttpRQ)lFY6V8H(3z-eFa@ps2K#~8bmT7x2*s{z#BBi6falgl{&}Qq?vt|V zi)CXZWfR0;+1#f~y0ZsPLWnP8Nn;$~9Z2in4%>Ya`D;kzub{1Yu&oz5(@nG{gVlw1 zrVu5vt0e43BfDiL?7ELdb~?h2OoTz?!0D+pE9^SFC0z#w<7Ma^Auhb7yAIlQEzvUM z&i8Eiix1B*maV}Ht*f@$yky{33b0ibZ7ctw(P*m-v{gCQDZZ6_$7D610#V7TH6YgY z=vipxT>uneW#OSKopE$fLKkm@#V`Pn;*NWldGHrsyslF8&9t@Hi}LM=mj6v?N7L3) z?daTg{n45!&jH&&TeGhkt(k6zB#1SK{6-gEze8jOsT2$EG~m`1o+TFEGKyUW&F)~k zfygcm*^%=%`NCU4szMA_1(`iIcB6$T`_QDZb9qIgY$Q-fegzMzDtHIN-O7Fox&RRy z?DqOCpzDzGPec?E#Mh>X3u58=!W|3TsZKHroe%|pQf&BnHu~w(%5--#yCXmlUxIT@%Dj; zG$;p(q3t5d_Ngp%`5XY0LieiH2Xh;Gwhkh8AP7Qu%#9S`6Vt1hg8>-E9FMZ7eo5?Sr%? zjHpyOX9tzG?}dzfw^%r)PguUZGv2?)jZWJIlkvUs9tfTXoJqM-w%64)08@FoFuvzc{ixe8nrthJ6E4~3BJ(*vr zpxcI^jbw5$1UbiYoMC2kcd&UIJAOAQod;+SJ#RJ=*?*+%5kkO{ZzI?a4vcDAJ7c&E zodfk08lTu|Y;pdf@ez+F{+U9Q;SMPQWn9ODVt&b##wku@`jA}wo5N|K55r*RM+dt! z77Xtoh|lG~ipY|~u18>Evh!-?4eX^s>-G-9>OaQgNw?9-^3chWXPf3&$R~CF30}J` z!Eay!G8^TAA$%G!4hPplje(-K7W8m*FWhayZ1yWM6G=XtT%Y zO)A)O>f$=JEwq^xCSkJcVhoe}Ujlt2wdHB_X~=WLh>cxQibep*#2gc!0(5>nX@MC@0r(o zfI|UZuPmPmfMkf%5r;S#+pJ)WuM5DA>}?#kM_b`cOh#*JCdPlVAC5MH9srGU+;@l- zMU{$!Q*Pqq3K_KjK)YnokYE5$ev2-79Vnu;nZo|qSXX1eRpo{wQ@=S>+?UUH#&Rqt z<=C5xj?CVXIR9`1WeXy*DQVfnp9P|9DJWZ(Ehn2HyD8EpLnucwa5fo21#KRSQavWM zkH5^VM0Qa{dh^Ig87;=CpgVM(RW~1pSkDkEg~zg&6%#Bn<-v+)GUZY5*=Q8cfZ}QF zc+BFX(92w1O3Av6F6ew}%+b z7a#-3Ug&?&*a!~BNFud4D66tCR0%_hr#OBkz=sf+%p1spUHczY;w55ajI6TU;m}xt zz@(ogc1juDZ5{$2VkrY~8d5HSR^VZse>oZ>lHMHhkPHTUGbyz^woG-fxHLwn0&G!uR~yP5E&e)&%l6E z8L(t^U1$csW8oFp)Mr4-r%QbXhcHqV*JqH64D|nd1~Q^SxB3kJNrNI}V64yJpG+kC z4o#%2&)}cRfG#*N(Wk3zAdT+f{Ib@Nj>td<$#aBdC(O0Ow7K(pjY*<3aw)UjaHmWq zi2!n!0H!ldqan0ix7Do|U%e67*yL=fQDJBAXqJTwI8D8_qMWhlIiE@%l6=;DV z;v|^F!}p82??flj*}_A9h;ou6#h3^o?^B<_Nn~KG&!7@JMmhBv+#p^kug73DneQYQ zlbUL98X0JDgr){JX9kgJ>%pU`S-nfd+OEi}pc_|BR2OmfNKfZSyrL|q;w@N_gdM*( zn65x(gU-H-g%kvKHfTSlAZQaTu+cQhp77j2tVEQ^<-(L|B3ZuJ?hcWA1qNiBx8*=o zsVB=9bfQ5)_(BZG%p;Ij)0~U^MUAm{`P>1rEsRYt++D+L3r5lmTlwdYDujv2ijl-p zp;#0(e7GYsKx`faI|D@WAPfxd7tddkDU3(uU;p_N@J(0^kK|~sC53*R68muv&tDLF zu9aIp$7bd}49eo|`MCqWa~$`0G7ymBK~64(8euuI(s@22onw)NA<53^7g1+>)Y-Qc zHA47$%ma9(Sp$#ci7+UOy6t3|{II9mrY`wm{pWf7ISH+r_7IXEmY;7HG>s%byw}go z9A_8lwp}*~k{@dHn#^FkNDcd|T0bW96T8SP_E(kOH*>sQWI6ug);0rMzSpCGd9ArZ zp+01=A1KL>oaP#zr*=1;GcRb(Jw+*LBVbv3$21#Q)=scFdp>26JWiwco7;KE zJ>3*Vwjz2>#2@dKFKdA3z>Y_!&Nyr@}+Jn98?# z+%aG%jQ`^eFveGNBj~WGk%jdE&jq|g4b1?P8UlPanZW5th|Ej zXS8gJul(wZHS`~dST`9|pGXsYHL^YtzxMS-?wEvj8CRc({XaD>?^c`+9#wAEAVWdvlmj72{p#w+3dh%ekH;Q=+MeF>Bkb7`6t zgrm08Pm;79?PlV3Xzm1l9>{e(8Pu92GgO5SQK5w@&Dp^RV*g6Y zEyQ!i2c)ACODX>WK;l29fFtS(DvK&@`N`vWSeRxb5}5)-Qi!#7ET+P0>dAHJs9D6k z{OqZAIZolK=-BT+rvg>1#2``5tH=&}pJME1hrMrJVaq`d90{Sb=XTDiL~IqQ-0iu_ zU1WhR$1~D$*kj9)N9XCCe{l0D@JhP~5AkrWaZG~)x?v21S$>ALxP-N1Nv_aDq?Q~+ zU3^hr=?1RkT^-0O#mDK;BC@L#e??RIggfVd56}f&;30R1hL;Q@U0l6>hc0*_-3a^k z{{!3eQk*zVYiibwNxO0e99RA=Hf_6b8v@tY_3e*WQ~6}GNJ2M8`df9Ka{eQzZpRFQ;QSadhGbYH+Ie3Btx~le=Qb%5z3)-;0nLQ zLk-rgq`NpLS?eA`nkBq-kGtVi6{dP>$<)lj!BenVHi(p|&z&r;BW zMpRHdn)iM+Spg&|s_dE_Nl|5VjY+R4nRTOQ1sP{&tUUlqnIuY{`9T3uI_GNxs_FNHgJ-Z5^n z2QAj2P z$!NM$3jh8mA|-5Nk%S5J;$e~a3U|J^+@cg#x@)qOa>Q z*b|PgV(T&3PWpK!tB1sR-V;4%wk+A4Jb)ld(cvdctUiBx9|7Lq;=2IGPEe zIqH@W6Op2H!zE-gSKgmYFOhU^HWMp{jHP@fFqX3Xi_S!UIqop%?>`tG@)*A5SSb=3 zD3XRn8Yr%k+@Tdo+rAYQA=fwN@`{jT@@0g3Hpv6a6}T@m;r?a=;qHUn<2dey6n2x< zu)k9-lA8eIs2F}T^)8f%{5^)I%CkZQ9ODy?Ar{k^YT*C~;n4iQYcK}rufjt&g$l86 z+F_Gi5C{+XOkj}d(I+F1$=wyD)QO^t?x`hW-~k?q6Id${AwuUjr&%;$3j zHUq)cnfAE7>wW4%vUli^(HqL%svm9-lmw`78LV7b8F5#HpMADoS3Pzp;6Ay#){5 zEM8u&Bec%%G&&yPXKW#uVVDh3QcIMa1tnf!n_z`^Y`^MB?$|Eq0GBMEJtKql0I}1` zGosLN{;X5j0@+F*0+dwC8pUZtVQ1tnX^yZ7(k~MAOMzZzt+J)SFS+q|XjBynSrAEi zSdU$(rfvc}w*Ozg_h2E$IQ>@@*YNiHe zHKMF0Tgjk_nAO_(I)b=q2}oL?8#cU4BVgge;J-|ToxpcvD!o3KIB`dy##Sd-0Te5mL;(kgZ{96y4-r41*WIfguXaW`7WEBnLRq zlrk%_T0`Wk;(ib-)ffqp35k)gVK^%b24{M|b(WDcbTF!Kmg6)N{vXnu{r_z9oL#oC z@IBcuHrtl(m%L3`2*oGG(soh7Dw4^L=RsfHgO3_?5ve_O?eg0|L`uda5I_?8A*DM@ z!C_p;E(~U!&}xRIl9kyY^g&So*mkhW{YeDOgzPL9@?Uf;P&NX&1#k_MD_MvvOnFWA zn><_#uY1M47dm|J-HG_OQIes&_!{vJd)$j+-|m7yzJMhkjpQ{vd49sEYUh?Q><18T z!gBADTYw(8Gat}5X~a4&@Xc`NE84q6bY&HY4#!gA5^P_*ehWH60jcCuU=90o8T&Kj zJ^S+<`!hlX7hq&`sDzm#uP&ejWG1BEy{vBrWwK6|drvk~qYqVCM)lE6i-$iz>~1V41Xhek31>`;Z&G1U>M3&quL zBfH95pU9ytbwo0z)eP(hH8Jit@I%7@dq1#PTDzAoam75)9X?bPy%Q!ULs&)TAuFx9 zBI7SiCo*U$8NxQs`vYJrQ3laaN|8^RK0w`mfQPgx_+&&2On!^L2)8b>c>{fi!0lP= z7P`J`MC@I?`HVqLQa>~E;c82Dp^==~F5VP}Jgi;#;jEeYLA zO#KQi7|FXCZ`zPXC{Z~|l;y)+yWouVVqiGJ>|@wd6)jq z<9aR9?rTPU0jTnRF!bhz;e8Ky!J3}QdCVW-dF(#qj(dGOKGwFhPsOdumL?Zg91T7V zprV>IH;)f11ep z(#$#{-Tb>|C*mUFsTjBgOcw0!7A9G&hYkDizuGxM?BrjRkuV~HU&P35k2J-L;UOQy zS%H^W+G80CqC!FZQz=1mEeKK^izE!%jo0t&LP1I>ZKOR;A(5OqA`v!zI1HUv0rzx4 zFGL1y)Us9+s9QgNbQGxjn2D6!BLS%ykXiwXbXXQ@FNue)Q`NOs&^!huqz5Xpr!o?; z(})#GA`$+-BuUgoo2NRfY^!l?s^+^R>67608x4KQ`FWP49!ZL~awOTU${E77!6V7F!DIu=$a>cXe?wgxoI&3Rd~Nho zv@hA&0*93G{lG?tV42DEGUgXYh$Ezc5c2t)%^+ta@CnpVlN-)ou_RMqO0rhPlMEtr zCG~DNCuvas!42m(9q@_4EQL$I0a zQ>Y>)7c-ZC{057`fHqTh;fjIp)z>&Y&A|`qPGnLqC9f;!5KrGJ{eB!?(B|hpFWHYt-Rks<{rYh7lb^eqQloH>QkX`vhxRmi+n@Zz|4(}5hxB_BOX9WO zwXU9Zj@sx@bMqj5U;!#7&V`5EQ&6nPe^k97eajhv9-?_mOw9X#57I}^67wEM2K@yo zTzA$B(v!$?k{+6%+8jZ##KBcyUeh2w`yeqd&K!!bnwahjq~$4g zQM0+4DKP=qDJZzZ*@7P$Xr{!XGG)Z1-qZ--i+gB5EsI2r03J_6o%~Ou=(9X=1mMR^ zF&_c^N`Q0(D3Vj0v2Jh#u<~C=0BQ9{0AD^w!Hg)Fu8h+LMgSM0;BS)=K<>|NP)JvJ z_!PtRGdEqEwhQhFGp&m(Nmra-72o4X{+C7oV?NiTeKX)utB2WN-dQ}_nAgR(mN@Y* z#)te%p%w(-&0r=YfVnKmY$R#mN&c5c0M(y%M*cnE;gfQu&Su*E=gmg|@f*=x%e`(CW{pdR zqWd-cVC;jnPV8JMiUWn%%9!rH-&IngC|=#B_(~giO9nTxbXRzLPEozOO*QuxhO4k* zVEXnudOW&IF&d@p0}r2E-VFTAMCWrvk1Ggq04f#V?CmP;g0BX!NW!YpI#!)3B6WX| z+Ir722tGYq!_)#?odQ#AoAP8AwS%eoET+#+u@^&db%(%8`5h$G!QPhLK*M64OSva?Pi3lv9;qY;uzIg z%)!*&P-xpQQ?)8M2t+2qEPq318O((#192^p=_C~<(Au2~MfvP8NaZc8n?Osd@G+l2 zo7!y?z(^0FU{TY74D2D`=O*ROji17M5w5#Qf5HhgZFlntv~Yv88kQ$y=`u%Wt7*{# z7Uv9J!iUN+VHH!~0acU&4Zz4^H>;lS>PKgDy1_O}mHLtlK?x<@cKbg4w5 zwg(O~f?){+T8FBZkqgE*hBcnwBIo1(x)##AnLp)s^KYro`x4;D1RC#kRi#9d7?dOl z*3C?kSO$ipdMxvD%2lf}`X7Y3mRkG4LL(wgJvENl!33~$GJwhFu+#;g&I_A`b2Vh+ zt0|G)8|sJ@d*t}GNXI;jkIut&8~Xz7(K@ZZ0KZ?Njh+N6TW-T{J*XS!B&Qz5B`O0J zTR{!zNuL*29`>r5{XjKugBKF@%232dlzKHGpW&;y@bD?S0$D7N$%&fAbhtGgd>E&O zSAz3KkPT|lEyOTuFS9R#1L*R|zRbSMV1}4)`p;)P$JnXDSvT=JPAX`^hOUOcf1z&> zO}mYeSiTUs?lLclsop=sdmRz=B#4^nIt7xMs;|S`Ae+n-?p&&}ts?vDEdF2)!?b?p zK#+@{PxgFD^2M+rmA*BL2#<>KfP?sKta0UwsEFYC7b1x`Bq1VPDc%h4lTX6KrId;Y zeLXRC%cUA40<&G1`+rpY9vPG%gFIlsZm4aP>A@NfoNjcJN)h9XeFo9!FoqqIDjB5$ zH^MM`l*}N;pb|ljTBFQXlv3Uf7_7+bl=M$CrFLGfCNm@OK@j}T>hDqTQz-a35Ik3X zD*Bwha`z*+>`sR_uYt?uwa`LQLJ5sbH7_B9b3ee8?|_HTO}>PdXtgf0;0{6U25DT~ znsAfp74HEk4J=Ws_AzUM3Y{a6Ogxgw#7p0~h2198A0rYTIRQvQ%^H^Z=M6mILjIQW z(L(2$_-Ypt(VGzY5P)L=-QVEoj%4mlxMsUiX4?`m(-tRI8fBh?RSQ?K1@uVOO0u_R zv<57%O~838%f9_!!GmwH7Hz`DO8f*FzUO053U>2>ZcNW1&TkiP3p}rN0l5-pNO%fJRUr6u!<4)hdazlSNtig^OSln1Y$1X z02I$;aSK*(0GyI6$f@`I`jRmkw3lP?Ufc>{aZY#j88#&3s?)s5TtmWhHF|CgMXm`; zfh#P|F_z81fv#ucVrcf5V0X`Dp01_p_&`nS*gU6VesO2#)jLR%ge~7Bp>1k<94g$y zue+S7t8j9kS?HSy7;}=Fa9bsr;T4y$y(`&sRuJ>iRZJR*HxOxfn!K1bo@5o0sP39Y z)hJewXch@nfK2SGxZqopMb3B5vpqz9uxqzt_FRhKx1{l_PkxuTp=cOvT}Hx+BG1w4 z=wWZ?Ou0kE@+xdWyqw9Z@BuQzJdwHG6QC4qdxS+goB{{+CvC$T?!Tol_ z8PkkorOX0^Y^fSWR=*7(DlA$~OA+_Ag4bM(l8@U;Ooov%*@-h5Z~20oxG)@Ff-E)5 zXrv8-ot@y0+ILJIa}=hQcYEKcD~!}}1SktBOPg_&wQF%OqV9aLnyfC4ya}RjiW@1c z&yAh^HA-?H9xjt{br!o9unY`2mC%0)8R0Pq_cA8|-pWmPD7;{TC&fu2mnj?`TjzG{ zb_bzgfw$K<@bQUHdJU>GySg{dcexk zWRocXt=4xr=aB3y-sF`;KntgFV2=FVZ76em`YqawY>$_=;0;}>btQ^T<`nBx42o^0 z6;pqQ24N2{m}#QeLr$@XgS|kpDYRl~y}v}oiUBh|*IcoOs2H<)sI3KvzGWh1#PG|= zE14KEnm2y=@;yJHlLzfZzux3#SLF(e=#mkR^X=}d5_AU*x-&((gr=6;bbVAteYYxC zuh(jQfJWCR+Gy-lrS6HpdOb4QD*9SA9$2|*ARdiL{ff$&xdxLoCM@rlro+sR?4W#K zyR^RW|2g)51^Yj@RiyH0JgW8>RkPp8#U;Le#a-Tx3gFziOCeRiDvvHjq+2YKwHQ{m zmrnwth0OF6yrGH(!k{0o;3<=h?lzltfR)}c2}Q)osZy0k|4wQGP_Iq)5K7gZc2|qA zpUvGhpA{6_W|v}IB>g&z2^nD3oHA8aS*4HYDH@}w}W#xtuzdhUr$7I`;#~x zRS)I!{z4Ib5iQw^uZJmE52Lwym>vzbm`pp6vJbYRE|?G$AHv&Wxh-2W^7)!k1*s~r zbj^5FhNt7iqX}yhcoEr}F@>!eNkgxqhtpO5dUtG3lhK4OWxUtH79P^j#CEB%?rG9^>##>6aGs)hP2ed{NnO;E!0UN<-kN-z$u2dIGvfP0QC%e_*kBxF z<5@me?Q_ZqJmnz%_X7$13v(kzqY=U9bAm8X&_18hXFZm4(}5;_5zG0-p)uxxCHn^! zevshmYyg{r0gP|XvVZsrsV?u$K>_PyNXn$T<*=kOcv4#8l6=#UI~L(-mo)U*fJ8F} zo3rK`1&i4QNBPsUjamj}7EU@z6$!KQS*R`{P93oN=7Iro~jE{RyicZN$LQ zyRj;D+h}IQx~gOw0`f-CVPj`SFiKJyY+^({5{8IB1))qD?!L#dr|aT9-rR^2(G4LY zct_U@gXxpdhfEl7wjuA-;xe-mR+SQ&N|J66i=RLM!yUs zdY#$K`v=#sXB;OVt}vS^v9=Jg++@*|SUd&oMi|tR!%_YAyWgzqcgnxoK%}yr$V&y? zM=O#=*wy+?UCNm5u^KIJFRt^UTun4Fqk%PJws-)VF$c{ES*cbB*)wETZw6;9eBvua zyBt>Vn`&MoNIVli2GoOhf`pE3RF7}aHN1_yYw&%RsI}||?QUwM@pvW*7a|UU&UGDN z6an;zH35u3zzFfD0O(GpMh@{esH)NA}la((I zdu&pWFX{>sv|C2Bi=+6&5ZR=CYIr{H7~j3ek&U;&CUx6ZWaDOM;MwpKCEE(v4^ydP zE)<3q#DWhv9?`hty%hk|VvN^b(5147wgzuAl_T>*afTDX0+rLF+HFib3xisQ@C%R*q^m!~GnRH9!IRq)s?jna| zItZ#f8fzX)?FVc{J3lj0W-{qg`nFmMC-az$fWn?dqPj)m7+x8Z{-mM%GqAErC-345 z;=BWc_S8)!n06-JrEwscN#{g)f=E`Q-o_Q6X-{(QVNm`dZ87-~;ztScJA%cihL5@G zdtU|7DQ)jrs!#bm8db>^FXAI`ZdR)zI~lMf5j5QSp{qPmC~5!a3lW}KRtI0m=AimN zkHHUBxz-+SHI1lNuMd zdqGUo*Ye8-y`t2Y?p`3P+T0qoUG@M>tz6a;l-C zQtk;%X>%Eyu$=~cih#ZZ5aQ@<70Q}A@S4zgog}hqoZj&Tb$u1Pd^?hzH-uE6G3{Z2 zX91ZUkN1-7fb}TXG}z;d$1K^5qlFy#lF*$L!qa`nh_Ya3;=}Y-f{{{h40sBv zxHS)%$#%M7cE?_R9UNus1qY#BR-HPGC9p7SH|HpNvW0$ZXMibUaO?J7#}#cDdt%AG z^(+}oEEzzKsv3Kti9k1c&@rUDRPzl-7fLc|4*dNIt;v*BOlC=r)(e{W4q6O>RNdiY z!QA4vrD$$N@>hJ7Sx+X&cGnem&R8sNa?@ANbiDu?lQ6uL8f{MNQmX zN(D{q*B!4{1|-fb{Po-M%YM3B_ptx?UAHa~(AqoA^DaNnI5x-s5^J{=c!SrCt(PLZTW3n`j$YDP?4L#29O%>8&vDKR${? zH!Hs59GI8?{hXiFp+|%YE3d^{M{5&0R6@70wtX9IDk;u^Pf)ZIHtkCb(5Id(WK+Ct8tH?M0&@9YrO< zGo+Y3RJncBlreJqWh(_B9i_KCS39ifgR0PZdt?2dd zghb!(ehZjI3FNN8d$DTO4og6w$?7=Rc%0EespA)8oMcncXDe6yOcj2xvIxyD>J%_b z2&_SQ#>en7d0Xsvg75fSinqc`m)M6#snTUxW`-sW4c z3j6%)+AtG?&5lVLiCCh;P1-K`JC2!ECvBeo=fWMwD6teWoRg2Qvc3Ug^@tv1o+$Fb zB?nem!KrqL{mh-pQ&E9V71S)3bE>+9f0-l~%Ob-vin6+=A_bSQ?3?YS+@M&kzY8zL z6O5D#_0oxQH=5y+g-3O>eI~d}RCvN9aG`7DtbFY_37^t!=-g-!!|wYx%)o3L=nes# zM2FjyjE-16740#lTf0FlEM2eD`OX1hI)tHQ->0zKer8G~E>mJF6-KlwlFsUwP(yH5(Lo8HA_c_LVFE~?}RD2xp zT*?}q6iO|%wd^LAT43WU6I|5~EbgWRN56z5=!Z_}|G|#28#orHQ2M=wzhQ~+EiO&2 z-7l=yrnZrh1;&uZuecb^54?9u#j*3@tuX42Q!?0hF7IAQ=~kJieE~0}xj9e^v=_<2 z-iFR%zJF(Qga}ij#8Xa;4F^VkVnN-xn{4gsz{WKzkBx`J?K4 zvzU2KnTGYrt;a$TudmyC^B9?y=w8CQ^YhvHv6p zwv;?YnW~2@Hd7&Qx$o&ehb*QfjZ{1XP&lmNAv&>ssd-LKpvJKV@V#2s{fZS-16mU=~^(uU6_`9h)3oLTcT38+4P z$>4!`ql>9Dt~Qc4SbRn2;`!o>RB2O$J*hSA7AOn`=y;DV60pv5SecR(9*fJWIhgEw z@jFQmDD=a!*+Dk$xW{fxIi$_ieNR||E_hfJY8LOFX@VZWmx3AEQlVuR$npAosmN_L z%M>Kx8B#nT3Ke1{2)GYk`s|XVQ2zI&LO+?sy_9D2jcO>gE+nrrW2i5+mo)M3dV5Ki zC~}}+YI9n&h14VL%hbhW7m4y$cq?>Jsx@Q>Wx=czN{wsDqUR`Mj^=ZycpFPdlIDNZ zbnxo$P>?Ialr1}PlE6+zMKoABoo-cntxQ;o{EjA=TX}V;D~2oo$Ye{NjhG(5J2NgY@2|jJ%aL>>0JB99T)50Mek<^{d(oZ4^IawFKzE-c z=^CzzK#!)+u9fCZfV3M6w|W>4$5$26Dw1m_Kdqr08^AjdvcuL;j-8{Z&WycDIUL|( z*HQjxt|{)IK5cq~vj(wEqgjR}SzWaGhYU+bVnaGIbPi={D7#Jdi)W=~efMLE)ve>6 zQ37dJiEghuzZPU}UZU1whFW4UB!m=>b+`0VT0V8O2e)BNOVvw(%L;0rbTu(7h|u~<(7Z38N14} z%!@MrV!@|Ur^qJaFfUf#i}L=sUV7vy8XOs!&*(i`{C}T^;NME8KuI1y;w6E`RJ_T& zF+JBS1#Or$xP-SMKbJFChC|r+l+@NB-e{|WdM@8}f1(TJdA}%%Y5+m&GSfUV_LE=| ziBIvcR1@$L1XlyN5Hi!ll#k$L!IJFTLgXK_Rs`>AG3R|HQ1 za2&$M8|5C9rX#}r+?Dp74-0+zg4ViIje)O{$zH?eqnc#{ zlQRVVF&o&mUN*2iP8NsCxUU;%ri`wQuEZ1G3bDNs&LC)V0i-cD>L(+el@jc%%&~EC z&Te$nrcqaZE}$$-9p|1uo$Ny_TsIKTATrJWuTf|*sxj@B@XnSa@*OH9|MPT=VcN97 z6=sE*kecvYR?1eYFl!Y1r>v}|d@dn1?{M2ClxG^lqB8=wo1mnB&7xegZ+yUhG~a_z z4Ew!)FKO6di5eX%J!Ly5i}qJXyffWx*!>40Xt+x>xRGGqR5-$d7yVh7ewS6Yndk>o z6P_Nl%BJM_OOb?@C~2#wq;;f65f(oQH3Xoppbus@38uVls7Fa;(p3{Eua?(Bf$^Jh&l4Z}c>1p_arFM}-7)^j1%gj|ZlH%((ba!$cpq1v_UAbvLV1Ju-9qode- zp5q|XxZH~zp|<{G^LdVL&PT9(jqMKdo}R)cfJ;A;M55u}2kxibp__AL|F$!QI0;Zu z2vuzcRq=Id;T<8y=B>|Dyf32ts+)7geFCD|>qSP-W?h7cf7^t?NUZC15sIeTV-jLP zMj7u;d{5TH`F)!$Wt22-8$>k;7rq0vnj1pdEnt5FMiztV^wI$$OUNnhvZ8VWj!J?$O(nax1J+t;2O$|yID z^awoTd7CQMf{r@xzzv^KP8aFnF7_}3dF4+)!kuUOHv0gpdSv4R^ZD?OVmui9zBScX z$-SV(3E|{k<-AMf>`Fw(t0?-59Gf*kR&NR=Q&wUextLdwZ@)8Tr5}%4JX>fRiZ190 z>E5p>1=@8I_f^oy2`2HcSF3MngZzyl>sTIB^i=;u>eny@qpH9-GyOVIh*}s*cC0IG8lefIg?o z%(yG~dXr6gU&BlJ{Lm<&o&avFs?(1qxLRpU;w%`Yy}91tD2<%i@E=U@&E1r@9r?!Q zN0|+HGpDKIzsRSgIX^+NFsRchkY;kw+Iq2bqJG&@wr6qN+{E&uGCvqS#Tz#)GBdG% zcX1GKcX?NFPl$*B{+jvNKsia!CDly;OCI#a4?^(r@2>mn5l|Ew@|zx3%LZGUfjlK3 z+5aDYWN4sUkt-X4npkbbq!7A~B<`X6-~ew0X^b9KK0bRv8e^kHY_}q)F?1`wvpJ}e z98z6QR5j*9&3xw+wW>=E7#6lv57>y8E}0z7<-1vgvjy_~>*sJ~Bx`BEU6=zY9o^Bn z-W>fJ>{Ost4!4lxcPJxu!pPa^!?dJu~F?nQ0=8I;V zVL&)$aIkQd*R69V6xfjkpun}UdZ zl17+Psn(f+B>1d014&QWYcORrINJ^AQZ7qg^W`#i`~P?(bxt@=vhSx)Kri3y`z@C5UXOWP`Bo4* ziFmX%)1KVlTAF+b4#87jCM)XnX}j&bbe{sU%lNYu+~6*-zds~83?YZz#j4e;gY%w zKm5mf82F1o$_}m<@fU=sH7K{5h2CFg-$3s)RvWC_{?OBRUeJ9W4br`HlJNgsC zz0RVJ{SIZ}5++CTaD0naDl5c@kmIzKQa9AIXvL(1l)CM_#oG*KAb4_rt=p%Ol)5RG z4L`$6>1U?6y3gUI%fhpdg&>()D#J};@kTSERqH;L26=ttgSqG;i=UwK#l1YOzk ze{*W|kIq`0IaKxV>BG)}s{Z#!Mps@|l>x4FeiO*}VCDD4T%rHXdC^8^vcRJ4F^%KP z&%H`xC9@SpAKj+nq0w1?_IUa|q16|C?Ryd!%O8(jD75;3_#0NrbTix|D^A=jgIUp) zA4~9DXp3&*Vl~`jut^lIWL~&lVmx6|+u|zU5s#BRMIz5Wx=RUA>0CJc#1Tq>gs(;0 za?L=BFPMXDHJE`M8Gh1~O2XD6Gmw)P%s_m%l{PLlk!Ami)23AN_CEXQk_kxW#nb6G zVbAqb1!ioS#^~Ri_hX5d^oZgt&-@fhCJs$*4#=LKgG+J#Cr@|}}{lb$>RF{8VV;;T!k_Gk(bUsamt{0vPr}**{RHPm(4*IRR zDF|NWS(^algh-uxRU16wo97s*pVW)gpHY$eH65upQ;}M9!SZW1Qiq&3c86^NZI@E_ zsmSeZ@kGb?v@MuWXVUcw&@BORT*AMp7UCm-2S91Xy92n;CsTO^I&J8DN>EN5ARgb( z41}y;h^CF0BPhpQGdutm$?2xJx_IOpY{plGd_&BLMmTLgNEuZepc^lnYKFLUT6i3l zqsCm*JL$F{M{R%y4s2JxrkgH5uTm_9tf?dCu+1;il#G>3?)GY8ie}wbN#y) zra_*47XWv)uy?&KIf=JTSl~Gvw@5F8+z}RPLdU`OJ<>_p@)J+zFG8n&XcpB!(7$sF zEzE<|Dm@SIzPtP%PV-mdpwi?tfAuvL&iE#Owc^yjhi1ap^eF$HEz*#0wC54C(0qQC z_cY+C{i1ojo%In0sM*$TaO6GT`|sN#yYcN5e){3&hCJ+NCfVXy z`rM-Ax}Cn4P?W)szU2CcB_B)36qu0WNbhBoNY{3X21=&2Tgu79 zBUeW-sR_4J9tdV6fYaM86>K;xRZ^wUuc@JoKd43ar8{O=XAEm90mN|=VQzY9%+5Sw zk5EsZKbuQo68GOnRN+w@y3#aWkACE4hSwrxhCR;D_}|UsQMU+jzLn4&=O-Fm zZ>VriQu@R2GqjJgJ@62r2hNwL=9@g?T5}Hb@=r~~b432YI|r+*@R*Be`jRK{DDhW7 z-^KXN-(0^4c9Kc@m`BJ|FWU$VJ*6%GFpH4wMv1>B1kU{}L`VR~UFPwz04_wx>s}=| zkC8XKj0%5&Hw;r=bbJrE{#QKBdf|a_RBXTHS=S41b^U89wr4e8nAKY+#NBqIb=y#) z!1I{CNOrbz+pSV=sBpbpdg9<26L4~{&x>;(C2;< z+#pjf(o<$cx1$Vi&4?P1sL_mQ)#fw9O$1^sI`q7$KB)Y->sSs^N+pAd761qRa}_Y-uxfC*4a2pG`W-I(P%NzH6Xc` zvv-la?vgN9a=j$EB#gUW@)>>iQsoQ=mk-6iz2~dLZ5-w9AfwZPq&nOo?S`=tBsTA$ z+l|!0wuNX-W$Pw53ra46ks#j}csZHADfYO^*&dey=Vo8fe6=%GgzuAdJl%*+YmjD3 zx;qz*w#LZ{zQ3C-i2)&fGR@!4#kU#eZ)f1!bn~~}AN7BWQT*X{oZg}?XZ=X!B{+85 z9d(Xvv}zF+G!n|_$m2ki^pmj`wd|?9q$~$0b(K^OgzR(wc ziN3ciY!xGtFBFw8<7J&^``9CPyB94!zKX8@Dx&@?HTy~hQ9+PWS^W%?@>n5!(yAFjm^r6Z1t2HjqU*M4h0iG$>(86xNzF^d)S}SsGt19pW zS?>5%QycU5}z62>c@gtD7TmRa>AejzZwlH3)nf zfy)OY<%(edyu6t;0Nb}i;KKF*tlNUXxf>Ap%cdyCcmysQft2SXu)YTZzwLlBpFv>l z76kTkKrv>wKXun**Jz~t69TITAaG141b&IYitPwoiNKmANV#k*0#CL<${&xtKihd| z7XbDjZVAAB_abm-C#3w%E}*Rc1jRUtz|5ye`2_)L=NQ3`wrN{|Pl z=+_f2TL{X{sfbeENjw~sn(d5&#a==b@f3nmO;D6y5tQq!F5yHMZ^EULpafkdC`%+w zD`z{u_CzjK+Y`LvCP|!aQT>t#qRTKbnr>PPJY!s2@&HdbdO_hTDizaD|{O_C^%@V}#2g zf|5BKQ8cp&N)$m!xl07QM^Ii66#Wl`%V~n5jY5=!7=p5gpak7R6#u6LMcoIvC{GYB zcL_=bLCIP~P>cjc@qp;^CqcPRPzf&Bb1hbJgeKOm5 zYG34{Dj+B=?b_eG>!F=X)L%`w%p@ogj|fUDDf-xBf}%c8PzKszxl|F9@>GJdm!PPB zL6m~71Z8SJm!MaOqRSv$iUuM|$>RizxJk?V%TfKZFAzm^lc4M)DC#!^!?f%_5vAZVLAf{>QSARfl;y_>m#QVM3HLW?stL+&7Zfb| zZ&jmH-3y)*lzBdg68(Xo{6$bI;t3ZEORO)Q-4P|k7el?+)&|v&3nGH)usK-n?TETm zXA$+~q{+y3N5Nu;Ac}uC(yYXcNmI?aq+Cl-<`I&j`w& zEXt>dQgDTExj7zD495t{9fDGJfC#pT=yIYfa#4KlJ9ZF5{%Kqprb}~%aj=+b-`D~! zwuHA{diyR}Hc#}9@b@bv!<0cg+jdw{+8CR{;qZ#7Qi>S={yjgLKW*Opo(mTAo*CWK zH##~%6Qk)la!!~gvZwF7**$|aVYBA<^c_2@r~jyN!^idP-J?$rCHHs0xS*cn<}8>O zHm&E-8Idv5=FNzjK6F7;Sj>z$QS*Ch=FR>*e8#-bBQ-HGGs32Q9`5PUBdmd!%(uJ5 z)s=S4sl~2?;u$3qP-Vfy$(e8`-+KvDM#&DZG`vtzA3{q9ylQ!7!jPav`pq5%E3;k}eg8h(N%SD${%yyuDQ7&-{O-Ta*HXENVYKLgvrTb0(#D=KKHqIlSaxoLvBF!32$c568lpObFPEmy$hns z=l=Jt7-f$pPonHpsV>#wjCSsdphYoE+~3BY7R>68l71W~F8ND(O2nuqx4n6=@(*#u<&9|t*e3pLl!G6D%T~QVF(Mm-m290 z?=4vtdqr^_UU@Jq3)_;eD>id1W@@JlKPh8bgovw0Je8XxoJ<9#ekogLFuv1 zEQ?c;%B;dm91Cw%&kj>0EQ^DpxXwI_4Ynm8)v<`wem#O`5h1P)=2?8&Qoo0=knFD> z`La5w=bPX;Z$iF*Gx_Bkb8ArL7D4ZcNZ3 z?aYmdKZ?`$JKk)quh>$4K3mI_n3hvWl5|q9=1Cm3Bel<8l^hFK$gdB_3%% zFR^1stMQ{o+IQQU;VSFX%Qt6nXremdtc6Cg$~s@w%ps|~dq=BglSkU`&&_ZRnb*rV z`!N7qJ8O}(ZLK?&z9@@&>v`*mpHkTlb) zqtz!f0N@4yWcTt_TmcGMXD#wZ0EMFfu(CG*%mRR)0pNRJp$7sW>t6Q@H;2r>+1c1c=kZ|52t7)pW-;g!{Fc1K~7-_$+8UUVv47UK_H~=I9KnE9K zF}S1E#w9@EJpjA|fVTkf1^`~CS|`2%fDr*5t(;Z>fWsEoV0RDS?BJ=1K?jR0vgWM= zfVQCKP@u3HShN6uJ^(Ne0GP{_Jqk(ao^I?<^U$S@kT$OIV%06;7NR0F`P3m_y= zu(!9FOg@gTzi4`0QUX^BDC zE<#~#wDw!p6)1e#(aL{6$S?&coCXT{0I>0rMPA#@0MNt1V%B6(^T(0)%NGE^9{^AV z0M7y7Isp9A)4`(a=b%LqsQD!TwDa`M2?$RN+ENU~y#*+EKygp&Xtn7g2)P+#=_P?U_Ag#^8^avK;a6o$OH-lfI@6|qWVt&cn1KM-eAc{0Jsf4c^D{62MQ%XVfST= ztkA7sYHtUNOS3`9GEnmvP>AvLRXhQJUoKnZ)H>;r(%>50lB064VGI`NYMAj2YX`{w{~Z;Pw!Bmfwu zC#wGjfEL@W6Mq4KeTzF<>HY+O8j#^N06YPJYga9@@&TZ?512Z>qm}qQ0DJ%z?*Tv+ zk*IzS08O*3{XX$?uvnD@YTAsl-{0gb*WfN*zS$E2;9vZX06;kad<6izKLR^!21E56W#1(lgggNh3ISl_4e+Qv;18pLLMBk?KMFhw zC_D!WWdM+O!y;?t9_z#o9|MK2!K(*>nu`J8FQD*l2KWO2G};T5cPtdvE)a4!ut*1h zF1>xTLuNwl#&(xq8=0@(3}b44Ocs^n>YoocVZzU(_mVDv*=irM$#rPOs!@n3GW@ETe9#y(#((W%vQH*d0z>lEHbeZc&UB zW}*UQzIv;x4uzg5VZnb+BK2DT{Vu30&>bFVz^FTVf{VK zHxwEfmA&kpzx)L(VZ<9Sowxi9Jd(NP1wNs-TK@pvN^ip|m&*s-v;+sB9@vYSyi@bx z$Tfa_qEvSRA);YXBc8$Ze2wYUQR<*dTu%`VL}Fz3kuZmpI>?CM%KOmY%9m^H3@|&Q zUqOyjE4PB|&%};+wr{IDWc8VWNsC-Y4pXC*P8Jq&AUDM~R~`vop5LHcC~ z9ZlJfY0(AG&hFF-rjz(-tWT`4w6f5Y$<5*6!cBXF9dksqILcMUFYxO3_i&Bz;T%9yDh=`Y`l=wMxYrhG3R$ZVB)SO6BNeo0w5s{6>ahxuWd0E6eh*1{Pimnl%qCe6`i6OAb zr1Y24uq%e50Z$O4XwfJ+v{7`;M-rzdUWIFtyjKOeB5ctrCC)zbCmdVeKt=B$23a6A z4EVDnVTuCMEJl$^Jr8MZ`wJvgcB^hWy#!Hxa2> zq?Xph%Oj5acY}E4r2PJ9@Y}!9bR)I3VSfK6XE~AcUBmqTMRzyy?R|rIzT{iO`mz2= zil&}j5{O+)zJ)udl*7XG#GU9<**R}R8B2{m|HoAcj!6kC_jQx_E3&hfhN{^gaKCMK zRA>(SqnEv;%f8Ku5*56>7^)U)^gH^m@U?@Fnr@QG@Nxf_iV|O-Ia3oY)o`t+uC*Hd zp_KSG*<)Z9F-wWPl0Tr{58**a%+fq!OCo>0Z&~DPUaf-ix86R0}I0d@Z^|z>*1hyo-WwXt249fq)k7PpxD`OK+k{ zCRZaIn6R$90J~IXV71Fw^Y{g$&BR8*um+Y(;D|R2GGzwfs8nI#FsxDL3V|a<`V$fy zj|6ilf=nw%3=XkkSXD7-F*qdl$u|l$I;_ojEWyBQAcF{tk&jL}(b$^Q*gnGs$qIwv z11p^nz5gG1Zvz%(we=6*!yp5Of}#n24r)qjIiewcAT#0zc{H)q$P57y$;`-1%}fVS z2TcR>J2eNj4we;`6_wTievp}=S&>H#)QUQ$Xl7Jo@9($oxo7qaoa#CM_xeBAd%fqn z&fUmfdwuP-*Is+y>s~u=f%pPSH=L@8!9(-jj<}ZeK!c0kL&8%;EodL;5-6$ZQh{63 zoPL^`lr^I$;EzJULJDZglLag{d_e&dC}6ED;N%_@utErE_W^C67!LtEB~ic(A>c__ zz+DtDA&3IzG$rkyJOo^1rKh!wpn&5f0c%<>381joYbB}bh2OJvq>YTg!zEStKZyCd zkZL2PO3v#e4V$vOl2Xm4R1rUciqqdK&xx>Sh+Wcnel8gbA4!Jp@nFdJWiYhjmjsES zVV#9j=|hI@k{H5!w!*c%PT`v!Fh~1#F158&X&lMH)LpE5+-g0>ZB(j2Xd=S#5<gE~JENg&H+5R(jpcajRDiljBwzE00^3TV`jni!2z;it}7BNq)-z-<8~S5P8#O+VM4&JG|W+5Wy73wP6#N5FijS4 z!)Ou-DdEh^r-0F`Wy72wz_L!AN-3aS9>SReDd63{RIZT%b{_5_V7J*6(DW$<{9F{Ak3Tz1dXI2AgV(A6?@a7#VOOcZ32NhWppA!&l=belsm8SEJTg+wOfCAhE^ zZL%bznK4uZ0#O{}Ig$$C!cJDThs}qLlb~k`Xu}{v&y}EECYH9-Wn#0^TqbsIgxkbs zd5pm9Y(ahi5iXPvI!&yD7y;G9JVu~$`B{#@IgWtxGep!Tk!oTKg=(zA#74>^u%r7z zs>VvySWZi-ahh0&+r&&BCbq4PCRRNL4+GOjyi9Dg+r*A|2w3Vfm|dbN;89sX)x`Qr zCbmX4vCyY$Dd6`M&@2n6n%D`+#Kw3C*y{iVtPld80cmXxEohPwbO~BY43SK%Oofi% zWJ{5uohJ6VWMX4v6Vu;zh-CcPu|V#qnpl6?#7?AA1-1l8-{~|Snxjm1MigCc6R~eR zaj9d1&19w3{w#gg1sar5_^eC9pv?4H=k5~v?#3y7$wS|j+XbbzPvcC1VAEP3SLJ?;vr!yR?6^p6TTC6nz zq@5reRM0zSF1;z^+2u(*Tn4p-J}Y~?5U?`^jK4+pSv8$w*kv3AyebRWhCZw5Jwm`- zv6!xt4XWrSvExagfFi?Ln=vz^=}Z!uaJDL(F+LMK1ne!gU>QQduSnV{pcVwxW)ILnp}Q6W6R~2g#`4&0tIOSQ^&hI@1$t&CM z=z&%EKEG7dGh6X_1h&+EJ$ifCxj$f{I_2!Nn;!pD8R%jx*(`zb3~S)n7%)!-|%HV|!_Ki;}iLp`CV|EE2n|$<*t5 zJT%+Twv6UgVmC62W0`@ovpj@+ZI#$@A8WL&nP{t!+cr)!HC=)=Cdu_J>oJinQTjGyv^HETzwlRoAfpTlWtc$^a| zNt&kc<)JI+%V)jtq2|lyOcUQ-(w7gtw}>A~w5O|^b4#Fva8el&+8!gqGzp<%7)e)` zR03F2CS6_CI#aoOV>DkiyCXCayO>i)r8JIdl%9PAITg;iKn?eQb z!NXghHO<7S7r!`F@6wh0aEZCe;#Dxoq*m??V9-~>M_JN9+~&bS zlyTN}UhGkt)E3}Lmg427A^)Y}(g|vvN@c`#a3{*YIahpK4>UA_2M)y>4k0P?-r&sC z{#Zm8j+0J~9qOn*%TYffO+C!zU@@0#UjQYnxm)s|hV@L5c+RU8JQt8>!yB^JqKr#K z7U{wVK`Fm`8fz4;Xa6wwPp($z+|OV%*>)>MZ(8psnWj41DiU9A4SX1mQC} zeF(OC|+TB!30zdxK)Z$!y^R5@{1@q&z`S-j-m|2Kb?ge}64KFP ze%sNEpJFLiIGy5f{~!~Bg!HhrZh+$Qn}YE-Ew(z@B^C_W)=(d38`DS3bxxE_p^qO3 z>YjXYF=ArQXIqL>SJst0T}lkIxt-qB7%@jp1k>@8PR;3QJbWJa0ROspN7CEB?^;KKu9s7#SwdCC_@zxG<9p(Ke#?h-@Z`bV&y8C4}!P#~=8J^Z3o-E%4qV!m-J*jv^v z`zJLOIqN>@`jM$ahSA$u#pqn70=%NwZ>A0-b6cpETA>!V^?Z}VHrwV#+oXP?C@)5} zh9{gW);%g|y=F%&QEVUzk;qQ#mArq>kZ-7UJNXM2{J9MDZL#He!A34bFpnk}7CSO|@l7ltZZE zoR^wvbAnPyyGGD`>CF5`!Z=40ymgvXPUh^2IASlPsW7RHgrjxJ>NteP)?HKujpYk*aQi z60sY?Zf(Ne;{{Ki26;YKOqf~{(>V=NvBfi1dZz?TojfmSQj)ORZ75wvq;dcg{sp#6pri(dlIlAGe>J>C*=7pa8a0$O73@ud%@Saq zV;46fiFEQwCk3uUtY%uk@u!9o$hg(hP-b)`Pqw#7Y)&J@c8uVMC5uH-_!1{E#U>86 z|D&N4uMQ=;DjG@^el;+ZcAIDn77AxLi-&9|?XuXG!ZsU&*S~5@vLvVRk^%*OCdrbS zo`xEscFvO%eplVLThStnEL|W=Oy%)rDX7D8!O|ln%Sl192mp-?0FyT#x~!;K5!THg zyS!|%m?&m?S?gw#G*N0+3u2c@j0r8?#PT|>Cb29MGjJ3^OljYeo1Jg`IJxZ#_8O_P z4msSe`~1jsj)Q~XS@n2$uW*ZY3gg;OG0V{|zfWA`JCZ#_oBC$Sz52;*;-+nQFBthL z$;i*a$gSC2Wa8VEyIG1GJ%cP&kfrLzSURvouymX(&2_SbtE{rPFl0a67OqQ8VVM<0 zFXl`6tAzaGRlU!wQc39f?^ufZp;SQRP2DVhf$bGUFL5ZESZGW2WYPyc4FE z3hnpZ!*S5f!NY*TYOo$fhqNcUVLyX%GTP+>r05Xw!e<2AR3mpUiE>*40N4-9%I&f4 zC1z}_c9hWhVWXR+pgT&09g-y*dwMUC32WMsrST*iigv+Ll+l+vhNf>l2-mIVauk0_ zFD_z5tB_ys%UH2EK$bu1LlUbN^8eBZu?$uIL=rPOi8;bs2;&qhPg6P+l;)9Ep0izP zYlf5LlI37s4&1pnIX`AD2QuLd+OiO0$g%kOF%@h}WLx-aYFpJIg`cF0`K=xNMGL;O z9w!6~Wx13z%m0Kgw-j&e!jh66wxt{VfLJhavN%6$+;ec;9#$21*BlbeAi-+Ej5BV; zo2J&4a4S{qmbwjostR39XdV9VvOySiqPUm2YV$G~d>8{eE5TEKm%z1H{hPG$_^W}3 z{hccDi&J)4yU7J(nB8XHq-M7jAiK?H8nfHB_K6tU9or)w;byME6ndnUJGROj_$9|O zd>%29n5Gj#&j)nsv~F(bpg{sUh0rz`T8qSr*4$05(mC?{1Xs5Fq7d=gU@qI{d$+9- zZF3rJllo;i`>C#aq8#TIujxS2X+%*az%cr}?_LWf2JI0ZhaSbiF_Tw_LA%&iBDX1R zSJQRb<=vcqtS~|`GzFxgd6W2I;_dSE$oDmmlzHeJJhGJ@*=PC?w+i!OzN5km1SuM* z%wo)k!cWZufI%3j6bZ%rVmL(st?tNS>ey>=KZ`5R0NynxDy`A0#jM_TpA;Wm-$|RX z{YzkQ8mnec*LRAkr?$!16KRKa#BR}M9I$6Iu&jGg+G13OOd#G&sy-Lcu7#`G@BX3? zIdtAGEL07hXII~aDfj+3iOXLPhy|yX*p|4aRCt?OOsT|8%iVLNY^-?w9r_iCfgO3% zR5o@J`S#R`(~Fdioy<1TuRxQ@)h13qf{C+X+xS$j4mNn?Mr^Mxq!U>d=4+(0<~fD) zjX_<=2W(qJFcIsQpbl8TZ+&EuF&%stMP&h-BSQC!2TR5t^YhcnILdw30xUoxF+1{;@W^4DKtGL zww_2`9HTDqwoO^vqJyYTE^o0Cr-{M4M!@aPfnC;aZuNLBJEqOqn4RmhHeoY_ZSkzG z6R2%$gpKy#zr}-;LljT(<`22(uY)eqv8r|e1A9OSEl9zQQzaZKer+0c5 z6tTBi`Im#ys^Q)y{cGZ}T_>I*FB<78{a@I&F+i`_hX3i_w{TrwLY}5xE4=Kla9uyP zRf#sqF-%I~5QQ%y59y_fxnrLY*Qus+I+jfoO3bICQna!;>#g6l_I<*-&TGxiyMF-j zWi7_T;9W`W{ytx@P0+$6L7F)fw~i%i*U1|8eXb?+{s979paOU!p3tER{0)27cnR!S zCzhC6(dNb)G-4dcvTjJB8H?3S5rlW%qtgGcPApidKq4Q+;jgIaK{M;t9n8L)9hAy|^%4onOV_j@Ig-c2N(p-?EIE zL%*bMVl&n}fJ;WTRsFz0X4BYyC$?i=fkx1yPf&=0#f0eF__@;|segr6|GY;ZWdD>U zco}P#(4R^iRR?Y|#Vaqocv#kM z{i8bkqjY9d7{`5nN1Fm`lEuDeHZH~F8why8O9v)Pq~>lCIv4y!d-0d^fJjA(CV%10 z8(oa@=B{@2Hw^IVzeANTgZ)eRjtyrJroTLcNN9KlVcjr*vW};$g_^9INmUK!(VDHz z4M3d0IhrHo&-Zi}rV04ggumovLP1aL65=c+#zD}$M&v@1vG|ig5IIRAg9BQ7`@gA4 z-_SJXQ(Rq;=4NIUo^B#`aXj%)4=d^^rbx-yD)$ueUpHTxA{W1Q%X8hEzb8K=f8oo> zUrgguVdiUduf67!OO(}4S*u;LI^&y)HiI}^ zvpHNF;Vu4ZJ*wIu;AartAK#CNvay=x>u#auZS6Q*LlJ0b_FvUz2#I8}lbu9HzA(W| zap`un-PNLZgt}}Lm)|0ZnY>8DR6>^saFHW5tYC{6`^Mk}Sp%xM$hv7)|k5M>S~@!^9SqH|#97daGb! z`gjV^mnukh#rhscg2|gia+v6!>)k(bgyVm(?)O;lgayibgkhm+Bg5xGr%tC@q|wxe96t)Y;jspZkULWE2)m6rS3Sb zOS&ME!A{{I;u`3UVdSiUoY}^U8J3l@iI#*(hm-9GH#K_bmy<4X|B?l|HeNi~)gh@N z4;kbEk((%8^rxrN!3`s~S%;{8TQt=_-}}Y$=3B{l$uoEu62bUio$_tzLwJjTpW-zH zU8ajnKf6$A8%aA`?i1P(o!&!t`H(?sv)!v|?j=ccum1m3wP$n?-0YkD8nOH#Aptr_h{$f6QBRGbjE8W~+qe+Dn=%+Qd!; zqSO5#qIsp#bZ-4@Lj-5|#nUbvG;iMEwz(Y}8n-!#RCot3%^BN?GmH3NfU)NPM03ZM zO(1^>GIo@#jHx#QtF9%Lm@v=na}&)EDvm!CA^ zF^Y^7-5nKvThQcfo20@)nj zeuAA+oSod}cs4zjIiZW~;6#17;gRp5pCtwp398Z`M4YB z1HHGL%Bfz5hwUjZjh4;&UaTc#w3=p$P&BP!Mn_S*`#7q?Ix02m(B}L_zd7h_xR1~V_KrK|9+f@|f7Arqzsog>HrJVva~X|$ zWadvC2*D`kjGCe8@meKM>Tk&R5_IY#8SG!l{#hMWLI10mySCl^BEF(ogf90A%IaU_ zxY?NT+36Bg@mDdo`LKYWO!!gw=0!e93aTg(>exVx&q_YlJo0hsTM(%wku_Oi-Z6KV zIYM1E!T`H!mOU%+x|oV0%O*YS{o7UVJndI^^ff>111aW?A9=4!p1ZyIJ5f#kss(>* zfvJ&nh*90${l|Ot&pRRHE7(F|#9NX7GUnDlE|R##En5X51ztMPHE*5F;X20Q@<&Si zU&Y)B9UdV3A;Qo19^UabAlAi6%1*%~GPT?E1~K<%$A!9txEnR= zEG~%bz%veKyj-^r@iMa?En8g+X882GrNf@g4uX`BXQMZNlMRzOTyw}@I*+Lvz9XN% zRV4X~{&w&FNveGF*gt{t{kJCtUtXcCSzE~sb5Z4!g1EUNcRu%9G^X6bfLMG9_a9%1 z=geLB6ZD!v`0(zUDLVsVdxuPF{wy_LE`9NkGglM=eL_RkA5|7UslVfPe#R59ks8)&wreZimf_ne`6o&kS>ght}1SR1*x>- zD{HL!oM-s+-pXs%zBzYYUDocx`MKrTb2-mh!vh^g%n#(73{mvov@0w^eTt2bAn&A! zDfxZwgdEmikblC)f4BBcoktnf!`Pg8ZugjX<-RW{%{Dv?d%cu8b>T@Wsh0BUZfn#% z23;NJ>TmPzpR@1;`y0PQ|AOwSdyM$YBMDKR!80u)dfVe1kfC@Xwm2E-upWEXVRyVrT!r6j#D!Jb1P=n;IWHc9uB$`x0(h{!4j%s7#E0`wiGMvnT(skxMCAkDE?z+PVTn39D?zLFY+FObKeSa)>AtdCGF&PdV-xM zu~VjGbamp$tw2cl1|&oa8k{9tX6oc`<+CbK45#A!;Kk%AeT^5V%O*_RY=`Cre z{x~}oh)%K%UL83Ff44m+I8$BvtjFEcI`8quXXp*}e3R&G6<$2#EEehWRbqzTuFlZ^ zDsic9n;7ZYRKF2P_8v3z#z?Bvu#Jq@a)$mzBFNAT0l$^-qwxY>4q)<7A`8f}{z;6V zN;7n5d>SQuo}DJ*!Nq@8V4A_HAZ=9*E)a|^B%{$9qsR^ZT|LR|!IRu&CMCz^Xz?N1 z(y?sxJd?{=QbS{`6UKOlmoXZ{6D|J+H{o{(mHM=4_`=*+5JpZ*$w#^Oe2Vv99QM%uH$(`9;$x9GLC17sUDxcoL}-i z`7R>gS>Aj{7fjfrHb~|e(t|q#olyAalEUxGU5UTjwor`3sqVNXI$WHc&7}az6hJ$( zT5^cH0%2=eRE*|xLk5bIfQAI(Nx)FlkU&5F=|DpQV%rf)%~#74DkN195SprxC5RA5 zSZ`$$;HGZ%@&g^{_9%c&E>4&_})shtoVWj^gv?ZGF_I{%s(NF zOJ4E1ZpZ{&!A)Joy4#plCi1Nx5T97ph43KD^V_V%8bMevm+B;}w6CF;c7B+p_L}eU zMb~8iDP;F`ij=PP>QyUxO_69V^yrlw!Cp3Asn=t~sFX*Otwk_6j-umo$oLg@XCF z*uw)!_#)SM6iap(x9mD+fTV5gH+4GyUcIQMd>cwzY>$O$%+OGB*#@B8uW_x_e*8Y1TtmyTX)~i7DN>zJ} z7QG(z=+)sWds#%UT4yh9eowV68t!axpOwtbpjS4C!Es2YP+2hLL25)d*lh}V9XfxB zKUFtGQgd$=O+k@!>usa1<4^ewk^I{FU5=CoweU4Gg^LZ5+|3xjSd5KilzAO|xW~1; zM&7@aY;A6hSY-BV1nj%S#}-YFxZOZi_+rwkAuazrua$7V{{wYugNRV zO4ZLp1tCwja7ZkvhWALQLL)R-Y^)q^LOver%Z`=ebGSmP)Dq*hE=KH_Q=m3TqaHbG zgP?FyG7kVAZwR=e%?YyN1$d<)U?lBGx0j>$lQeoq3WYJg-vCJ$@fqG#j!c4NV@_F$ z8WMPp<`FIkoB@IKfR)zJgNo~3gNli`*C6v{+-tD!v-Q=1*~h<(+-)%pynk7L{}Iza z3O1C~%nZ9>4BdKLS%mM7H>Tw-O`h{sU|sOaW9zdz5%^jhfc>@**bzeD1)Tw`Pa<&D z(*%C11%P$^34Cz`fzJ}SqSJ{p4~H%4O3WJxY+OU&g3e!`S)Vn5!23oK_`U!DC-fxd zIm1u9cU#W81kT(*;M$hJ96p7>D@T*(H3ZI&Bye&!vhgv2i^G0@pl1Hr^+2)jR@E>z#5x_ZI$6k@I?aQoOR2QyzbZ9fkNv=g}ROaIqRdxGdWIo zdh5{(tIp2s?5kWB#ls&?o;N#lo=#Nu{)hg(&H7C(oW;W{J9N14v0B;tq~p@}=12UM zN^{MbvsWJYYK&S9+w81{o!DRJsxSLU(PlUxJr9|Jn2qOMU-pXMyI9y_>%Re%`71o6 z`m$I2-eFr^<88%kyC%1xzHF1WcGQ>6GK|2jeMPz!t@OCps!BJ0o`SX!ZFi$>k4M|Z ztb1@d(19Er*w-iH+_ZeE8AW3kC47e4N~`?(qj#Mp6gMEP3^k?)XD0{$a3I((3%3W> zm7stvaE@wM44f^RI_VinZ^=;LwBvHy2w#+*)%8)DK-JEBWNIhgzpM-VZCuFS*7)oH zH|*`vK6f-MkT2{FEs)`F*xMD!-u5;>@;B{m?=iQ%Dbr+oTg2+?w6`c!U!VEEWp6Qm zVsA13V|%Ocw72OE?Jeg2C-&C2&wsMFzJ30dy;*;h?5#fV=-;%r`lFJ)rOWnqNVB(A zu(yc+EqfdOC-ye{e{64m?cav~zhiH^;p#$s?-lDQePKVl`N)poQ3dsX1ifT$`91!k zuB{*c@7@E_sGFmQdwFLZ?g0tjA8m@!*3(7mJs`_F_7eAi4C~#E_kiHOX5Ir55;KtZ zfOKDU{+~aZeZq#OmB-g)-dZ@w{PaIi!F*!iQzI9B-T!6(@9(;Haav-Z`mMig{c3mT z+Cj(P*p%9&#e$j*iwgS|D&I6$tizin;~FyM9F?X#-L?w)35`Jzq9{?Vl<(ebex&ZB zZbOw(LA=D{3%~8%4LjS2OFRY*2;M&+qk~Rd;_-1-&2in4Kyiu3GtGy+*1UeHdc(#H zyMY^eD1u&BPKVgquqIl$6ayPuGt?~FY(ymxBYFy4Ih=n>fo3m+C3o! z%WAGY?T8Jt4P84a;k#k$63P!A_0D=4QuxF4agpoSSs3 zMq)<3Db7t%LpKi}uS( z$dYaL-70DZ#k6BLNqCl;Gx%GTi*(cM$%mhFw0CErGdXl_=QZsJ;D8S7hIk@>_*^j_b z1Ar3%*b9Is34n_|3UKp>eh~n0^G9Gk0Dc94wcIzwe>DJbu}1-J{?M-gKr7tz&|y;7Jgfl*T@{3+hHmP zz(xY#-j3>t0C*Ju4{S2d+(#a!frpQv>J0&<*4smtgg*ch?*U*gFw_Fz8~`c+P)LB@ z0KmN+`VRoG2mnUhnK3jy+mwIQHzi^dNZ{U%AJV${w>yHdcpHl90|4CHVcG|POaN2> zAPX0Jlo4PZc!=z4sZ0mJNdUYD07qYFaym#B#uqu30>;UM7OEoOGRHLl0Tco+bu0Z@)xH*x@A9SMNEZvOvjhdVQd0N^PA41F-W=yw1_zW{(ym{|ZQ>j8j? z0C)ueNdVaOiEqkg0GM&9#>eY{;WjWi834FcBh>LAX4a>^DLwxgP?~;M$P(RF@W4wo z<^iA<05!mH9AZ`gpa_?0JUkZwu>e>MfHxuL&>7i9)c}az1s-sz#t#4}8wDQL1K=H~ zdeRK&;Uvr+0H%9jv@Zg~UBIvv07LG89srR08T9Zn3}zy1ayI}b0pQK&FgF2kmKXrA z5dh|U0q`nRodgp5fx!-dp%202K8MM@0v;woQEvj^Az=6%8&vHskGYu}uz|`EcX|BQ z$X+YOFqeC#3*0jmdF{1Qq?5(Ap|unjLNDz~1Lk!(`sd(N4ORBTK?nA?P@7 zOTPXPNhErS?Co2AO#6!o-Qd!@>_9lt|;^Lj1k0>Qm~(N=$fmU zFsRIR({%+Gax^!auk)3tvScZ5?WkUs6~y~FihWwm5s2#5TRQx7t<-BfLWk)@0+|o; z;N~wCrL0nmvLhq?dPEKJzwQVvtgJKEg-><9cbM8bo{X730b{yDl)>v=2v;LRT{uo&Cda>>(=6;EDb2HQ#~i`J%`P;xQ!ZFsXtpkMhC zohda_G5Y)c-sh$l$<$7!l34jRPPK!Vx}Z z#OsSpv!2z@TQMoERM%XpX_jfZgDj{OG(Bw8p$rWUbR_ro;fF;Bs9eq7%A1JN?&m0Y ztt7b8E3^e*k5s>bi(rzQ%|2w0F!UooMke}fZ(rqb7v{@plgRF(Bw+Yau;8|eK%;Mo z5_qFCzZEKNFWs|}mn2HOXAL38eelo>z)?T~ojb?`%2WcP8fHpk1cr98g22!YGJz?A zK#Cv`DHHg*1qh^e5E?y4jXthwG=dua+(V-WN~lqrsB?O(5sljW`3yv-N?D_!YAyMT zREZf6*B9W;%@vC<%dEB!CDpZ`Ot|RdfD5M;X{syt;m6bSd7VgPsL_1~w{5^a`d8~V zJjysDf}EFV&rya>$2CU72ald>aCyCNYYCFGf|Fq;9@?Za`zknPI*>CJr&A@0l#+Nt zl$tk)t0d^_G&iS&h+?@5tgt=J?t>n;lH-(EJZyAm+7O2JF_XoJP4Q~hsLtw}L`$HE*{Hr&8dl|8N;1t~h=@ROxKsf389i)3wopA`j2gD5)1`*yV9Om_P79UVpe&y6%cZPh};P_2F> zzF=IDpC~z(?@LJ3AlPpl)d7x2f2rNn=mi*) zb$Kb=i#>Jox{~&|U*(4hevjjRm1WUkfhov|T%&7=e+&%kg@078)&&A0e5~I9LbM61 z!}TnozorG_R+rS!)mg21JWs25mz{TiZt4It!eQxA};+c8&HE_b=E)EuseWN;yev zqGU>gw(Vj9b(C=y>KGkvvZ8Ldhif+6DXycl?*O*Am+;VBbDAErsp;nV=rB;pQjiy1 z+HI}mN7oc{W@n8i7ZdPs&Fm;+XN%~Xfv)~s5msz9@;VBH6nNDTGBtCaVzq?(^z}P< z*OC&vlW6j8K7SYDx8fJ*kFaP^xM7}qJ?OcIuS?39(-*|76m2~)r6w!k{(i|-9WA}l zX|V2$JC-UsAR_)WycCXQs*x*}8nToGl3VzJK%2Uu$MCy=zeD4V2)C?D+n;tL**EMF z(ws^p6%rChZkBF|G1Qv1(6^fJHk!$-&D(`M8tvKu|ueQnrLz zMT0E!o{;mDStL{{mw-@HoF?;)7JKohY7d#mt|YtpLgr$LU3=(wYTKb|yNcR&sM^j6a%k0McZK8!erdn8e94zcH z)xy^5M1zNIPkmJAj>(ciEZeH-PP6Ug&XyQpn*nt$Z$0I$kmc2e zr)SF=49}x&B$FIDN$eODx|N*wq~Qq;Q?PG1m_#cI3zW;$+;)=gS=^hUJBuEgdv58Y z;N{_z!RXMzTI83(*NsbOyJyVtoBvJrc7KmP#>;qU)>G!^+t%L)qgOZlG3=BB7(%Y} z3&X@qGnwIk)Cy@eeH~x^OOroQcm5 zFTuyU;}dnqVjto2EIP&O-*rcS8=n@t%S7g`v0#lBUn`RZ<-1hM5u|*VO!+Pk$`?Bi zaZzS<6K~4Kkv?ldIYFje{Iu9w3Cb*0;-b8>C1swdQjRC(i8AGh9+a=8lCnX35O|{z z%Jz{y>wzs%rd;{7xH3T$b5bxmF3K0$l5&ztIfImwWXee%l zNtP+sJuNmr>gSd+9QwhQV4u~5>Pvvu=(mp;rF6x_Cb9J+= z!Nax0IkyTCYpmU1LZ`T>YQ{0*yiimkbkvETlxjnyRNGr(2X`*2w2EQ#a|oNyMV-WN zMc;?TP|rnOz(2GQx2&J2I$iV`wZ?2EZ61sWR5zz5x;pr!PJj6}tWQN1>FS99H>{V~ zH4iRlz+NG4M=LgV$F{hl*&VoY%V7)@+lXcZr2DrLR*7r(#0NR^CEZ!IeM(fod?eOa zHmh;7JM|7xXSbTR>*d3^(w7v_uXEm$(N+gn165{icT#1Ad*kmk*XT@1{cot%K zR-8>1!&A=TS#j1b4Nu+|HH;!lCgWjv4iE3)$zNmag~9$x9-ibKv4B+XOqd}JkF{Lk z5l$(s$nyy2_3CZtp=VZN5JNT1L+1x8=umzh_wUpwzW0S93p{(U93Y(%+crxQ>21No z#a@)L!W_&}n1i2#>lwu}m(DTe7=2@oE^=zZ&ch55k`uUUukI+Evu+Zc^XiW3sx6%J z>W=c-xw>5!E(Qk}DpbLNy1bv}oENs-eQ>3vprhoRZL?OgOrw#jd>Iw#iWlxC!6x*1`o|rwVs2G5n=IcV z$Gs{EjY_Un1l&me=UgnnFW176a4RX>Rm$clP`1mI?H-gvSBovOploi8@?!z~E}~GToHI<^Fexa9 zBPw%I&KyO`4yVkd?2sutJSa!678~%{9E#+|C_f%B8xQ!@XC~PhA*JDD@i$=omBmT^NbJhUY=GQwDx%Ax`oEHU2dxW%KKT1HMxHYhB%m;0vgz zLWx~vVa_N-UPyF))LKkSIoLW-;j!LIz5qRORYOVz@vI3Me#~bc+K{!3+{Zq)C@E@(4xQ_%DEm z*W;myQq+ACYp1PF#RyFu@sYm1eT3hiqluLRW#amL5!*>(cXaG0nzqpqy5CVsa3wUe(7N=w{zAF;0IMMMH~>s zB_(-%@;~MjwOmdMQMK&;)-Y~NCEL^j^WZ{0m5&=EYA*`QYS`~2`_(j#QtiN z6XnPK&os)5sMHzSc$>GS$TEl-5Z!n`F#*kTo7G3buBtF3;=qUGXXZToMC zDLDVMkk5Rx!gXp*cT_6W2j}+1qFp;o`k*m$P2b;Pgo*G~i#M{LwjASvo?u_mmESZ5 zl5Ay2WVzV)Ab@)^((efzhqe+?>7Ah$cv{(+QR(uw_?>LzY2}{ov-90&FMYZ{#0X|L zPlc?cq(v~=?jtH>Elz$*x+k1<9W)xY?q*=(co&bj8+d4eN!56<`{{|S0ILP%^yoctM-?Bt40_H!)-o>uPnvxdUrVJhqropo|o z=;7c?svaVthl4Mvdgw%cU-r<$j!4oeyGZ6Bw1*zjLr!wkJ@l~aDD_}|505x(b%h>Q zSZ?9SzN`|A0>Py(s|2TUFR=7wk0IZ6k{Im7pzo_<(4y?;X!+fKHvy^OX5XqKN@STpi@DB7Z@YJbnb{#x{$N&73|Y5DNo6|()kbehZ< z-^WAW&x@IV`Nn{ma+#Tu9^%Y)B?$(9?8!_?j~y;%g2%X1fOfa!>1kXYHGJHikx|lP zF~RF7mk0O>F(8*3fbSvrMp8B-Kjn?U5z{(jWVf`!dw2aU`rCtNC+xoK@wm@9hT=~- zw*}8kIB?fzai4=|LS%(cir>K>#!pQHYx-MqdIcvZT)S)C2sWmwIU>5A3rJ$8(59Dg zx}HzCgiDZwE6NsTDunw?MkVxAPvHnYvMv|1qq-EiGVS|x>z@LTbkPmnr)SK#4*(el z5|Rf{b83!`8oL*|_`^z$lpaqSv>A1D6l)CxQMs|n?wN3S_XQ4VK5^-zkW{rIq7uh5 zKi!=0i3tHnNmg?ODsY#i%`(0flt+u|CeM0IU-5hp+k zZYr$pV47+6%~oK`yZT$3ex>VRt?m3E&Ay{gkA(*N%H2&lO>17SbbF7BM_9Ze=sLZJ z6iM$!Ku9wXbT3q*GzCYf#YXZ`Gy`c9~^O) z^|w&XpT4ges6UVdO?CP<$HC>V)?n`6KGD4uJ^b1d9?si8z(XIVO~uo}RZT5bceo(P~rE_G)*?W?=qmdDJx&YFzb~EB{r)zr-bb(a}usMp) z=K3Q`blB@Z;&Xe5pYm$JR;vRRb2=gSrcjuF2dmQkLS%|EM=9O1_9M*tM^d{JS786H z-}?B4_~A`T#hvd8z|8L71F&k##Q=Z&yR2FB_wq}C=^sU8I#Re{)zS`pw3{mIg=jan z(p$+_hQ3U(o8b{O7lbq`JaE%1?9`8)nDO-o+Jc+#bE~>@b>bBT#$Kzm@I(R6SF@Jl zVHgZElq)DrP2$=9;#{?$uH5N~uK=%GrgkzZxnsq7V_JLMJ>!w%HLmxYoTIy0o!^d} z9I?g(Z{v%a;UDH^eI*VdkWjUWHy$}eg4g!6A;5Ce-M8SJ_E`%qm{9_o^L5E6zvj}# zFxPImm&C&$Xr~*9JJfn0&ZL*K0g0GGrm(~APwuBq5tlP>6rvzG#G_tj<%ZulFGML@ zvn1wIcQz9Q%#7%rbEvXSJz+&{UBag5v&XmM{GF7JOV!*^lL;j%>MGvlV6UsZwcl(c#A6%f7*OuXt6QNnT(?uTd{SH-JFH}rx@*5WF%Xd?I z$DDUBOUHYEK&UE0C1?W?*ni>AoR!1(tFtnpm1ci|;{)HhVjUWpuxJ0FxTYVP4@R{M z>d{r3o#AD|@PdFV=tWK^v`D0I@WvhX)cfX5(;mlUDm($m98aP2R! zfZJ=<;l$+b5zX-16mJH9@nHinOEeS9-1I0->~x*T*>pm_0HetLYmZ?^%wYIqiD23hDUiqJ%dB z^ST&-*nDtq^Jh*VbU0rX@v-?}Z4mzv_?!4gF#dtHx*$(Nq0po)|u zc;pOnsYnesS4aIw339KZu?iC4gJSrLtiHiDUZ>@W?L=Xpp})|)w3DtA3epJ<9vf_T z?+a(fy+%4c@CbTB^OQw8i*V2vN9z|T<|a%Ys1FQ-(W%J;+rsn}SoHjPc)F-tAdK{O zWfNS%q7lvT+mt|8P!~2piE+7t+;$5&aYW&gB8?mE2r(lfl;xyn8Y^6`An2VE;ltK} zE!&eWA6BK9g9Cq!n{|nkMwFecM&i7ZCXxvbshk+e$)RO#PD0xalsGBeEI3J|UMjpf z$&82wC)pmHy!RnFDG;1AtQlM9;v|LTi?z7-J;AOlY@(8C zg8TF%+n?UDa+BXR#oClhQe9Xi^n$m(FtOOaez1wqV4i-|kEVN>TpNkgkNTBu>1wK& z7;~lXY)opCb5Yj~{6j3)x2r5<>S*#+ zAK{VH6HBsxYjU!3jNru77o4nY){dOm$w{B6IT9z9fxN~%_98jS_vU2FxMXtjrOe3- z`qu%f?~O-L4{uJsTX_+GS2-C4PBf?Y!ei`ICOVzNuh{?4_8Z&~CUoeMV_eY@QgpDI z{kFqPLtrR6n!XLQ$ZacmC&Rc!s@{NyVT04ujW;Qf|Kd?hKTY8U1G`X6`;UOjyveC> zyw4hD6mz;CR6Sm#7k!csf6G^A7~)NRFvJckKT9}=(y7;!DxYl#9?nfaiQj7G@``P% zF`G5Z&HG}2;ARWCdCoQQoNqXu$u2_h;NWtnE%Mf_qDoN(=TjkbGZg7VoaDo4z+;^cw2%|aR&uh%ixbB)*{_3>a+wo*hqd%E zpOcevZ%#6%PjhiHTPpTw@35JjPP0?IN7dAse7BJKEqd`roPkPs^Kwxe81YJT^TwRl zStC@wc4*)5YIb=O8EI(~z?Jyf{f1r8|Q^c`W`bgN~9D zW;Mmyy*WAG_YEM^3r;fdA(*zgTsihjc49hD>|UO4fy>jK_BA6tMAh1SvJ5eCKGktP z<=?E$r~bbC_1tfKJRVaQi+Koo-Ej{VX{_Fn$D=u^qqx=@j9c_|Xse6-#eLwgB~P%+ zx4^MQZg$n&)t9QF+`_D)`Jxn?dp0HqiK91l9VZbS(I=l<2u>GnlD5XDZRr3~tCfC(l}Ij?8T51388n6qG%T~KEo-N{&vkWT zZFNn3ncFI5yor9)rIz!5I-1JXdsuj zwMW0@VgGlSGvgvNV4Btv9S>VSbl}**;oPkr{=J5CruGCLdS;qae2+hMI}ZcZ zM5)RxXIMi^1NvVG%f65+rV!6Y< zy(U8J+mD`e&!eo^H>uZhwY!&Ioy_!6nW0`)w^Q_wEHeJ^k6;|%;=5PFpx^hL0Xay@rp0&tdl^y=0juv6%xac2rS%kVjxDw0H z!D6p*G|X+?gL(K5e&hCsL+ymYTr!XwhZd2~s3%ms|f1PJ!3_24 z{1#$hlXt-Q-jC@Jbc!^@cNcPqZEw;cG`NSZ)%JCwVKU1HMj5w=?Y_9qT61@IXFP@O zYE9I7%}3tnli{?KE=$E!P#89s$aQI39ihcpgcW@Oc51r+hwa3kq3Klb5=x}BbE>!N zU`2!;9o-RO(9MzmBF0znu({$e+;sG``-~!^*WU?Ia)-afrrZ zrOM*NWbvY#Mb*tmU7%*l?$zfL@nNV$mL9(hvxZBs}K<>?fl*6XXkBa$-k%&nuTNxk3;ji@VCKl zCsDgJnbqRA3QqQ@oSY*kdr|}^;`{7F%VbUt_|F0-Hc_wim^UZSHmw0CMwyeTE33$f zIJ(h!mk$^?s-gN*`ny-bygmy!=L%kET0J{@mt9WW^m{;;R61lEjs5{ zo+A_DgfPp^xq9NCu*izE?p5JRKs(*PRz2BSG=HpuWxWRxR(_3j`oDTBtkSv zeEq6fJV|v3>x*%VAJp#@&)h{NTibQXr&bg$!{2S9lIE**Syu9d^2u0ra*$W1mNX^ycQ^7JV_i9?2}ps@;qy*<7tGFRr5cBiNnE(vk%K zB9lcKiW3xPCQC&p`n!wEE5cIB{G7Sr2Tdt}dy8~FsJirop*`@`PJwYsah9aZBqhvJ~pBY|b^ z+P49kGXsyH-<;lFd4Hswy%Mn&0f;ku<#!QE7s^Q#BRl@!;yP!slWQ#^b=*_Z6feuS z`+V&VrE89#rCMI5TIw~m%VqP0w2)N^|>XE(f`4?Ksa;11{(SgV}3Aj?KrBOX{2L(IbklNBq=x=I> z@E^% zPHh;e?inhlITfg+>E(jDc@NrsP5NTcJQ7^OM=hpo%trkqQyVlK0zPx?IP++xBacQ4 zYWt|5)}H}t)j*9;CvrbnJW8aUCB$K}7OxO#XLd1Ji#?K}Hr~xICWE-5#P0UaK6Q*pH3|$%-A22GqPRxucZCe9SA9(+;MyBzrN164+%VFwLd#Pi2g@B?LQC5s7!GF`y2t-*i<8t=lebEu|Fp*G64V3&WkEv_?#Hd5`(hFi9vf6Ro^3H2Ljizb~lSbNi)cz?tMILCMS!^ zE8;bHf-2-`3Yj1ancyMhlm#vfhDdKA_hv^!$YF9de&wHEki~OkF+yXpVg`jYu2Y44 z20|KJOF|l3%R+|!Qyhv33}y|3CgkXzg%EQ4cv;BVE6Acn2-(lYqIyD@v*kiJXM_7V zuKdnf0(<-#cQgdRWsL#WP!KP`ZyE!<)DZA&H)j9Z`bl+fK$k0C z4Jh-3R6xr3&r{Uz)rLsYF?$vlaa$HXMv6yO?W8nh`PQufH86>+(`*pfc#Fv=Rhf;V zoX#509yRp~0<*vRGP2N8JBT&)7ol#tq2%(+u*C4Xt+&PX^8EmX;cZp(-U{r~q8@eA zyAil*7=Zmg7r^ZZoZbb%Wy=Yiv6{fQw*;_dJb|~2BJlVCVvb}@{qk-A&N)Tk#LWc$ zpbKj1S1)FL`fCXU?$Z>&2_uNPDuS3hGy!l$7Z$U(w*uyZwZv?{o4}I^T=fqEAG#I5 z`T+!v-bKv4S`+vM0xy|B;5P`IIg!BEdk{E-!2Yig_)P*^-X`$Hi2z>q^RwnhKC(_B z@UQ>4iqihe37oh`2zWDq!w(bKdLM!Ntf`;%QBk_!xfglfLg3mr2>b$pEuRs1-z2h; zcoPMjMc|XS5%^sK=e|SW{%ruP`&M9ne(k*b{eC2H(INs@_QvU!^M5HuMHwK~tz`xH ze)gtK3tIIkXzp+Mg=-6lx*?Y=hp z^0kECKaGFnr^MHOntb`E2uV?oDdCnt0&ma43mT%;e^8D2aea9ut zv#wZ^{jPrPR@SUt_=5eCa?4HnIfjTiVfI1h;~$}B?e`C}W^KQFiso5IZO-1W9~~^J zS&u@2T2`|T?BBWx+cU{4>5IUUtwPXoZQ zD!^yEvp}sL1!~vs1&JBJ@V5%o79IArJeU{Y)6!X>w)`nnEd70>(fB(s3{A_nu0;LW z=+yx}&$2-6@NWL)PXi!qlhJqwO1sWZ%eG%E_tjOSK&^uMv*D;eyJF+$;NZTfc{(G~ zVy;XR^=EafQGYhMdx)>^Sk&3v27p)qYzII*io@EE`0B=?ylhpE5Z@*!FB`ubBqjmi ztw>A4tZCWSi>N9AyllZ_@bC@*9tRIUsO4p?M^Rq3cmtS3dD#M#mn~;`+0~nj z5x4ZUm`9`bYaxomCTiW}`T43W~$V z{|f*p4r_c20G9x80RS~94qMef#P{n*y7@P)!C1V7vDgKG1Qdtea?CfygyOIX15u!M z4n~sz$HBu(eJu$S0Z?=ds@@7IP(8K)HBZZb0Esgou^$*pr$g1KyBfU>QlNTl!BYVE z4FJCY;3oiF1%?^`RHD4BBNhOQq3S;{+<$tH&MyfF0FijXMIeQ%6A6{{)j^0O$vRnEkZq14FMd!L9BChPQ!X?t|I(11EfS-=TVJ zFp9&Ltix~*7!$nqQ2^8cpb`MNpZe+^MR8aoio+H>4^7653C>@L!mPhTifQDOW!chA)b0PpBP=9tmENUS%`6~c^2Eg@)vhB5B`0B2_20f&P_%1mBMXeYU9Nc=dG4S>%)Zv|( zZ9Q5EtKI{9mNsWYMt7qQk~j{HE*3qbPt?#?6a^=?USNTtvy-V=gpVu)c!6p z4?=gfKY+Z#YJ*pu+NQB`o!aYComw*vFSKt=wbR9^K}m=#)jG905eDP?iuQvX*tFa% z*Qp&M)u|1JjyicAgjY#*YW#oTn2HEf5N%~z4kP@`WmT&-biQES+i2B|e{>zp-gOT?c;XN8*GHEi=;HEdr; z4ck_O{eMLb+k{$U-K|rd=c`?$8nz_|!MTQ{9@iNLorh>7@FARIMInxfL5VO=l*$!**F@>cEw9S zMAC$l`<&Lp@X~d0?kB(*&fHs#`;1T%4%P3Mt3OT>%o?r|dzuhL+YGClupO;M-PR1^ zvIt!6ebm%X5D21uMJqr!5_nx8d)X~iMYQ5Kigjwr`9FQPOTnEEEhN6Wf?%3Ad$oSG$Q zjWmP<1Q$iDI^t2{w!)MQU0tiHbRVa0(a)sL>xi8symfwfz)4DE-$q;y;z6Ca)l=tn zvd--)p5KUPuY||kk~%LJI?ox+myQc%od-Rh%W0k!i-+MeS?5*Fq4Nq!=T$=I!J^bj zP26<1ZmOG;V63$UI80QKrW-BE;Zg$ioU@pMzRD#5%SD8dZ(QnRJXcga^+aKo@oX~k z#c3y#+f;()XL7<;568nsho+f|LkZ;6sPmW_xwccB8fGL-EY9WI4%gJE$JA(=FHMaX z#MBTbZ9Fbbjgxv&L$d3y;J!vuuQoMC##E5wgdKR~P+ANVF4)pF{e(w@UH3>Meo`#~ zZu-xt@`=hp>&bcEiOM+xN5+T?z49AxtD&VNH{N!dZNY-Q1~{wOW^TOSpW(d7ewmH; z`!hasDWARZet#zWTK21Iyx$hKDUHh~s+yV`Zxgdi9X3rbz4U!5Fs1v1;2nv>yfkuP z&Om>C>my$UUNR!#@s7TsrRIZ~k@{QkkC?v6{73JcFhIn88918|-$q)qO$mMWer#^a z#-2I7&{%dVuyc6e_=tItBUFi!#BZCKfz%uu{_cW%@IsTWqlR~9HlL+CxcM8H+arCgFFS)iJKBbYjy)0KnHjafZtWxL_ z6uQQ6snF9!p=)y#-y;_~{#sAU>x}XS@U~55q5H7V+eM+@5QQFIrO<;dqE`@wUMLqj zzBUS-EfqRm6go(-5fxoK;0S@rmi)yO6T;CD|4sJ3`35Cd<|oo{h z8&LflI&9(3N(77Y=wO~it!W5p;i>VwA$F?{$n|Zz)*A^^B3a+J<@)|rG_W}t$fH~v z@)FN}udF{sk{(!JL_PUzx$(bC(hFS7jBn&me;#VpH;!y{-Fg>tL7-d0dkntnn?iC6JZTSaPZmt~m$w3GRo4i+)O&<-Vx z{-{P6nAG*K;6!@kP7=Z63I!eb9{^nwBs9)3-m$)j11*g^f#A_p*BhI#F)v=k=6f?8 z4yeVvNRr(&trK>a296ReTjEY@@bXR)u~TWyr}XQ19F|KcmFHJA-*iG~f6j_N#~#|Z z&tPajL&HvG{Cu=>H3rM@;kc<2w`-f@FV%c$H7WbeP&6Z}jR&McI-j*UI+9VH`qHZk zX|gIGu4rp=$Ca|7I;1Oz)*zs(e>r)upT_UJpbOk~U*g|h*MA8s?K^fV*(iwGlNw^h zbf6YGW=&Fmb|gQC%LHHNgU@||?;1?XSPsy^| zH*CWar%|>^mOb8;<<3!Li;(n%Mrfzo$PDr zVIR`hc<8N<;FhzG+J@Us^m8lyyj17>zBdn!^(>Y={d&*olpg#G1SfKmph}O3*6~iK zwF#T2y2Mf#8b(MOd%Xy$*Rz@TVb?79B(pb;1lP3va! zyuF^zt=4b-$2Bl$+?586fuS5UZi_+Vp*(2Z88r|IUDwcj4^d&2K|*~F)cGlljB*3f ziS?UbWuRUtVm%v8rsL|0YO)a#FJiDPaCJmR(X~WmBnHbH*QFrAwnD^Xqv4qeZjfIY zB;>Pi9umO5*+raY`?JdU^CXesL8!RQ^c_@IYal*%0>+=10LS=a=)&>GBF3NW6>?P8 zSMZf((Zx#OY?Utdktb!ZRAhh5vG4CHvYQ?ep~(+)?HjUe<3x^ql59;^hwMUGwyvMx zC$my^22Wk9?tQj4WtS+j1zr7gWq9V#Jt5WVMkR90AVRKVYmRphSy;8Dy zQvZ9RSzm(Kz`rEfXX~-tMn(1*5fm23~Ri}0ea zDS_F58`V;QS>U%|T=VRWp^7MZe= zCTK9?)F01yh0Ci)mvJJJ%G-gPE>yQ|HYz0y{qHVF2UFW)cNFIUzD!a}( zf#`{2!`Ksh3PSvuP36(%M&Gvpc0EUD8V%)Dd)v%UG1{2J9HUL*631wh+e8{|Qr7Qb zoNd`psmP+zp>Ftz)ip(SbHQFvMRr*}Wv85{?D#6O>*T_R20CPGKXAy_HkV|(ZV)kk z70Nrq1rhWX^hJ$X1^KFZV3nGFeKc!2jxLn@UuvKR15rMx>621T7o<9ZfiZGTrw$N| zN;_H8FQFZ9A$W9fS8w=_1yzdc$Kno>iu)mpo7j*8dt#O1 zekpi=1TS4E?m>yA?{7u?ab6VHBGxD)K9*zrh6 z_uj}Df0BstKb2$rug-3R?s*kZ+v}Wtt8Q&gdUc#HyjpFY*WW6pY2&W~thFC&(}F!IEGXW`QZ9;aKU+=jEj$XTf9^Z%?2u-dt8P`-_>yVF>1p=(>F{{uR9%?nMk|yzPvzA>?cPJ#V8#4Vw0v5Ym)FHmUxF#n1>YhVE=Js90SL^=$*X*QH`H z)VB-H0V|843wH_5QDrgoRi3q1+mofHa1l|17C4JKW?E~^Fk|fA5G{^7i^b61XSf*Z z&hfL)-_oV5qF+ZKovSZW2VBF-j5uJujxm@|%i*9_^-ttTo$(P>P!}!knQ2U&J04#A z%Mo*b&iJoo6bhuQ`54|5@t+gInvgfYlMX7+8TK<0Y8T;=(gVFc&r<8#G5Shdx(c(5 zK_c?ox=Et)bUlQk4jFYF5#+@ zk+p;;w4x_06rQlNiYGKr`kEH0jfdAwJRF{|ou1H2@`V4;6EtG>l_u9o=$MBb%_>;d z5|z0w{1vgyzaviax>mb6zf5|OvNqY*4>YTc!clfqvBvjhbd-o=c<5MTm5!3u3f4F; zSwmBwjuI!VF+sM5X>9_nA^6v_RBNcK4AEo9AlH=6Y%>$2^C_mag4N|TO_(L|?CHi1 z#`G#de;uhZUO;uK)Jtn#=G-fA8FL#h-5rc>J`O**cA2v{>FxaClU1a~&^WI<2^ zTVlkb*qLAU+C>*ue!85fS+sH1myx@Wed0%njof+!o!&CH*?YLz7}Vf=VsujDgB#jNB!ckN>u)dr~%S2jxBFFKSxSQ`XA93+;8WhG_&d{l# zrwSQSSDMRw`ZpVW;0iok-%&*tnc_Z)<@{sncpQazq{(@?O+#ml?+=s4Uo9kF_z zHW72poD5CFV3hrlMmsWptT>4^r@?0!n6CaR^75M;e$s`X4exERtlo`l6pu6|BMSkv z&MB$yEsXZkH-SmWX;n0uLn%2i)VngX8?I%Gjrtpgu&5ESS~JCC$HFwO!&x(>Qj4A4 z@KYult0Z!qQo24#u-8~wy#)-}qrk!2|qg<5>%P@EcZa;|59E_jn}r zMDUyE;(Q`Vhh9AU)Dg#6yRvInM!V;g-T$w>ltrcAfq{DU@o+l&$5Y491M(?)@@jhlq@I$914=T{O+#>*Y$y)&-fn zSrAe5#?{yy!mi%n60G-WBEqFOe?gK+)D6FTH3n5enmBdz*R?W-y(<)Z?_&{{f%2rv zL}cMP+B>No(>Ia$a4KpA@TWscqGYY%WwC#+zb<9`Sjl)VF==YXcHas94(rTo8FcC! zbhfEMXVZ`AUJCh7+NlOoUdv7kr%>dn(QJLICxW47qu@ODU}$oG219ii3=R0=x}%+T zYa}>ZjZSs>{^79eczax6 zt*hD-T0GmH&04%v_L8;4I6VM%FevldEN|Sp9uwfy1itjdoJXDPq>BR#l5QqJ=2pEEaJv3FSb;W$?r9 zXUI6vaGAs^cS*-j!G*Ikmq+X>JR4S^9!)&hxM7$ejdtOZ%>3r0&sB3Bog#7-gn2h$ z5;1eAxsZL!hFn1eq(KKzW31>~yx6z&`9mTgD>^Nx&&ueMcT|^j=Ym_v#7@vkt%6Ih zT!LA1KW7#2E0UZkXjX`0iaTGGse~^JV4wi1+}k$uE-VklP7G!-m3^N}VtGhV&lSyI z=y0QhncqT0XfHgxVyd-i*WdSW!XXZ~g+@tj`e5c>{I2WGL}cqxCuU`XFT&Vf{H$l6 zWO8(UUpR0ke6w(1F1n_@965EoZWH_&?OO9#`teSU&$rIIUdZ`sM8>J4%uYB5)uY>hjuGt-Ci5S8 z1H?bd@n(U^kc^wglt76dV#QnSL29Fwx+4l!xxRpL6)u8MsL**Ree|#IP#up7@^N z7h_<*=*LYGzgWsYMXUzXSv-hhb8a<%Ux=pF=1m{l#8&4*LNz%JuuT0RB1hXypLG?yd1 zyJM2EtQIm5lQbn^2Lc!<;b`T*2;)vgWOD4 zJpG$`25!M43*aPeH{-keyC5Z;tt?q zs&~U59OX(%?#m@xKTZTIZEJ19#nh0AXQ7)~37HB|Z0lsaWH&&LVJ7wt{2HDT3}T3F zMx^!N-htqjZQq3qVrpRHA+G-GFF;OGbt0~nAz5r7X_&k`wFx3FJFC0L&U^SNLL`!x z0$EKAPw_cM=^G+aV6>&pXoz$0R7v5rbBN)c=s}n4>9?eX3 zZ!^=)$P|oXPVKSTyy$c%M|Gjc)if5TZN?SBI!d@%aq301Rb{ieq@(Ql+R&{mSr$vC zQKP95g+1W;k3AipuMeQ-r_%E)NC&SPJ!x?iYbTzy6R1)-g}ZYdCYJuBc0%X9e`C3( zi%v)_*KBb>E_D!fQ-MVaa0-D%YM@$wnHOS`QAx}8;lyH91}D$G4LM1F zazP(KI;KPqObalf@DLMM`TZU?}%#>(mD%h8DyCBmTU5K86 zNa5OJmDRNjmN(@8-;dx&;zY>=MNxGyFZ}>S=*HvWRaR{T zKmOu!C-$i0q71Kh(uYtE-n3)#)Q_GCd}5CKiSNF!e`Ic4(j!w@%qZbrq7Jad+{L+>z~*vK*5t1ot`y?*XCA)ONm(zS6aksDrdikQ=(tK70qq+Aq(Ab!D)`F!-s}-!XG^ zZB)XQS0&Lrsp6)m=iztVWIVj?N}*SwPi_9BP|l!S_Q2%>X)ZWKQQ1ma5}%V|m-9aF zFufoJDy3cQ3JwW-pQb8Q5@EiaSjd)US|(*2qhu@$o~_zKiK9Qt#W?Ji%vNt6eI7l5 zeMUdr?ucpWi1-k6vFXc>Rt6XGf$U<%7cGL;e(308 z^H$`u!>}@7is@TzU@vRJqcQ<=As`_~B00-j5q0xhBuMd*C@daleT|=7uQJ6wg#$7& zya8qp<}oigRvNteT;uwdaj%lR>V+`7G6jk<(sD?j7r7i_Jjg_kl=)S2PE5>`UX-); zAS#AgyFOAo#vEho2X4IhC$prA6(Jl2-Bk$I5c8zEQYH~EUPbyuA*zmjdlkL$$=DTG zL!7r(nh}PKpHE4Gb7mj{3z;)+MMPujk}Hb&4>VRsiIAM@G?o`$ zWN@7(XWU=On9+SegqpDkyp0|FFa`WZxOLhx`la1|#80OVCN=xg^(m`I+UVodd={hNyK)a(k0fLkPPvHx*?Z?SAKgZhwe; zmx+9xeYt6u+ohJHUpwA%9~ZY2TBKC9Uwcin+)wFIwnvH{>Bh4;_oO;&)Y52{A7zhH zWsa3Q;1+uUQDS~WunMtkb{%0qL9divAm&(U{d!WrjE>7W9b#Bq)&OC#=~OJ24CXK9 z1YVr+S@h#}L4KRWVZHaqjNH`)mFzv=p*V`=&T>H|L41d| z$~0^Ew5v#;dkBw|m+UP~|5W>IbobFetp0M^B}c9P=SFu5@OJ`>R7TJG%Y3Rwcj5md zy4%b{UI91R1S?b?Cbh{-U$T&T(vSfQCElIF&7$4jVWPB^QgpZ4hWb)?cS^$0l?_|G z*o<@M=CK}9XYrnk2UhF7i#Gqo z^6-R3f`zITnP%6F;TFpJ@s8?^LrvAK&t2=1juSCyRZg?dA1l6qBu=xJt?dM^Z*~2= zq8abBD?~#TDz$st=q5N(vd{&wvi&&usg*oY(vz`8Ry&W>a&!qc%dkgr>Uhu37OZ`pM2DHAngqT~~fK49fw zabzVOYx+-Z&C&0)HlA~Jd%444lyk>HX^%Rk`xRDe+BH1#KC^cPX|2sL!_v3TVB0;r zuPEx!X0_x!c=rV0Q+NU-AgZ#c4^UK(p}D}>FA-QoQ9B6!xoz{MozXH;oIGaYH3O>| z^5;rid;a}{{e@$B%KFAD#0IjQ=cRILU%$>CDV61nXyNEzU3;$o13wv9tkCsR5LQ%%((^8>Q*7Mktyg>Y ziH3s(!I(fF{k#(@L7dh;^7HM*-fA(#;b@pwrK`BXv7>*BPL&@);k zkRA~{fD>qU$3cdOW@72chcnhEH>4v4gM4gw5zWA+67!}MMz6ZKz5{*>D3Sut=$Eg& zOkm(p8Tcs+Rzhhgm?LnEYPpy{wZ|hMc8r4!IeKe-THpGtCgRMBxb;+3z?Zj>hxP|N zT!%ow*an`zrDF`}!4Hpj7|3cfiqj-xMYZjzQf+O2Wwqt4#l!1fWwjkZwe{N}Rohfn zTT(CskBvj+YFoRMrHi5Pl(+5Gh7kY8rp?e`_#BzbQGpuSd%2EKUHPapU3n*6S??!S zneqq7$F0t%ds4Lt>~t#U;q_B}5r^!Ojscy0m2pU+ErXy+SUV10!=pmI(*!Za8SNIusyy9IlSEYVX`IoDIMRS;TV zV-@Q08AEaSC{onKPSJpNi6b;ezm$*Aghk!rtXuP6JVMowHa@XO2|{1#c$ejKuC(h1 za)B>eKGc)BeJ&b&f`txfdVga`QOH7S}4$mM-T{5zl6_m+c?~5mG{t z)W$QnpJa>Fa~(ACKXP%Vu{as$nHvQtR~enEkah09ExJ=GkusxNFO#?Z=5Z#*3+_6m zcK7CDU(v_-9=v^Rb_qra!%t$d&%Ghe1S)g2KEnikshF!hZYl>E=RMf(yGG&>@TNNN zt9j2I@l+ov$ao@x41Dqr(Kh^Lgw7E) zpr<`_wx&C-K4d*WC!g&bCNa)iR#;qB51)UA<4{~E%Bs8C)8&Y&T=JpMGIgA_}~ z{lH2UYyVZ%s>jh%w*wEaW*r^Ds@*y}pA{fD;CVXpX+%2)<%vGtI*>Td#(&S_G%fKo z@BO92c4Ju=a+(&8C8z17i4CFyXMfg4*T#9u?lJKxwn^W5cJ?{=!>Yg*1mEcku*+2e z_9wWY3BY-436A=h;7N4=){Z3j)N9Ont~+wJbtR{%wFPtjo8aIL1dnS9PE+HD1fL(m zoO^lzoE^rT4X>U(&_>s-2Eh6)1pl`#a*p|&;LC3iyx^mX$=`cN5H(a%o!~WZ5qyC;mklR4wiUs136A=j;JX5xPw=`Z>Rh)bz?!iHA8QS8=vsnp9Z9Xa z@de~ua-KY_`NIhwPO#}cf(zO(=lvq*u1f$eCs=!xIp2Ak;ExH;o_T( zbu*(;QQ%8Oi7#1Ao;Fi0Jg`A0=;+|#520~r%Y6e)b{`H7M;{h;a$ye@UHfPx|-5#jV82vySLsSGwuC$GiG$2 z5Z}%iAKy1-dQ7{4Q%1#1Y-gM{sa-_OsQ2D)XN(-wE_BcvufEZ)bK9(zOAl%nmgJ|+GBt0Ja!|LY$167)S*|Y${9QBX_1be> zOXm^#+sA;zbBFvI4)xr(CE=g0S(=-*6;{3exy}I3@`Ps z{dY}8#K@4prWL%aaKpB!vwwBy6DPq9+hayyFaO336cHGTyT;dT)% zGUoNFi;tWydo6i?sQZ=QGSU9xpH5iv02uem|^fLW2@uK(|Ue*XKv{uPTh zR4fnp`;!fSuMc>%dBdX}0snln;h#@h)dMB#&@XY$rbZYjS+fqm2uju+7eL860o1Xz zBlf-JJ6>hFnP`f<>_Mp{sk|qZn^uB7eKo@sZ(>ex5p3k?s9I8eqvU8V^QQNbM_9< zu8soWCIH$l;J};+s?S5n;alV|8N93&00gZ7C#pZVAG?CuaW1Jo0oV_~1pp2ncaBK~ zpb*5Zi3EUl)#Wh&`v8aspcH^o0K7rFYU~TZ5&(R`iP{2`r;%V)%>plL9B5a|0MPbF zDV6~+1b|Ec27*d34+SEz(2VSfZhNs1YjfpR?x0yfOa*$m2dL$H<80v zP_icg^8lC$Kp6nq)$T`vLAzQ4;?~eC0Hy(O6U43O0k{L&RqwU#NBW}_8KaxKH9QGR zrmxjcYze~Bp`cye1KQOd09ZOg#5h>;M*!-5s-M`plhI%uYtCK*R@Ek8RhIH!&DHr-T~kW0O!EV`k*TSU{$>YR#orw0DyM2C~BP9ln>g~k3qXSw1=;A-&p|M zLuFkBY3g+V3dWhU*Z=6O9S>I35Rj(+un>TM0r(d=`~wjNP@b*>)#o2j@*zl5Yb{1G zJqLMr0oCWk&LAwE7-!DD3&3xnJiQqTz%rD=Z;tg7oknmQY#sV-r@ z$!$Jt?)KSB$e}v`GXS`U97+H<2f()g>{tOn000pHWB|~Ayg7R%SXDcMG<7CeRoi?5 zKuZ9I03R2EeZ< z#VG)`f>rfx(5~JDFYDd)C`C_{Vh#Z1$l(qER{;1PfG@zyx_krd&;vEf0GhvnhVunP41x}y0ninI=@Y>03SQRs z;ALGk)Hit$cv&xjm$l1YaH75sUeC{=0a-%a`93-trtCP=WpOz|ax6&0nLT%xNm+B~}5|LmcZ* zTmLl%L934Ef5Ifk5{$_|qI ze+qt0&%~XSW6%T3Ivh6~?O!u@CcY3KS=dNduVDk{aq;*^j(7U0_I5v6S<^y21ihTN zH=!Csb+mnD!qMiU>|VWT0BQQL&&GFov!DB}knck0$nWr(>QhI+1Q+(1gSI23Z8;Cy zr+v@UKOsyrB*slTVyi5E;3Q)dykL2%OtmGu{1m? zukGPm_HIt7tU@0p=}9J?u}4a3$`eU{XVP>jsm6z&$Q%;33YYa=^{o#flk-DHJDfGJ zwx}OLs1;8~QtKzr#W~Ooem*2DT_o)^9Z8GMjCCY!uOuztT98Rj+Ls^dThwS6EYiqi zD?-DL30vu?k+4^ii7xvbkEBoWP-_GS(31Hf@I{S=NXGnCd}l~8rdVN9>%s0}Lu$`P z9(^oS!y?phv=I9{K{oh5-mQ6lx~(*1&hy{JQ{JBEznj8$B3<@X{3$U#52-EQagR@; zB%N=bW$5lP6Kj445??Q?ZHYEXX9rFzS6kW~nBtORUNDrSm1|A%*X{}1o@?ehPX=L7lO zsSb6Mx?oKi@yTMlec;|?|Avq;$>Lw}3 zZ~1axu#GR*<(WMA(nB|egp)y@-|^*w;0mx&?i`;K{=vwNEl>jmo&gRwbQym&5HDB^?KY`MYKrJR0&^ z*MZd6AJ#4~CSr*@x@*~qVr^YdZ)q(@8Q^WqG&BnjQJaJ{G6i2o7c*q0+lD)h)B>FG z+C?QxsidCkS86BJ#3ihON$*!4)~Ar(VZDS$Qe}Q=9#^#vga|j{9SEV#5Es3h%fDy5 zwj*JmBAx|N@)uo1y4KBXOehZ(gReN(rF^nZIMx`AIl3_5Uxjjb5)vw(&=YMVyg{=K9E(X97g*Z%YdT&7+TI}`>`W*Wc&6yd|vo%~dO2(cR=cneTWayiYo@Y*m`A<+Q9F(N~0U`yB|WbV~lAlaWx# zUzAQUxofzH(M&XG-g`ty2G!OP9%rLLZ5N54K~3pKH*@Zi3hi4xaW;9#&$)({3tX2q zcLuFi@TYobDSi7oqQdWp3K0_MU7OC%Lbst*S^GLWk!>G=@D>P1ua4AwmrlPm$?h`i zgc<&LAiuPc=5mdiSjb)HeaDe3rjFn}7Rj>ZWU9HFYyV+UKA=f^(%e!TUZ%~iq*B{qb zJLhosOX%SPBFmA6%z>3WS3XIZo(6BvM@^bdW`5#;e{N-dLw{xeuOj9XAB(b@Cza? zq38kJ&d_c04Dt3HoL|@N_4UaJb>o(jTMARHSeUaN}uPGOFY!e8p3mJ?ea{g9zWe|#PGh`v7do4N%S zIjn!ZSv+l_Q1e|tI>*gVd$Cqqsg`jI97e<>Ip`>)gBVaOYZx1>`yux40ReJkZORM$vgTxdhnq740S9mDB zJz$MY*=y~+eX@UAu4$cPXL(|5SBhx>2>~-zF<4r{evRU=+3tTm#=F~e$0D^sXd-=j zIS@;(5Mgs5B$uAJ5$~R+{6#Y>`BS#t;XX64W|fm@4^)@7x1zk}1$dVr7)}!_ji-4z z39ZOCqef@H?Dz0-+~1=0_0H(}`#Rxb5tbv&QtcNkDW+P=ck6yfULwqr6)$jiAi4>J zV`sf!2|J8{%g7?3tg_g>8}!mQQG>M1YC&3$GoK+zC?8%nl*ZmSWmX1hcNFqyK@e?% zwJPToYHz#KR|Ie(Hr3n%Kn%c}LgrTX$2)y(9HP(DHxz{ESd~HMPBOXqi9D^HRZdJwwDX)vEvq0zsLK=ojeE-WriLbQ>R~CC) zq@&m+zSoJcup$(MRP2hrw58WL7HXL=t5odXi7a-~Fe2_&D|X83+4%WsG@GA8DSNSZ z)hrAdgh|kN*Ss&&pI_{-C=)}aG?88ymcjD&0ghsaMG3A)-VoA>nG;fqfnK7Hi^<8mcUmaDqX<%LJ4glz5$=+uvVT7?4r7CSYGrAYL}?`_sZ-n7SGCrf=UeOe zuw}GXnMl6?e+ehqzSLo@?INdSByWQO{d{MdSO!CVd8GIXKTRHe{bkrwj(cNqk-Ax=$!iy1NAV!FI^gVhn zXDlq-nc-TkfRgBVL}n%gQLmzFM(`Vsx{qao46A1!Wc`Qs3M9DjT>F`GYTyRGAoL-o`7V??5j zKU&>3@W;3GR{of8)_Za6&GLJks8RkZDzN|6z8k*&n)IZo){F4BfSK^w1P$Guy)}=N zs`reqh@mp@KX~QJX3Owr*y20`E>mCITt{Ltxe}B#m04ZGLkybAXr^KCHmd7MO>t!o zEVS+8^SU~bXpl9EYR&&>E_kH!9ii%(hT;POyg>6*{}2!1T7aQ3YQ=?9&-LnT78nCqNV#{co+JOx{6vAlImDp|~^l(>u~)(Zrkz&?>m zJ!%eDCsNSjc@~@MFTNJ>nCYWMdSS6$4vS6o7xc~oA!-eE;;`5lSnTxwu-NgrHY#37 zi|H=op;~O+ruyASMU>V}nyZPgI}(@fsu((DUTI~rJUN-Vl9QuwKL15mIfb?&e;&jB zuDOU}#5)c`UuOHKrJL8c4;nr>yxs*}kRSUl^?q|jAw$^=@oBOB_)AO>2vbj%+XVNs z>uh8fKt^1tZ7Pwb$;+Sb#JPE1%vHL8x5hgnO_M@GOs>`h1tCS6w(DNvE4eGAR%WKQ{&n9S4f+ncmw6{Dy(zCjS@aQzMsb<))> zzY`{1-CDW)sj>Aw3u;-K(Ql3L zav+)v#!FWTA=&KT@I^k3r1FbWp|9|PH;EAbq0H1m`^=^pyzk?8?N4~5Fjlw6^ZP!q zwn}P`?qgsNzoAF}#GuRXboBR4<~XZr{YcVUoaN#&Y!$5^Wje|=6u!io?qE=d)f|75 z@m(>jI#&*>>a^d@Cz!t17oB_p|Ee5*{s_@wU14v_2rv5x+Bvgw1kKseDIO!U;PIce z&IOU2xOx-4$_BX@{f=8xVlA=Jo^ylnkE163!{9kHNNo_qlk1P5yfj&!TJIA-@Yh6p@Ws_;wr1gSq(blaJV4*;K4)`L*kG&5@D3b##BOTh>AU z;MiylCL_`D?OvUOIB5D&?756E_+xEpnV+A*&8+pi@xe4+ORZ5lmCrm>hPs~gN{8B< zMfa(IWMyS8%Zdz@d#x**kUpUgPf$O#vApu)tMcxk{4Q-VJHW^(+ImGgoclC*BL-~z zr%Oa)FN@B*Z&EMBl0SzUYCKf?#TAYAokOlA6Y+2@!$WP1)^pL~F!19EJEfC~D|^s> z!uoCiSGu9vwEa)~%wM?>nj&(OdSL%tzRRVg%6BD;E&Z*CTuqr-nA5M)`k2u@eYhC) znYeV&e9d*gtfCt(hSln&mc*sYCu-)sf=uyxfo9<=s!JRh{W`PdZRCJc_)B)lBYnj? zL8J4`yQX~SN?)i<_hIk3ebJ`Q>;jjXnt#56+fCeQk-zW!vn(egSo|>7eGNEizM+wPbULgDX_8jI{P7d^Him~d-m6homc?u> zM`6XD2G8lkvP)BX5$>dXwvJ1jroDLN(T|j zHhRrs5eoTID&9e*c!EdfnRiRV1>K91p(AL%-+U8WR5^uN|DrIwn`j6(Q>7a}6;x5_ zjswMrN!pR0B8-rCnMPnl#r2B3T7y63jBe-fA)L`AmDj*-@q}7Pg^ineeLwFIv2#&a zQ*R45}n@zc)>Jfa<*(c!dvZ4K9Th#?yenm6n&vVea=P=BdRVx{H-_VXrq689-@g;*kPUP0o`wk(yMT zwcpzlFi#g;Ha2PbA&?qVrtVQ$2=~5pQKuQ`#Jg&<-$UN&pdD9lIJ@0AwAF+(&WWYF zAaS3pPrCv!8R#gxYcdAlhF9#~PLeAB$)GAFLY48dDzSUpDyq;(UXqcv+h`=y0X#xG zE6&WF=!5_Z?*)_Qyxu6fD7#jsa5RaQT#x$3n>wjspG+;%u$f- zi5!F7d7a9(W6{IPOD#=Ca%UvLdKLQQ)~?osQdb|&=8HtOc0}fg6CYULVGW#xBsBoK zvFIJX=BK{{?je6ioBp2->5%wdvGp+0h5Flj5p<@ryxUdp5W^1T@J>h}p-C##Abk4H zg)pVV!R<6Xug(e&kFTLHl5IRp|dR5#tn%rKh_(zSurcg$xUI`8#Nj>I1?u7bQ1@~8dHX`XY%B?l-M)Dfi*SWV!zkQQ?kqBMI#|a;Xm)M zt_ga&+`vV*w_^~&XY&q=gLvQDI^jHC+6fbG5-Dnb*sUJ^p0+IwV}+O( z?sg`lUqt&fzb4&u^-|}y{}5y8n!1_z$7dsgN83(y=BMf+NXVctGw6HZ6HL%~3#t!iPumL^U*JH5{G%j#>?| zd;L)jt-Ij7;m)^XVcTH)aOKmnG27$~j^@!Sj~%n;q+K=dAkl{ zERSVjleY$}D7H2$;PFXXTwhm+esbS_iMy!{D zmN0B$(Ox&HjET~eL>THy7V9T+QX92HOlo6dF{xGNYc7T;_jH6RW8J^N9memn_G6y= zVXZK4W5~v8L+6FXENe;+meq!8vb_Hbsd)b0C{0R>1V-Ttzoyzlw|aLj%KzQB$Xhk> zw;5vTMVNSwz5LNRfx#ftZXZ;x%v`Nm(75nxQg<)fAZDhEzX9bfVBvyudu)U4Eupzu z7uQ_Iu`YyucU0M`yqpuFN>>muPfpaIiBfvAP=hLHF!A zYeGcyc)0Z?_QQsY2r6w~7dYxIY4S8yK^dzcP+h?QO>G^chS_gK_T4qcIdF`Phr1&W zRnh%I(ZF;ny1;H2lN%ddX}j+qYT$;ea?s^JYPHKTHs>^4Pd5np8v)2X7Kr;IaH74=RgNPYZ0vPUGnWipg~x>T}7`B-6Pu2%&- zQLP-KGkZIuvdY?>6e{XhLB%KAb~{uo`b?-em?~;iHs4eY!>Xsdge?N6fnERF;l`3J zzAIs+l?Yp`RGp;Aq!*3r$p=u;S}=(%#(U>cN3rsz%x1CtSge?)&|gI7lV_K?kX!5D z7vZk(oVIWRmjPW~$xNTndjH`v{MkJ-?aS8t3-PB*XN&r0m#&sIEnDyZj(}GznC*DssX5;7Sz>=%RCA~5lM!1cLW`84wAOHyPRd@@ zUgrZZ;iYjE5vwGhj2Op63bp%i>{r4k4b$l~vQI_|P|9%TJi?x{rjG2B`tWhpd~%e+ z>YPQ(Xe(KryXZY+pG*v1U(F}IE(k@ntEs3;SX|X7YoD*~lkw{X#dAC>ypq1Tx=(g{ z6Dn5m$?G#tvhs?kVxp>IRi9imqq+7qud7Hh> z>vu!>iYjfs5y=w+1nIaz%}L>2WJ*TnZ1^OCP;S z1>;o(RUbWK_faKXmBAuHNyk(13A5F7RR)U;PmX3)YBOnp`1+-|4-(k+|5%$vEoQLz zJT(+hjNwC^ny76E((Pj_lT94AL6m@J=@ZhbXyRcvv9?yJ@BwM)zrMgmzbc>)Bv#i% z9r~4YRomu5k{y920SV$z`>uU43cVvigZV+bUI495~g!K zSf$e`vv)d|HB;yYvZvk-n@l+gv|M?0PgO_%%T|n5Rh#D>k<)>K5Fw!)o}=U zBD;@u11V1f`eNY%gyHsRRCS+gbRW&Sq{D*LDgOm2Y+ z#+K2RQMwOK__zM`Q~Umj{ogk}b%d4`TUcz@bgCaBF%sDVcsxB|AT@LYVY1EUl7MaI z;GH`hpZdv6D5W=e^U$lYlj@g~-R`(D9P#@=gd=-eL(k}m(lTP%29b4tX6;vu=8lrSCh;=8XjtE^n{zV;+ zZY|~ImLc*Q%DjTrVs_V*6oKeHamJHiSPx zJe0Q6%^@vQDcNixt+QPk0>T=}6!x1WY+#TOww6U2s_sszcJRGTJH&{}{Z6vOzygtI z4HKPIP7a7&>EH~()i2K62XUuQb)@9jeqXQ#enKWe4BtH(?3R=m96mIbwu@G)5*xmO zoP~rO3v$%u3f~8n)#Cb{T9>N~4ehniE#m=eK~RH5OFZP)lTV4|M;arsv!j|<_;$a_ z;!!i#3(yQvR{hB$`jv)e-J)_jiMWQ4p_)?vA5jQxqx2@#9LgYOmlpWabVw_eLz2uz71S|DRCgD9bytQY_E}@h zOK-+i3!C$lbmH86jGC@$*gP#dqgt%xWZ|0WDHV ztnW8DD5bs=dYh2LY&gSQzON~H!;6pTUCRB4D{8Uq4xG8zKo_bI2JR{+^0-0?+gYY7 z!i8cQS*yEH-r^@z#+ysSF)GWyUdI`;8r{wGM$cuILt3wwoS3zdS*H}M`V^Uh#Wc1; z3AvnSFCl}#QIy6rGVnZ`7m>pSbb&?*I!e1(ahHBW>#bMYfM))z?&`7 zx$a@QBldL4Frfx`X|8|3$EyT*Wr1hdPz%}Q{Z>>Pq16D-BGOd}@G6va7nn}3rmGs@ z`4__YRRX*w%lfnGqG#+ z;3^Ax#}sf5!G$Wgwn4wrAK}4>Rv$S=L4Bqu`(>6PTrC4WciwkC8fW~DL5>B9Ohs`E z6*uCzOO@@ypeADN=`6D;mHN8OWskV3rzC1CIckRoTv968frslpsVhI}Hu4%XiI6C{ zJC`DwqxBPG(|oql56AMt@y;^55LbA;ztF9TjoRbDvs z^y+wx`NmMsls_dsPX)buk$Of+dgd*ASXtN@sjyxhFEW!vyK>@dRgL>_rAhyFe}{qd zmR;*7Ap2DP)!|ApVg!`~Sqj%d>$o?O4#%Wf^++=GJp^8uR@U>${}CCK@#QfL>^WC(K>iNd8XafUAM&&i4KlfZhC{X2hI{{T}I%b8@(JwaQP^m?u@ ztmwRJ^?s(Ysrtw0V%=!&_%;I^<{q{D&LSGbwW6B2@nVeqg(G&ppcF{7D4V29RFj02 z)J5Cdq4bK*PQT)(z^|G6TawdUC>SY9Zlcp*gnAsxVC%sI$^L-cqeZQ1M5J+Sl&@MY1URd?WK-UiR!V=+yf7^|2 za%N~+wBrM1C&s?_sBS=M!(zB6X2T}y(=?T&7F#A!+4zP>0IWZ96f zKH?{1F1Lh#DYrmchc#^fJ`4`TB-^ZSJr{aEKD|mCaM1r^hh@n>hrYddUD@gJ-=nhx<5DW``}gtpE}xOOPi!0!?X8}Df)~# zFYraqG1IBWx(}PqdwX$_JJbm7K{fJQP>n}a!?c0ma)L7w2u_WF&Mx&l0Jen?JUNJ9 zuNnaBGYO8X3vl3v1Q!h0|<_Kb>pEnd2I=H+d^zBimknjk{aXQ?G@jt_9RzPDxa1px3x)!` z_#(lkw+Wt2aNZPxy}u^-SAw;N2;Tl5=KL4I-tQ88n_%5Sf{O(B3BiH+1gAwYXWyCt zTVe?w(V99hBiOQ&Id^>lVB>Lu%L#S~COCL3!KVlAI@CtDp5W}=1kY(+QoJhWJi+P1 z34ZhA_$l9ezen)cHq`kmg3G=kxP&<;UM6_m+XT;G&V`c+wh^549l@o03D(yKIOYby zYerD#bb_tZ2p-v%;2#Or93Xg{0N-u;%cTyN-y!%Q!J4@Q4{t~C9fAuE5qyx~l7E?V z;kyL?ORy~=7X-TE|6=qSO|t-UTE+`bOV9&qoGqRMEN*dz!1R#zb^E?rx8Jb3{hOUP z)=Td{H9ah`?vtm6lBWUQ<_Dz3ydSL5jCImz8iy664e`kT|CD30V|$5XvSWL2OlFps z)($%K${($I>J*O2=?cf>C!c>MI3@?I`0vts&c)y(%s9O?@b|et{x!B<;+0Q|57ujy zdgz~CWufcqIbRiTw>Qo@aJI*xn4v!9@7yXGxzk*6pv+GaoqkNEdrUgJZTAM1?lJxI^|>nDqow@bsY<%X z&8x=+t8|Y+y?Xs)=VC1Bck6BgBnWQnbNinEfE7PRt8|Y;<}P^H(N6cc!?S_qZ~sSHvIn6}rc*p|_U5ctR2JVbcLq&R5bs4w<{@ z1(oh`$=N2~RMI`B`){qJd)!z)ppBq=Tw8oAW903v#kY5k{B2+HZ@DAyoG89?X5`&p zitm<;ymzZ-nqjebgAR2j{MX}l?TE?$iI`K?*dSc9BuVc} zkNC)nlevkn^XRbVZmBDvLjcGbqmjcAYoX9P>Ok-49SP>dEdabZ#%w7(2}6B?Vz%;i{&gsF070b7JJ8_( zM9c=D9Dw@(JOH5C2pB2{mIOg$(56nthymlxmNg)V?6%t7YzBj5Ogm5t?ne$YpyY25 zQ8dPE+Vj1$b}9f5+QO0}!CH6_rI-UiIRIqLV!G0_=@% zf?_iJl(TU>*c)vC{5l49IE7Mt3P4wIP{xlnTW)}ZvT&`t)3uJi&P^x6)W1N7-B5BI z0EJ`CrtLp~AQBXlPN0}HPHygY@-hJX0hj?1WdM`{a0?`rwyqE{1$H0@!AZkDxK#8PyrDSAmUf(a0)DzS$2|2 zCy-PcK~j10F#wMs;xCAJ06^J~&M{>hU?>2z$<5scxDE35t^?-8=ADd&NN`XVfTVIw z252rreVsRf#WJ zb&=`rR0kxLF<`OuXaoRQEcLS?q8xzoGtS1pGvTx^qL}Fr5j4mjnX4iuAyE%3>~&;cBjdEHTpnb6@b9Og1|I0%4g zi@UiFI4F&uBZpqdVLozr48WuDU{*Z`9Y9j4iG){gf+b%?4&a~!o4(O6YJ%Ca2ON~~ zTiu-+zX3adgVJpcJFMAm^lHgrr@B|ZgY3)Fx1yMe>-#-Hpsi~KI8xn%7{|r zaQ-K>&24bn|G?$GhF6b74u_D#0*Dy!p4qbQg0s=M!`=MRa5!x~>LL|NUO*1pk;6~` z4g#=phr3hk+i;j~k;7ytc@==e0OY@CHm&*D+4#!W?&ggnp~E2*a{)v=0-zi`m=(w& zwaD4nV5j>LL#(g!QHaP}0wrJgOdr&?i!oy4M6)FyfR#Jl%^{=EM;(L1EFa|U^3rE$ z{s0^SAoUmIu-n}nITksbLT!Eu5uK33djJ#xkOROR0P2kMb?$c>fb{@$2VfQeWdM`{ z@CyKE0XX_209gP;0FVhl_$0LMizvl6u)_r8@Dl*r02m6u*8oHVP;e1G2|(m~$l(G? zu>*j205}N1LI6ycoQ*zvQHseZ#buOYABs60fZG6E0ASB0)FuE-Q4(nc=b&*-X8#1_nBVb zqpQ&{f3n$y zS5eHv04xOH2}C@G4vzq+=xX#UpJKM$0l;<`A`;N_oRiSZ&AI5D!9g6sKicO;qj5zC z8x2E)F^IJFLnrCyiQaM&I^@e3m^$E>^al7vSIY&Za77Ngl5(LH6W(xf@UCV?e99@( zTuj)#g78phUFzhvy~idjRP_;zhNf$P@{*JNxNLimOuk#go$qJgZRERj`CZ|C&jtbI zIPku5oZwycka$;zNO@U=9Q9oMkJ*1~$-6rD0!Tz7EYZ>=dy8NT%;WI}uhx?C5Y#A$ zr?BsjdzS>S8VCX#5SCz`ECU6rx=s;M;~Z970#4#uTEc9eI8_e`dOj5AVBhMPgl&r6 zhHlvF3;F2_{H<=x4ej;=;l1!k@xwzshUeGI?Yo+hF=IWKzP%fJsOnnQ2yJJ8T>qf7 zv3Q7U$kHr1uii-NkoF@pJ;qF>y}Npmd>8lpcO&>N_4)7kd7gyXRo>-*@h=g(pG!w5 z8`xP~N1Zl_8`!K{pvNePHo(kzwLBW6k(xEMK|wv^=wLmTSV~+HUr=r! zdN0O8R>3#Cp}1~X7Qck^rUv&Aan3WVMhC8TS+NX|lN+T|?jC;FS;HO4RjdUe(ORSa zXzX3a>5^MvWi5M74R8EUp;`P-S$mxi{uS(P03xS=%v&1G0p5SSR=4P&(yQjhv$Bj~bO5?m0Mu4JZk}*}jQ-Dk7tM5m&rcV{cO_NQ z^)~2jD4p$_9~=uc*ZnWhU5d^Coya8Wx?L7O3F3ktv zOW_Ky09}X<)kW_a!{y$QnIdV41xYvl+{Ka9Yk^3bEA;Mz^lB^8i*H2E{b3iD_jS(s zSj}2G@&ja|Hm8aV(eN7mizMPpIP^9)CoC~1^W4MS(I=!=Ki z5>2W6^kG@&?{VP4%lic$;hoQ@PEq z81p>Psxj3PJQ26oT^mx3aG{1{aZy*z|BDMODhl2)FDzckOu;K&*#%TG zGc;2(Q$R&hQ@oR@EH@X;63YvwCa4va8r~91YXKGV78)uV>Y`NSqlSh?X8N1=eD>_; zEZFny_5AaDUa#j9?wL7f&di)Sb8a(cjuKO&EPfzrmpIn(%pY8BYbqCzlmacOJ(-xy-~?;>l$^g*d(NL|WKl`8br3Z5>K8Lq(r*RRW3a zOCW(zNWkYjeZ+uBVe7JG(rtBgkIRJw%-%$;_hd-|G%iz+j6JvhSL(QoQG)grgOh0z zCpDdr0cJ;Z6e|NpZ|2dg8rYPfx{iZ>H1Sji#W;OfmcP&uHmO4buDz;q`N=)n)@3k6Iu>j-UZsy< zt8fc$Y#l_u>RtwSDw#X#bbBK=1Q^V@8fB3&sMG0NK+wZm56?@ccGbt~%}B1`6;yt| z2J0*2v3gx0G!&J_Uqz)h`9GjX#=}Tk+|b)_+jR|XSMF98pfhA>)|M4nve~@LMCcH+ z$orv0guWllW-82Psx!t(!7kW51LxI~#z}!ZPRi>xLOpZt5mPsH7EI6q~f!9T+BX(E}&KrZjqmKwV)-C}P!Ct7YUjQZoKb{D*d{1ew z+@rz4kePWrDB+Mlq=oVc=%gOe5W0m0gliJg+HO*haVX1va#@=RsFSmWliStBV^l5S zJuPdI@Qt#XD)pnMOxE{STPV~PClqWsvSC03g^keA(C#<9R!4UVl0X3#{hr5}uC|Hx zc;n;#Vg8xJ;#<-`PBcD7|ELJbaiz=}>5Y;*(T^#I8<+S~aKq<~^rOqxt}ZR;#})53 zUQC%be4|E`=lI)J|07!T!%*0c+aR1@Q_Nq&5bn>|Mn#FZn&Q!y{*uF6?_Z6wyeF5% zqfCp-6*ckvU2$C`u$&B}9KWUL*gF76{PLP*%eV|TQEHYA=Ca?PSSI0R+uBR@3nz0i zP__%jN)@<&5_prrl=FIV&}@E#0|6SoK!;Dzhn~c0Y9k+d16dXG-{7!)>9{STg$Z|5 z#XV5PIR>_T^Wjjq;dnlkCm|=!#C=hoguZ4T<{bu8!l^R*BvcQB>o_JMLmLdPt;*o? z!X$KD9$XdmcztyPgUbu%PONx1<#7ZLE>|<+21N1T(!|r?ijaFWB({PF7doDJQR~1I^*NnuCumhsPeC~KJJt0Ut{TC!ZH`N z^%!=aI1wvzLafd26(pu&s9qt88p%(ek4W%}>JE^YDs(Zee;o_&zN0&_> zF*A|F^_DL|C){qVLVMh2=u$wNWoTM%S7dnMZu4y{sRkM>=HE%AH-<}#rs!4OKxQ~- zN|if8PoI6Uh|T<(R!uZ}-1Qki*6(Y1T~x9SezO^3^8T6oX7i(U*YpbhnJeuzRSf8W20yZ7BzLw+KBX^<)42yWm$Zk_B%VoG#3)R5e&E4 zybc|zlsjab)wT^h3)@@(#Wns0P<2mk+rUDUDRJm(l$~aK|75(AD9e(|u&numcozEx z1+Ej0dzMFc(8UjZizS{Z%bJ7>oms@b*J#hey1zi0iUYavY&4L;gsHcCgIPN+_%dq1 z&xBeoZveGbP|JauBct*XZG~+E$mvtk$gK_=Kz|#czs9Nb(IIq}&|lnt#|F>}-T)G8 zzki+eXbZY$AZW2}GA*-jzzDx0QK6_96!{R}>|O{xCh|dNa=9~N6h4Y|*@Rkyq*~Rg zCa`{)nLu?h?LkNzR3z2gs@lejs?AR6H<* z*U{^h#Y38Bh8>@Lr`eSBpg&i;j3mfDuM8X1-1aKOu(}tR$d9|D<(>(-HI4w6GQcJC zdHP7h-=py>D9d?r*$9-CKDlgnau!-0M-Z|_4r|9^JN*piwAG~ z05=|67h4^A^wezhh~qozF4JG4N3`Kvjys8|Z&OEnd3PvPCVpla6Tc6Vh$TFs&&1+3 z&56Z9^(7WtR`dQ0lDfo|%Pd~RyF+C%i(9_QEPjLP)LoSbDsaLAvE2n?ad;LMh{ib^ zuQ+LXaagBz`IP!axHA=@o8Yp*MF>oy8pHxoQ-1~Ar1l4>3Oxr?8!>048spu(ieJfu z9UzRnD*6b=Z#!>By`Kn)`tcg%ASAkh&!*m&nUb3{obb&2ChsKuB{3zSH8Evm7h;OC zi#qb%GpY)Dz26snyaE2e7)w^Cd?_tz!}^4(3C$CS-N5AK32FI&nPO3>?4quf2|B)= z2|9$@iwIOA$f6%c3c2nj>Xn!iPAbs+Fas7qKYi<)ZGE&$TGN3cuc#~QHY>Fb~jlE zH+eF2$zp+<9Q1Q9(&-r-Q*N@%!k9+9^AU<0wP{p?orS`@^)5C405&Lcp-ZsXLRsNp zHm-!cNQmY_fWc!H{U@D1YYC*muoyZZxMgK%-3373kf2Q;UMQRDU@Ti}Kf z@(@Ny*+R*gg%RRf4_g25m^4DH-)+GN`2r&ZbB!1w$`(p_Z_+kp3uVR9AEEWp*qF#MbKySUSMsZD4vGZ(y*l=CpyXey8ecs;sL%oj7c06?8R*IE1i!rbM6&Vw3__ z?=>=tvC~IT@0&nLwV|ZCLPdwEDwF`8TUSwbzFqirp>!-kI2+|5v{wNNWR7*i!OrPEx= znC5@Z5izsAA#ANJ=%S|n+d%PGQ5wi7Lw4-IFfsupQN(F%jXk|o=!QnidwLt7kXalN zFICos#L?rRGGSK<+h?QW)=%Q-BU{l8-4qJD#6I7RcO>hZxt6!VPJ<DY2(~Ujzl;s^@?p1y!gOy^a-2bE0*ve z3&$WUb{J%1!qKPftJIzo-4_VP+gXgP06-wiKP! zbytUYY|a>>U>y8$jV<{|VGipdD^)vHsf_ZZuKTJ4N@d8UPjHKWH_9`*WMh;kVIry! z!5;s;6L4wJ7_guL@!q>k5IoReF!v`G{F4U|yZ$wFFbLd87uQmgjP5f?ot=V}8e>sosi-lu7A6zF3mD#E%gNnx znyb27ezLn&^!yi4Gk@B03oI9E_J7Rxe_X>(Z(?2gcyLBg#76zsV?B7H1>?fR1bf(6 zAeQWf&5F{PH@5u%(|eeXGabg2f*%bqr^YsX+U8m0TVup8b-F-6Ml*fPVPYn#Il*s} zC^Cu~3*5oN|GFq}t+6Nwio$}$+#1?5OlFSQ zhFn%2eS$mL)Ll97dVn>La^SV~DrPA6r?A)fK(>Sd8|Fd_7eQyjlkGFKv0Wrxv(X$R zD{M*tH#M^5Z9An9(kKQubDF5!Tn+o#rcAbwGrj}hGDBT6^9#z{(zn(F{= zYOC?z`~TT1c{_U=6w)4hGQbxO=o)rFIqu`2?_>wmZ|^Q@EU!6zJoXbH2kU)`50s?I z=Y1IJRGxhN90-oO1Qvuc!OiR}a9(c(3;t{{8?oN??m z0QH4ZEU+nsGVugCI13xO#t86NH$km&@-?c_(bbRP8qHkeBB5sges(`?fttnS(?=U1 ztZE+nPSVogi0nI^>CT4&oEB3rB1)F=(rN?xsw}Nid1pG(ZKf#U=F+>n)L$20C;3R@nawl3 zDu$KPKb-R$Pj8-Sm^Ung{*jd5IGRc(5AsQzLYbwS9QB|dLryV7@vuAek0YlVcN^>4 zsqux8#o@H;Vi~kLamu=!*GG|qA?#4>M&657o)-&$U#uOjxK2iIMuO;aikqk+#bE#r z{ge8UE^TyPf8!w(%n#>Q@vYT;vsDLv^%zyN5?lfB9$mANDpV3)vyzKY#Ei8dX%Vq6 zl((-OmPXd7i74eq)Hj5_3^aHaMPaEUtxns{ypKj=(MXPX|Ck;DKeR$NHK`ky*n65S z*wfAUe=UdfHI_pjU*BO9y^b0*v~rB)Y*|FO}7@YA_4(704inw(4yDPV7zM%Rd>+yjg?q6N^k>QA9h{TKH1D zs1>PbRH(_LDAaDAfZ9WCwQFkbnRpa7zesQy%!2m>3;y*&$iHuUu;3wBU}{J!CIq*( zrt={@@3C~_J(l+Jq`&6f?}#W5WGV^Fr1;w$-}iOCFawLPad*cpfMGLf*U7+7RdeM{ z9*-g;2+moL-ic^Qw0!*X%ztr_!Zxpg#>7IcV@1fm2b%cq6ksLjCuy2lb?!OT?z5Rb zI(xuHp0vYctDQK1B;=s^1;8R$q8N$cn|Z7DELzJX0HktNrXa;9GN?Y0!X5{o=+Noo zV9$TRomWK)IJ=I&qqWi z6rD+L<^MW*8Bf8hDBO#=UJ1?Twq51Pdfni9AGDm5IA=O}iEUU+@ePw(dVT(S_g)1D zdXX5Fb*69gg81DZ^qQ2o7=X&e6W=hYrPrs+yZ0(*z;Iq^`Wg%3<3H##DKU;~{29wx z2K@M7_g-EHQ6q2NkjGV-MZ(MF%cuhlp{o!B(c!wMb@K<1c_pOaRy{I7tQkjD=EWEt zxl$A=>t;Sms8Ep9)1t(M91EDQ$@_Fxji|?!<rA80_kwr3J>E=S6%{jEq^oyF# z(e-*};&F$$NJLgqqom5{Q_zdg`(^338H5}8_W;(<^Y|5%r7zDVm8@TDHjMN)Q zRt1UTQl}`n4b4^7n^X^9tIT^vdR}l%dxCz?8%Q6Iss3u_(v%lZqXAsfs@6bXHfS{) zxQPZdXHlC&1HpUoQy_h~fw1q~y}G+5e=ncpUuT%$0f31X!_!+IaG3I#B;me{pY1+| zabOR$o`Q|FRKb(N?zpcIA>UV6KU|6%&fm|3&@3jGUn5)bC=I-FzBMfSh-vunpcT(zxI zeqXb(#ls(45MYn{*=a4(i|J2&@!sh(!+?`fUK~7WrZp0Orse!FZD!2OSuw$vRYlKk zVOR90-%PaUEuE6Kb=?LeSX(wfB_KLIGCDaWk&fJ!;2*U%nDLKgi}@eV@jup#$3KED z5|}V0L(6fXVaCCP_b9BORt=ln>8O2jQhhO;AOGSX%RN%azaeZGYKiKShNxG*{B zQ4&XFt>EZfJyip@s^Lg9phV|#XuuZ@=yum^fX{V~i3VtDPI?U6EMNKc^HG7uiU@6d z7+1&PV&ZT&wd-R=*J%qgh&B}+@2@5E8Jr)M7HWh!euLm}(KJ+Pn7bb%8lD4%{jZl1 z4K*(#HXX-&*$F0G6n@jczBud@{00X4Ch~V5hqbU7zkj%}mROry$2txd)}j=Z*azm@ zBf5#Z7|l7hoD)-4BB?}F=uB$O%+a`Fq;NoK8GOc542g{;l^}`fn^EK>2Z^O;9Dv?% zoT~!CINow|l-M+dGHzs^DzTLC>JrOa#zA8_dXhb$+Y_z^XDbaCfjX;bc;x?beYDkk zkQj&Z(NzeEb%e8D^Qt$WmpWYaE)C|yVnvXcp9t&o4-$LA6~o*DX1*=jP_;qq$6@L? z)RpdcNn*JiSkjCw32%fb5 zDU@*|_PA?wqj+g`i6yS&Ls-vS!~wos^beQ0eU*kQ&~TV&c+dYLu^z`Ev5bB6(cyj# zFVFt9#Bv6|g)z309QDVZBD&JQm)MoeS%hdl3+9&#O%`LUci+oY7|)bFkR*1+Z61)$ zC`hWrVz*tw@ChS=;!>6Cy~UO|8rBY1@vTae=Yf60)}*p#%l$=u1reac6y?_cvhjsR z?IleTtpXkHYL{`1x?O+|46&__#h@u~%ZG=PL7C@j)$`uAYWN@Y6MGxR5Ssa} zYa7ySw5_*3AV*4^00*{#yi32Nj~TZ>_;zr%Pj*{BV%CD0d-`e8rlfAA)jq#1vcC5y z$9|djZlHRZH^@!B%FKkEeCt#7hZ|CQ6#8c^o75=P=N+$>oU<|g z?5R{D?{Q(9Y92BbAUr1(s9vzH)8&;=T90QbT2-Y}t(~c7>4xgUwU_PL=7w}Shy%#< zEA&Zx+ve{T>fFBUHk9hW{50j87w77qm9Z$^LVaDyNMcP%X-{WAb5uik^DdB6Rq2$w za}6~yV&Mw4iF~CAE1J-*v^7CF72OzbzJgb4*CxV?knwcSFliOQ3$B5;0C+9GsBAzL zzIlND7Au8)@BN3A+v6u1UV#NTVU+VS?p*UdYFmsxbdw@@?Q1v?KiyQ2$X6`!Ol#;x zRUd3h50p=K3mZyqYuP0_)g^bYFkF*9I(L|j|L*gAKc@6OYxO@E%v%$j3=5Vo8b%T@ zm~&S+r7u|i)-b`pJ?*}xHuAXb-cs8IBX5G>duY3aa^DHx-{<)(Qq904kakR4RjB69 zhas}?tElZAa)ieO?P|9$$S4?4*pTbvzLcT963>0ymyerqUt(T{3x0+A64SZy^OS^J zW4P*MiP6^mu3>Yk?U$fl+o`dbJkRID2~cC=LVx;4gvPyhHZxACD;#Gfh9-0yEpuCveSoNlu0%CbAmaKRlz@ zlNdkBeOt`y=WVPU(&yAEZhD#+hW3JA!7fj*EAaz~T|Y6siOjBP61{60-3Ghbf?b)! zE*kkqx>DM}uRi%x9Z_it5c710;KV3i)!u$>sVzZc&>yY8#T8}0Bd=4YpaK3B>W!q( zj+d$P-ot2d8W;1va6I@L*yX<-*4K11=^@exm0o91L&XZ+G|-#njC- zQ(SJOrT&QNJ@&z6Ld`i!A6-A2xmOMY3lD$)8)ux0(1aVX&6)Am=Bu>IcEc+BtNbdJ zjYaQQf!F@vb-7@pFb?;6^zDj*9f}Y}^{+03VgLYM&| zR0tvPy`?(;AOurQAp~yz4MM=tRAOdzA-wqcToh=dN$*GqyUCtA3PHiP04Psj|DzCo zTU$d2sY9<4YEC|VbT9pnLRi!IF9;z5Y|K1fZB)1K*$;`O0*h$3ASS{Bn_oGhl=Q5` z^I;<|>egQO;wJQ;-fJ4F&kyt)IbC|gdYzqGWQNViIhz$@!Co3@mCX6`{B@5$2PPxRA&LUKh4lH{j+bdAhO+*s^P z8AnSi^mQw)(oYem=tF$+(%)jrLq_51>&iG_UKRZwXMlkv<-oHhZFJfTt`O zZ*95CndB%BW;kD%`=jr-*pA1x&%9MMScEH;_ViyM@d`+^;M`m8S5&9PmryWvE$s~^ zjLjui>W?}!wv;zaC$ch}yosmU_S$u|FTI_fleXRHtuJ>PMg!o}1NY!lB(y$KMDaxy zjUZ~MC>}-DPb$@sRaW!}LdE87V3iPRp6ij;o>~&2Y2ptHHDuTp`MpFIx9VldzW3da zzUO_2zJDuh>EAg+>E=Pi(&ttCkw5$v6yFbY{C~38?c|p z*%tcU;~E+BlFp@#PkS}~{Qynx!U?Eq{1_}*2$rloVbk-xdN~RKaZEt4*E~=Y7fic? zb^Kgv5$W~%e?;Gy;PjU;-Jk5Xcmn-L)S1%64Nbm#50WRizL9R3(0Dt&6P^c+X0j=YMuw<=JM#33>}#h&G3OVL0Ts^USzlWBS!m> zS_P)kvhs0y7j+&lZRbvAI6iJvIn6oGZ)V9pF@?4#L(6J0#$NE3$L7`mbj^&JQ3x@1N z$B;&q&)$@d{iSp)sP7$`8h6VbGrzhT9Va4ojP-Wts(VP`E z9c^&}N1XGy_wN6?3te(b{u@fY*L_QKXVNCa@@w0SpTtgzaE+Vos%>8<&9&9FwaLws zjx~2*<36p>XTmFK#^_Bs1h&zb9~%+jx`e@6&U^1bqo|l>Q~gT9k2Zm4x|d>)xKijE zayd6?z4TWN$=&LQn--J%)Yas4S1gdqUam_tc_8Y3V3lB=5XYNGAr9>>Ks=JPwCr3R z{DeIZLSik=8DCvX%M~DO*#tfmHMf(s)KElv6ECw@H*q)E%dr503sm+tX1%Gh>CNmh zweRlNc6KU zBSwttW9LAj7y*?|L8#NkfxjPfoq1g6GYCvi;cgU zbC|1S{tlUz1OBFizlkRt_*-VoTNiVQCisS7}y` zZi(*SM`ui8`Le_m<{H7HOq!lcU*$y44?&5aBPCUe3fFpSWeqbMTM9}lKuPe$>XZmG z%Xp4sQ^C{UCA>s|r|6Cto72N;2=w||7H9z>c|`w{KpD@`87N~sB__e^4n`-#W^}T1 zYB&RF>+7SP_Vfwdaq1r|t%Bz;JU1zLzQD^8cn-tUQ};SC|EBCyt)9>i`khXn$5z37 zp*}}heFAhbd~o~tS;<7-*bk26F-K+zj>H7}O~4jX@x)QIhB`qZwLD2O_j|)rnCCk% z=VIO`CUM7C+p5kE*G&T!yJ49nX6sw$n9nDuYL7YHRY!DRGY|aKnLdF9r)aG)slq)+t{2kT(wJ0!M0khpss;hGY z_pWxBCtFT`qN9*|E>_N;MMSv%>v|)#Y@;pJ=4r5;EdP z7m<rXysKoj-&?=}DJo~-LG5VeFR(MR8 zM0Ik#7ya(h4MiI<4Kjd_csuIqWpVOhd3 zet^llqz-oX9Qfj0yuzgJRkjCR!K^~lge+t7?yzEJg?sXj=t^UAXXYNQgs^0&;J$lG zeJ>uv-RMbm1>O{WUt-ESasdJ|_ErTnd!mxczKu5}yw&~J?x4t6b zby7Nq5)h4(@dB z#KY&S&Dy%_56(LDzx2;tByw1*@B)t*Cbw83$Jfq9`OE@U9n6BZ#wV}2|O0+ zAe$=|Gj2Z#r%V=VPUPzl>JA9UvtKo#4id`+58yfY@MjdfY~bkyo+4D?!%w?RLd}rZ znfY&jiji!EP_?(J3-y7r&dlv!!pa~o{#G)cCk`fp1)efT-LyH+JJ%BP9am)CzGTsr z5R%6MVO1SgWTtzjS8Hq<_)nS+j^GvlW0UCF$%c!Um`n|yrQNUc551)Q^1@;k)@%X} zEE7y$VoGn=g+YHNbrZ`zrQVaMH(k^#Y;I9;0M1?>7xq0ga2Yak^gFIHi-2!};ptsO!h7{-lji z4w#ZN-*z0W1V)|r+wT;iR})XPc)8<_T=|4w=n1LQ&>EZY`S0dH(lL;jwn|9a#);jN zejUXHA5ull%9_%);NdaEQzdrPE6bj(ha9!^P;`T3H{HAa+i1q|9lIu7xE|2nmv@f&?~cg5-V5hcOx_54dubHPB`dKP=zOIN;2u;#<`@h+IE zUW2Iee~(rK-Z&VE%i65&ZC}slD}?1(1mrA`_O`tzee#W{M0xx^@3*_mIpV}ue@N<+ zw4opN%^!ykv<*FF2z~Vj9WYHc87S+TyDRRM&-;QnZ{Z7FL4SBjo$U5CI_XnMAKkrb zo!oZv=U=&#{i%~(ytnl8lun<(?C(`oULEyEJG2~JC07!KN`i3-(6N#YU*V^Z=@XdZPzgsz#MQ*d zdIu^$1(n=2EgDeVI)_WK)7Iq^i1sRdJbn{K#pZUKKVW>5oxmP&3kMa;mujaoej?*n z*zgVa8{+C}0qzeL-6pfW{fSv^RSa?aum@KQ?URG#EaX<>47%&wcE3c zhd|b6^a(7NSU+OwHXvaSosc92+58T_voGpYrh-1hx2SUg+- zdTgZ2NNiKYsZsgfmr+e5s`1QF2miM3?-P6+o;(qfH24Pw^D`IBak>UlPVfhv*W#y3 z^zjafR;^3<-nEGQ^4K^=uH+?D;)C(xSjow&_~|lzyvrpMRlavAep*1Exb!VDm7|8* zD^Y@)I9yeIcybNuD+cml@#furedyJvuP6odiku%bIowLEQW%T}J8^o!;BK4wp)$ul zt^efMr|C6fpH3Kroi*2hPvAkK<& z#CIFz(fMKXr*+X5mTQ04{PauSlR3qs$y%VWyU>g1YI4oElWV#(YY3_U+Lxqon>(NCn zdjmhe1mhW)?odf*RFXx%SF7Y6Dk_v9!XN0eS-#tJMJ zy`=f5S2)c_R)qf%&XUjcy|5D&f_xl9NeY!yqT_sw@s0e9b#E`MniH8f0X>u>2*X)- z*wg!X|Es*}{_8wm53@bpt%L~iMflvK4pkk9LRERxF71Gds`hYIRj5h{TbQ6cB_4@~ z%yk@I#?7m@o>0x;jyJBM@{M=4r)T&fvl6rLZ+^&}+70%!QVwl&X8Kp?GWIwg>j&Gq zOxNK`vSL!(+@8HT=?wH2E=R**lvE++6mq1LwM_ob%xr2FiQA zZZhYJHueE|Z@0GTj2L($W^Mba0PmenSog)hO@((y7vp+Ets5zqRvORDS)p>re0T%6 z<9ADNM`+spkHM0}JwsH}V?LY%*sPmpR5KMZ>letu?=8us6}RXMvQD*@tkeC$^T`x@ z?;2?vaQX&Juy)N*{t$PJQWsW})N|2en7|H+xc)_LoT>lY9K`erop6qe~_omsP~ zAB3~MlghFKjiy((GaY^b%e2jR(A6p)kCp5N7s!LAqXLtU>$^CUFEb(N@p~LXZY(rj zFTIL?PuBQR^)ak;-D5v;7$LsW2yuWP+Xe7u{}cg#7Lja|zk_A;^5S=1YJ`MjhX@H> zdw)-;!OaUrN0ylM1Hb++hNZ#WN-%U~{Ie>9uICYhl8?)VxZ4oat8G~#>V1M$>CP~D z&8-4PC}eltgc#HFpDWje?)b%tUtN>fd&$-%__d=n-%HSsk^lPtlf$ne@yYhn69H3_@t?jmIlv^WJw5=X!b4A|Nc z@N)o`IRctlR19dC+Y7gE93n7Rv>Zq+dd&13Zl6YSe=R9NfMt2f{5@~vWa+MnY4_Jt z*bPsTms6#4`Ca6Z9KpNP%tQ2h zG86ol2elkU$3biIe~gDalvSrv5Hu8PH(yRIu-pI-ZV#sx;JA3ca%zF#+aV<1xX|Rc zgt_1eD2?-w@C1~sF8-OQw0L{e!_S*>H1&s*UI19k(!vTCAz?Oahwsb_z54q+o z0B+M5WF!H&I1Iq8>JV`HU;vl+0@&MyYSw%N;63ery}Zt6Gl2KKM8M0I0@!mTfG2b! z;Jje~4%-RfT}?p75df!+<(lh)=1Bl9>kOJVGw^-}ZVKR%?T3HtR`@Dveha|qvjA)u zp8NH)dEW!r?P~zfZbrZvw^4KDYXB|;aOxrekLyY`2j2oPrI;q*(vE*#Mv7?yE|>^1 zJl*kkdbs{?>WeNBF#Wy2kg6O#sPb|}J;(hZJJT{F>hH-Y*%43%V`E17h*1njfs)sW zQO5fN#q({TxQ=6#l1QLLG-H%+7{x6gDB3=Za+*=n-{n>oFp6aoP~uxN${&nU$SA>q zjAH19R{Y-MR^Dfn?UR9$(VkKKnxiji-*I1FV3awGVtJoi*}^C(Q-G4wS^IS5m+o^J zCG!LmHo^65`5Vncx}z1t2aNKA`}Q+GcC$nQ#n_8m`HE4>Pccd?w{nb8!arn`N^T`< zDo{!XFv_2dV*MT{d2x&q(gUr8ZRNf+t_Qj7h-ShDF-pIu(3grc+{z|K`I%8tKVy{9 zj8ZTiC>awNC7=aRtQQ&OM@F&sLMzq-jB6Wq7!%X6p9ncH>#{GQWdx*B6*LL%lxbR9Np zQpAj|#yM|v4U3pGeQsCd$QQZ>zwpv?FLmwNrFR!U+utECg>`*t*8Dk>rgRfre^C(V0(*37wGBj&s@G3xa>6KD69(vkNjT0h^ONcqV11MV6RS2`*A$d@Yl z$X8FO!}-WVJ>Ee+^7x5W8K-x&$?A9Z)lVXirZ2qKd+9UF4!8aG?#7p$=MPylu;&i1 z2d5vNo;A8bMXO&Azf8%<`&B+4ShRslclO+&4|?(M^B(h~niwyyz7kvSnPq1teS5#f zD9v1*#8=s#;(d(l_ZqK>7qX!(?fQyTebKGCgwKIyCJ+OyAi z|4>&GKE6%S=9Z}+{+ao{MsvMk#_gX?m8Z>9Ts2pi~~H*q;M^~zsKG>M>z z^9hyKe37BN>Go!UwhZN8uh$>0x?{^wzTElOqpQAEGL#Q*6}oC^kgbV!#zmElY)w2< z`|P$YsZL50&!tZIdxx!wu!PDRQ>SRS7wa2t9~1bDR_VoB{ox0%*m|+S`PXybAJ=HI za)u5#_v(Q2(+6C5Ye4ba11@eHaOv{_KYl%+KMuI^p8;2YcvBzm?$d2@yQ1bz zidv-~|CAy_eKZ`I%i}s__A_J@YA!o<`WTtZ2lS*AnN&ZP|~Uu^fM8YP)~bZ}es`Y_KAf1nyZC4f-`kVOEm6Tt5TpzGDa zZODvao~09n4fapmHF-4T!Ih~q_XwfL?IsxcrQbKVgm;K7$=(T{dJ6BK*zfuj? z2|(MQYKSF(y97{907#3To$p*~qO|Bfl=VDgAmsyILI4j5;Gw@U;2||(qNL_tl=VEU z4fP^~^4G2y=2^e#27Svel=VDva)KYSo@Ww(scoaAj+FI$)w{zy-)%(z{RzNA04u+9 zj_`ZGc5Z5iMoE(>>-mt4#D@S%#XW}r$_b$4JLl2@0@zJi&vRa+gt(OT+;s#2eALYt zFnmfv%=Y7y#Ck0y^X^2sct;bFJBSbCHt75BCjbipB%N?B^`w;Kew5Taemo^LKS(vq zC4gVL8Dk4k0|A5)fK%5-Nx>63xS0=AFBTJ#52=QSQxYmnl#<+&a+Vvq5e<{6hU3JC zwM4_Sln#6XB{e@n0BHme)}8neMF6L%i4O^2AOTniAmtSCfn>3}7d7z)b@LJd>>~gq zH9tuJYfd?r`fMa|6F?#WexxR{31A)p+$I1@Y93Kc02a!6oVxQ&P$+$d@4J5)mp%BA7!wR7iE&T<{)EML`$ z0A415odhtR04xNsoB+B%Pc^I~fM*HdRRTCj0P_f-f&hLcfZqu~w}}7(2w*k={6qjJ z2_T)4nuk)(^1Z{U2FiLK+>Zca3E(aP+#rDBAE=uIu#K{wPu)Vj2&8Vt5rDp@F*ayg zLd8l-YOepZcJ8E+)Wk;wFqCRoO8}h-U_1dFDk35YK>rfe@Now>j~58w0|E#jfH?$E zP5`9@@B;xvP}cJoDeHOby~L;)1aOG}vMK9%+UK=%gU2*V`eauJx9?vg0AxL1N&t@t zAmu!btewQDagCCO>?ehpPEA~(CiYNT^cmAhqs}{*UL=6LSE-3Z)Wn-q!|znXO{$?} zIuUt+l=h3-x#1J3hHt5frBuTss^JmUFn9*ZqL^esSY zzLDUUc8Todev-vBlEpb17nHNS{uruZ4*^Ug04wDzFD8Jz>BNT%GA{%fVLph4X9?TuxB9uM+ z`H5w3qO3|TD|D&Xq;(lxL(LfYD!sjO@sM(6H>ji570&J07UZuL#{BB!O;r6Sk1j2S zRmeHBw`GBNEfepHy%rns)KGKp3f!>`td=v|YL;?l`z3!vi)!!1v~rFciz^5sEU5c! z4xah9KXPU-RdQy>$vLw}Rp8_!zCV4;P3a>tK4ibqIEM18`Fws`6E4pCnjk1(T*WB@ z*vKFbMIh$Ly&zt;1RBc45PgXJ-8S5T%Yn~Z9 z!>2ekeud6Gp+5a1#;3q%a{9H^iF$LYIJ0;y2HYwgfc|;YN3d6%pcf{eN3ZWga8)cg z_3*pUh0AU}u`Kx<@G75J=E7waa#^{~Ie}v5u4r;e%Bs7-i0iOA?C^M7=p!;5m{w>> zf5!TpAZ~ek)_IiyIbYvC(@~ z)CvXl5m3G8V^`ER^@+O)$w;BJ)L@uLHHK%%DU@2`m28|9TaFnx1{AtSS%-q$kYFnr zDU_BP!eR+EI^&e2whF4}8N$kuQBwjui6c$-5Jw(3MchfIXRXO{4(-{|EW1F$(?JRC zvZEAAJ{q8IWz>vMNf&dI9$&ZMI9EA4GEyRhlF%V>+VmXPAQs(nt%AB5D zmIbc(aMxueTh}x&x8KqdFnYf<7dYI^H8CmJ~8e%t~5Z zi6x|7AI>I@EX~}ls#mD)0+czll2{ibtJhOduWM#GXWM)pnhJAz6AePV<+`MTmPCc$ zG>MAyieMVij+(bsqQbNcLq*f}sH$&?t+;c=P*oos_lV93Gg+LW3i%q>rCyOJR(gvq zQtujNPQ9o$Ww_QTGosAQL%Bwo6S3p54m-Y2s1s%8|5B#&M4z8rr@7%1%Xl`?F|TUi zm7?!vhq7gTT>ZVS<(PC7(>7omC%3v5u*&G^+UeaQFVBedYh&NeX+S~s9(aWZdu4iE znv_~EB0Vx-ReY}`N-fvl^+$sI@DHyeMz74+E0fac$I=0=FMA4h-^^jwFsb(?(TQm- zuk|!2BPZ{TfY78!%XzJ%Q5FD2tyva@GQ*S0CZjC$$z_o!3wv@I_Wt9-pIjCWyx1p~ zO+cCH$z`mMDRP;jwqb3h9Lv{RGngJ=@yrI>IY%^`$zG9^=~A$?LK+Q7^DNAieytOo zhFKaQUu>R+4r#5K_I$Cm-sQZ?4H0_4nsQJbvsN=+O(;p@-R^f6mIDB@_R-M0}+-w{b%{-I$cT1HB{!+F!s>HKBh*MC*a~}QCrI^n<6X654AHnUPc`90=xZsASUjj zkI;}xZIG9R5O=GHyJf`u7m|J<@EC6K3kk8z52`ih2C0@`0aPpIBdFG9cDKjKsx>dN zKjE4CK(!ExU8t5uHwR=K&vXD^|-DwfU_szFGnVRO1dF{mpt(tGJ~g`)B-GSUy{EN5Ni@jL6zhlCf5 zvwDw8`q-Cz9&U?0Y2dpAJWZ8|Q`{JaPW%ke_o_kb33`wN^lXOK;?!Pwv1_vpezsQz z4VqEY^bj6)DwQQguh4QK&`jB;`84|ta(M{3#Qa7dA(wVAM}u0(-I*(Or%EC)bvlof zfCN(WIbOsZmzHX#WXl;aCkOWpU0TYuE%ez!&1rFg+T)>ITLfxb(Fe7qvPn;4ldk1p z{C#A}RwfLjDs*EYS%mWv<4(U51EH8r`Vc*?Y_wvN9s-kIEO-A*v-_;i;1WMD7TrGx zwQRGBj*&I)jbSS9M#EIIwN7Kjr9({zVBqwvUiNe+=tlCPI)ZxXC z1LBziAeO!i#P=N#oyVjS;x!fVngin5LLg>vi)$prf(R2S!PX*7p;lZR^cngi8QdU_ z=D+nwiF&lY$u$max6;vdU=~?ykq=H&{~Z|TZ7|MOHqQRCsT?w`5~i{cb66ra6@-lq z&*8iJ++1I7ZoAyvj%D23H)sy4P|=*BjTXo3?J1|dMjHZCIZ_>rzVcwq>uJE8qPalG z5tvNHV5DVTGo(y4d_W$Et{9WyIiKAwcv*i={Fm zYk2ZAtl^U}{Ik{JpZtuh;roqS2{{q5NR)i)wjpZLb|9TR`iyFv56Px-c8m64)Q}A1kq1ZCFxeIaHD0!igy_CJP^Gc5yQ-v33{fAI8#Q{ zcr-zaSAgg%BesOYknubRL!Zn;xQoiJa+zKJtviEV6=0X?K7GUx4hRRkZmR4$+C^s9 zPiMfc;BO$EY4n$1m&v6qY*kmaxeB?t*{!>vInIM_k(*l%i?MY*TMSQFj74y+4D4KO zT`yaVd+fx=Pozf82k0YNjJJvrkbTn{HHzsf1v+Cg0Xn8B*CoAWCFnLXw8wp}yA;rl zY07O`fx|rpcwVGJ<4r)&me}q1E;M;6i?VDVKM!oBMKw?Hq5rscs{d7k84+HY0XGL* z6KR!ZX<>9<7Qe!{z<8lS-_4$W(S!e zJ3ACR?+ZKsG!N}HxKp;&5HpNw`={w<+aO;1aBW6d2X&q*HB!dS$hCH7Aabo_6IM0z zVI7`ID9Rftk}$Rtl{tME=Jf6C7mbBq^uQ^88r7Dt&R$!>I=QyyeOT*fpf)TlgiOdM zxj_kASG>A{D)Hr2|EsbZP2*JDIy}fyUXBsNIexbp4psTcg$wOZR3h5W;pzMqRgb9Q zcC#yVr&q&I=-1#9*w-)UuR!Szd#|ASGoV-5RQQ`$$PVNLi&XuCYpA-F6xX+X2F?m{NqIRT(fJtl{|}h@JJP<@uoB9yAyHBj~lt z&DF(xFo>5@zBQLpXOxuOhtQlJ-4V@gkmiGi_W8i#gT=PveBd`5(lXAZkC~2N?9#G) z(THGkm0gw7yzF$?(Kw={asJLn{!xpo@_T9z?^sByxd&d=k4n#r8$Rnl=g)(G*^t~GUVne+)okPdsFSJa4@9T!X3Vn}P;6T2hSWh8GyH0EuH!LnBo-l#8lV)%m)Q)I-O zh1?=9$Q4o@7UX#^sF}7AebmkwzqVRPZ~@QgEWt>J89iV(Bp5#rC>RT3yoL5xY%11@ zZn}8*)-mv{!^3sZTZ_CD@$ZNP7lBz=tC{Hkc16l`oy`uAFFX=O15;#=WJJd|ftU@% zbQv+)bq*u4qp2Wf%ZRf(E&`$jh!z>q*LAMyaw&)oF4yXgZvn9Yh!`q%QujI|Bq z7uNvK1$dr9s7wqqx1t94R<8Xw?iYcdFtxl-8!nkI(K}&3+oIp6(^fQfgmG==QZ4)c z(n(nwawivqP)@Avz`{M~q}JX^d%svyD{JsX0*90^$0YH_ML+*H)t!}X%sMU0k(Lz> zcjvcp6^Yy;B!?=tqY9V)auwUTibSqLghqP>7C!RB^g~(`FIW6ud<}WBId{|V`+lNf zZovZu{}+d;uf}wrRbs2f^BejEi&yKs3{LOpO9`U+wt1aJm+rzO!gQy1?4X8!nDp`^ z*wU)YgzY3Qob!%+QKL1q&BB`(5o(Yd# zevaDmSxg_@boJOfMM;cqsR6zk;H3aJPtK+642GXAfz;IePIOM^I@Gn7U*`2wKkLtz z6JInM^2Xxdi?8Qip0>A7X3xG@umB|O`sttb7s_z~z_)p^#qjQcjfoSsB}$LNM-Rht zGv+EaW2}}reB0=uC<|4}RQG*a@+gAVA+m$_KDnyF01pu0@20M$%L#)!@o~7H$- zo?=M$_8SE6nM1oX}`;C?bZ=R!ijcCstAhlO~l!`q01=Z0m=)k8fiAaQ;YX4Yg0=e z3v7BXo@os^Q~(7lWCd#_BXt8(u)Bh!1|K+5cl@CW`Y~LmKH(a2=m;v&=g}wcG%zUG zP;(pyI*o3s1}ZlnItef<+R|btSL~uvFtJb`&0|L_Rwu8!4V!Vu;1Z#&!qK_A6_bdz zE^1gP1@tO-{sD&e(+^3-OAv}#Orl*s-iomg%U&1UiEHF=bONhbXVd?Okz$K*p|vsqi8&y&@Un4&%8vT`vk-D6^5Ib39YMD z=ie3au>+aGCW*oMhl;>CKQLI^T9VT5k*btpC03j~u>-8&c(Ay8hP9^W96dD`KIM*T z6bhf;2{4WvjAw{qf0_aqXE-}iH%v{As+QQ;HS-L}4z5nNvFial*{KrQy2Dv)wG||Z z?8-fmU|t>ic)UrZm29-UO%{CS%N2;zedxJEsSw|ZiXQZznAt&{`tl1>ILb#g6HYxH zpl#yOujdl0`>6hrg+=k*!~FH9eC-cR|9bB!j(Kl_{>k}u{(2A0($+((=@PSU*2NjK zM^EUDDOc5--AcELAzV!zdV3qFce_W^lcfnozY@xoqX3lv$g>X3WO&zH7z0+v%ttgQ zJ|fCqMEMOKIOSN@lPTJDS_*7+ec~M0iUK5+k8h0eOJ*zmI(C|^)7+62fmc%Fm&YS< zM-s23TwEzdf>&o=Nj15y(&FFk5adt+T1=-sP1qGgp zOhP-7JLxDB1$z?%3G9FD$;g^LnQ{z0;Vk#@bhS{C$^X_9|L#AdCt0AyBxn&mIXNsg z69wVCT|?0XJ>13}gytM2%@II_D-S|FXF)y7gifzvPklURxPdobYZo%yEHzXVQo>`? z=iMt*|24b$Jj_zpFiTnKCLm9RzI+=k`zhp+amSH^)0jvrj?eMX+hu$_Ya6GDi8)%O z(sJ`8qR@NHqTC&UcK5nBXe`-`NUb-=D%(`O*~ms;PErQ> zG^|tV9RjV3LDDAaLbOk>-yo4nFg~WfI*XZ$5B-OJD$J*k7Jp$DtIf067!H|-?O)U9 zQpmi-8D-Fu3TS)|{bk=a$>fddn%vF7?tn zxsJt6@?k<0*U=i}^exG3!9B9XlVddGyDT;6llNk&G_+LJshAdvp;P1kr0%DQZ8UNW zN3vU-_4%^ja7T8S(;Kt`IP_VSdcEq3>qPKrH1*TFT%58Am*~K3Y(~eg0mNL%|GM(? z6#7Xw7D#jGFZuHI6<2J)n>kpQC|lNIu+JM7c91g3by^qkRHE>f=!$e$d}Hd?D+#Yt zxQS;!qw@5hRxb}pUFkco$hy9a0Ogdm9tY1{p!%KGp^>+UrsxT+SCT8YFH>^m6qwSr z1fyGQbLFg;j=n*+%tLqXRF4Z1XI4UA!VtO1M{JdO&2+ou3g`8_;=KBGq8i3dr&+*| zGs8gMX0~zWJn}hbx>;S_LAdMZb?84fo_QR)1Nw5%wt1(8B9N}YP(RGPYxmcaY_7OD=aQgbPQbYh3ql&U46#XMWL67u!@iu7XqGqncT zJ>uexanK76o~5Cqe6e5%!9C{O{k~K^-DAEPbu2x0XZ=e1IZC2JXdyGEoz37X7m6pG zpz3M+-enf4(uEZU5Btf|Lsvc;d<|MLm=3gUE3*Vv!PsZ(Fo{ncCKAIx*Qz8|wLt7P zZ#-m((+d()c)%9#q3UUtE+t;n`m&A0i^AGqSjKwr;(fv8Vv{}z7nj4|W)hvFqlm=f z8xn~j9hpQ#-tr!9BT>WG!P|VUqFc*aeE6*st-liX<7z)?PF>QR`1f?@d_Q{X?b}@s z#lJV?obUEdZ+GcIe;VuA|IDxF`*EGOcQHVH-%l?szuo0fsq25{6_7fnO|k648Yt82 zbZO9z_!U!Ib~*A?X6z#RhZx5fUSV!+0=GU8+_LH9_4%XFy|L(Cd>C z&=e5r)bWWrd7Z+fI_l)KsjyULymj%O_fIZu^`bwCyg737Y;h< zQ4#@$#eawfKNMW9u9JgcfgN;mj+se(3nVUnqE1E^SJ%l&3&%ld+02X1Pt-|q-n-xz zw#UqYD8T``D1V;njByzAN}YwQ=b}#ABLZd2J7*H{bsWb0;(QRVTLR*biP|Hy%9xL_ zjrsI1iN6yZ#(b;wP=N>FuU=$+Xa26Zpp5y5MKtEG?U%=V#hc)7#uhZVw>p0(C}Te9 zyM;ue1AmYF!X&1G#M2J^tz{eYSr*bYlf#%_9L{4NJET6(R^x9S9`j_DJ|hy_d?}mg zyYV0~VmnCOZzIu^0u!BLGtqm#CSF87Bbn%cEotBdhp-J7ybw=K+I$HUEynTh&H3zV z3srB|Ff#jl4q}MO{52?b4kH&ME?U&7=&y~x@t^bjBJtPb-f9q^1LDmGs`Gce&8F^} zi6JK2)bm4F!PbDkRtNrG+ppNvs@pujNH%rbk|^*u6#O-Ism9+G7hqFq3jCVQzQkXT zKQ@5G_>Vy1K?nYtZ2Uc4g}t)uA?TKjxwI(z`VwI=Jmk4>CiDT zS@+7`ZbF#(*n2+luQnt#3tY5Jfux_-9KkTj1Q&;th+mw&=N*Z@lII#7~p zW3fT|4Rk=(t1NH6x-c1(@MRuluTXiyB+RUW=P)+26+E0>$KhHJy9|zFv);q%0&N>N z69UbIKy$kOgFqt~&marFIkKm339pcB0uFuw`*6-kP zqnJI0Mys74B|0z6QNwF0J?A8PhGsI-ZqPGIN<8nI@gXeTG0+f8+p~&g)OUSeiVag)zmtJ*aD(=q5d34T+{T$rr;_`r0NleF2~^r>CIL zw*4HMu?78GivGF1qaMz*O~(DaIA0(|)RzR>xDG5pDFkZnBQbEK?+pC3m%B!PVSVMx z9`W5h64j#^OvhjZN?^8K>td5v0vzFjw;QSsX_!wIsI!1Njj93fHmoISz&mygAa~C{ zgFMwkZO+&yAdjXGc;M{>b;Y*U$YiZ+L`Q%HpEpt)i&j{19*w1n#)MLhi{|dJejviU z*uIbU;rl*kf#fN%sc9#$DFUtPB4qHEBvqWsOX-)QngHb}D5!y&M zJQ>w@9jZz+FS!81(X(*GI8AF`D2N>&Js<6|YD7uI#`v5EJBvVUnk4MJxCHEU!JmRAxSK6ZdWB0xb(5Zw!6Ia=EP+Ln%NSl_33tolMDh3? z=jh3brr?&7_e>i*ly#4oquq3OsI>|51d{Hv8$HC`?6?A{H9l;*W?MUYnY~E%4hy>Xz87~WX z83Iq4Kq8e1Bx!Eg7WiqhtJA6tG%9Boeau(YQKKv$Bj2Wp$1>N`yT+QXSW(bx=$U4f z-H0B~Sq7UEz7yv{>vHZUs6#)|d>q|M1$jRGMYnAB!s@dZ492CkIJZ?Y(rF+SDoCtC zV|A6)Rj53JN6UR+dkSI-*h&Z=uT>GTD@Jx~ubWSz>SAWIot|ELcRVt#V{^Q67|&tx zrCrH*70hOV=eQxTFr3)z;IVu7_hmL?JJ9@lbv6r+Jr;Pi9NcIH&u1Uu=_+k_%48wj zyt14nM6bgJtw-xknm+w$%F4P6dWl9M|6J(j;yoX&^Z@+ZKJWjtMNCg zwe88k#{KA)048f|NL)#M&srhv;+^;9zW;zeSViBL8Z_^8qrqvJc3s^l3|9yr2f|YX z;lkDxMgpOz2qcIgaSoyo!~uuahy&J%1RSVZ8x<9YVnC!+ zEybDQKmrJeRnR)nR&5Y%QL$p3&^jc5ik8}F(NaYXYW*lxisoU6y!RRRxo4A9D?ut)%>?SY>9~oleh66RcD5edec(cpK0(Q4Gnj#`BIcb? zjx$Rn42i@b5g*u4+tq&!9H(%?h%Z; zaHMXe?t3QA>0b^Vs%T%j?SzhG{WWaqI@BwN8UrRjSHd999P$S;)*Uqc23qVWiuO0P zF26nGyIzOhQ6ZVCp^SM3WuS{Wq<)Nx?}Vhn?`hXi#WE&0pPuW1KSiSCDkeAYyWaXU z@$26#5$EtI1S|kYao^I2dabqT7QFt>S<)&Rq-`=5vU20!BXU#q=|m&TmrM-8kVPRA z3&HEj+#`fTvMKBShW{s5QTj(rECBTl4S={FScg-t2YZ=ac>pmWh@rh*FlOZ{kQ@od zK`6i$b&V|L6CJunkd2(Zz5=~S8iyE9AmMx%y!c5B0UZR{BD(QBI1;^BMeOq2&Wp+G zM?@1NKV^(u?VQr!sV9tLM&Fhw+)T7iE!*1H2Vp40qekZTjCBUPU?^~$`vU`Rs#-dfDQ;X$^USJyVtRhdf_A$x*qNoYzP%QG%p!e7*YvoQen%bXMQv z*vRl;c%n0$ZYL{X1bu{{y)N1K=VsnR{w8Fj8DZz->fFsas6S~4|{ zo^f3-9{Tpa$26O2-?sQu9Lkc#G@IPadZ%MRNrpuJ-QbD$`k+36S@gu=2rgH-4swcW zDM_OB>Wc=};aO&fuS}2)7bQD7f;xqf-~`%vjrt`P8Qwy9w2*pZ(=wS8GCXc_FJMQy zYdWkR0-o7-Ny(cYp|wPK2EhkT|I=WutZmdKO*}!&bP^f1g>WE;ariDNcT*|Gp#^Q5 z6KIRW%!&S(`13)ev}H`7kNJfRYfn(VP&m#<1H`W@>CMlfZM7S0BU0|Bi=@v(IW_K0 zj!5p41|u&G;Wdo5wkCTaRoCr*p{Nm5W6EB&o3JlJ_F)YB;!V%V1QXdujI` z9}i%ul*z;Wk_{Q7-!3(_xTrHms!MfkF6yXxH!n>kcK5Ki`(|)t2lkl2k^TLKREV|0=vUCG*z20s_rmRHIo3P5uj*3szl@p6^E~0wyL7%)rS<3A-S@@~vOMGF0uk;|g9Nyv$$^CTwg09`d_p5lc1`*fHSvW< zVB!B#lk3fvq9(0TmV5S^EWPg83ST6ECWR`CFCD31hIpwNw%}>fetyYZo^NJ9g(TM% zK4kpK^_-{&H01N`llx{tL%yT=q_5?ot}w@~g2x#!h~V%GPGk4W)9{pq&)Z-pva{Ck z;s*H2d-`+eir}hD3GEA#cg)4jj5rR-*5ut2cHRU!RcGOmhE?t87*V|jbxdmy4@hPe ziIi33xKYg$I0LEE<4Kx7n6_K-1NlTjh1S)d*CW7^!$xNTw=aTt^V>>1LrLGkd~V->-T;8n@E|AU(1=UGDp%Lzm1=w1!D2W zS896RQ@(&4j~jr;*gUw?OIYhsl&m3>00*#$$d$WIC*!eAXT_e|$T=QWQ`_r2s^?NX zm6Rx23*l6l`0%~++* zR?oGYd=>8^=k}d{2gr!Yb`h=Was3yJ+KApZ)4G4$>NfmTbsipRlbNU;SA#5NDtLH5 zWp)@m4Gr3;U2T6R~Fs9jQ+;l=o)(;V)D5d71Jz*yC%^k9cbyYf= zMXq;x)kCe8qOZK5k+`-nk;8EBemxP+IK9(@IThS@^=Y6KybT`iMkH=h=if27$kwe9VObGK>ZNJUU`tfF{7fMUfgdWh3!(qpiB;I_Yjs=g<4 zBy8LT^tS3h@F;$hIgI8%;CuKf`7?O9cgGL40u{s~7sLZhfHQuKwydn`{#%{ems10%bGyvO5Y{-ewqzFc-<> zU$h-voiGWn0}Cu6NUdxNjehGOPN%e}Q1VxdLIaMVS&NBTPe3h3dib!s%|o6u){iBt zCc%;Jx2~=yIN#V@(buuj$>E4eHNW3S`d`@@K4Q`XRF245(90%g+FQr5$Kbrh$~o}r z9r!(%82%fOb7t=gn`|eCORkLDKu!)@rSs*WUBKA;yMSnKjVJseX)F0eIzP_keUEGHNAs*&*2G}QWhll$e#!PkPLN4mOVJZV~-H~=3B9AufR|> z&*VF%|KSnh2~&PYf$K@&P+4d&Y`Xfq7GGGvQ+R|}XRk>Fhn)iJiB|;_`yUV9dhBbY z$^IJDMDYh|@($JHe|GTp@|1eiBpYQ>_OWX>hp)aQ-{Qj!+o(y%d-j@CaoD>MTSc+| zQIp8VntWA zDE2>UGO2NN9e9bFWD`wh{qHonJhd}+OBE=K(%;S}byr<3;|q%yG}+aLG0=flO>u%A zJT5qHstykJmiZTIJh{We*00PR6wr|Smd-1XhIqo!;g=ryhH_}gnS=5T*QCX6@0)m> zQZvCxH;eU3MV;)u3l>bnxcA_{L8RYh$lilW4!|6jyl`8(?X%ilOK|@v`2dGx>lw4Q zG{c|HV3Xg4mGk&dLNwF6p^mtweq{w!{{0AX@!^TM_7{PPUy13yp^;pr+QjkyWajvP zmqv%?bEfw~&L2UrysEXgZeF_AFLZE>6Fz@0evw!n_cE#D((n&#U{NQ!$+{- zEoj#q(A@8%o+V{`fA~Ug%!X?1;;GwgBkbsT2hoD$cC_R&yN>?y^dTc2!Q0>qjA{4( zOiX(m>HGJynf7oySNw_8l&)kxD84+s4=QLxJ>nqVsqaS5J7wb>0}R?Uc{-k+BlvM6 z?jQQxgoo@3zn8+Nd-mbR6u)xlO1`on_0COgvG%(z3%_a=PyNWI^ZEH_ku&*{Tyn`y z=e{!{cA?Ia6(CZv+e+(n{4%I;vKa>VZ7r(;|5UkL>Zo_XEzyrPpUzh!~!&wIoh^{$gFkn-GH3RqHPljdweTZZ%j0}nc=zj0}9FlDbKl@|}Dq=+W#P2hTJl;z- zP;Vi%!^~pn+_z+NdU<08WOMpaV+N(jpcENIyvs5uLI#H0@DMUsiyCl-z|FJChf4DE0a0=dc^lZd~SDf2ZNu< z;YSc-9O3bm-$vt(#qW;r0X?~bGvmV={2E@4If?8qU&94*0j78(1*Ga~NMh_Bs(p-- zx=cvbAt_}`az)+SGw>7r2yz|aF!lrg2Y+A}A}NK3`vUr5{uke2Qly4_9q)^qm^-s78k$*~)du z)rwq$Z`ln3npy7wg}{%HOjdwRRN2Zs7$9m6i4nDKSbd>d#a6I;w>8MNM9O1*Ovx18)p3T86uVUO+aZjXie~ZL@HO4(( z_M40Q&UiMbn!OdGx=(-X|8TPVn+;>y% zQ%V)bRC)Z)5V;-_Va2-ZT1Au%0i%Vd&U!UejloavcIeN+NJrEP)P4Dy#Lw};;W>)c`t3(uzmOOFu%)Uk0+$6L@C*djyCZO& z4*(~RMd0)`2priGfOC2yaK%UjK8e8A4hXFCMamx{@Ssctu1DaAKm;xbLE!ey09e=U z%FXvZMs)??;5`Ve-;BVAS^=|x;(zQC1M={AD)1~S{Ilu(~Hw9*e*QJ=TC2L)sp`x<#{}P@ar37b0cVR0Mv2 zz`@}NY~6>H6WgLSjv{cy6r@~uBa{dei zUWUN(50G*-0vDe`V9PP2`~rc+_YgR5Cd#}8fklfDIQn$}PW}#oOOGS)(Do?Ce-QZO zI|y7zWL}2APsiW+<~2zT0&7npZ~+46{E5K&SqNN@!0JQ<4pCva>XNWgNISVAOuY`{ z-|U)2SQDzX7$*x__-0-i6L=>O)1f_5R`HKNIUwJp!`GxtBxju1rU_`9wDoa&B^*6 zQG!=;DE$#7YbU3R8|qRQL%6&}P>dari{dKb@|AQVG>!5Bh+_E)P_UYjNED$IiAMCq zI*NsC9mO#@3YVRH3B{f{Ex0O*Fh1#(Ji#aG9yI}i4k989u&Q#Xk#oY;PORNs7Mee8=0u5jYn@L8_Cq-W*@{)t5w+b`e0 zpsZ)oiM7LSC=vo(Ubax}b5{3xdM!75vZJy6@-7qel_9^qu(~gqBH!IU@k!d?UeX^v z3w$<1vn~9^Pu?~8N-h6(4|#hiLsS=;IclTV$4~+=Um5n>%UYi6t!=J{GK`NR`%F%4 zTk`AApTEqxoj z*PxphG!M+dx134U#n={+eZ1iuu;3&evj=9MdpUDz?aD^Q^l!Onf zcH^rqDxW^^`G6~lxXk_FGWP_&B;tznVJj@29E;;4AN-tri>tbLsYT@5-gSJ{#cx_J z|J%Y>UHo-j#a&sVn5()ty(B!al&`wD!uRQOaTLem&sGQjbmt2@4%v`?DzTO??D%5T z14GHTP<2tW^VIc2r*0IVs{G;9&AX>={eJ4Uc&*}m=NHSFz1iZuRNVjeVs67JQi+T;SYrygEk|= zJ`AKJOS}GkH3f4clXO3oIGRtafs%!-TRVRHS~q7Gtju^KTPa!!0FNS*v{h#vH4#wD zZ%ccqo7ShB^O#xV0KLiSY0Kl(6p%MU2o_CB$2Y`uCc(Do!FS@J(fENJp0w{z; zB^k4!uHqo5t7wG6i*U-sdCW(^!UKx^_4C(g=L3Kh07?PCB&q zumC_F6kZI2x{B6rtsT3L@8*1Q8vqOjfMrm4u@(w1R$p*5Kl`{@QrDiX9p{C1bKbIN zoIHQhC(5wB{+fa*bCPt&q3~in6kd$$)!MQ7)NamGz8WX*{SE+}0)Q0&u=-m^bE~b* zk|w_nmYfLyCjejx06YYMN&q+u02>jY4*)>n#VMx&U?l*&001bws9RkI6g~j}e*l2O zi&LQRVs9wC7zTwG3uZ}3NKE12K-t8402usPv!o=btEhpx zip5Y@u_xA59MoS^urMacSZ#5P@B@IZfuJT7J!}U>5A&huVc1ik@aWwnUDfxF=8I4j z(HICt57z^Wj&I3(zwxOuY!Og+5R;_)`Ld(=PHwZLKSsB99J>hsdH_Hu0PF(*s7R;Qma0I&i8{sw^O0I<5; z(cF0tP?!h+P)~5mSOCa|QhoiP65rgp5VQbr0svM|0xiA-XTANFJih=aL=DgsTmpbS zR~^lBp}!r1GXU&_0+c>bPf!B&1XE9aqVy{O86p9o6ae<@ZIsUEfvIEPlDE12 zsnX9107U?h^`oQajRVb+Him;QP63PM08kGAPXORP08~K1!9oDoJQ##r(#`o=4Y>On z_+mf08+>uyBpsBOt?E z0Dyvn*P!5F?`Z&V1OVay;2{7!0f4r#08j}4#{pmo06YW$D*$|V!_oZpF`)1PwC>wb z74Z!CA`t+T0h)rK*d*PCN{Gqh&60L6Z0)%3X9&460Qdv|1_o#p3jyF^rK6_mIJC`0 zV5kS(oUc}ZvyObG^jjF9(N@PMsmgCUMwq^Vs;SGMb^ivws0LqH0ALpYjQb!-we6N; zg!WXkq$>#k@CN`q0DzwW;3xpZ0l+-~umZr7<x-UlJCeE_Zdwxc=Y zOtYksiLD)feF+iu5`=t-S}340X-ij7+(il&a7u=_O)^6>H0wYx9Td7+522w?eM z0Jg;)z8(ge|XpB&43a%qq9D==pV4V=m9l*|}0oXGHTN4RjWBLME9)e9q zuwDq(4ymmLuoGti>=y(}KrkzUEkLk05UdkYTMu9f=K<`(Yyhi4uzCbr31G>AQi#RRfVH{+VC$j)Y@9!U_3(i3+X7(M#samm-2iOz#{gCYV5$cQmVjV7082dxU{j_6 zSo7DCn-vvo0kBAzs_IV70kC@rwgjo2L~7vx_H7?z`l%Tj-FE=?Ni={>><3`^0G9tF zfNhx%VEYCF*y_)K+I0X^)dQHe2*CXA0+{p(fE9m;Dhva#q8(;O&4TX%?Bu)Pg?j+z z`a3dx2`%Uaw4mqEf?hyx=8yxdgFvGc5XKoos}(f!ZqU_?hu$p5;%FWN0J{NT-f9TW z=HT~s(AB&S05SbR!hjB-uLqzEhX2X;a)XBK_Lh9pY3K?Pp-^lIz_`wVS6H3a5318@ zp*pR~_#TLK4PWgBNuoiL%g~nhZf}+}2io$lP>#0UBcN6ZqMiUEO99{y0C)lbe*i%9 z6=2?a&;{z%hC#jBf>NNl33Q3PibkFb#LEHY0{lt;|AZY!A;Uq)EeF7! zy=H=;Mt%kM?D-=g=F9{5D+u-g!IlD8yOUr~pKt&hZ~(x*MzEU*R)=7J16c1w0K0Yu zsEv&RU#q?bv-kZ;mt-`~2QelN1n#{(!9-gCY)lz|&4~su^&#+eA%Ll@0H%#cuweiu zEda3K3IIDhAHd>{0+{v&faQ~N=`=NAv~GcogW~sL8^XOSJm@Xfbbn3k@;>ZD1Xkd- zgBFwe%oROim-+avyyN8Fl$xJ z2FQeXz2|>;~*sd56NI;cG~G zuC)!>8!~=l8kP!zvD91{Db=hSR!dIfrmAF|%FDE*cl(h}7q-r&rIZac=L6dq` zDi(TIr~)JlnGcfDC^?|o3gm)Gl;DOMjS}$M9KFjP5N?yB7bCu@h=`vEJM@9&RD9D{ z6`iQ4;^xax)O!zstd=mhrDny=7vei>)9>a0Mqp*r?^YsSMbqyHUU}2+NV!~ca=fuD zQOK{1#Z!w#aItYg;o}Ez1*nH>x-9^L+ug?iFx~*1s&nulcP=eG`=}u!G0pld{G1bu z?>yn*ZHsUBY4!L{`~mx}O6rfrVBtHn$~dGmEwwY0n$1uIWV~~5i1M=9j5n$-aA90^ zSdFeq#`E~@Z%|hi^ja#Tp=EJ+2j3;2Z^(f^$k}i6*yI2^;(cS=d=t6Mv|ZD?8r)GS zKk2~T@Rf`?P#O!z^duGtgP~0^s0_kQO^yNXW#T1clzC+lVZIWX(|7W|I^f+ucJax` zWomC_7~G1jxqHqGBEyZ71{K~PyUx?|Kt(4@FXvblT+ViOoo93SGs})d4An$cxm!+HrU*Kw|zaj+xaFiHjtBl*xu1iYb9ZDlhU2@ZYfBSKTa!> zkrK(HU^_n14^IKX1t20l_)%9FahZ3rALnFqJN#PI7?riwB)>MLVD*`{u@S~7d9tYG z75M8k`AeI|{57Iwvc6RUyeouvg+4Aaf9PVOJzP4&KTx=GxH1cBnp8_1JfuB04T3-T z-p{vF2ndoGh8mc^L;Fz;Xf|}Q0)IA;)7Am-e@wt;E|%lFD)yboMT+-som;ma6t9*z z_E*BTcZYx$;h<%O-Z@1KmW`>bhzA=DS1T@p1qv6;X8lq@DlyA`LcegOJ9)pTi#y^5 zyPX@abA_9KMDTmGd*|JFP6VeMGdcx)fy_@-bu3j9w}t_8QO6`XGe}s?{Xr3qX^nsi z?>fDV6f}-T*Qtr?ibTdp6H%s`Qzjnob1^QsYlR?#E7sHsED3yW-8#+=yE}m>o&oJp z6yEl;y|ifiQnbDJdw5XWi@IDFV_Kn8fENRv%z+mmxDN}iuS!+BS->8DBf6R{yJ0d&8xZc24!}qC@)*x((#aT*2vMW-}TE)uBa zU}&`rfc3$0ys&XhxyE*ZZ`xQg4T{S}oP2n=0|Z|B8a2qT0utrj3YeP#w^|`SUWrG; zBh4QkbY@9=?)YYZVWix`NHP8a01)CL(JXU=5$<*+HPUsr;ho_cJeXtQce}>J4~bz- zzr%!nA~9ZLyjTn+;-`Ojr*4`iDT_{`p)nrer}`H>zoy^eY$lP!X=9oR_)fv%8K=ri zd^$qt4G&O(nUoTzA+|X{iDN9z5ANX{fSfUe*bwOtSQ{pXEnENn7re{<4d{{^GjPrE zt=uCK0Mk!QsCT&~E_O>9gZHB!Y&8Kg{EH<$^GCqT{E`U}4F<6Tlm33I8mGhh)9?uX z3LYd5ki@$!x{BdwL7wMoU>)}#d26`7*fqehkC@zlDp`m}82KFf`M1a{S$+oaB?nj{ zbW#*>`7`*rn4A_riIXB`!W7VQC*qN#$MoGwy|y_7`-Ga*-+RT&v??9I7fVZ8xx&%4 z2{lsuvChR|NEtSWnsZO!&nTm=d&7N_ncGD;1mvuOhb#^rBw}mZo|L3|UeD={!#9=`cTanHI1G+%8H}I@;#9nFR`)%!^p2v0hOQfHX58i)m89 zz0YCz7v2WQs!HUHQ=kq%eDzk_l(gId-=zuOJrjvL!MA@q%iaDnwYG&(hf6`2E_BA} z0{nO%eyoQG!0Wx=u!XAd)oU@nd1Ah|g+x z$gsUOC{i2Jya|sN5^zp$0XTSkk-+2HwTu4IWOyuK**B#hd$X95gyLkVe&_czp-$D!N(Lxco;lWtQ2b_!MnmGL~@^d z4Kn-OV`Zihc$!pG^+|SlHk;dZ(d6#|Q)>~YRP*ev;Jn%}y= z8)ViBsPHq8-2S8LfP)1cCnno2@DZ82d{k#(Fy>|)bY!?Hf%Q~{eozuFK*rqEVed9v zJtzonMNxB1X-H~)1JTrdF(jwMgG@e6s8y_Utq8wXn;hU32Sfjf2=^3_St~>j4JPRR zh#tCQ8Mw31GZ90>drlx^q>pmCRruq!EdI}aLX&w>82p`WE9>xzAG z!zKrZ)rJ;EqqU;q2l!b$6W=wE#>?7xXX@RCV^5g?lPK<76wJ8?9Sn1WvNT0SP)viu zrLV(vf_EIk6;P*RO&3_~48W$WSWB*QtD1(B;@)_bTbof59Bn+qgJGPkN;Lu)9EO?` zlg-3Z-a0w5k4Lf=mMmTFUKd?O-B5nnc6hMM-Rq>Zw?^UfTtfs2^@BE`#)%ci@rsIqCtCL`oLyECN23JAi01b}dPS@LxL@ zZULVvx84~DFo(5IZHI~zaz_BFYzc}{Lc_}VNCXYZj|gDl1t`Rez=8w<-1b8O%tU~L zjRYts0w}wo09syv>Lk3V>j*1A(On|IGGd3O1SsbPIFSWcQYHxmcsz($ARKt79cm;% z1!n;wfQ}cScIWFLz)@C!i@qZPRrVnYP~MaP6)1q|;y`TL@oVSU?f@wsIuSdim>&T@ z0vDI0slegGRL^d;*v3fE!hYT;ZmXxH^Czn#o@ldHhqsB2FqZg#;uP@={))rDqOy{i zze=ub9T|Ba-pwG8Pqv}jX|K|eUSdtz8M(ZJ&;e(Q;-3Vlykdg&=X*FCmirpYokd3 ze}tD|S=e@%$DxivR11SD>e&-EsT^M0aSA?Qwgf$gZ(I-OLEI-ei8tWwNv~~UTGDeP z#u2G+oOYVg0j{5PiNHIa4#yBwO%9+6+CV0U>N5whWM>#uBWZ+O8_>VTwt;NCx~M#e z=>@Fro~Zsr)WI44uaWv%Uj1#?0JVZuznViOcS2*gM^QQTlQ{LQME#?@`bp4^lLYF^ z%|w0FA?*sILxcK9QGFUU>Jj4*@M9FYmT520zjVQyAqCx}bIXnh7m2E=8p&7Go4BW% z$ajd7&+sY8SJazzPpiu)A1RWYh8rj}#2Vx~#JR_es19rok;$RvL<5!#dwq(^xrgf` zMSa*lQgwrb7~>vwOE1)6F6t1&=+F?IYTiAETfs^Fa@IW|94fgFHjY8%+!MsPr=F;P zgjYWpt{n;zxToD?qW-%eep&^iLxcK9c=dlEo@E;+hnj<^vY!}KPJOO}6!k~-X$NUC zVh=6oAa#z!;X8;CfJj4njSdl{gQTOnzU3PTNG=6tJq`n)Jo%P=e5AKT81EvqZ9yXg z@$nH7jLnzEhpP$4*XB$=oII)oJ38kZAe;~E-!yb|SRW0S6h*y1B_ps(QcIl6jN^GN z`=S8ku0~5E0r>tyO9Y_(hub-vQL+L^Mwg-hekedrQvx`=#tEQJehdN#LZq~%KMHUK zEl}G?06s**hyXN1+{!=zEh|9s=rR-_gjfJ3RgEkV#0wB7!P^*xT}aD*D8O|TKn|!) zb|E?<0PR9rTmb=etN@a678D?cSfD8Zl869w>Y{3ijj?JzO1c0Zv{}ML=M7b(Ax5Ra z38xPx)MT)dYJldVm>*&WvO`R@au`JMA$FKA9q|^RrWF!n!~ZpkVmi|r5<(ZrsKP|Wv8(<>8pakq=~p`irE3$j~PEE97EW5gIXI} z!)?;{*;Py)2Um}hS%i|Ah-6l772}fxk|p)Q`yFX37_xzc6&f`Sv}-Ub6`_DDK0OXa zz>c34uYjvBO%jcb-Z6ObJf4wE$6ns~1*WpfVd$v(wR>$-S;^ZENDp=szNR{d_tdroqu3ox^Ys=ClI-kWN=V`>^^; zb)?wf57|J5&O)Adc^jo9Nx@VY_1O<4pO5H5+@>JgD5lJzFf51qwqdYea@bcfrqX%r z^w%*17Bt`ytbRlfJ3AZjj~ZMG@$+fl&^ok{7HwpP03{!d7W!CP<1WW^-)B$^GS-wi ztQm-UZ4fY1DX?Zx$a6dda(2E7^7o2DQpfAiW5|opYe{81i-Ut|9gvIDMd(XZd3 z$A2v3pSWQQdk!YM@F`x9_uu4#dH+*MqHbT}g(0Q`DPCN%J=L?Q%Vca)B2iqkVY(BV z{7PPhFk%(s%srIM}2<=w~E2F+#5}Bbx{J` zECyFemi;_m!vGuK>m$YyTw~m7j4l!K9q+nuBuooLBDi}z);ZP*ugVJ7WPLmnzr0jK z$)Tz8>Jd}PkH*&F@G(__lX-l?9JuePt>citHpBkWRC%rAOafqPJ%j*g-hKq1rK$&- z+G6TB)wA6Kyd>X21XU>#oD6S2!P})oaM5_UrndDkj^x{QfB_`6Kfx7GKvF&O9YRvR zc?m}{^ce>*@i7319;)BQs8Gb_UFXaI;sf?1F);&N@eT`=$w8cyq0KlcLr3B*QQ;bG z+X;lEVe%*rV2TF^Fmp8kh#Z|Ji1Fk?VML2s&P>EK3a8~_xIR+!X4N~mf)(jO{;Jf8 zrKGy4NCZU;-tw8uyqRRRy_q0*Gqk?FKgK=a=(gZCS;lp2*{ZfRPOvK@nhA`6zjcZx zYBAagE9Eb0P;2 z$2;nr6F5qgzdDQ8aGD2hWTGTs@{1$MRV#9|WXd9st%T&IIh<=l+Aritz7x%nT$01_ zympoYh}pUb0BYNxLnT1si_u&FgZSZ^_^pd=;dF<0ZF+mowGrXhh#yiuoW}92SL0GJkswdcaANIAchG%PfYbZI>(p%N8zio;KNT|^2DB3;kfA?CC3naxxF6-~KGzuZ z$;a!4=F!ct!Z*mAF%$Y0*>c*cl~_e;x$86ESaS8Z{AcX&yudgONIl3rk4Lg4L+*SL zsD{}=VgZvH^^JWVjDTsAKv&v(-$_lypA_)$e!wMKp{r?N8wXaL9ENR} zPWNmb@fdy-0$#WcKarz;pcKP4ntX`grU@dN#zcO?&E~2ScnFC|6Y-~3@BrBvNj@Xr z?vI1nubly&-~b-hn89C}jfr@A!D!$e#97g9T)XoHiZ2U92qEWNIFONVN{Q*W7!KhH z4Q-|awp8&q<=Er!yR+$@grxHv*u}RwuI3A}RG5fz z)ls~;$;d07<23?#{mJrrbdK;MIQ0}~A%`R2<&bn>D-?M>r+5hjFP-Bx3VGGD zyq-$mN4*G+nwIHF;&24Kf`q)DbwfO^2IB~dmqGBdIbI>i>o1nq%Ta{a6qGN7;%ww_ z1iX@jyn^1f$vBS3gF6t*+E}4W;zGq(Qq=F;j;~KsIRy?{ZD=+2``(KRqs(odTiZZc zd&R-Bs*O&QYLh1^{0>%@MW!`xKhDr*JZxlc?6oZkO69=uHCVjnmG9GJ-9JH>? z1pAREOI_!~=H%Kk6K(qwN0WtI7|K7B%0YXU@-?J8+KSfP$^^hf89|!CA&~($VlfOr zPxF}Q3gv+C^r3e+wM+-x2i!TV%VpRH9QyckxNt)P)k$?zAE zK~H43&&%-Z`yj(#tPHEp6B(AG45b1)L^dHq!_cuJ6#H8Be?Wd8S}72G{aP&07sN`5 zY%%KiA<}QC4M%k3W95Omq4$ERUoKq6vU8ZiR%0gfNlRP@Xm%i#hJtVFN$*EmVKVK> znn}q7$Wc`QH0})RX`|s@M{Yh6e-1R#j`D-Ap3vZWHOX+yAKe+IB2!Lz@<|N0xNwm>VaU>O z=^yhs+@>>}HAVddG=&+}kbNUiBfV);40MKT8X||Yrg#>CGz`1ok#0`60QMtmkyvq+K>gEaI=qoKAKN8?s7^g||nJ6zkxyN@F?<2qBL4rWv- zQ6n4G(8Bo14Z;7?!8{j{4ub7y$2l)UQ+$RrrU+&0L_7&6*;4HMvIDil*=xC@|-JVhT}5G(|RVigDs)_I*rv zDN$nwsu3el<6rxjRC1pnDO}f3k{tS&$%PQqI|c3A!{Igvr^$y$8m1L+$AD|!+zd&w z2!nbj-@bKDHzBC6vq7Eb^BCJE-WX^rsKf>J(|ApQ>-bReg8l;Dp3vGB4*U6Z_*T2r{A%UU`?U)eTp=ykp|i2 zwV8q&l}yAGbX1xZ0%}AGy1IS~Q4Paa@Q`5|)22pt6Q(dNNGGMF9^^88w$`^Eg&?J* zaHEwd0`>U%l4@+jy208IXHgK)fB3<^11#T$SmsuF<`rB~?_2$Wv1qPjf4kFs_vIe& zDawqb|Mx6^;k`a4tV^byv#MLW$ zMm0^v@lDn8J)GTdK!+8LC?I2#@*0$UP_>M<^>)GQ?<4nmJkVP;oHkFA6&-iagX!(? zypC9`RcfWDF(eNeTW zPkO>OZ@^t^<5GYzT?mxVpz$uNC zpBcs9Yc3*Fl%f$k*y$*lhEcCeHjTkm+90$`L>JyJ&^`4`Mf!Uf`aydL{R%YoI6L~g zkp5hfm~3qZ9N0VCnS<`mwuOlMM9vgPQOY*Z)@+SIwc%Y15y2G5^xbOQWeVBA1j*e^ zq_9jJ3TtSnk`e2y#w)`!T7ClYAMG*lH8At2U1XyyWt$wYrXJZ7S_vJ(LtEiOU2_Z` zvi7_f)Ed5t;^9_0 zYkXuTC}7#Z)cTygc?4RUl%Wxi;;pURk;i<~=sty$kC;gT`$DOnDHBQMr-7vMQ))mS zWCr*xn-*i+Bwrk*np%paP{`_n5qp{uPZi?O@gZ5uP`^u$1^thzvmzji!6|mLhW0Ho}-Mn zTPEgF*+X!Fh+c#G$?)WXcN~pcf1JMvTZOZrRpf3Vt%7`S zs2R=sDSJ-6HV4j_X0+^q9qkzDkec|j#ce~4n?qrVYrORMiobgG3D=3R#N9dg?7VM9 zZJ+pute%$o)@;1l-ng?vrvPQ{d&bCm&j2<2Ta@4#V1a*wKIHz5Ec6V>%6*T{{5G7DU!NK7 zfNd)Wf0y;e#$lQolHL(9X#yVmUrVZY&~Pe2B6A?cGz)aeRUtm6$w8o9O^qDRKhW=0wq#L@r`hzmIV~fhtv{no{Wj z<*gR@L}2kRsnE@k1Ij_}!UM{)w@tw)D?|;%Z((R+euZ{{=iXe6);@{Wwt%&>=g1|K z)1m1Gm9HzpVDg(#-omxa&ow@zZB$HKXhzPi>8ki|)oq{phrt*PovW(#nQY9N zoIOXG+?P~bG6wZn-B(otJ4IoFfBEL(yFDCbQos=U%G^k%D;H2E?yOcN69FM#wnHC1 zM~lT_KE_>sDTG)(4zg1XM}+-YR}5GCU4%gaj|ekbSCGn4GE8%N-@$H11o#}%DxRRWIV7&T0)@~5YGO8Zh-j##89iWb+v>i%oz&PPS{lYv%fn>Q zKL|GT!C5_K2DxX)hIH@e0x$84i)O=wUtG9zlBLs0T?D;QmvhA4&T`gBA6!Yr-DByB4E8 z@<=NPW#VIqz#bLdhyIg2+&A7qdx&8!{wgihK0cH^`lD+Wq9}u)lrglI5k3BUd|*Kq zyY@2vBFP-o0wwP-#vX+Ndq`h2VULhK#3|=ch&Y=)M!7U@FTuN4p*_essjA5~dpKwX z_E7H8{*yg2x47WRb{{;WB%4Ob16gQaWNpj`;|!>kSX@`KUi;d3t_n+Z&}>o;%wFYrp8Z zh?mwE@!}}n&W~+b9(F&Jj@h4fMt^F`;30bl{fT=lHM?+E?H1WeQuDiNg&&hWP>T0i zPsFPtc;OW90l~Y&Z6CG4?W1(uKB8vz{jvle(~^=Z5CKovkokg_69t>ukntilQ8!~3(+y=O9+8^ zb{bV>WAgE*fgBRfL*kPA$AE&7BV2ILBz1r`xjzfvb1MVSMd5#EB9M%nQ4r;WqXi4+ zOBadwLr{_m8mFzeB?wtK>u~$ig{*Gz4%gJZdMoH0sypue^208`hg#@$nK71KYiT-Ql5weY+Z-Q3fy&4Bpx zrnXP}#zU?yAVx9}ECyRlKjxMNXE{vAKHl^3v-_Xnt(vH)RG;T-X&hJrydWM6l7uCzxf)uM-c8SsUjkU_9L>VEnP z%HV@1TGO(q3|tnci9JEsiWz+X`wLcvml;HcsVGB8G~Z(q8Tbu#i(o^&24oPVVB9Z1 zArVDn_*fvrKcryxegQK4#mX?I`6kq0I?50#kRg)W)UPBmkX$ru2Ngki8o}PEdwDB7 z29R?NscaF*(A3^2?XI-1O(nBKnBpYL$q^ygo(4Pr0Q3dB>6uqMBmD@Rg37W5^!eTN z1a>#wngEj&LH?!q$T-Y#Rp8VxBAWKz|CWDoT?I4*`4``#TBPBO(P}8jFxdynqHDE^2c0g63b`=FhXs zzXTtfiE0c&HL7Sakblj;xRrw`1o@ZTV>+aP`KVW#`2urt`4>|cHvdv-#MUp!@tVeN z0o=5us0J!3C~wzDm%2QH@Mz`NjhXc-bo_n7C=HB3Vv?D}g0|FVtEzlfYp zgBpVTOIDv*sK!fFqfn?u)A<*r(;1*4$iL+EA?F�HH*SkOnsmswJkN`Ikw~`|bOf z=dCccpK}i$X{QBh{97Mm>63`E2O-~TvI6D$n6_$Y7%^;8arT)~4C*j=yb>=K1od?? zE95?9i{X=sVG)NRsGqV27oLB+4TZx7>OBf_mbUz=-60ZsctV$hGz3FZkn47&k&QIQ z325*`QaNegbVzEa1vTQ?{AzMZIjWJ4YWP43m`OErlVbZ+v$-aM%ZM7Ep&H==H99wOh68BCS0N3-s5ISm2cW0n!#heq zgCCV15L3`mseKfvk$tc%t~>vZVGagGe}w$G zkA>rSAz+71e-=-QYBQU`3So=btpb;UWId+HQ#>-d>1MmZjH-W(q#`WY;Ihx{x@h1v zx{|ni?h#5wzWFskVp^~YEbO}+BGvm6JQA%;*@(O6Y7j{YtF%{!Ww1blPpTr}%0WS1 zOmdz8sSzlLQsz$o^La7yy%)`kId}NXE-zMbkZ`vkcM&8&NuQU;?a&MIV(SI#DX(9# zWf~s9BkelhGQp+tj!2))i`5=nf!b9feFde@=EVlNF&O~IIp@JTf($^>{Lg`j>=-<} z%LE}I%mAbd*I;F%a7nC1yZBJMz_9TjLZ8ixmF5%rCy@RXJNjgLKb?FG#ZT|Wtq$2u z?=$=TO-%6!2*{oaI;p1eVss)Da%dCU#fo;((dj)LJ-TuL=`*QW_W{kx5t844e%hZx z`dn)ECpI-(@C=%SAT^u&9We#@TvoG6&?JPZS?(Z8+&6vX5ZM!VG09W?p^Ydk*=o4mA`zf)y0|A(U@9-u_%YDaDcsryYyk8t8wxteB z`;pT?K|m?7mRg}YpeJ)*Xz=%;D5D65%~w^4&}OTo5tp#r$XiD)HxRclVYe}NHnR&< zaVP+wxm{ZkWL-%Mq&9o{;5&GkMmDC^F}CD~5XrU`H2eEoxM0MOnO=!g;1K=vPsET{ zPv0;UHjdQCU4lTC^bJEW*BI;kz|{@4{v_00^o3{jub1$XrBSWGkgifZ!5-br3ea-6 zlV|f)iFB~^#oCmBtV;L^2RXfA{l%A^pMEGQb+hdqxldTMr-CTMh$=s_bvh9T`!MS6 z3BAybn%?6f(GQ!c(;AM02bW`#DzSb|>rZW)0V5vnUU3Jsv7vvnXUCu%o7%zUp@>x> z#9D`0DcN=*U~JFs<5}qj97TcSgyhGP@uOQ4fU!crNeE~VQanOVTg@kc(^3bgOX^`} zgd2u){?O+hd;<>5TIW9aela*R*7=#ME2uRs^^GjHe!JfhoGN>-3R;a}j^?NFFlOBs z@Fs1WmQg;p{1z&2Y1S4@2H)qDWWZVq@7kH--f$byNf&yP3=o6$*7>0K`Ayxc5`S%h z?enA2dvDeO2UWY*`;)JS^5N^Dg4wxJ_yTG5UQhQz`1>a8vBT9|c_$7&zgQ!t_hs!% z#UKryX0pJww4&2rCx~9(EyYXR@;$#l09cW6BBitRg?TQS$pl1!3|xV zQ;~eW=OjX2H`livTp#*%Z0|EbrG>_sxq0ir7s#bJ%tE=CR(s^Ztzm{=rB8qs{mjbr z>39HhpEDsCzp<(ZF^k>S?ZrCLJ~gAN0IYw{94m-&_emxU2)@gtF-=E znvTkaabtH`$X|I2<+AjSm92Ulp47uNAf#Xvxk@a!lSKnppt^?o?N-Z5JGzFt?qmO@ zQdp;lp%e=*H%LK^RVcj%ZzMD7EVfH>4f6ugGKWXHzoihZ)az!${l4Tjv3(7OQYyO}MXW zUL=w)JtQl&r6`0 z+N{Sy-=6Z(cyTQJSOz~@WX_`xfQES_NGKKA@lTM7wf%dx;FViQ!PUL7L#dj+KEPtaYHPY3pAa0%r@}ab0lWpD`&{-qGO1*eOD9mHA|zhiTz59 zYn7+K=ZGr4-S_<<7P)MbdQmR4m6UxJj%LY=fXZ{AS;;h@xamX{vToywA4V347dT4D zk7oRFr9STD4gL~6n+q#EXCjq?gQKYni`@(#Vv7e~OJ3h68yB!^s~k+YR~Rv;&!S6} zo-3(K^{FQfaAlwP*K@x!5pr(^A-}(hA#eUHhtswVAz$XX4T2VWF*Gh-U}@`AWoN!a zE+Wqy$|Y`&dM>DBJz-!?{9+)+LGt|cD|{n_63n^}(1YnGs<0P3Ipq4GPPP*yExmwO zapu-RG(?Cx_IUW&cr2%@Ic*@N-u1F&>?P7Zqj8EQi5!FWXD$v;>4+n}`-UDsnZ~=% zk1$}pN}fFiJbplFxqcc%U*dnnt3jOf%6AayN)OZtgis%u5&^zj;s7u@rOTZ_sPMPu zYJv#vk1o9b0rU#n{r21LHt)KXm~j^sMf=rcxc_|Q_Wl5)aJ2@K-sGEht`MF;;6%5D z;I{4K7y^FBkbvYGC19C=+?NvWdcwVe=YDz$L1wx4`jH?@$MS~Yk*^#E(N+3aq&0Uj zi@48mV|xV5)>d99hbmTlL#bMrhz%wF5Qd8SrdZUeEnJJbpu6^0DCzl?@+Ev-ygdwL zJ(Z-6?TyH5uGo-UF3KUuN9~Z`LS#w(+ju4A2)L4xD1LubEQq*+RlI)yhJnQ69tlAB z#CS2eIev#4EoVup|6b81J`#LT=aO_{J2t}ZV}5NY$9ev{%})VaEj=mVZs^{ayZ-d9 zh1kVBxWEXXv*=3{KE>RJ_d3ixE_cLg*$e$4pvwHM20w1dK6}g1Nxe+fXJ_2NT$MKR z_%fZWtW)J$zx?m~p#JbKj|%ZZ+25UAKIrEn>AEFewp4dh^G$kC#YaWzHFE$pWSP9r zPA#BTDy2h5b0fM}9U0LVht+f{HzdibF3WiLxpF@x%Z^``*;@4Zic_eoNLI}>qK~%E zgWud2>BqBxFJMCc$|yy^GXLiWue=|?Zass+vtP9S&VXHHrE&Z)84*L`{Q$+Ft#VVR zuaMw;<9NG9wW-s5e6hsHa2oy7SrkbrtHB}ErKolhgv#D=U)kD4wCn}|BzMXO3B)4s z?g;em*}ZCjQDi5hclSjeNADK-EX5ao4Dx&3Ipji$oWddZM`YTl#;8P4KJ;Ac@39N5 z>bcey9I_jiQ970EF&`YJxa6UqbVBduao(*JbKbq6+hOpMFVFHdW_8N}??xmjADT1t zMe9?*eP@3$9Fp~Ma0zVXQtak-V*}}lYGtdiCz7-+ey>j4!D?2o-rRnrUK+2`M*K0- z+bH&;_O#rLMYAo>dfU#PmhI%luB^9ho|E1D86}px{6*}UWCfFq6m%0ywxUUbdsI`C z^hcA7+)i3cIkD#wuC+L{TxQ=%#UJC4>nL(4hb-u%V9G zA+(Dey&7&_u4o2biQYUs{S}k}?mp#LG4!u~@rcZJ6IIL35ac2|H4ik&eQ23J|vlb~h#vzy6Avb9ey`};bU&@Y_W#P+F z#JhSZtW3sUcDz!x#?g|FSCMLn;?;uD^5~z)y?D?A0r%Cy(USTjTk{aOFJrmi3nvEp z1g>S8bJ2femLCms-VSSs9R0yw;WKG zYAQi2!31X0tW_x1A4Z{A+yqA3j-9|PRyXP!s*j;q!NU#<#Il>fP?uN@H|+x=e^w%L z|64RwU=(fwQ$V=WfJn#!?!th0^e1vJ9$qHk{tp3BZ@y(85GAwHk-JZXkUJL;^7g1Z z4G5zZxC;ZKF5nJe2Z!7fa6j90IA%Fi+XqDDtTo8JyIIJc3y3(vod(1gss#Ihc(x3= z7r*&j!2MqX;?+9b15&k079tj%(dKJGtdW9N zqEzH#)LB~X=A(|A6;5bkY6Uaef;b=`oYDF!4kH0o>pTI02yR-L>jTru)%>(l*?h14 zcoC6gUW?NAJ1CTXX_J#$UGsb(Aehu{NYZ?S1m36;65uKw7e5+IOXpS@ggb2D6hFs37@DUAtpEM`$<7!Dy0w{xAons_G-G zMAey_eiRH4+@w{X#!On1t|Y_K_+ZZr+pP1vLW*}V2b!D`ph1$AB$+1VkGb1urb9Vy ziqPLj`;k zl@C}~>R#NoH<+gsOFaeFI<5S#2D5HS#5G*uMJ5t_(hQ%Xj2|wT#YX~c@6J=`!7+xO z6K;x4y^Fo;HGpNj2+MjS^mF-+5b`v97bza#o`tb}Juf>QcF%W|;zxpkA4yj+`v{8^ zwFoZiP!G{w=Mprou#uZIX=WnOt_@Tm%UEXBV_^d*=&cfh&xZDbGz~HOF0(zpVBymj zc4p!3tyf)>#JF$x)z=XCG@z;@YB8XKW1iCxE_PE+Nh8glu6;CQ?UQa6>y?VS^IlRs zIT4sHP3N7^5CUn2ZD`(o;z-*<1;7^|xKWx8wt>oUt(P@wMzs+l%u-6`rBv|EXcJ?F zT7ebbu5M(7$2{MBO(bpL6LCvK*uZ;}1|A>jr_kFk|I+-Vi&4g1=hje_#+qc*?K>4G z{|4!@I&>ds>$TD&<+!7iJbzn-Z5z=iLwphqa9{x#0D6paoe~@Ugzl4=M$hvqlV;Eu zjw9U>H`uB=v$L-^6y?~Rm9wjahz!GaWOC>r)mA>UiN)DM;&T{phbStMhG2cRAw#?a zX&kSjG}uXb7LkF@!2%W41fP|`oMGVbTh11YIZY3_@>FwA>|z9~v;zuq;GovCKkOAZ z+Dhuv8T)j8%-5zGdJ%kfa{RTT9y^j+&j368#``&7gm)F`7&d~n+Jv>@JT$`3IYK44 z$(Ys`9YH5!^Awv1K5GQSS_6lF*$%&nfwzA4Y!Y?{yN_i;;dSXQ47+q$HVwNdNYSV!46+w1+MX(O46noJi(R1 z>EB(&E|gv69V2ihKmD7Hp+Kj9S!tS%1fLCs`-*%Hf1VwFlcBI&QHDwgXMpQ9`_Kq~ zRZ%6l_Q1~oyRkFCL`4O`XN_Q9Z|3k@zAI=C%LGQC`>OH`u@@*IoCN-+z?&6b)p{=$ zD8WwxKR_eUNuV~v%;B>}c%mrd@R!-)H#UO)!=q_rAXxr2Z2QuIpnSIWR}eswSWRQ= zkYl`xAKfQp8miGi2XcvmxZ6*=$3GN$2;x^+gAMx*K!!_?VIwIW|2Z}iJ||l(!ziFB--1<55?CObRC-|q?b+R z$hZtKj6sF5N;XFqC_RC!EG_ofSkF2x=eOHGk1$|9``?i})_7jHBi0OIZ^Ce6jz zVok5~ATtd0kll>w^-%>VOz^`^*NN#@DO_P9W0$Rj%*@Yd;BB4U_W<8+=%fyQeQJbXZDW33 zl-51q$z0pq20+dr+3M1LFt;h0g^QBQUK^`nQF6K~G}w{Lbvtd-$KD=#GLP^*NL%P1 zQ*l@H@OfXD?i=`x&BwC@3z647QV_}Ndx#=ECP*jKx*$^g8rV^M?3G>Jkmrz%lj3K= zfpsDKQB_P&y_k%%q2C^-V|t3u@jRc3dzcNnj+5R+r1T37NG}P}W1ls+UI@nyute6) zw!qMQEIy7=8L<(w{FqX+B$7PVilLyg!kN!w`H(yo4dsZBH3TX8YlrKp@aA8Ssaa&w=|V{9~Dir+N{Zo6=RndA@lAm54` zyPbhR$s*c}Tu!tpB-%V=wW;L=`!ofzQzXaHpJ;R0i`8bt8i6+TQF_!S`LmBGQWt{s zmRgWpMcWYsrj92=x4=LU(fO(^a?Vee;%URc2hC1W)lY_E8|&729W<9(qD8jzK(f5H zrf~RWHvF_1QoOz(@WBOp{3jm)KF!0{Ph?Fen<;&aNR@A%qT%{&?d~L8vG`8Z+nS7N z4q2HLZ!f3uLKTnmC_@*Edj7$5YNk0#T*w4}Ue2j|r=*d(qj}CL8I-e{>{Dc?_lG`6 zvj#&WqH{$xrgO4?n1Lhn8da{X<6F$}mG}IMh8EdPi0J%1wqZfym$tx&t>RPY^_5>k z{+xKdtknvt$5Icy#PuQ3?sTh$^Dk-dNKYGs{$^CGm}6<)>+lEU;D%+k8dBb57)-Gp z+Np-9;R_(L7f=BSB5oB!2- z9v57@UQ7?-fW(W7XG&`oxTS{YF;eW$W6Y91MJ^_7DLUHvf5>|isHU#(U;KtZ0>}_& zhAKf@i;5bNf-?y?)u}ktQf(Df5ET)JDs>_d6toW5IuA8CVx4eCK@H$Q9Z{)8iyG7_ zTC35brIyP3?33K&2C(1n|NYl`YrWs=TD=1Iv-jC&PiH>2wS~9AC|Zcq{1UkD1-WEb zC>)%9QxX?xn>q}+t3@YCcL5Es`i~An-!gMwZH{{}^MDZVKufx`Vv4@n8k=97!0A(w!F?0m__iT6 zO6Rz9Dl1U14J0*6?|5J;LHRC0v}BK=)rSHtrA8UOV)q89UU`Bgt>0PF${a{Z>yR*M zsZ7Q1|CTQ)Z69kLXImvLy;ahRSeMIWK5~F33S~rRjO|IzchovQ7q%zbC^d7nJ%^b7 z(Ky;#TPkN2tLGDp~P+3j9NYEEn2p43dc zJ`zVzdve;UJ@JmUYESmMKP4i4>kd58d`5JUwI{jVC~35}YENP$bXP`DdEZNXQoUzv z8m!urv=PFjm=YtQ1LQ#u&htcy3vrS?97V;f23kuFI^a%6-BAZxvZXqhEgd7NA`Yn+ zE(scd1MX}mw*hcVpcF*unC7xd$OY~TUEq_NF0fv83I)2Beml*S!DPp3ae;@{F0ek4 z5j{M>6BTB$#?I&hl{H;JA7x-JaIBoSW{=pKE|7f8$_2`zxR;PkbG(u#8p?=FF3_Cy zU9DUo$33|c6lrZEcx$e$#RU?rUErj9DiP`1j^T+m3SHpinl2D8X%2#*L;Y^@E>KpB z3uIWkK)mFABHDU^CsOo_lkjcDJ@*YE$2Z)zH1^)#t2!54xGAvpJ$G$>HbKzX&n;SC zqp>&fzgXuMFVtK?W3L-brso^`bldM4y|A(WTGE2#yLh2Gy;WmhIY{W&(pi!Zi72NZ zttqp<>4i+mzBu^xnN*=)m$`2s9UIzCs^-_=(2_Xl`~4!QYni*2;Gyj%!LPBC#%K9B z1*Gruk)>z9{QKM=0df879xX_-4i}rBH1=)eLxk1cF}+50rL&FYKIliw&Xz@uH3c2s zxu}sfPD}n6a^m7`Q@{A2?L=5(P71Mq(YWtjbGV+HEonv7GSs^Kh|4QPu>l9e=_cN_ovluQZeEFtf7P6Cftg}JdW4FpiQbdh6}<9zH%?<{bQy; z2ZJ`{&PjC=`pzr&>#&#Cs;LIO7fCu(4IV81P2h1YJsuD|9B@jLHj^bs zn1={0oe`}jjcG3D@ET+L%;ta2EM3klJ^yo~rMd4_vCK(@mTu!wMuliQ9N=ZbUUFvX zH6}~z-5-#~v_ealZce-mD%b8EXdeNkXQQQ~nWbgxn5CnQmbUp?l0$Mw3oU&*TF2zR zQq0TETRPEX>9lb=vUIx8(kY82T~TaM>ZRYUES14 zNbb>Fc)58?&mT^fK9QvUK6f&O!w6v{2Y6I4O9W)|k=&5FH@S_j+`-s!r#tPa%Wd=% z^U%z3a>{|Zjm}#@7{^c;hqsdG*(mNsqYD|(vu!cFd2Kq z-8=~%k24Bo(Q)hfNpJ{ZeSl=~_)sbQMIko}B#LCy;I)X{?LEBZgK;v4q)vN-(hZpIw;UBz8`{aqBtG;4WazVj}d z1i$ajPl5}yZ^Lzo6F+F6h2x^-DLE6m#eV$p5UiKOt&qV-Vm znI)ce_7yiVe&LHhI{45(j>c!+I}DTQ21l@r}CSv+OT6a2p2v&28_3Y}%0Q4$t^=2^ARmp}7o6Y<_V zD)_SWgZ;Uy__*#OuRxigDDfxaEGlZo4}zl3c35+reQ?Sxa1q4B-L+Zs1>dwOxUQt> z+LVniPtj@F8E2mH=R%itb*7p51bxe@^_J74Fa5Pt@zNcYlk+(37#*GaEh&T0xcpFe zMa_QgNWbDkH0=As+9_l1qmH=CzL%y8j>s?B}aUDFg(P+faEc3L7srf|a{X^nyl;s-vRT6TQh|7kT_~y!FVvftV z;kXgD>SsrsdWVl1A^9L{Uz_~;`kz;+9A8en5NG%1aAa}P)ti26RE91i7Id+{6Lld? zn)^$Pdz5$l`ZghLY$qR#teCH#bi}DtmUC%UM3bbWH~kKU7y?Etn9abh1nwJrAiGUS zU)xg$BjXklcye8UMQs_l7r>IA39R!Z@T}(GoIi^=hc6@W8v;iJ5jZ1t#mo^4nmF_t zcfrs+w=Bl}^!sib+i2F>ZaEm)=ezLfN1P_rTYPzyXdua4__3n%2+2?a>sk?bfYfIo_0*iA9yk<3l|0J+#6oD%UELl$Aa6bYMZUJz} z1p=$r64<{!z@6AkP0ZATc%(IC&^>{+7Vu zg9#kliZ~A>aM?}*hc_fKb`yBbr^NXXfeVKb_zr;ms_7M0010Nx934s^fW}HV5IFG;~UlX|FJ_0`>aPA2L>pmm!Uj&XDMc|hN z7AFvx+fU$TjR<_2z!_mAMx#0a8%7g2%9l7VA+U~tyE5=O0w;e?oc#zK7e(M3{XI^! z5pQJRgT#3j179I<>Tu#bn84}@1P*Hh&YE2WPCHECBLo)xOkl&h9<$&`3>-W7@g(;r z1P(b#;NqhM?$#LoocoCUx$sMhl;d^a{EAuR{C(QN`87}N;QVV&u&^Sqs*=E`H;tV& zLh_bXFoDDfR@g%%MUsldtM5dmYr|!bL}jO;g4(!|kHzQ8NdPAakwi0+a1D_x_=u6@ zL=cI$3nS4o68RY-(X?bFgWFRng^L);XN;s^G?C;sVkB9Nq?nQ9w__xEj3jg^Bbm-f zc8_5sO&G~bMxs4OrQ~;GB(II7EMs*^VCGJNe5%*^#QGO&?+;^;$ z4UELVNJPGjWHcl3xxlJFoRQpMB!*Rtk6y>JVx@Ck;pbP zl6ubX7yaV5JDO$GUW_EbqtCXZk;PZ#nZQ_fWHQjrUN;Y=Q~VIq-;zSNNC zy!N0Jn)Nf0g#5@z`Uen6=)uH8aHIpy%wZ;xV5&*1lu4eXR2kwi>qB-JJ&loUU?dM2N$4XY5qDuEcNmG{ z3M*w6BhfRG#7&IkEhDji%&Pw}BN^D4O3A#I_yBfUXC#@$$n#{e9lM; z8Hw%&6YMA>Dfo&=a`!Tlct)cCO*!jCoBU{FDP34yZZW}bGLn)RMB;svk=$b>is!79 zS&ZZcBQe}zBo&OLa3+z6PBD@4B=jMxi$5dD{F;$mVk9$~GEe@4JURad*8K?UMxJc=jgd@YBx$o5NeLr) z%t{ISlPNiym7?!Pg2|sVl39$TgpqjPWh8Ph63p!{M)D&g8Q7gjv@aRSDn^nuhf48& z$VfUck_<*7zRXCbGZOi0MskpmXy+1%=ourK&PX!)hZ z9wVt_B=;DJyozb;FILL6AQCL|Ei2_uR*EixNK|hb$ty;Z#Yi+&j3hXeNEAuIl=c^|RVV4jmM~ccQMiQ}alG}ulyksQ$-b5nq z!$^7!CX%>sSt%GJIwxzkaOG({8Zmo zTX~#_3+deM(`k`Yr?va)tB)qcwNu5#^@x}r(XRjGF%dECR8uFl3yl~vaaudo-~sJI z1`PRlNV|{P2DVk0e(o_OwB3-&Uriko*{;|4nCX#I$H$KA^;PVc>EkEIPHPu2b<(J) z@l!`li;I{#EppWO*zwaxMRo9NJLVlPxLsTGpOv{}cImF`GMH0vJq)(=0b@x+U5SXx z6p2duMZN4bd3dj=%Ee#yrtinD(c|LMquf6=Vy_VD73UInP`{d(!oZs?x9 zl~0y%ZmaFT+98=Cb(|H#>9TrcWZ%xr4vk)G!=3#g`1a!kw-+W|bmJ6l>pofP@-((V z<*%IDx%ZvNx9`08^6p=^?+%dNN@~%dQb|u>3v$p3+Pj{17ms|)^O{X$a(9!ygOiem)pc1bl!DvvO?+SIs^Ai%};;E$ybXoLtK>f=}ayz7rO#_wwVLs#wEF! zc{r?-;OFL&RQF`LTaU4-43!rA4l7XB^kMm9rSTA7pEL;b$1zIVt27s%RfuMtI0gdCxK3dZ_QcUB>TnCIJIlE3rBC~A%##od7i;(|c5nofgX1gmU*aqBU*ap$`JM5#`rYyM<&FP8 z@l`C0uYy|Q>+}C-S-jgzW#Rf7m~&Xkl&bSD-n* ztow(`jpq20I{KR9>;F^#@HHP_O%Y#R{|Cp{SO3y}ef2Nx*H`auzkdFg_Uq?=X}?;D z@fqU`yIs#Xy^S3fHHKHp%AeGpzc8a({}Yhq5}h9A^)Df35QT7TKb7 z`J&B=zUl9u-&|Sc`h&LK6K%)78hMd%{3QXFjlb$8j{phxT>fc;|8wK7+Pa4L8x6+B zjGsE@tC)zXpG_S?Bm`gMNMRZ_hSur zk9%@b=$KPFNI2~uN*nj!u?2m~M!02m(d}-g%g#DBbIY1fZD%zOlu!0;ZGW;uuHIH$ z^!W!(ri_`XEXm19Pf}eraZo!;xWdPZ@R{n*d&bSwOI^)#EIqf*A5xGhj z;Q5D38PAkPAxC?Po`|9vs-7f{8r9(7BKa%b1wDwcmnbLXDe$&@C&^OiozLoovYi)n0U%t}(?gzi!@;Q^8#Q_k& z?#1j$ZdnoP&Kk$?XB<}?$928Y*+=82xZiHQy~sWYxx<|bQo7UlH}Ce3(uzcziw$V}`)sL;u3dx5Gn{(L!nm0e zxOrRDejJA(cJ>Idq51q9A{qje-0r3x)l%zZEW#kF>@SMsSP_pJ>1R6nh>b;b;ev)L z-8ojo?~;mb!527I#NI&NCk-p@j73Zo&D$mKU@YPfXTun~G*c1%)T3Hg)G-#(!=`e0 z?kH0c_4JP%6~-d6?{cR;>u4%siCx8y!3D-5mip>GX%sBMDE>yp@=<@Sz57@Cs5d+B zzS%$O?_+oW&K*_xv*(fMcHW=2S0Tmlvs?(*oa2B>B}d@7E1{6svX;LEEC|15%Z{68e;IW99%l^bp+Zsasv5tpmC6T3N$R;1;IOT->d6BHQ- z105?aKVNaz({9eAnT}qPr!Jw*C~T%K6lIHt4sa@NqOI7>z2YK0>@uW2H+_`dl)v^u zs6O!}=o5l=p1no=eCQ{CofxE>`NNLOcYO3+ZVj0?xq+d{?>lAgnO&573e>knPkIqS zcRwQdj(Be(-h(4`&$w5u#51I8itLtcPq{p7>|04DX91>6Q88-O`uk}~I?vyG4~bI1#AT0hyjTMPS;S>W&*fZqYQ zGbTxU5rBgLqaLYLec-2Z>pwOrbAN$tMD%ipJbknJ$!&VIu>WN- z03HAY`l*Vi1MmWXG61+1^^+&{2Zyx)bO2yH0L1_t`_VQc{yT>}`G@tBBL+f-jnG54 zwQ`>X0F;qQ+O+ew5v^A`Bz@Zo)%>)D{i0p{WeYw7;3#B>i%iP=6@cpi+-nUXKWkxs zJ`2^H3P2e+Tmy$g7i=S@t#(Li)($d^1mFY!a{#CW;0*xD7i}ZzuXRWo?FSBH0XPr9 zDgYE6RK=sClC(tt90Xu<2XGh%z!d;80T>Iwc>uOvvW*B`4`T(?x7{|Wg}vq%)FKCf zxgAt)Z=;eFX_sw9J{tk(RX;g>32NavK(-=qo!lwTUsZfBIw|wVtG25BTO9IM^#+G! zE$lsg2FMx=UMG*);jaoEHXe$)W~*Ah%^`1CzxuWxtOlSR0C51^2jDUQJFnZSrtEOY zYxD^?d=Ef(0OkQu1wgL}NttVlY*mAHI^^9L3=Z1?7y!Tq0J?mn3Y|A0Ns;=Kt;%~B z{9zbeZa1v?IfOh6Kr8?cz~LtVegxp`XZ3CE4w1_ZkR7?UPVTe)BbD30iAkLHhHb=< zJq}3+hSyInjcsAS-T@rygM$xb7>sJ}EVNa9o(>Md5OO{gRcC-qlD$?Q^9V)Un3$wk zan&~ByL}FMic$4#=bwZ>%pD*LxB;i`{aM&N9Djo|! zF#s0;xHb`yRf6C>21QLu930Qs?;?@+fM#})(c@D5r zf>i((Qw7+H#0eiU_uMOVPEV2&{S1hN(G0PF=|ib}xT`hNs& zI{}k?3fTD{NVZP_Q|tn4|4)FGOd!1h=5&l;aRkdE*cViVy^u}uGhl}%0;VU}3&0wD z4Oo5-V5(7oUE2qk0WfVPU~b9)z&`W@Y$d_YlWdVB+X29|Hvub&0W1zMpH6^DHUbt> zOohgP+vp>Jb^Haet&>pGLcn5T0b6ktFwfiIwk;OiwjV_mZUUB>7z=K}f#9|Uuz(`s z_5`rVuK<%|gInrta4R5K6u}Mww%`_E;^%<B55_!F@F zzX7wG2bk;>xak1XJ|$QMar*}_Nfj09AVn&h57??aa2sC=Zn`*BVQfdh0^UQR@43MA zE>bvB3Sf=S0@m_As*pYf>MaH=W-?$aIsv9>0&dRBppd+CDD-c@w2P;LTmMer_5)x? zh5^>s7qG-t;5Op|U>ANxp=E%%%>vBnBwztk0Q2kwSo#LQ)RzJ4^9Y6JBFQgAl5Y_L zT`d5{8HvRMtv~=;f!OFIg}x9?b~>7g5Tt?>l;w)leK ze~h9x;4c^OgSLD+sS!=dnaj2j>(LO%Z=hVC-+(E^Uk>6g3%WpEdmvIKy(++8W+0Q> z%|(iP1I@oeYw{aXeKAt~;VWn~k?IZI(SezVcDWMO{|gOwIa2+TafqEOwh`Hz9FmfP z>L+_6)q75YlI@OyxgLL+gddV#{(@3CRHxY;{NVWaE%ol)#D!W3T-c3<%U01}&LbA>ovd%qloA?6UPREn20rLp}Y=I|W>z4wy z=l;P#FdUTP*EZQh(I<-WX; z_9E8{wb@#D8|M%*BLp|-ZPQYvHRGw$t!k$9_09z1YAr4)_hk*?^1>D zApCl-jvXXDTP5d`@QwoErx?p&ymZ#W7bXVdBDaiSw-N}?g+q9Er&N6EykSnZSY3y{ z8#`3x{EVidTsYfYZP2h>F9;;Pjspo^;dmqf!ReoI+6x|e>IQux&T7NvKgSn;ZMZR( zZ{bAGPayn2oViFydjWdDI5i63KmI$+hmPAt_!Db)JiPG96&GcnfxyKRJAU7De#5sQ zPl%`UG7)F-NTL135U-C&9_6&?vn+w6BTKyikY1ybqpc-9&ovwj)>(F4vbu2m_ny>t z-w`yJgv{WD>UH(iSx^}-3g1j7ZeO=pEWm_P6=Zo~vDtNq=1*gipD`@3Ppv$*zm zjIOZucLRy8p!RnKRGv=w4)(y@V(QHMx!E~lKR20WD|?Esn!etbwi=Ioc8Mkyy*d4t zmO~u}(!Rwz%}Da%K=NXNhaV`UcOmpCLi4+P=P{X%v<4@w*l8J6fbmiY2l5nT7K>obfj+tLer*pxP5a_Si_hvyL+M;g*X&+?oe++4xs0$?r z@T(zvf0;lD$HJ+iViwBXsX)qKYA}=g)lmRmnf*ctKhEGr8G?jx)L2HhAjl{8pN+z& zi`(>|ZQw7@ZU!&w4{}_s<3rmSSay&uqRbyU7B+`AQc$Rubx$)id1waHq8VuU&q{Z}FmL7auBJe(kg( zU-_fbMflr87tseB@a`Di#fi)7jKxhe7yTOaz(4r3&RnHDUwNibYU}4TG-e8ZF`4mP zCmoqlzm7CV+em7d@sWYFnm>^Qk0CV)T^>c<$V(08e0DR zY&xXFj*~<=U0rq3bG=ay=Q~3Wm9f6$&L-XW?pjE?*RkC@8}XA__kDJY=>p8E*scP2 ziV>bh@O&#cj{11e0VufWAr#&}Ff+shr*P~FJikHV)~9fKcPq9O`n|AFeml{tLKd5o z$qHn3GWbwyqfVFqi&15lY8q899!Tu&lMc&;`c)@)t;MJhjBq`{+4YH*IFaR888yGF z?wv+8Xe@;$zd=>aTuesIbsbA3u+2|~pGmDXjG8I^H>0|>u3^-3K?c&VmQ*WdHxBZ7 zvCo5Aj4JKJ;Bf>Gv4Z2vJ58*NT9)7BT}D0Er@~U`4`5~Xq(>WVAmaOz~ZMx$z+ra)l2I3?v>VA7Mo>D&Ro3N{S^ltlB){}E$xk2jO2Fs- z__~EPqq9aSuWEmXzg9_Wf47-BSSjVT(y@2a+TV?4bbr)J*Nl}{`#U4u%UbDd7+vk} zf?54u2fwa#nUHD#Iv;*q^o@ZT8`ovR29=9ek zb#XVC50mR}Ef)Zcw&Qr&G1rJ3VZ6*T%w?p*W%(!Sfb+jdVWWb>*Ed&k_>>?%McXOK!emT~Fp@=0Ohl9ySQnO< z@SWkceW>TABHxgHfd_Sl&F?~4*VpQui!#*?9vC`1U)1fC*oTt?A0f7BVna*9MR&rL zSDvFYtxQ>sUvJ$BqhI-tJgyI;q9VHEk@6)K#k=7G&~^jXaK+4mj5b-QPg${xXT zG_XZZRkUv#{-)R;LVM2R`pyV9N+HMHzX5e%^p*al@?{w z2;aKA_iTw>d8Lca+g@M=&4(pa=o%{2yM!d?tuQfV6|AuNxw9>Zcn2tRI7)u>gp@8L zzha?y5|zkGJm#RVxWVU=9`BKzv}5bL=6AXlCrb%>?`(v2EGHIsx`W>av)^Q{mfy0x zpE&r@XE@zgypMk*t&R-tBO9w79X8)qC26(bQ~Yw;cwTdh?-P<)b`|BTZVP4R4SPUK zmPic3L;4jSLZcs8!@BZT?_88_Qq-iH(KoYH7&==+p3s>~xBmwE_?;<{*N5_(t&C*0R`8l{7xAUok|SIq?D1>~(yZA(wMO_bf)@zk z_ylgKlsy7*z-{Le`D54;Gxb&HCn~S!TTz7n29xZ`+q5Af9xX&J5=u z){13Myiq{Woj4=~&XG%n`xmP_S|^T<50PA=jfL_pP&sRzQat~Gp4b=Y!G&XKH`cy{ z9SlAi_&r7b@!SuRA#=Pnd4-KX#7KFM$b;WPES_AM-&P5D#_ao4MZUB*3@-H`cn={Q zpJ#n2qT|2Wfi135X{Bw;s6x+JmaBH+SsB6#Wir!9$y_KO8JzRaq{BF}gxd=Uh^C^9 zvrRzWb37dQ9;!p5Xv}H^$d@W{P#S-9!ylnVmy;;eI<+plDyK{>>W_zX1s;Obn$(5E zSDE29AHomT#AZ2=%y+CZ^RjC`M6P1wD~05R6?N$A&rj~e3lsTu22bw|r14YtmPBqd zPf4XcTkb+pL5T3F~_r{OjrIvFSvr1OxE*o2PkI*_Hm zQptQGE?p4rPpPEi?EJ@QtXY0EH@AGo`>EhGXKHdc# zg7{SsSC>+Xt@%|EYV>M3C2nfM_dYQwOmxl1$$`4N(lJ317n0@?&IZ5!{qYY!t~}jq z5ejxajDbK=aLoeuGlMi68DtCtoB5>qvK61bI?4nvh@W_mQIy0bN@-50LIEY=rXW50KHmrH3w&Ls{bti~agNMI{K29NzV_Gg z+g9UmCwv_b;8v!GV7g%F$mW^SSIpP=iyMY~ z-my=I(EYzAYyA1?0E74U5K2rR4srcTD4uB$E%GX*LG%Wyc_=k&g40DJFE)hN3E#mv z5@%5)ScT0C5tR~>L#50Rl%lkuIhLpSy=QT0xwNU6(O1RpdV;_gVp^JHQ{#Qp6?{np%(#NY%TykE)1bj?LY zY4dJeK{zF#$~c5Zc&4NoCKe1K?rHewqsS)2i$bV}z`$=JsBW55RJR2DWHxY&(k+i8 zK1%SJ&gG2;sddbpo44i>C^GlqA!aw*(`>@KV@55m3lZO>Qx15;d0$&rEoPQg^`W{& zjKxEG4G+F<$R<`XBbtD4%kw&|E;r|BagS@%J>hPGEFG!eobU@cIdqs%finHvm2;VYZ3nR>BJiT^g$l!Jmg10Q%2{}n3$-|pOmgv{?sO*x<3tm&<$KtR*^qZ!V&nB z!pfg6fwY=GHT;@%uA<9?QhvsR*ZDjA$so1zC$}=XM@p!;ly3@1aq@$B7!|k5Pai}(BTv5n&odOk;c;ejSkx36DfwbdF&pTGU=jn4jr%peIR*>Rit_B zB+Vp7;gM2;hqY!ZEs^$?MOvC8X{N#yX-OW7&sBG+rFzngjx-bU9FOXnv39AB-);sb z{{lJ5Ui`#630!JC*A(Xky9?W|-bUtW#9Sj}Zfw75wCr(q6pOD-u~_O{FoqiXR7*f@ z>HV4l%KjHHR6&X6fWm}Xh_GeHbUq~>tCoGv*dS0yH<3f0#ZSCLzN2N&^-V=LDc3a; zzJNQVrt45W>nt_;-X^fo+$3d?5_x6d2%~kDdT%S#ZtYd=Tf8N&TKqfc*jG0GgIC2_ zc~ybtH6<;fS9SbOMisk3UPbq!z0<1n zs(V%Qfdi>uoDU}KjWmhQn7nJGD4DC3Gx_GQE~K#4%g~WCDUah3f~JV0Aqd}l zuAttt`hc<-T_u@(I@pr8>$XymF7%{}aI|)8Uq*?n%znPgzUfB_OA) zTR$?~qQ3N2fmEw3s+EFQN{kX8Q^YUrRiNTWI2ntUP_@$GtU>ms&X!Z4+g2Og2sgi9 z57g(N)ADw7#~rJjxJ{aKw}7FFQ@RQpvGXCPRAP)Fup=$BTIKiFv`b5Emu6oivTwb)6*N1rzd*B@2S~FaPe}y!@l>mK^|p~zL-BF& z`S#R}G4z-@6WwA`LVR#`7cMKjKaJ`f{NbM%Og5Ub(p{7EVK7F_=5hA0Icz|G8>M)! zH*O124`a8v#ose8l;{@<7fLc$dQsGjB+VD|Q4?!F(vD_zHl>ETa@#$J+YX~ywhxzL z1mz@=VW#y!PYD)^1~s~fjV_WP8yfX|K1WcSY`^W0A)nRMcpn>p$51WHh~0304e4P& zRXUkQDJAkENAmY^b(z2G5*yGj?b+xk^!g%r>!TKXZJ?fB`)uf+ftmD=?u^5}GV;>7 zJ7!^WK--!o2e7|jqk;LZmi{W?2y%`q_ z{hdlNXy&-GolUXMY><#tCR&4qw&eR92S)qNF)P}K0@`FY#52(*FEW?=UPghDwtjXR zU#@PsDx1}0C&gRtaW#~JR%QFOw&bY0Y50+}GwTBTV}?yT_CX14-yDe0M6GT0hac{U z6(#*pXaww@+KK@(9e)6zO!AcJDlUI?J7x~$6A`MZTeOpEmdQE|nT$dzFL2xiin(fe z>pfsOowM0fK`f>Ahh9>dQhD)tBOttWCIIrL8IJZ$4WAYm)d2A!Q0sl91|7=rl9xH| zW>V@}A7WD4>?=CYs&TSeYbIsIc_V;pd(;TX(gUF0EN?A`HJt(rL>G)|VyfAu^&=x| zTP}8@F$kwaCMbz>Y$K+dGr6rzIeDuGj+QrRINQU&(OSJxOFM+{Z!Xp&>8CWpOcS>^ z_`DihWYl}KepLP!t&105MmcFzj;zqhjaA0bHlTyKhB+55u|LY%b?QKN2+k?H$ZGgU zUI$jgi~X)JKxjJyt6}ho)6lB=XlMsx4Sj>mGQxRJ=3F3W+(pw7X^aBn$CE`yJN!M` zXovC(H^FkQy0Bd|$^_t)-Uz7r765KRehyVaQ4+e-nK>}ThdVXbv@^R?Ucm#R5ZQll z-RMp$7N25L#`*otq|E!#U<9-j`>|?l?Oy;umdoEpcM5SUa;CdBjjGYROcP@(5qDDj z3(eYzxZUK)hVVe%<+!t&0>PV?X_hyat=^2&1&&2)$H7f?zA9{w+i-^3y-?9phqE3< z$}<5UuK79?^BEh%52&1n`b2w~@`8&m$&J^Mp+PCMMb!=90@d zT0T&>O>+u=4{^uCwUr$F){i&vumlqpqGN~Xfh8nd!zv|wf1l2qg7HR+Sx zGyv97c_IC(m6zDL6_r;>>M9iIT>4}y5vh$tEDB_)m+9XBvB-}KlXopQItr6k&wE!I zr$iwMbtQ;bHoCso?!ZrIDX*bnO^{*1>0Z0b;jcKYtIXv(I<0R<54Q}kqnofvhtU{d zC8RAh?WMGLSD^7dl{w6ni?dhKB2$2TML36b0<0nr9fvNJvtO8nhq}pXYQn>bM~0aP z?Zh9gP$pY9*H$4_f*SGMIU=X^80LTr>+3 z>NnaiiFw6wn%U627tPVwFX@1ap?7nB1~gE(1{&ZeyJwtZ6A7arnU@Y7A?j9g!IH%| zZ0)}r>VD6LIwlxgf8eHOD{H$CL)kqvP68ftq~lPMl<_!g=0S79!TxzLk#V*>wbv2! z(-Tht%KH1MXQ^VC{QENi9mDAU&;XwY?j2*||w6w?0B;D2UR8nmM`xpMEn%E>E3FXN#ZZgOQM>mFA2bc0mJ z#fDP_sHB26D^{^l(y5MQcI>FpWL0;#K35XoYc=RjsFwz3j|Va!XxDrI`gzy zTP>p%8rc#Byxln4ym>hSFkDNrYpCzCc?zWy+}SSOiP%a#d&rW6N`od-JyU6xR^h?d z(~ky@nn}>9$^Jd$8iq)Y>B1Ca#PSjv*jXbCH&L-Cl#aJ&P8WY$?*L_Exi$+O7 zR(WoFco#}w!tnK7LG={($HR5Mxt?M3(@1qHleWAHX&~Q-5RMb z#(p}AlCCG$oq<^-W4e4ts=E!Sb*gK#7~$nD4AB&qCDb76uj7$FnXe}c(bQT(ly3(N zX*5gb+5t5Rs>E(fHl5H!9a`rE+`7_E*kqK4vgwL$1Wm;>9fKxQlW}I0rOBxHhBX-{ zAqHzQD!wr_8DH9OqNaAcCG?glf~jWdyYZ0z!G~U&23P+3Y5#nG630hgK z7mf4*4;%jiv<2ot_2Qv4HkQ*Wmp4|TjPpabG%Qy(Cx>UNB6iVZ5kGEesB4v+mRX}W zP$TChPYrnkU0F4++CjgON@A#x#I>v~v1 zG`Km1XgJnEjUgI*-x#9aP2M29?3V~a^!}2uRL?b3&qSJbW%FPxM1%8d3sF^*;ArG9 ztFA~|^INEu*8_6hEG$n6LiDPoE8=bJiX1>mEJVHeu81KtxOxjaV|q4Vnvr<8{%x-3 zyINTFOI;AnuC3^G(2_5g_dZ7XQb$_Q(;Ox(vEdlNR9hPSjp~p7Bs!N3q2f7%FnV+v z>hpEnPp1Pz+n48lTK}%{%niwB1I(X&oO_z&38D1j+KdfxF(H;}?0EL}`kg{V`7<7x zRKajz?p8)L%1A`*S8!Z!B$7#KqTkHaDPE6RfDv_mDj#5Mg|w)bTPEMu-zo@0TQ7&2 zjfvz|NAV46>pQ-NH8Pegw0LgFidke)1*NCb9#$5ekUzRc(&al^eLkK8f)rX3_Np*t z4(fQmCH~HS;g*i4&$hc{?Y_`%Lg;d#wHMv8C#l#P`yfyE-_Y^g1*Xi}gFMG!#EuDt z%RY43V*CA;PUF^ZD=0GdP-J9bZq=9pC^C9Z3d3m9y5q^K{amYUG`^7E!Xt!N9Fp~w zmc(Br0U_V8?e1|BAn`;zT-%$h$9YrK4DyVCRK|vFLh~`uj*?avSi?5qz7ur*hD#s5 z4NGSMEl@MQaS!W!7pO#<-%s&C5@wA%%P<+v-_*!3{IXiw2Nk5a!K65IkG(UOYqN#; z7pN^Z9JA^gi%PPgs5fszmVG8PC#hKW={adED(UtB%46B5=cEmAEM%X!vHrN_^6Y6# zFih!3UqlRgN|ncoD&E7?@QL6?nWKk; z#EM3zO%`>M{Nx#z6)yOi)4c|#z)KTPf{m*taZ1Nr^5F10c;v4YB#wIzX!Xxn&2gyB zMZfUdOHiEyl&Hpr(NeArhc+n6J_%@F#grt1^Z_ikR_$-OCwzi3RuBu9Rj)YR$=k=pMVT^Z3G;^|I%P`HW&jhvD- za$H@Ev~5^to^QIxY%HVTi6`BP2J*r4vl~X&j;tpY%8#zYo713?r`xje3DHFpor))Sy+|;ku4`<@mQaACv+g?YordYBKq{V#hwE2-nmKcphBL{muM}(=&b0Tm>Y~3) zCOQq}U)PyD-H&WjL#m}O>3Eg(CDUlYEMo&^KCfha_zxNBB^jykJ5xeV4WI!_FdHN{ z;0MXS*i(;(r_0*G=;%AP33{F`%Dv<8G;}|c+AS&)s}sK+;42<5DPVoN-SVCD6YHI6 zWA$4L@sdda8`AB*#k+4+tNoAMj9bvC&-O-=z5(Am`5(JEXF;Q(+Z#o>`%UymkE`Sx z+Lgv}X#9NhA1$HbvS&}rXs~zC9-2dv-6LgWK)b>TqpP3DX-=UK7OIkClACV@dI#+% zqQbk3h)v)W-o8$WeJo87n3}L zf05Jc@zopHt-UIsF$K*fl@2xemsUakwdhtRlCydC=B!-kUz)9bh_0CY%llIk-I~aX z7!k$rO^RVj-v&d5hlkV)S| z#P?64FqV9BNH;$8G~3=%-;sUM*?X8}XRPb0^Bd_~&Y%z!yVEd;>Cg(;inWy|s0=IF zC$M5!Hmt^^eN84F#3VhZCKEQ6VA;`{2~$7;1(3A@Sm&ru%>sf+!x82JqTEl*oo*mW zcbvVYtjkYgvzdNiQqv~4+LRSae&kk@I}<?!8b){+Nlyi1&>s1)&m$k$+Q_a2#iF zFuxIcsOy^8J}rkhB2s80^pI}W8=+m-G7t1-Rvbb7D(&;3u6(*m+u4BhQAFC#B6nM* za*9hF0A2?J7m>8uu|qC#PVp_B#yT_(ZP^&%WABuzNPWdMp4F{@64Xaxg<+dQ`@t8X z+rC&6Dc7|3L6a7)9o>3sbOP z)wOIy!sq{D1Nay=|Z938p>&7R$^y&!UgoL@%A$ zGFjg7-u#gWkUm~8vmSpW!lJRVosEf#zCN8GTTKnan}M&muCcWkFMr2Kb1fsj;A@GG zn+>-zo^I#xcNuS4<|1-#(J4Hn)2bWKewPP{!rG_;qdw-ftf>#n83?A2juS-*{oT=|54H}H_@zZ3Nh7WFVc&Yx zyY%6D_yFm{o2Ap4)%6kD+KcqjgGAw0Gyf?3FZ6M-rao>trjW)Pl0F^?^kLuJ%0JR- z(MSBQ_eh8^5<+Xy$F*AYA>KQc^wE(Tz4Vb5eb`L1(nsj7Y46gD{Ik*&S;bgq>nO-J|5Jf zkLLU4kUrS@Tka@}K9Xiy>0?dn_;=~U^`y;x$Y{JyBaPQbmLEseesf2OG{KKIml>h# z@(by59*R>qPaU0D`rAP151%ACD+etduN-Kmbv-pY-Gg+|i*3pgX7zo~C@~ml@;PEG zRe`onweQHuY&CBUt!c32)dL;N1h=)8wmpAeIknUoMk2J-pG*9G#PN`+VsjtNYImW{ z!ffBdMZXP+?uW1)Be$`jlXq^^ZPp2Uf+A5=^$8cHlZ^PRkNqe_X$ z@Q4u!21*GHX`qz92@luzu^gV(UsU_Np69kuqbHarrGQn&f7R9l( zG@dU1a1qhP5nTjNryap2;A_tAeM`e4<0=L}EZTpDjb`^yt&N)}u-W3*^E50nP9gKd zqW!I{hD8T|B09|vMAwmRx}Z&H`R-+Tdr*dP(r!h}agPC(_@Z!q$N(3{E^G=6LFgEWBk)!xpZwxs_DLz*h`cTwKRE}f2Eo; zr;nIQ&Rl_TsWMo1se(?_0z5aMHaxJH`H$2B20 zALQ8xQ-k~>X%DMKQty#7NozEfTos3fQ8rS$=(k(cE(fW^I9^mPY_=^iUDBzkA#bhp z1l_0dxku_skBSEE%7(UcS`icG(Pu*8JyE--@r5vIY@uW?8Fi>nX|5zZPJ#_2UuTNk zHsmVL4D*cPB;)u&V&cd-RCFeiVpSnuG#`E1pPN!ePEqt7x@1|@O&mFkh_pr`wk&RY z4_jBP*EqJWX4JIM5tK!%ptQU4{dcT!1Xyn#_+wr5%>(=BA{?)Kl$z7ln}+-Uww9|b znuw8})r`G*Ul8%o6ORltk9RDpO}ARw==coPQwvunRikh_Irg!V4$=ZmHZx8xYHL}U z#2JQypR2FpP8qe8c+gx#mCnrL9jmynl{EU`*U~bcqIy<~8e>ikq$ps+ebIE@kN;&+ zBX>ArA=qjGDF4)8;$fhMC&J8wO~LlCEC9_nE&!z_S<>EIweAF_1g%%mQuouUe>gqz zADa?|@;|Pkr4_dUfh)DdL2U7y+y)mV9R`2v9V&xH?r1bC_O{= zlOE=kGnc5CYEE|l>%oM`CmAh%H=#9)q3TuaQ{fs^mNj6g;~?@Xj@NG9M0#L z81<@Im>=IP+`&`f){*ox8jm1a$+aw`%&%n!58oh3*IXiv#L+|ZyGborNcmblvc|@g zH46zPdri>4tTb55#*}K?1By|5>+Jy-&yxoGP|U05T50fI+XEid$Yjcc(@2Abq`_!f z#-(fN?B9{eo?2zHHA!=!L90dD%(a~%jB6YoL8q-W_>MhL8-j_4^&;)$vzI}i{|j*{ zH~VVs9kuYLRvVy(73`ya+jhZfcBk3Y?JcE6LTM%6-(+h|_Rh7gW`9-z9@UcB<$I)Q z*0e<=@S1){GApy%iK492JfPZ6l=N|QT}==zW>p!2_+;}RccRRBeGLTpC3v`2nWnk1 z6Qw9P0UEU4i843p3Q!?;3EB*cNOYirV+(e*>_mB=G$_~)u)q3#fY1x1L3X@RS|54J zvRe1f{Q%%mZ9hQKYtkTFfc2hlrNNr}0kp}~9B55@dE_oFp+Tz_GjYOO(qJZ>wyF?| z->jGOZ!M<6r4x8q@3q_Q!FKBG0*@eFAs_AU*lV|%Iu+(kPuJ4VQ3ER6Xg6}wRxnDr ziHBD-)_nitM!O>Ki%^60rl-$av#maq9#TAcO}}H)Q+R#qK$>^ibzO0@dZW3AnT&O< z@?GE~Y>Ul18%=FjgBz)R+f~7S#0Ts8LKfFdJc25C9pPBZZd$a=ZERe$^vI;^#tc2t z`&NXeKTvt<&Uw)FdW90hesmW{cpehX*_~_Z^Y`9&xE$PMWIBzTNh-bzd3B;Mf+C2L zQI))xrO)4c-yR|=q)exA!}4GgwXEb;ja7bg%OkQE!W?FNVqJJ>;fy~TC!4b&CjRaLr}cUaa3`nPQW1(UXeAmuh5UhyV3plty2h`;qVfIvFQ;YB-V z6GE|qZArYfYy)WHji$@IKHc#?oY`u9dU-6{Ds%|Us`Px--@QJ~4=sP&ejNlF3|g>7 z+LR|~W9lQ~FQK6c-+#{>F@Qj{Yc1&XRjXi`luWDUY-4K1lEqlP7q6pW*&J{gEArUB z`@>f_R5M`=wodSqBkI5nRP{12OUN)+osFce7l4f0clnuvuj|m5&zsU_%5hUB$Gos_ zDDl_OvOYhn{BXby0wLp6c^S;XNPVZx0eO(hxMQ`b*IDe5%pFMk-OSr7-rEVEwAx-# zGU5~PF`m>jn5+J-?G@atxf0mQF4kW#mV5J>^c+IHOVLC%8o+mn!93w zjolf?E$f1*D&Cl~P6x=Cl(P~#Vy6f5W2{=t4Z*6#=&svuiZQ$1_5M*bGH%P>r+v#J z+CFyQbvE8N>$XZz{C8cb_*_=}r+o31xM9Gu&_3`PTS}r3_X-=2MXgww(uGaprJ~;w z<*w(PXdd5pf#sH7*A-((Z;8>oaJ-jS!p?KuvWFVf-bEY=_CLY9=)BIQx?!Hall zEMQf-%RTKCv%d8@=LcB0&x#w>a=kk zE9BulY7nT;P*p0ZvFqA?B&>=aDI*{(w&C7bWLh?iwk#V?t7Bd^yoEc?N=v?oHLX=y z%rzl)FStlq-kNR}AS<_IB=d2)oC*RR_r9GBS7Vn`S!iRIGFqDW@rvhZxs_|gl#A)^ zu4wa0psv$#Qr8_o6Utaryjl7hF0A{>e6`svT%9-e*-sQpbeUbWtMz4eV;(&vWiYQ3 zZQ#9b>FqG`ak_5FqBo5ZszqT{-e%|mPq+1AI6u34FxquZ&*d(%PGJ|6je1MuKYmt&;e{{ni(I`1)qEVu&wU(JnSay&VofvK|8cE}v`RY2-`0D}u zWrY^~HUGVmbwoFDft|EYbm`**}MU^aZ!d*7H2op-7;U;D7cx9`E{Rc_#0gDayhkTKsKuin#Imv+<1_t{56r6~IBG=(SUU+F>}b-Iz$ z5))g>nQ`<(c?IEKwBkVi(n0vf;{~T!k0XmN@Viy*(m~~kpP6i{c-gZ2!aJE34F!Hx zG@ESa?evA95Lq``6ux{XjxxvR3p#5x2ne?M#FB`Ix}M9^Z>z4=p_4=BuciV8H@t{Ha=PB_FLt{U7e1R)zdb#Zc55dW;K)Q=Rq`z3 zh4kB{w6fwWZd#(kSs%XNp7p(Voer3AW>+ehhF~eb{Z6e!sAGqZ1i(9`?00OkE>6FL zTiTnAMp^v8?8U4@Xy1ZzYzFY-$k9^T{q_FKo2DJsj`fbk@K?9Vm-}VXc{JMtV@_Zv zT#shISXN(dW|LM+8^tlL;xJmPY&uyWuY3NrH#3GZgS8?KW=`?Ab=Z))#KXAM(ouMmH-N_C9=L}XMZC)le={PfPW~L%xKh~$x zCWK4RH+kB`w`7zrNLzq(hIFE|&ZEY4@|~j!=NYf{f1vWBZ!sv(ZGWk1qKGf_nu{P| zey>c~%>JZkHdhcDgN%2eU>E{bU_SZNZ-c?1G|uI`h#pf7&=eAjbI3; zU`THs8OMU5&p)U@=X!X-8{RN#E-6D^ceu&$p=>^~b1*Pw!~3%JWuMQUli_g*>!w+>1IZX)63-|PdyeMLz~XkN#Yea zz}(9#Q`D5N#D;J^Ns-?-lb3>@==jjBoV2TV-7T=|hHxE&fBe4~`x3aA^Z)uQ?ma%VR-%Q87bR*oh2bRhRc2w`%@hS<>B z@PEDDpZUyuM(y|a|2@9HS7IbqZJU?V%EqXS*eAfgUdwKVWzGd4}1!8C0J&#Q#n~$zOI7yH>&*_lUC;hypG^k z0Tq^Uj8J~^~LEs6705Qu* zQ-9Ef^anC>r`1M-SuCC{!BEKV55gVuaVAXG@~NT2q|YSJYM=SiwA^lfCfPkzx(e;! zYqSIOpXY$`S8n9Q6QjX12H~0$aU-&9*1(OFt<2oWT?y)%yHOuOGz^Oq<;&my|G1Gy zJ`gZ>qewe-YqZx*i&JLyYS4d*?Qw$H=tixpAAIdb&O9H~>XH?%;h1KZ|HF-1=Z6Em ztaL|kOQyw^gllkLg*vPPRCmf{Zn!hvqk1vfb6{#c1E)Vvq%;GlLv%e*Z-ZDyGUohck@Er11qe+W0ulBQX0NHV_jT#_S{A zgZ~B+m3=~Cf!DM~y=9<3)D(S^t^Kdiet7f|D<=2I3bX^o%=585j(KQ+n$}{Nex{eK z$aa@T+8-V&mNX1YmCKSg00oCX+#?yYlQsMXVM%PmPRX)cC{VHC9U2IY+>6qx%Z6jm-coNd+={gdhH#|xffQ_Zn!3j;$E@f**|q~Bua zJd4Rx4~bGC-p*nN>><5)cxymFZR%>K%1OZ9*hs);npSlL*&?N$UdN=jcz(D)UTV|P zEQ>wih!@g+(K?44&5qN@()ODnOC;0!qBR#GIj5)fRRb?T;tA9G^EiSAeVjso4}TEe(T&)3KVY5q27l z`8Zs=WFLcybz^bK)FlHw$ zEz8vV4=269qz8s=v0>PgJV%d;nRRnTZPlYe7?;P9SIk;k;Hd+6?i z)03|L)n+a2p{b?KqFdTjTr4tX`C4vQP|Uoid*_&gBft!o1;#4JmeyELr+k~2#=&*L zZUCeMBm>f)w`epDJ<8p>8X3308K8_T&dR;li&@@fo${cDc zr{LhV$gRHMH!$@SEG62oE0P@e`g8pN9zDjbb;Rqv#21CO8q5fp$XV~GOIef*>w%<} zP2YC>yJ;*r3z;7wUkz<)P3KXsjH%s_03NO%2V6?aVJdf@BN+hx*5jxtvHk3*MN^4f zwi-+Y11D`n29nEWlRIZ1SH{N$B+?lc;TFxD^FN!q1g0duI|z!;6owU&x0?ni-2A%GEAlVbOL8?N3W0)JC;DXF;1 z;Wxpet7qa-P)c^&xHzEh%$$M(2o8tWkz<(2IZ+aRWX9+d|j-iw}^0}Hjx+kM;a z!}JHf>*vdRgz;-%n)1RcPF8FeC%o{hpv$)=cRe?Pr^lMfx87&)FL8zLOcaG}dp%w$ zc~Fq8wZ+yU+mgSthTqz)-mZJacQD49{N0xYI_23jt0J_~-qC z4XZ;0j8hp$bzN6*6d(=}@o|PmL$B%kzJ;+Vxyi@2?NJb>d-rN zYpP7sBt<>AbFr4nqVAam;W^328jLlV{6^=IfFsAsAzH>cVKx6OI{KV}$cVvR^N=IX zbwq^$M+K5=Lx!AU@}TSvw=fTykI^}0Rb~O1gYlVyH^G?=#Scxcc?Fb$HkQB&u&Lil zStWzA;A=@xyp{2roc8^du9cNQYKcGM^dF z&UuWs(Dud-O}EAarW&AWzvu~VF>uHhQ*z^QOn?WB6ScoAV%j3<>UB+Du;%gT;VhF< zwxr9@E;rZtv0;ko}WvMwFG z4Rc9~AjPj7Y^&bikPA2KlHB0p(=#iv33A(RSZ}*TYnv@|*$JW;=AHs~s9dyxZuMuo zvbwBnCp=a5MbV|Rw`mSGIa>EkLPR`-;~qiXGE`VcyRavepFBUA^n?oa$(NJqhey?2 zGjS%3=|opVlcQEan=}<)b%R@-vQ+Rb%y96ngC=3P*qtGLZE}gJyf5eyRYNZ@E>VpA zR_?M}3n>L>1g{_b`+`%gse9TsRalOe&b@F0oQ6X|QyBZ?xhdvLE=wL`^^Kl-)L6}~ zO)rB#g|^sk3e+9?a@PRNW%!vVhQv_^5fAYjZgLd&kFWu60V% zvYuWe;pUd`V#8XnmpT(=mpysP$Zl9z&$vuILFGe^9)&|#4np2d92fLi%IfZ-JtdfL zyN*%70x%gdwI_FDjabL(>h1_+sHbG@MuVO}YuPOv^!8=bilu3VkD0^JYE6!g7Mlfg3Mn6pp6ZRb@GcNp7EpqZ zeXPsy$*^9b7xmaNrbDO6M7{-{K1Grro-*N)8U5TBToLE@-H$9OND!DHP<2_02I7xz zcHFe^vDKUVE7~)9L^V*4YF-Vw+JYvStW1|!swik}yS$alUg0CRkE6l$PPDV=c8m{q z%N^PP-GyrxR$9kT;E91$K>4uSj6YvOe=cF?VNEx2aIb*FpAqv6aRH8C)UE?s?rd6V z23q4_j=gQJF5vk zX%#Zh0h*QtX1kTw zVJ{e3&YwL>U|wrPKDHP`(l*{sr6WmOjfW20*t%2@j}z&1zL$SPB)UxUy*_Hl#d?Y6 zu_oECYEd8l{6|Cv$)FERvbXwQ!6IyhG2W-x3EUR*1nw9laD`5pY`;{sE}sH!S~4`# z)E8xM=9B{)hnlzZnZC#qFQ1D)@YpmTRy~En%`HX8m9PXy`hMuwcsnsinEeeIBDA5b zRno*78mB&y%;5Uq(B;fV_R!^%lM=eOy^~n>+~3=a=V0KUP+H9r1`w0X~g%o zJ5|AWqIepwA9gE-p~y60aWuNEXhQ*HZLNfUrYBv43^w;c3p|C7M0%=6UK-%06catXSf4yl6q;KV3n9q!0jV)FiaCNP28E{H6wve&M z7D0o!xFf;kD?6HO7mZ+Tgn#7BYE%cXJV+(bHoy7yTT@1;}xtCWyNakd$FpOrw_1L4?+c=sHa~%La@$j9HHLBwd z=iUXvE^*NnhWdtFIMn(oq%y(3xUo{1;oK7hcedVu6Gh`W*lSVeO=*$vI)y8L{0;qJ zN}hzxk5qWe5_EsFkmyL`(l$yNTq7Z|q>mO|zcTuo!S$8SPdyui#7sNYN8)Sco+Kpx z%MOX5w?*2k7!pMR4MJk*buE!~znaLv4vBs3>d{B7{p$X&kk~69V<6L2t9sWo2#J+D zIJgJcA<8rHzeD1QsT5AY6qV~>{lO3tDf=%CLZX(&sc)AtxJE+atk&cy7Kzt;V@MRW zZV(bL^ODkuul+-Z#B_E@)K3>?#-N+Zw=@We`l)gv>)m%m26jl?#KVo@0r@|E`9DG; zr|oFm$U=9`vbOb}m;|f7V^#o8stTHNq=1+D z{DSWsXadJ^Lxc|BJLWjPpjs3cL0)^bIFWBR z1_v2q8H8W{qP#5%PAb@tljwmUw6tHO;j8O zDl)n9*uLo)dO36DxZptc0>Q(jq)QyToi&CEy`javm0xOuYc_^Cv4oL*q0rbA0gGTL zO;9?AEX|NbhE+Hx7TRLp2TE_z&JObY(6ydbtf{ZNqMA}7<(?1lVhe>fxNse|1#qmt z+0($l`&;84voCsIum5e};#d-pxG!|f_;ayR_9>=;flFd>Yugv@i;6}K9MRCgiX&3V zj>Ziv_Gn<>xV|N5;G}s8jT=}pV+d4?yIa^~mbJAvL^yYocI&y(+VIZrST2$n-HAN3 z8Eh4T`}9I^bH|*sn)J1-ZCo9G;J%o<$jGml9wT=6L2W$`z7@kHGs;OjKIud>=U}S>0#JsFY9s)4r8CZfbAU=4vhA^Wx07>tm5Sr zN2o;7$wFHC-DBe8%dVO7&^LRMFt$6K2*PD15>ShH#UrdOu&#!IBPcx`Gp6EHNXnib ztfr=KO%B#5{3aVcp#qlR{veb7+b)nz9&+0~?0Nah*2%!>i^4D3Si~?;Y5E7by3Zj$ zG8LvQA+-oE-9zd)iZm^HhT4?@%{EG!NwVDC2_RRilBpROHa3#U48!F3a~|h+;=^Hp zaH8-f&@r1>(+9Kd$ax#b&3&0bS4AZs6yC!2z@D6V6sE?-WS5dOJ0_$-#xM})zaz%{ zcAraC6i7xibR@|wIjnzuNqaCA7l25!9cS@9GxU|*Qra)tX@@({4L-vCQSDRryBGG+ z{u14F(MVv{-YhMhV|Nw^Cf2p}W&{>JAhFeKv{lyE5l~+W=HF*r38o9``s7~+DP-(S zCGtmFuR^{(qgNx@MLA8ba{fbxoIZ5`MiR3b18SaH^BtKn@U_DkgTn9FGX~M#){?$B zV_$i2F5B-cnj@()c;>%W8{r{M0!DYtT)Url|C?zmL58`_CN znu7~rZT9b$!n#lg9#5!}Q#`%1<=)mXwb&{@R)On6#pE|WrIPDhM9w+Un#ApdID7U$ zIUTnWeJT3178jbBIR(SFYWmxbTjkJimrcM4gK%zpp(Qv|@JSBLCP14RZ#Nzz8l9!& z*{i>|lfch<_Gl?GN{v$hF17p?jIX6Sk1n1>!s?YM*tnTB**aw`Yjd2#&vrQTov7O! z!gxE=c0{*H;+FPlC@$N;*3t=fp(uda%!C^Q8g&MQAtS>0h9kmX?8w10-R3b26Cf96 z7lGu=GI1XRxzFK7a*fQcWzyuzH;-k=_OoNJBx{U z?x)Fuz6}P2zuLun%CkKf;*3EOZi~Ft%>^;IPHZ;8mT+5wRm*RNznNy~CVJ`cYj_D@ zJT{^^|6`6#@&`n9));cdG$jUSvuU=pOFWEp0UBLTrn?a~oX)s3oqnUvrZNKB2c}an zB+ZAU8S2I+gvbor(vJ6>gj=2A0VbV)8;g{y0Z4y@o{l1MG}0XF}0nl1g7 zJ`9|n9#&G3?$);`+{fh4p59vGC7PbzhG`QhYJb^zhr_UWA&uNNpFK*;!9G@a$}6MIHn9M2GZAgL5G37`QqW4!%066e#Ov{ z*itYR++ej!A7{4ObwYX8?&88K;P#8L!XLoi_c_;Oq;(m$mVb9N8Dy4Wwsf0cCN)fFoV5qZPbyZ%po6* z*7}3A=#FNazqKO`uh-;EmmC0dk8008Cy;c6lQQI!kpNj-%rxzm8Af)?P)pFRpyo#=5S<$o|ExhsVXg~Uw=B=)dL(rEjUgo>x4Z?LHs*FD8*>$b zxY0C&*_eBq=ZnFoBYQ8`r8oueNHXpXAOn_d7<{bEH*yU(_@!nBg<-(lFT;;ZTbExO zXh}i7# zO4U8B-GZS#m}qZJqH#0h=C%}ib6Z&kE^K#ZHn$xqxDAr0m~B?BbS{?mTR#~L_kX(P zJm*6*8n|Z0Ofmm)CN6mqizbuHv`i;g9?~aQ5`yta1GDY6#|jz=wdQ5d~rX4efqP` z?me}(nkZ^Go_WPfg4TSsawwdY%!&(rK+VA8WR0F#(>%~PjP2UnC|mb?qM2NO1+v||XmF0ChZDa@61k5AiB`kbo z1LySM(Nu3Ca}TfS{Al?DQFDb&A4Yj<;0tfk$C{sN)&nZKs}TiZP7=pup9$psYxmR( zXL0cE4Exnh@z$v9O%#zIu3 zCMtM0{S$;$#*HODqV)^A;ewC}sEv{U&=hjH$=p%Yu4~}a)Y~&~&vaui1So&Un2>08 zV}6G=8HGc$apjQ&fuu;1GbiGuaT?}1tMo`%-8Ut!qY9;6Gc#kENT}=0BX>nKco;xa zjh!@Qc2T~hQr|pucVAKuprKnEHReGSxkf3)@F-A38@1VWpDpZV((Mz8Sut}ecBgPV zZ^QQhV)1C&AaXeuvy3>T34gcn`vW-BPxezjrnhI3tGL{l$Ml{$!gfB>5cY&3&$jm< zT&5ynEo2o3Groq;03`Tfs2@lk_F-x&$|uHZ!!g{bLV8)>b8?)G8;H;NNSK2t!RO5H zq)+Ak5W1^^>EN;^>tnMqm8Wn2XZ8%Xwx7t^8PC{2UQACAstsjLQyLDf?d3^30B9Pv z#eht|T|n;<%@N>+vWLA*Pwv$Z!YB7Q_m)Cl_ofv-Oei*_&$EgOx>q;Hl|V8RnBmLA z6+ZK4BP{)RX7`2mYoiSu`H#_=wntJDu)LwRlUvAW zsJ!Wpb5viw7IDWNrpryOpr%sZa#3u5#+lOFaxrVQWOjIP# zk~Nt;c@tC1k5?7o77z1BA~>sID<^Abt0Vugcx;u?nO-}RgC?w36@~Pxilxo4k1~4^ zqi6*;Ot$A8Q_GqJ0oi0@_BKTNPXcmgm2oDWPf5z=SX?SZXM&tR&QR^#mFh#`d)~A9 zFNZ$%x~Dtuu&Z6?BXd3krO9;zWzLU)jQQ|w??~6_r_tj`J*=ZKbl$6nSW9~ww5UYue#g|?2q{gdAEic zn9XccR~S}`OMV!dm?nMBP?j}UCDO)`~1 z4KE!!j`e1|bSUceO0bRjt3$r_TF$<=Mkgiv75~?JLwS!xZSKSdFgA|1ImNiUpS?bM z$9qDFeZzj&|KJYPBL~*Jtb~`up{>$2qs0g^xG`OxNAN^|9t>y)_kti|!v#*b^W3?pzAD zTptTx#jUeru0sg<>Ru1^YW1wFT|&UquMaTZT<0U?|7KeX{+Kmcs18fVAo=2Skyu!tg71GaH$#{H zW*!T>@}DTi_h`*@th=2s7Fw}lAw|c+wb-W2Uk3_WtDddc+ltXpbd$tF3$s{geak!+ zhW3;2Z!V)<<2aX!y-ZS^K+`p_gXn8uwHq7}@kNK%}W(ImymHcN4e zqs>yBrWXr*i`T7WIzQoblH$0sQk;S0?IX=oOmWQL0@6Iuv{iH;!nFBdFOuR2%~Bkd zmwAda!M-B<&w0eZa8+4g=7X z1WfN2!@qk6JzR79Kazl?D-Dx?H5Uu~YS*o1>>!)bND@#y!#oK%-bPy#mo$|zcWLy0 zBmqkrNdhL>KbD?2%h*9V-LsJ-K;dbY1W?c9?X-J_Gv==H`i~?)GOuA0uwu5%;aLhU z4U<$ab3-FZ!2S(pjz6Ry|GjKttkLn05ywwqIldtYIMgr+;Qr2CB;V&{bo~2`BmveB z%pJe1UrAcc0$hcolK_<`GX{`7qvllnHG&-xepjEi+2_sngt_*CLr&f|1j?ru@QxDm zd+u%m{dX#cKBel1DOAo%F zmrm)!+?VWNg5|5%13g-?#}Q4hN&_^%8cV(Wm^_kO*u{oCwCUBPfHD(`$=`}+ z>l~^k?_|xVH@#XAPz*qF1Nh@GKR&WId*`t~|C4Cad}Gy-sl|pbJnH&kUeCNfmGtZ( zF&g{w!MtZw$J%MQi-lxP^u^!*>)OFZ_JI#ieq`KQzD{ljZyJu|{$vlGYF7Gi)8>x1 z{j8UMe#_Jbboj9Tx(WES?_8{{H6Bn3+N{pd?F(T1h;azrGks?Lw2^Fw*v$QYS?_*q zhgfVc8+w{_5lqKi+fUNz(}nXG8c0x$c<9O0z5A^7c=5x|Bn+zVqj)<^+iO0x$r+tq z%CvGgjx-7eQIWD@%gH8}D7`A}R(fkA;}Qbz$5WM8$;pRaP07iJfv53+UoUq!pis*x z+{F8*4f1IUOqpt~pDp{Q!(d!_B`p+oZ|_X<$C@3Up7h+96Y_)koj4KOnVMx>hjUHZ zeN8!f1d}`hFn7+RdAQceWSOG2`QM~}!XruyPvxAvW1RH0vGA3Q#4h(YAQAoGcUC^s zmRNtCTz{VB#=UMlSFn1Gz1-W!J;YcmUZQ1kJc^K|VO8*8--J$|3XK&!P8DN_;*s0b z3w@!qsW%6L)k9Cqa0Q&Hs=>b6olS#q5fH8rn_wS`p7~xX2sSxTu&>1Cn{RIz!Ufg- zE~(P@l^vKW{a3LDsx;#WsnUOCylr^yCF3He2N?B?|3LVYF^pT=T+8Ku;;J-Bzwgwr7INjKrKCgSuO62()N=*hd-}Vbp*fVUC5kRpyfftOtkf`teVpt9}-t{TPFJwk6{U_y>S z*Q714g(J{qc9=5MqvKp zMT78$)W3Mxe^duKW#EM(g?{`eXup4jU{0pl)y+{hi}3n`Y3fBh%k}~odG2>;4i@B# zif3nBf!_)oA|$2=^X58dWWD22Cc1n}3elmsp&wAvLaf zU2iXGV}A#H97g;&CtPpOG)B!|${u5TOd^f(9QtE&bqRCg15f1 zCeDAGbY@!cKJFo#iLq1lQ}pf|M0BH0 zT=bJ0Oc{Ad{eHY>Cfk&y_WFyLfrglh`JIe1O6G69e*6R&O}dRc2Sei`Z~K!#f;pq| z_Fj2yuh)21>N#}?L(YShgI>Qix|aB*w&%m?lZogxyTo5>A(eZ&N3#xQk(RCnri?j5{nDHG zF2BtZK>kwM>s60vXz5CO99paXCo$aD7Sz5@Jg%^1tuHri#}yEne3&0+kcuY>!qewtzZgMG0F~q zX?HX6D+l_4hx3JCr#jW%HP+M+gyKZUAXPrX&04N zAODJN}-S0h%dRU%5IJqiCKmV}cw6OllI@h)c z&J6-^>H-8S91uJ>@Xqm`MMDuBvs6FhuwYtK04Mb%lm{cYjDVdHtmpug)juKFXEjoO zhu{K#1ZSpqTreTk*#;5Tu-E3u4H|BKS%-pj zaCZQ!ry}Lxy(se^2<99{%DS;AMg@ZNCLrZS-O(Be2zJ|tl&esT?2`yS@dHx+2gOiK zLhxIp9F&M+2=^nnV@sf1S&U-jg(A3B6QC@NL@}oKKx?c*%H{h}3^{_OmymMS`eN`6 z?+q=2o@eCGPeRS=Lw3D6NZ%6p%~O`1V{BkG0IU4pW_Ig z+6u%7e@7IYh?L(TSiA(qc-R{#tLqU=6^O7lt$FzUL@_oHN{!7h)kUxkq{jUnK<< zQWpq_Cq!bABp1TvizBv5(RnPQ9!j{3_CUe(KVuPI7U6O!3I*eIAw_(hP_UZwM6gg& zSrsns8BGB}QIMio7CRG@FTFUucWP5cLNV!Me6a zT|!HV`pb!6(S1-b*;XQ08@rC>cRkXll6o0VxJ)HQVy+_>^+v*_iWE`qBwYOMuwLq? zBA4(G!X<|kk=-C%_V7km&v1(BYaKuRqGAu>GRY3>C4HLc=>2t-VT4O(XA&)M6C;!X=LsNxz3hxOKd5Ue0jRdt(vVX~N}SB3RW-qDvy-;@Sq) z7gZ9A+49lH#`>W8`a;6R$sTnnQ=l%KRfNl2QY8No>JrqAbR1#*un2XLa2aQhy6EGv zi1b&&xV-g6 z!SuI?U^>DjV;&0T`X?!}hj3B6B=s_#6p8gi!IXE2VE0Lp`guhC6GX6Qq)6~Oic@cFj?jL)oHt_u>756pUkw+)yxTkSj)*uC^HZ zLPlW`YIz`r%JHN~)fO~zK`X2CA0SY>p+^j9gNGAw?cRMFyn|RIbu;0Tyq9p9PPj}VMS>?F7j6aNQbmf$j}Y}w5H2;ONWo0PMbjPC7yU>C zdrr8>en7#r$4HS|giHA!C|LM>QsgAz;x-8dQ-35y%6~w?#HWca9|@Ngc~~SVnF!`d zQqcTxVr2U!=wtIjkxNYxF|xQN8hOQjqW%iPWoi%NFp)%kXHw*0C~{GrBV7DRk-Gh; zJ|~lK*+z2e3%NI>O}?5zKK45v(8Ka%UV0Cb~+B zEFfI!4-)maks_UYVw#sQl?XPR)@3{vp>7gg_7g6eKS{mpC4wn>lB%4BMYt15k%1Gi zh~f_6a)T5(aTtq850fHCNDNjhwWC|ZrS$3w)$MyDuH8YN?~)M zG>1b`Z^RV!6viFxhm>TDvK=@SiM~l``&p#PJ ziK&4#mQ=X|HK$#$C@5XvWYe<=cMd;PTJht*M_pbV@)79T%EvY>`|;(I!u=}U@ek^N zvh`yu=l-zptvFXy*X#3Rhq=)$r1x&zA13I|JG~*`;|B7p->ggBRLAxVIN<2p)AqBy zc#pMY-}|>)vc_0yepq;HRIVs^-{)$FxnqUf=O@;s`F7`BzZmdof^_5k&u?5_V^bCXbl;bHhU+rH!DX6Pv8zX}+V{=l5$eREFA>YOO*Y^T6`_kvz7 zwYWr4$im(wc4l)qk7f}!YT-7@lOik*s_%5S%Hj|f_u|Snbv-1Y2#W<<-;XSqL=hH8 zTK<`4>p`>ddysQ71m?F1i<1Li?oyqmS&Zx$`(2BAE1JdWQ49A7;b1muu`uOMWC~pL zM6~EpHnDpKuyA&(xH#b6-2wOi8t~xX0X0nm-Z%%m?H=&AUqJ1*0q-W9UN&p1O%y3gA=@Sa;$ixb};jcZsmES4(|zz5{C;jh3iFv_8wBlVNoh^R*9uFe2q<^u6?uQfa12;h~#t3bp=FS?fTHo+E^r<9`zg4)>AD;-;!J`fHZqCEG!UZ<|?m z+Su7z?E`>Wzlq#l^pQH=1VG+(sEeI8g_7@@S#IA16aq#FQ;no@fyFfd>;MYmr-4V^w3Pm|7wj+rSR4jG98h=wEc5^_ybrxh1x6w7U=+x zOarf80)Rh&LKpy!0bs!lpm4`BoH}AtD2@XeDgbZ{0CNCP4S@RqD836?06;bqJn8|o z%~Jqa41mvGQpe9TRO0jsOQ~zNjcRltw5%rpumKsGfskTvsZ185(rnXNhUXsze+UFE zQlRlR0lhv+*7@oZ}$FU}!k6pk-BnC657%xj>;B0JZll!)uO%spo@` zwP2{{04M_r`+$OcCJ1>S>f)qLVaCE{mV-V(UDQEky#c@@pinkbCC+^St^2f%$|JE^ za?)o2d;$uefyE~P)B}Y~xmfBrTnr(lizBqkRs!JCga<0~)HbSkbpZsZ0=Y`_7+^9j zzy#q4a|hV4);tg!PMfO^q!vJZ70FfNOW^>kLTU>E#`8y*3s6gGt_Djk18P2JfSM)( zV58lE+HQbljse)R9zZR;B~S}o4%BV~Ok9Jo1psq<46sxcA5h!g7O3f00W9ep zP%DlE6`mrs56E;8z={F@cBUgxQ~eA~gUq5Hy&od7EZm?k+Em=5dlQFQF%4jPr1k-sE<)HTWEurbg$DrE z^fpl2HXWFTcmb@tGf-QPOfR89rU11q2Z5;`VYLWbf-pJ2c>4i%p&VdI(}9}iFi;zJ z7hria0CopqaRAFa1~BzKVEQBus69La)anqXj04$5dV>l%2rC1aZ8b8ThngM-SpGeL z=>g^#kFZk+djPPYI-oXa5ipgU0;XLbpm}Gac@g#kVeb(3FTl<$1{if3U`r8p31L+T zdylYx5%w9WB?3%~u;&P?N0`J1VC~xgtf@V~Z1_Me8DPpnfDNq#SgrzKp$O}RuvP%$ z3i#lbsi;B`sE`9NO*z0E6##SV2e8z(0JC)fSV0=V-Xe^81k_f{0%|2lZ8E@keE>GB zHBwuNvYiE{QxSFoVS0qcBh!8;TU(TE6~GkdfSL|qnreVKenh5AkeUpsxdFBCOkf&# z0bp)VfLc~OP#f6~V1J-&KOnUpKyA=Efc<ZYS3tH| z&wy#!Y+zcAunz!ho(Qm_0AT964XCxhhIV@n)N7Z4T$u;zavwee`Ty#TAZ39$8lAyb4^15EG}z<4JSHW8>jSp{U#B?Ex_3oMv` z1y2C}$$h}9UIXmS2Y}ThY?wdPPG=W@Wddy3MT9*8*yz6jw*Mc1)hq(o0)V+i0*rS6 zU>9x!tkX-dlIA^dkNFooCx0=(9wTfHz*3I^jC&uXb$t!g3O@j>3Sr76;1YoW0Nak# zt^+LS1={=_*SJL}Tec3aJmAiN3y~Z;!$$yYSD|F^xDm72(KZS$ya>4T6j1Vq@KIzs zcGc825`Qac{I>}Ft+?^u!tpmP`#0STyIX&e}z{U5tvUr=w!s8c}Q%tr!tP^Oa(wY@<=Y6DT0_8wj|; zCB&1L(luH7wSco|S_H2(7f_dE0e6OP40J350Vx#FNq{Gwnx8nvRW|W~)#Q;`g08{>LxQN09@7+lxTNPeWn!BwFmCb`;vxFpNADlU}pdw8>S7NLVAwC)|Pfg6g zJAMF0DS?5%BzPqJI~0pB+X#wU=-vXZ94}Q3KMNmexN8(d2quqJkI83AGm+C10p&V}ej-PR#m@?%ELO;VjUx_(JL4MqC+{3_aS&qz0@Cw3g!rXLxfZLJ? zrfvMU3s8rN`Hlbf6lvx){u?Qm)A(teU&n^<-b@u7>eWhtV51~v- zA`^Mf>1&w|%ZW^cuisZP9nK&!JwTb5-wu(j_R>d#&vl;%_Y?Y5Kqq7Ad9bPeu8yc-vIy=*LxZn$R@Q&+&?rgCAkp8u3d&P0I z@9*$ow0&?c7dN2$#`R~x(`dK^;YDWfB0ElN4eg?3z({ zHIM(lDz&_OgGxOYkcsXgI|U!yUGQP5)W?lfDnFROMFb6Y$(s&w1~*O{szK&TBv(Yp!GRPW1-tVcXRGf(Uk2N``&;9dOUKzk`1i0`*F~GL=au(wpOf;=-1% zG_T+hToLYfo{*!4q=Y$ex{lmx={gw7@ZI6V1rQV~nUF7ihsi|w2k3~?@xoTjYN$yC z#$-a>Nr$Y1AHZ*}H{m1AluW2Q#lshglE!~4#NT`x|LqRa6#tLk+#3I_9LtFs|Bdi- zZ2UJ;PT2Twc+*&-b>qLy!QUwMZ*V{2l7d#zf@S{RVwRbtgVIGU+1t;E#h37dZ1VF14f_wi^bPtt|YZ26lJ~hg-nT%4K2cZ|$ia&cT%(;ka%4 zR;!M|Q^3|j);?hQ!@fx7EnEZY5(^(Bfz<*DpIO#6kj%oW39@!hTL;%S!_MeX8@x_5 z+9{(0i%7J}ABd#AM-^OjBIF zDIZ4z(j_S9EchTzu?Cb#bkz3cZ1K+830$ zj4d-$>2AyKV@)`YWyaF(|YUKaM&YU zXjbFdJ;3_^QR5Zu`ych6~nF9isf(7dV}FP*hI0xdf@W&@Swm~&0>|gh3x-q zV4>CdjuGEWQ4to;CdC)Ai|b;V2mvm? zm%#E*hhqfJL3o-OJk{A^F7BcZyt%R~;igzV7ZidvvJPtZ>yr;+lg#MqMwuB8d{sCA zzUH??8{Q!{#EXuz+d-&<81W2(f(EWn5mT@;yn|WbNRI3UOhX7$d9j|72n8R5dXwHs zQwbz1AHWCc7%}!$rfSIrL9)4zIIT{=1wmnfDDxPz;_BYlFly&vaaZ#8kRfVQeX1?6 zGY$3L#18T_JRRXuHXOLg+vyYr9VkjBq-3IFs5+axVIKwD_Ql_0!m0Z{#7a*IDKJ_|BBC&-;S|= zgQt?l<-!d>QirHK(}bm~^Lwb3fVvkzKmmHuBYv1H3~7ni1xX#k0i40HSnuvu<$BkT z(&~laDynu@I{R$0dAl$GYre$zZs|p<)h3P zHjFc;(7G*A^h@2mNuLG&zp{THG;G1M- zNlrTvikJ5nl#{)O4>ETGmP{stP5Pjh<@&;OuYD5ybnIeyC#fN3CWvyAB8-q0w+-hv@DkcCm~n$!DGI)m4f&7@a|U= zxQxD*V-NrKaD>T#6#xFD!l>4Zp~9-v2TWd7+O8XMJ+uY6SDb0{?1;qrYBwJd2S zj+RRuE}BG4TbP3)3Q@$O3ix0|6nHPH;=l>ZLHz|_)Pn*$AMzUI(n8PW#fKhJ_FelW z7fF^r^lVaC0e^YoU+1;9tiP6S@n~{(BmCBZMtUqSDu%y$!e0utla}uU69WeYtDC`p zxGjo)@(K2oWmlxC`*2$fOMpKNgX|<1PgJcMRl}UwSj~*1*ST(mZ@K5Ok`}|i*b_{3 zP4TxNoGdl|wpb}DIrnw5T;t-7Tr#Txj;XYf3%NktYJka^G{l*>-mGS+I`tpTlD`C; zsg~_b^=T_n7B`%k$bf$}a3-C>nU-SF_Y(9EMp01&QS>4b*bYUR7A+y~QkMrgg40GR zCE(->OTcHuIpid3HSJ6yJA99oxp&7{=zKkFKN1NpOqZut+NOV)(05e*+n4+8B5I9;a`?^gY zvd%&)c%d1wavPYDX_LRsgrXnK%-G9f2NY0WK>`QiU*=}4VwrIN;c*gfm19%;=Btm#N%7Z&9kE%P19Ich5)#Q=sjBLN7AYq3>u! z2bClG7z<6if{vxb0-}SA=ukzwLJ8_nWpV{8gDZ%6FK|AR9ar{uh{gKMcnLfxv@=tm zOk9y-T)_**lNL1a*Zx~jNbVgJ67xPrrT_BRbRwj|Unz&i{Z+%O!QP53q-*DyC}b`Q zsc1~daD$L}1*_4K%q$s6+x;#IiEeK$q@HETMZ}U?B4iD1NgoulhGj`ZgckBAdqm3g zY$4Zlo`XV`ppg2;giJCBd8uG=1DA~r+zG|hWO?Rw10fr_Y#rNW6)0pQF015!`nnt8 zbomK|e2hZkb4LxTn{*>g=f>Zdi{U|h1U|^K1B{15f8DOOL5OWi6R$<$a`=BEaXL0M z46(D>A@<{cgxExJ`>!*f`47=r+$yY6%w3o&g-a>uq@tEiDgxbBkl4pg&yu<wOJlltEY4|f4OxHc_lJh<+yK?C};Q^)Nplub>RWiDVI4Llgx7&kppxV(Xz z?x4$6qfQqMgd+9Wd=-%a(f$Wea+VJW9Wk z&D=ZpY{ZnI<`|m!B7CsCvu6oZym?+;+HEg!eXMv9K4}M=4E`&c3T?1QFhtYzrj19_ zye2*n1I(hSd-ns#gFH2@H1KHPl)d#0oKi}cQ)1K4Fq5reryopYGXzmB%GOAyFS7Rs z+024ycK0JF+Y01S^R;Y-EZm}BU4tHfKV5Dkmcyq7tSA^V(b;XCDZ90xvs-x}D+OO- z*?0=Rjk_MBG&^fbZn6*S`vrFQS-1(KF^|k8<;?r~hlP7UF7>}yn%s+N9K&R@#xS+? zK&$gW7$57>Jj-ESK|36Vp*p?~{JTVs7ukHQAp;SM{yFEr?&yytqoWB=!$*)aK*|0T zCf0n!SflT+wZT#7r##lUV%xW5Y=)0r2jm--vP;S*D<}@5;0DhgMUP;~hEBk_ZEXX( z)|NV6F{n@et}B&YF>ORC==BKeung-$$TzLo@sFpYgi0b|8%VdGb*b6{bb~{oVKWKH z%l3Z&hKnx^I>h5j7nn+`2$J{0W&WIjAu!muD3pSn+1~`gn<6S39HPS25EL_>fAnJs zOg|@PJODCDcxMWGu_U3@Y@7$Efz&!t!@6+m(T^9fP&pRjz||2Hd6#)hr$Qhs;#z{2 z9vXOaKHP^xZXo)0qx1nh2{&5>!hfrUf5SyVP-ihqcbdddPrQi~tB_(gxoiQ~Y`jF7 zWYTb5%tzB^ma0xa0x0cYl&l=+kUc4tyy73V@P}+^4$MKUbA5}C`pK5!l9I-1^v)r_ z+g7y_O;T!-))gFS_n=$OB+a5E6>mH*>?iFW?b=D&7Vy6qjaA`V{wCY7f2_jZScN6w zIs9=_n7%6-Z0U^y517jS5JvA25%Pxw|#zSVZ`$R)8z`E3>Fy4|Vv z(2A_6`e9X^XWc*HVzxp(%LDzIP=OSidjVyx>7LDp%^Mw1Dmarv%04oc_3m|ylr6eu zRyOD&tE?NlY?Y~OTCbCI**>083|~dK#1l24s<5GnJmp{%*tc?AcL|&L7Mz=@>DAX0 zzbrgnaf!Uy_D1CitD`QW;^kL}+Tz}RG~h(>MFM!&zIQVo^+&-aG?};}uU0@_2BFAR zN*@Ayqh{%NrBvXlGP=Y+qoD+ltX_VSkj(2nnUD-TopqUz{G_4*_1~TXKq&v61yLm^ z#fz8_#D~(!mrkJ>M1)U=BD%y1!D&KL=JCmc=ytxA=Go;D4cNGX24r}A0f646wuvDF4*Bo2UA&ce0P?Y1$c4#5(6D^?1Cxe6kG#p3dO;P@ zP~(|RjHbG>0s=C4RR17f0;pL*SCrlpD@xR}hHxmdTmIZA{bD8MH2|GgNi3PZ@+u)I z^71DnEpFG-bszPJCYg2lF9MKzh0}mL=}=M1Q+iKSLf{>;-{AaM&8j;YmyPbE^`fiR z;%+8gwQ-SNM9Tb?w+TtgdkRgmVj~TRR|A0BqP|VLlaO~Jh;AHcnq2iOCG0X%R*U^a z=+s)`$bPlAXz86!|F~jK9>F{o=I3f!u8kZYus(2I)tnJERe?@2I*Re$3f0nuSUsr!9{j2TCuW7MSUu>!kB9b0BJJ5ku6Dz(a-qjGa6a|T0wyBz|JA&O zUo&37M^G3Tm+5D#f9aA#mteEaj&BIOegVtwLLO$aJ9NjdwlzY;qal$ZB%;n~NW>Yx zN^D3Z7Y#tRyOrLIHKZGIUpwU5HzF#CCh{2n8jw=6!nOPj>p0U{MLo!J6?q zv6@u%zerri;`he?C}v(nNC{LmWrb7Q`&+F9Zs~Nd#;<>j!vX3ld~n^c@k6kHwypjM z|6Y{6)N~bJG3`&EkV!e?gagSu^rSF|14s4lgzxrHPpWRAMD)f$kkEr+z!jN+>>k=tqoOWMz5Ba-kMNE_e(? zBp<5rnprhAJFxZ_tj17$u>&DaKqYffNpU+fB{e1`bwtSoT<;)C>WGp;7f@1C#8$G{ZDKJh z>9g8Q$rzTBsq9^&*4mDHn>YMdW7jEw1slx=e5t?Zi28ubO0j7BBPO-g3) zBm2OMWo#v94gQ2mUP2|c=1OieDS3@3sWmEjjVKw4N(P%Lc{6y@O;l28uH;mfl7%ig zFzIC8UY%Fg0DlFxm7+yoEBRmT^+c&P;2mb7lJzDfMYf-yCN*p&IYT;NY_35iWiDnl znPXD2j3{Z13;v`g%ZQR1R8nT9WK~R56)IU`u4F7rNsDe75R}%iYw}#%?WiPm2R^uo zUn{u_Ym(_uDoPyzFbUDkF@~O**oPGY5;AeH$eoB_H$w=3EIT*Q2GT#X z&h_yqTLf)KiggS{Ix08lTtmQh*q!S~jLPPntAE7$Q-E?A2rI*;sBG4`3Y+u*U#qoZ z#UakH-lU7fg=QJfm}1m`ZQ9Goy|a`0gJ!gnlh{gT*P@a^F(|*gNlD%RW9-`lVobls zXG~`3qH?XK%j6oO=|Z_SBV=8}u60``iXxd@6EV|7xo&nXSy>YygmPIS%v2O&2eBbc zSlbdNi;ZpdJLh@loq6Ae&+q$BtM;7dT%Yrt=RD_qoJ9^MOu zEJY#p7D7gug^XefdGPBZfLF~y{`;DRd^^Yvgk-I+IpgdQ=oAX6VGC)ozQ)r+CQ(98 zLm}Dg>$h*V|BgaNv4mu;ui_T{LC7skAw5U*Lm_KWNO_0GmW(zF8O;>(_z4uUCGCnidftWENA%yb<9jWEw_2PUpr#&OssRwfO8JvPGDWOb;|l_PJ!(XLT)rkk6lZ zQ;Z=1lC;;Np)maPD8`8AT3-UlkOUlY29)q{b?0=&yiD6}8|-mOQ-*$hDBkXU3V=MY z@Ty-w`YlJFBrb#w7oReT`SSh_8T!rz044Az1;53x)4_ol9dmi z7%xQn*oYil+E~ah=EMC?qL6wNQblL-U`bEUP!KYjDdg*N6tZX~5?RC&Qfd}bM1J?%}IY8 zGwClGa1Di&KY|}c(Pm3_%S0jPFomoOC;=eeTKHj~$P!X%7E(f4vK)YjkP^z01JRPb zn3h!SnvikM9AyKU6oLH)iT8t z4EqDc6hDR^AqVJ%xzgcjE3CA{`zqZzWquJ8pgN-;!i5|-%(tNMr*@Adt8WUvowfqD z)7_>ntEEdRX{|kwhc3uN7R>`4COV!ZTH1gR2cTF-ulH$N2;)5{B=`V^JPBhM>d(ly z$0cAe+5$D_hA=wghN7ln4D1W$N9{4kqRxAfh>8$7M4Q49BgYNl?{ws--Z&d4)fs>! zrl{T+i<9abFvae`GMPDYgzQ}qdJ&*fzGa!BZfJlxa&+w6Yi3v-pTX^oacbnY0=X4+ z2WMff^sDFhXRzDj8w{O1!tP$0U9thy3a>G?&LfmqJkg_(RM?PWtRsvZ4qk9BbE7$V zDroHmFw`fFI@$sx3m7tgDi9`hgx!I^U>yPXJ0#WF9e4)o2(y~cwq5s7tYXv=wzu4c zLC`}EXAkC7r%HIkTt^UT%i+-lVHtzlQQMJQ!xQ*XbcA*(x{h$Z7OJnb?JKsBT23Fd zeJ;%|NrRfs4`ApiFcS4deR}$*dGY+(A9VkAO>eWOD97(Z-KSw5tD|*K?aj``fu6|T zY~927p|Cd#f_OlZ-E|ME;rE{UPLS*-6xqVR>AhL}oWyt-Z&kBx%x%l+`GbKsF%QK7$h3myBOS@)q6^HBG?XYfOH+NgVKcmDQLFS^K*@WdI4EF4T=$nHF0 zj}VG1>I~SOSKIkJnTsr5-9L84qL}nM*6#egBdC%tvP39g7P1u()#)lAg=D}F`J2iLmArGJmDB>BNw%y?@3RbK&u%|p=3NHb|e()kK0zX0jW-pRbXwpNmSZx zXg+gqV^%=PwI|=KHNgh@CvA6wM!)UPgaQ+3`Too?rm=XuwR6l zE~a}B=nxjOH#m;^AMkbZo*UyqfYOpCX79T(;W!FVOw376N+8GAMcF!;3&AN<2!9;p zuL#*FvMZdVgfj)-w$y>G@N4o59Fb}yDKmCU+gN7=O+;M)leUYzI=g(GsJX1_WsaZ4 z-}FF^vECP4(U{}7A;c295aK-}=obGp3I9aItbijG@m0m*6=12SLm|kg9Z+HuAP1^# z>5=;0bx?*g?B-39-I4e@{k zH`9-tgDY+@pAWSZKZyub zL^e@Q4*2egVMrGU(b@r3m@>|SLnX1%1>(N#yomV51*31IX*s~g$M!7;aDqB0%%2K$ zI4TD-u5!R_vv#`C{oxpRayRm21S!QHB!{JS>xVLy3Ok@Yh?jDdz>ykv!m?a^zl7_u6WqG#r(}>UDqU%I@s#N&({{n|_T<14 zQe)~6yV=HJu!?Qqz+tQDV}{3n0G#J-_>uC1aS4N`67=7bqij;V85WFRG0q5ZVMKi$ zg@&n0iW7}iOnvpy;lvVDOB7K{1e~`&COQyp90Bjokn{F5tiCCf9r2_sdJ$yx?Y6YO z4*R%pJ|n~5J0xT;I&3yMs)Pd(k!z+r=EO!Ki~Ky?O$1 zTWtJSl5~l`9a}E4Z$L?Js%BgemQ|b?b&A|OE%H9X5Dxx0J7J&jDsjqA-A zuQAAbEI_NrqSe#48@(F7No~~}KOh-~d7;dx-J+A1P`lLEptFqL19LD+Iv9Q_@RHc$ zr)l5W1+=+WlhU?$e_Qx%8uK^)>6>3i9ru{*uxqlD*VwRLI$6{ckI549+eGtk>5-*5 zA3)0s22kHQz~Xh>c2^)&hla$qhA>M9yc4UhgM>wg;fH-6JYmG1j#T5}#=HmU99S>xSH#_TCC=ax^G-WrXFnssw`InRRz7G#7OeV z2lniI?%ZwE7cU<~0rM@ER-^xPC+CrP1Pya}e*}QPa z-TOCA0-f(!+hWVAT-<#2=jgVgHUlNAS^TM2Vp7WzrMvk2(#}5 z7z5ncY2;8c3ksVJ>fT1zq*u2szxPMh-jJyW2efol96JraoeMreA(>aJ&}Ey^#W`4o zRo!FQ_>WGZ*g+UvgKLM0GC5M*l5`K1q=#Mz6WMRc`QdIgoCVYF6T0m3Sg&jr4+&P* zbKvyYgtd(vU9Mzf{e%qZ1Z+U}S|y9SZ=M5Ckvn_%NMu<589-Gdzo6ehfZ!Zn=#bC`-{Ttl zoc}jNnHk&2V3`_X+&btUhP}rP@ zNu7FTEV9SzWPO1z<4g{heVebDa2jTSL?%g=o)P4Sy_WX0-D3O6MWKvZ&7D@2H02L) zd*=<>!!Qi&ExT?|dh+4Zj&98V($dy0Mw1kEt03(OjFa=w6?c)%FzY1@XDa@70Q}8< zpDd8ndkTIMLsjog6}I%iegoW+eot}B87=-XQ4x0HcUTFYUwXsPz3z81%8|4{oLDFv zTz%0O)3?m$mv}^f>aBQ@&B0jj3+A)@l*B3$Ds7h2ic<(ye8xPL?FJ%~hct}grBhhu zDc&~aOXf*g`4>{rTd$(2(4Lt&{S!{_f+RFgqC)X4Fg*788uSdmw4oYqleyC~ zjdUJ@p*Sudnqqd4Bm9jqeYi8o>9=msUyR|Gtj6JUgyYHZ>gh`{`#(mM%V{CSUK^T9 zhxf!CdZ=kCbf76o-HB&^3Y%r%4$!s~^_htlN{a9Ygk``F6tYTI!77x^HsRXUI0~o5 zA(L_|9jZx@DuKGyZ6JtivE3G<5F*!~O;30=1qhu7I0 zVGLj2#)U{bvI>VUN>ySRrj$}H`}&w%r>~HT-k~2&g;t9<{}%k8kf4GqThps z5Rk?aEd=iviK`a-Q}@P-;g7HeyL!QeUTU@peP-RnQTpmk%9V$R$6^0d_L*8^pIayB zkpoA-ut9r_b5rk3d;eoJt8Ri8@6@QTU!est*>-_@jz z&U@=*DoUYp*>@m~o!J$sWT{@!RHiz=Z43X-j}uyzd(QkUs*+d+~(dJiNYc@$7ncES&jgxSVym`-b5 zuVS%YS%VM{A+0qdJ;Fcb!Vf@#jnjMFIITO!%$FC$>_wOigt=5a!2+&s1?r*Ol!W2ddtM#kpx356DfXjRi_G~?zm$bUPkRL>D z1s~{LV1+`loopV-p?GN|I>KY-=FvWoLVJm5t7tTOdvh%Y)uJP6SqYoRB%%X}dGn|y zn@4G9Tps3-&0}dh*gR&lHjfM1j#~i32UlW?5q9g5&13ss7MsWFnEe2kavpwoyrHK{ zIB)kXvUwbbn@76zp!VO1+Qn9{Ri(7k&2qjD_XEMMQ?tGa%t`fN-zREYU72mv$aa#L zcChyfXmN#etxR!g5x00rxH`gc%1`3&ulD_pllL8LzoLS?c!B;rw_8nH-CwP2*)&Dv zC-?W)`FqHad|kS%ISs}ZZ3J9bVa%7pEV4eCKgnc$Ii zu1^kx_{~Dnhj>}PFj_Hlj$~#5XXZI^*lt<0=zw&mpa32^^0D3GHPyvwj>B`VPg#P_ z=D2OFj^7QI3Fu>;UC57+LoPggV=mV@Lkq2}ons{Y**-H4V(}oY1)Q4 zlP4qdcv2dy0dts#|11%tZzJu{lCRTdLr?|Y|j8P9VB-H`PkXTI?CtL`T(@3P0 zc9g+n=Wo$uVUUWZq5Nena*qpJMj$d6oRX=eIK)( zsH*$hi%^upebvmc`XP*lg|Tz7EE8OgDhQ)@%TQS6%>^B@j%$Aj6W_Ik0l*H1%TL)i-+aRQ?nso>ZPt#v465!7HeZk7f&e?k<+|>re#qhjKaaNu_{_T8$lgY2hgW#O3&Zp`f(J-|AB@dR@TJ#jF zUD0ce4HUuPwd@9pqDPbQsH7ofEX!+I4HOmHLqLPoK#}Y^7m2UyY9wyzm;O?BVk(7@ zRAUaN%MA0uB&@!P4kf6rBGqt~tt^@n<>dHo7-_E6mxHZDtmfLFKKJ`hj5cNpmc7FU zLFd3mvoLePF?5uXM;kln7EH{j0GctB5kT`O1aGpbqKdewgN}eQSS?Mt86=CC=*=>b zMZd7#9Zh8HjF^ZfVs%FFo~^VwxR<$h4`H_*D(*LKJ1nchd|gv@)0nvw@fi&frV2sX zW!yQ*_R>(F={5{Vxep+`A@t@2Qk8DWKu+6^(c&I@jgWKTpJ6Q!mQ71(jQ0HncCbLO zI}9^Ij+Slv^7P76#5P|1`u~aSg*Yd}f$;yWQR%l2s2SZ!I)_eFNNoOsxWVoMUU(ke zAzK^7GMvTS5_MwCtvHLh6;ktfgza^fBeGUIwT%HNvpNaKE_sTS^Ob%7uM;cXZ5aq; zKT8&DoHJ1C@hJuqvDdk~o6*){K@H19mfc9(iHWE+{0^Fk-8y*k*3n*y{VZQ(_4x+r zfik<#_x=H-EEoL!f1O*kOP-?+3bYO8Sn(_kV+E`INWT9ejLY*Vr){4_vM!5W!6oG5 zX=7t=AY@&Q8hc}lt3|t5UdLL}YB&oTFKJ5!kC3vLfu{WV>Gj|)W=(3rM`IZOTyx+< zEhd~3Q+~B3t)RzlKIqa1wh&F)kDhxNf5rUhKX$O+Y#d$_Q+xltM1qK1#dGe z&Nu1?ybP3C-GGW;5|Q$OuyIDp|K9~HbM_;^2=OYWlVK>l+GD_F%`ndd(tDR*_|^ZO zVd@@?8TO;a3@ds&r>ymaIm}ScnqhkT9zdBj!!{?>qj!}ijrhOLux$5LsDp!QdV>Ko zY*>C};~Dn6miW1i7@8}{W{qUYmNV=uV}?y@VKKvg|2ywn^?@(Xu&>I2GJA&AtVKu5 zwFxv*HZ|RRY4!45-X0Q+;IcwGp@ywZxA29HXV{4@*m=bZdJ3&hB)WcKhJ9kpFijte z876;SCePge@0tev?3Jpf(6WBE zYc0!TBl1_{K=b#Rj;-uR>k;O;nof9OQ#pPmn3vVA_~IW_SJ40sZC>J7maTeE8HJ7b zeins|hrj*yPFLeIM-**peo^S=AN38Pup=1skdKArv;W>n1Y6vD1*j6$Gk?x z9|j*{bs*kYMc$LhN{%x6&=uCoo-b9<`x4!JXY225MjhZHN z!0xt?5m}#=mGcYt1x5=;cSdVzXmfrz`aC>IM*DoFL!AenikzmJfAgh6IN724LLf=( zEW-cyY%DYe)AgkcnF#6fM&;6U=>lOFr}D|ebMKI&r-+tGaDubheGh)4ZHytxBxO2a z+KX>YHu_=Mc1&J|Bs}H9ruq*liVCcB$YIHRpu|FEZ>}p?YIkhw z^T!f2k`m26X~zQPQ`8eFtt_&Qav6N=9Y0OTJfL!_P)#&2n2b|#PuC8f!U*w!P8CJx z48+nna4OXeRAR^fTjEo|=u51WNn-yloMILYKx#TS0#Vo-8iD*{=*b>M6Z@($h^`Tc z+~#>>5KSWxg?()!ka=kQ9Gm$d+Hu>(4uZWflkw#5%5(0vm0nKp1+n~js7g5+TQrrn ze7szv%&$!8YGsxvr3L?AiO&TLX^Nvcren`c6*mL@;ME(3^Z@(O(DMQ)2v&kuZNROu z*(1l??D4vt=>+}|JU>(T?_FH8@gNpBq9@bq31a1`&N zTM!ubvF9&DfuGteLvPo2KO|89pyJXe(Plt_69uRnVFH9>{$EqTZ^$`3i1|RR(!Qj- z5ONwfi8%2WBcV#01e(y)|8B_eEs`U`QihhKYot3-OCNrihAxSEFS6TU4~jO~;kfNW zkqulB0cCQ{DhI(P*UE5_&0(jqLdj(jKTc69^?+x1)@!|?hvbb%F}J-S(W$i1n|~Z; z$L4Se9}MLk;--`0r50a%?Ue{hT_N8&#QZNkL36`3HuV9>vHN zj7bpVR83-oUoA0c(#PhO1|4E*D#*d6f~GDw_3(h)>?yTtxVumXT|=j^zg4lhg2{Aq=oP)<^*i~T$^fUr?UT6Y@mX(jDo)V;x8=kfjqvH$c zbve}da6Ls!ak$5XPy6Cxrwt#0d9~k5qJzSEy0L=c)aJ$tqJvOZOC2avq@+8{@0N)I zaCo1kgWS*QATvct5Ci@;Ax7ywr-M8rpbu|`S;-hNI8 zQX^o{k0w9}YoAy;s0+{iq7LHLNe`k9`W2b*X&vl$Dn}h?{JRky3~J5?MUwWSL!WCe zBEb##Ha1rsGIa@N&&b6?KHlAe3VII8L-b2A9$=Tr)#q>%(?F+YfC!NeJ=HXMXJ`;n zb+?#CY6okrrG6`-HgGl3WFUiIuZf8-1DJT3}H%VaF)zk zXu_hEe9WnA_54c0HWc~If7M-#t*kKT6rSs~1IjOLBx~9-ncxhp64|BLsIY?E>&uB6 zz>z#`f02XdZ*836GO#13WWLlxCGv|LTj(91#P6T4VstO(UgUrv4JnlsdB(JxK97#^ z&9|wo$TPRh7q#UT)NORzA?6ITQ(<#M)aJeKOufxd+NvhOKpwGAa*R6_c%7k_?F?V2 z)J*L-*L)POxhTVvJi(i4nqm|+oP6`acg803{9(5sBjUDi0)|>IKMYOg2AKl?y+!)M zlqw2*_;X8y;ZRy6?ZxD(xA@Kb-*NPtuuFcRB&~?kP6Z7NL$=&8-<>kub^&Byt0eY* zJE-F;=$KD0l3?S;;~_ua;c=|7>7o75t!5m1IfcWq&o?IQ@b1Plam7W*@Y6Qun03&> zRW%iLa1RJO)3HSoQ0h>5HJ4^*(nnpV5@!yd;bKX356ZgSS zDL)(Y)->`7!>p&#aAJd>FaYuQVuunSp61(1Yn*Xt{XwAzL3r}5KxT(gFSs23Oc4sUi3nCn1lz>b_wH z^*0~E56QQK)Su)A^_Y*0_#(P2dkE~NwEgO&Ig+S4@|M9LJ!Bnk!&r2K+Yh#Hss@jn zhg1x_0r3zWW{g?`x8f{f}XgNGqV9wV+fB|HG<_1jD1cQ z=a_DVa7anELi9S45fMgu`Zna=Ge^|8AC%{S6?<{v9O#f}gZr_n&g&ULW;p*HE(z*p zvBv2Lx!&qwu*P59AvwdYZU zKI5>f!FaB|3A59t83Djz_DoM_TrGXOFysmy$;VqygLSEgjdH z4@1@|y>KUm_i`n21o7gq%<^F#8m>EJ>ftv9a)p3i*Z0(nhY1;JktVuiBJNGJSGlF4m#W@`v3q z>n!g_E}p;J&u#)|ZA52O5whpFlk^Qg?J#JHCz9jxsmZW_wF?T4FPDrF+SGYXb-`82J1x(?}ss{fx7~Qb?fa+b`0jBQRcV@?tQV=&VO3W!>6CU4%3@(_b*x;7Tr@AtzVo-PoqQ zi{h)t(c*t*&ecUKh$Or6=>!%p*6N88_hd?3Pr(%(OoO8xhQ#Yl5*LyT%=RIXxE+z$ z=`u>(jw$i?H*6!sPHncZBrae|d_p=3C0@^zc%L(Qsm9Q)dt-?UP+|$`9xEnb{skmo2gifjj%$U*v{M@4PTYheREC3EOgjzf=b)yYqLbyco9JJmReUoe*0`dQ z={AVaQSNp&@+l9aaDVh#Bw6Biu6Sk4j~d> zM~MrEFeQG{pV!a!`s$Y~iG7$7=gGENEO-h0B`9&()%%Sl{?dY%Gh2pPZqb>VyT(v~ z4J=F1`Tdw7C6|KZoiBdC3Mm^*A!X1|5=7(4*{w4PDT=EYQkN{C314b4Yn6$B>fA3@Ir_xa_iOR!FHfhm=Jmq-aP(gpP!iCO0so z=vX1e;fAO!Z*!k>tdO#a5mK7;%g2yX!(7bA?&e}8$-d{FgvKFd6E5cT3f{>jAHW(E ze%Z!ZMYXitXwL|uDQo#yo(xXkKqt_!F?g*(iL2T(f@p~muFUoYOX7U9#8ZjH`koj> zLD#X{Y*0$(ERvY7Qtvi3^w#SM655K#2qWmp7JpJxWZ4lnu;~;^RWv z%ng1Q%ppb3n};D~12d$EDL9S)NmfYlGzZZ%Dv0Vx5FJWFN|Zka(V@(cq8h4-vyJNf z4=bejGegR!+#R4*cDA{Ze*(3yuzTG&q4R@%#h-^Pl+G#*oU{GQ#82Mdw3c?XHm^eqdkpqu?wM3 zfsv*39cS9|xREqE%?`V(7Lhbs(;q|1B32q*7LZ+{iMcbMnWf}0vlLFN7pT>K%#e~5 z%|W?TmHE&+z&fbUBO&Gb;1y(NU&jS@0){Z)EZXID>3r+W;7g`nPgtRg5DmF(f-m!{t?jdH1m!a$`% zdNhYRT=G)j2AE!u^P@S!IR8~f3I{;Ux_#dxfn%~+ER;c(^esXh~KkPQAi>f?M3qa=E#8}m+C1l75b&^6k_!i z-?O2%0P;7$61@euc?kK<@M07TPrBga#0~m>eQAxGzAKR5WJps!$3cJH`hSR9F((ZN zQoT&4(_iS$x@;kC+#xBtmi?2W|DU*X7~)n~iu?U{cIAE*ZsPwT?vx`I;+}Uw3pdCI z&ivov*3D5TkEt+*A_>`#=U-+NI%^MUaUA;#e=jk50IYO^{oppWozoeflFvu5;M_6J zwCbpW(fo0z=_}#_qoDvRHjtI>VZ=fx?kPs%O7}3?7XAl)K2q8%{iBftfAJ(YW$HnzT`59*2qTVrq$oaKw&42(1JWL!kGN4VpH~ZGi841N!>`v`Le8m+tp!jSgV7ER)-)k z56e<)88kAxaCA#AC8-d zng1Hl0Dq;sUz5qAB`v^3E19`yDUT|D3{Iql!?;@j7G*EH7S(9#eij=l~52=CD6(VUAA1VLh`hkm(3wNW?_yKF=40E zylKY39KTwc<0}8Q-8EXi&zqy_n9{k}!DLoyX*Q<9geW@|j*(pq>tQBZcn67kGJPPl zjK8(TT??YA=8SOznS9))1qJ0v8Z)&^Mn;ZY>T%1Hx+QwMBWPy0A+ZK-+k zjin}^4_bRHbMmEJ<5$?dq!|NiCrk5Tcy`JgD3r;HErt&_4)=F$;7M~Y}KBZ9{U#0tp*3eE0yH3SCvgq%k7X3YPT=Z+Zkc&bu>N1o1r5D1t8|1&nH9F-U zf4cA-&6mmU_xKN8;ji@R=$YNHo=y$>6(|0gCYQ~ra_&6C$+7)W5Uj03N2=^spqSTv zoq3%Jz0cp}cG*OMj>9#>JDB6}jbD{cnz4Q8aj1z;5mi_cEdU|qgTJSpC{ZEHo(Gy? zB?jD6T&JgF2hbzZvGU@P07fn#7jDtIZl_tJ+&h!--gGWnFtdA_S&o!Sez-XFA6nTE z5!T*^;V+W(gW7mjIMb}Nu{)TgMAb0MdrBEsIzHs*<%a%eB4$kLl&6&5$>V}yYsooKh#NmjB5kFG zM46|!&NVlJ%@PgrF1IjW9ss4a#10Ai(B`lRH{p5RH^L@->kP>;OQ8(&B>Yvaf1ur> z$ZnrgC7BLQk5KPD?#m_Fnvvrhr=-q`A50`@)x4c2IBMiNR6?n4VXYELPh z6)nG_S%h6gj~WX(xM!5kS>sGV;D&23gQDCpd!6<&nbUPw7%8nIprrj^LMdyRUTB=F zq1F6XlHr{(^`!kRQ&^ztwjH}A9EOvRBB*+fVIC#`ta{EKVFtHrCLTK)qjP19_&Df_ zwO$JT;?LF%?}~*tUXsuF3> zC|ypB8)-IalL~%cd~KIiB03SP=KtCeJ2H$VNM?QL-VE7s;+lt!F{(=WfRYzrMzNc* z`3%{0tfF=u$%DEx_Dv-(_;W=g0!%tGI&AG3u2A1{6izWaYzs0j#0N1_u@>Uc8h(su zL~j}&B2}cY4%59d=rytRmX2TSgEXsnMvlgbVjMek=i%kkkROJ4utgtY3zA#@J^p0lZ%cj=WSeQEV ztQMpnLrV{{_dY+u!qhdf2L4kkJbnF)sehO$`qk3Z20*#c+Jti4DpPEeO5=}%6o|Hj(2!7YCh+L1yY}r;pRzPZT$*FV3*>~3k#He zH{xj^&UDV*E1#{yDZVw=;hN-G*5Mo;iAM9{`d#i5Tah+ zA`br8DP~as3TlT(9aI5GJT;ylv77eVG)c z$d9OD=e&(xUfZQ&ZVJv=tZ^Wh$Hj3x#San zckY-&43UlqvvE{bJDe6qRev2tkNSmPIGGTAz}O472z`Lv3nvMRzs<=S?$7c8R%<{- ztixG|GwcJ(W`b=MOZe*Uhc5+n@8hHRu zc}aXAU*3nC4_tGp9*#4P(6v%X9k`AQ#2yaTfoq4=ys38MuJnR_WMu1$Cc9GSN z^P2C49~ZKk0&?Yg>t1c}B-R*ElgX=^U+f655ggHtJI64QURZ-BVjW6W6)L+|m&dS8 zWN{*CASR+tBqd8MPb4FPn*A=>7t1ygs|8LyUj!dWH)_PO6P(bGKVxAc(uJcQ!#^*? zuGuQ4-=zRb+W503luA)wUsvill4_ZCFJ{KON_m(~--{-d(eW!{tZ$al973wRfuzb`YB30Rtr;}&BE8ju97H!?hvxBQ zwTd_i&gv)pN$Z+wJu5YLO+=8c3CR*%3)84Rk-u>*Ok;ITh@YsZ@s3aajkOlCdg?S6 zxc>p??9QHO*JRby(|CrC6AjW6>YlkTwe@6Pk_Kh}#MtT$YT&;^6R|oAa^f6XEN!}r zon;H2tZp8Go8V8*3G& zm&+Zh#UpgQarqeDxU6t=2j&}p8d{?5_tbSQu?O{SEbO;g-@^I3e&$=a&@I7brrmKSmKSPaq@vOt^14x z68nB7yBQfy+!bwqgX?<8a6o-qIdrQ=oYhiU+Xo#)O7(x1Y57P)kR!v0t%i;Vo3 zYo%)b19bAR;p8n2i#yI#d95JHNTQx7j|qY#gZ(OF(N@(lyO_D5tR#cF<8!tZW98E? z(AZbq-b2Sk*maEH6WfwEj?*aW>^B&D3hL9QMAJcs*2w0o+JRfPeUF0XQG!}LWE|EH zGd=3badir&5$sot6Z**Rt4|dNurfXBhQOiljwD@SE%6bEl+z-JW8r5=F_Pj42h3Kf z2Nj`yfqMvByne}9% zDnoVJuC)6owwlRRWh^zPFO;-=eG)r>=;Un_rDpN~vBM}ro&7*!A3?{sX{6(p)RT;k z7k6DdtgPJ*RP$X*P>cIDHAKzS{hG3ksAl#}WOw@L?#s^U*=o)r?;kSN9NJId6+V3d zL(Mgmn#tWrv@rmx3zq?PRdc(L6h@{oAG&$znqjr#ax~0OvR0&0eKmmFbu-{HqWeo% zb#fvAf<;CXo#Zi}|4ad5Rnt~BcD<_W%CD+_&p@5Lq;z8OPxQD4P;F1vhTZp(MyU|TB7_1?K= z4^I6H1x*`61hu&4mUjzX&-jG!8+1MPjhY>H@yhMqTph!9J@Vu?%k^p&8d`SbAEX(8 zwWSH?W+;*19J9DEGim_NaO%QL&K6WN z`w4GJ-}ncd;^DnmGn~4)mZ|2HVFLfW8T%P(R#R#oOJ0uJPEp^_RP%;K;#M7co6>K3 zhIeo)Enc5F2h{8^nFy+E{A4Ka9#J#(zVGNhsODbG_d=g!@$TD=-tY}u&E#TCmYTB` z$y@n7W2kxX6iUsk*SE74$y#}vp^jTs(sN#C@vy1Yd&-RqbycY5C9j;X0QOx9CaG+~>vqzlGv)>Tm{ zBL)4&&OBwZ{Z+$*L0Cp&zntagRx^0x18lTn1{A;@PzAV*fa2$7DBc8s5KxHAvNTq< zD7Te=?_X$TBr3!!eJnJl10dN}lg7xd!SV{Rp=0p7QtG8k%^%pO#(L`0KBL9;Kuz8> zJ64|>>lU#Wvu^<-tfxLpMhn8Fv-Boi(4Q%?9{H?U#A!Q_e}op|xYZ-0O96J|*Q+RO z=5tXsi^M};3yv_7l)PI?C#^?5%xuW_o-S~JZyp-ImE`AQ#RF{{pYNfk*8sQVi~p^~ z?iAL0MK^A7Csyvl@i^BXj4~z2j7Mt*x+zxIZ!{xTI_MUd5@dYpMsZ8pLjW?71iA5( zB#w8K6U%pzBW&_Y5Y0}wTk`7vw$BA)m-(eidlq1V*XXs8aJRIJp8%v0$MlHiEF*NH zAUu54GxViIh2bi8IX8OH$ajwvciEsReAh-igUSqjfw#9FvvZ4Nk}r6(dCUNRON1}(hAbd0z=N*gTzf+4q4-PNq@RlQi$HfoJ& zLrt3@$}PF+&XXvr!#WalEwk6{B(0*d*9wd;?Ce!_SoNP>h4(a8eECfFn!i}qc4_aU zwC@-32d`&juVEB*R`yylMKG&&Rzu^pt@e&|QjPOD6clz;7+Ni|S6v@-_A2|uGJAd2 z*P%*w-N0VkEVI|p?*wzIW`AVNX-yO(dp%82XJxMyi`8wH%%V1(@If`L<|S@ftFvDc zHE$qlw#;60iJGbG^(|2|D|-z&s%qWhZOwGHnk}0RE+?c%%-pI&abrf}0 z_8K}}5PM^G50jL}$#LU%>6EOWOHs|R`$9EaWUmrN_A1J<%w7leQ&fq{N0P@pOqbtV zX0Ihtf(6<+URVP%9>-QwYG!4x02LR`fVwK@pOxWeh&&iX=eUib=$U>`JGH>&3JAjh zBt&7hCGR2qvo*}u5Ty5{Q`1~5HjQaZIPG$`9>Z0=uM3t9n_9f+@NhJi4bNA@+m}5h ze_>6Eb)&U?d5hQ}$;qwk)rH&{pWz5MtKCU6 zFkTWp5QN3bYvt{AVjE2jjtxU*XG3ad`keeg-!T0qr_!@4{@{-q{G z_3fU!tim95sjf2@#-+VH&+X~zBX{u#)>vlp+((67@}iK{KBDFg%sbOP?@OnZ{+v!r zNk_NRC5m?EmmEhm&!E&CJrx8c&E`qu$spU0M9nvensbSoS+`Q#-*0gxDEH(}wwm|i z)%+|qEALCECr|l}W&qSY+qim?TizonHAgemeA?~pkRy97Hb}986=P!uqM8>`f?C|^ zu6T=TrtWl4`UTZ2VX3+LrDCIMTC4jkHE(v=3>P)B)O^7$nSW+8HendmtTn26PJf0s zTyRsY$u~oJYn^HB)-N%HJ|6ljBdMspFZDVsyvv*(^9AbU*a#Ho#6rwFS+B4Q;st7M zteg%Ra7;Gq)P0zW><733=tk@;dRj{&NE&Q$buAS{jPGveU=U$HJbtC0`iZ)-oE=11 zx7X(Hj2A$?j`i?(wOjhof|Cp#Ntck8X;wO>UK-}|;?A#htUPWzS7#H0Lb2ZcX#_<( zd$n5z{~S;-r~K-rGM8Ey4!o9lI_s66%@3u~SyO(Y9Su$Tn!mD^Ia2ssDkk?K+P>xRN2brEQdS5K=FMM9+a4UGi!c|(Jz?ei)?9-tTdHQEUCH`@t0@M^JT>o3!|7Jl7jCqJN@!$*yxN__p*MLmzP@t3EOK z7Syqf%Zl=mbot92CVSZi=EjI0k&c&cj<>PTe((jXYj*Rcx(2WE-{O{~ME}J-uJ|yelBtB1g4)Fk7N8PnZT{<4 z+V1jYrZ#JqDz0cRD`UZTshw62M?OeS!t3r%$IipIJkxrU+lM<{SHFf2m@Z(jifSGN zYNNi|=~2rNK@qRJBE9kx9#|R!(q~k~eJoAfIF;c#gK7Rm?uLp|z&1}LMZA8d_qS&l z0Sd6c-#hg$5EOPjWlK01Y^3%Pxn@&1K9@t2&CSCJ6#xci^gy$yIs0#C_m? z3$Bz#uGCA#7&S6kT!la3E=zrYy*^YY3enX^GgpHst|kz!22or!d4OE$7+ig%xGG#O z_zQLQk;#=IKFvL{9Uh<>(_>0knaCCA(t@yuWV`oYEm*?*!T{+B4Lz)f<0PloH8Xiek09UJ7T%~Lmy!KrB!o*c6_FYvl zxLQuQs-U=9PH|O^Top37sxWfZ;8uxTRWP~IKI0~qe^*a?0pw(g@g&xzaPa^8Hh~(%|-~ zF;`6oQo52omaalwvA7cK6nx+&d|-1`&EP7La5aqLDv{#KfLsk?a8+&Os)zfAc;Kp< z$yMf`%2gX4HZ(n^60XBxhyo3zw)@R+`sY?jHR-SKdbbJ8Ti zQVbJ#_L#JkUfNQ22}DUh!jT~IQ)m4Uu0B>y70}1KHV@&dQ$Kgp@vfOEMS?^7zRqys z39F9csNPKx=~lhWbo{7y6B}oq<8x_hd4#NxO!@ObR~{mNWV+`ReqU7di=fVpzULGM z3UR=D(v6il!2v;sq#vc$CiZ4Ok*=ptc!675cYED8q>koyGwIGYP`8-#oa6#{?>Nx$ z=J~Z?ENS7-wQCiTxn{nM1XB(OI_>^ZYb`MI0DtNTccbB-U};K=rw@45WxPn#7joz7PDfX^v9Cqdpm(ph6x^sob_G9g0&Iqn(FCh~n53b1PL zZYqk!!{y593F60Co5P|QAhO?WE=WjgKhs%fq9PA>uZXA5dCk`3zL2il5*b!xvZgoJ z&uD1w3+~;^^#3|L!{lIs9Kp=MZBjdiLg7!dW>bISR4paNjeyui1v5}}1@U2zV07t| zOWN*B1Q2}4Wdcn%3WY~2+#rt5c0Z8-LR9AG8P+{8ng6(Z&ai3xAR>J(;OP?uhuyI! zD)Y&uBKVzNt91#v?Uy(|C1Prhz~{l04%zWoO})5DI35UyALyTJ*I_j^@5!Y?9LOP= zQ5A@LAzlA8QbUQ4GVWc*dB%k~6&x!9_DxQEM9|~dHmN-^bq%mtWY^KXEP*Uq8-y2; z?p5)Y5XO9dnqX+Z+_}!omr<#kUntibBK1WK$KCuwx}l!>lcWTLzb^kqEzp4V;t7}h z8i$&J0w0weJ-KuV3`qqY5ivIRaw)I zCnI%9r|$Y~ksA6kAU zPTwPNEdomiB5-o*mZekHI5!7ibq54a=!k4=N8q3z5jeIb0DF!`VEtFf#smZwcSqou zP5>No6oEP05cp37mVSr8#Uqf71O(RjAn+#yR$WHmVcQW{+zNohXCZL)NE~?=0*CcR zU|nYbF1nAvX*&>j83HTkBk+dNIPxt7*7rr=8C{U)R|s6O6M-KiaOMgG&K-jz*KK|u zdsP>Vz(c)|jpkn^o{CgtB5L~~u-yPYAN8n*c5%@U*YtJEY<+nI8#4^yvbOavmjU%r`;IKRd_HT zxs?c1b&6Uyz>aGKZU@Z+5xcVA6Yl<`)Q^k@XrXWTZq6bdjfD#1p>>? zB5*7MOJCv0HQyl{=MmT;0fE2vK{j4|m31mI{~Q9J>GIRVt=f9R#&iU(LtwZ-9)O=C za7Y7=Y`B2Ho=(J1v?3hDnvXD(#bmOmq=@Tli{oU3;~e3(P$9}Of|7m_Q9}9>lyYB0 zQG8EOR!}Gr1f>%}>FtbE@-GuAllVXUHP896ADLy_5QTr9puCMFC;1&1SR`6qJ$hFD8;>yiuedYSx8Vca|xAc1mzt; zG5k(sDJ3YwdLxSN7-4K1K`D(PRAv*D{z7DobDvPTM^MTMivCwZxo-i3%hdJ4;Y12}xgN|yeJqJBoG^sz_rHzW|0a)OdeP*UF!l*0sN z#Q;PxydWrX1SNMlqGUcGD76Gd_YYz00zr`sM3l5Qgt4CpO8yE$>-fGDbe z3CdG~lG{KS`$SL_YC@$cSu4AFA!BkWuAxPC);PuFVMs-z8ie7(uQh5cD^qm(;nwgL z1SOuJl!hRR%7dVMA}Eq|1Z5mS$=;1rr0odGd4duYiYOs{3Cg{(NJaKNqVQJ`6vG}w z$?Ql_x^zXxCVoX0_fZsMNgabIsucv~6+uz#B2+#R zlzM`q986F;bwgRg#v)45I)WnmnwWAAp(1R9O0LcpU4FQ=DwLo^5ESuvg7Py#xkpe$ z`w2>4g7WkL(b$-#(eHn?&7@GiA+j7IC=uTv6~!UK*ffGtcaX?3l~8#~P=+?A94Cxr5R~M@1Z5sUnMY7^rx3;- z5tKpSB8vJ9p>ms`6dXYm?oxtsnxIsFM;QA+P_7e{-1CIWM~bnd1SOfEbR`*JSU5qk zu|ZFcok+wlA}H={QOR5K5JkIzpv)mC=`#_f$ep0n5fsiTI31j&L3eMP7&At(iB5vA%OLHUoMG+RcfTp=j3X+)O436)<6iuxR3 z>?J{o^BD$tsct!;QcY08rXz~sBcXDapgcWK82g8yJn=#C#j6k{~uJs za1n^ zB3zTn#h(Tfn6`L}VA;SunsR>?&k6TV8RyWu8Uxm>bxH%G=v)i>wVd2n)m z*pz>|pC~r$Q8O*)y`6$5u^PjXH05!0%S1|BPrrzc0X=P>y2&Ou)_hlKI6t{$SnNUJk+I!n$xcNaBI2?x!YKIfO(DkK*52Pvlc1Vm(*x z*Z6S=iQ~N5kfvL?gv5i`)al($*m4Mogpm5LwbMC-#HqHAPgw&`NTS~(hu{euXNttR zjQLK z`0$3bvfzA`j{tO-d~W&r{I!Wil&+S~c8}}uFTWHS{t)bFJ5(&vs;zjfY)6YFT2WKg zy~I6|*L9;0To|ifx?=X!_6qm-;!*zzy<2fK{H8MJv}otFaObUXuSV^*QOTTq85&9V zVtszzDqe&Y8bh7p9=EVz=v7;^t7Lxv$NUDnG~yzPX$i%nJ_)_Yqa>UG?^1>T1x~z# zl5i4UI0pVZUr~mVaNkyRh^+%jtU!_`)gZ~+3spYN2_cZ=!z!aB>fhbtcu4|~1PT2% z;BjXwdvp}utt753-`A;BCRTCn_`RGeWO5a^1;39|wJgj#zIFX~)dzh0TYu{L_UnNV zM$|)Rh+cEvwd5@mco{XUSnE-k*DOCID zmQ{2Tt87Gi0I&Cltg{=Iyq@i8-!43))JQtjI2g=wu?B@23XZub4ukq)iTq>F6h zeWjeY08n$$s!G4UdEuRQt&*#J03dp#!2cXg(1RL$$$3l z+>93s01tq~c>q`m0I4NbRb9VtUMO>KmFzj7b2Izp0Pr40FaW?~04OW5lAZ>DJsz!+ zGlM%f`z>{(!!Iqji)=glNu9<;B#E<2t*Vrpn-@BCXq7A-+PT@7jQ}77fFJ-^5|L!6 z0|4%i0MNNrvT`JhupIyb0ALOP+yem3Wvi;q03hztDp@1(q-(b|FYMsc%Ie}Y05}H#i2zU!0FqfrhU79UY1i$|3upSl2$2AA1pu}I zfY@Ie76kz10B{)q_V~jHvjN~Y0LTM?2msIlK-M)YY4ncfh5FuLtk}-YhF6Ys;GYJ7 zL;x5xJ4w9Zx>dMjXY<0%eF0!o=Vl?Uqa0fI`B~((FhCk#9hD?5zhPB%dQbDh+|X85 ztG@>^Jw`e3#{$3}02m&fB+j~NB^|rBd12A;R>|D0K*D>J!!MJ57TKN(kcP>llMK~2 zt-=j^n)(JHx129W3jBo_V*dI*p@RYWJLPu#MqTDBilJqAep0we|ii9{grFOc{M zB$CUmq>cx`Va5T8y+C3(koXBm`1F#7$>t;(O3SUna}P96TJZlEyYj%8uJ1o%l9`B! zi9HK4p(Rzzh$WVgnZ%N+>QL3xS9L;YY%$alluR-qu~jH-)Y6HiVvkna*e45ODPt)? zFhSdBmCz{F;P*NAy_feUOZ)r7GnqN}oO{nX_uO;NJ@>wMKw=9>ybTgxfrP1x!KYw+ zl5OQrZqd5!wUd&D0bpk<59{!^yqkXy3}#@s1q`PFkWB#ZHAwEi8vq}^<-PInYI{6o*c@H{y1pq$)zzl#A0F)kr+RuS3 z%E73=!O1@X@GJUoelpblrdxFU5vYAagJkOqi2DWlP)`kx)mFl+xmj!HT9R_yq9b!m zNu>u(I)jf%2OHSY2MqTE*fKAG6)ORTm(RKt-zBhe0<#m?Xaeg**!%z%=mjwQ0)WlR z16Y1E!0r&(bbu*)0_^Po0&8f6=obMj@Tdt~8l^KBhjfHx-VU$@?*YuSEx`IW1~${; z92j8&a{?^h4zQqNBKk4FtUZ+Ad@~~YDZrK=2hr1`L9~Rh{Y6CQ0_|U z81gxW(ESwpwqp#2PzbQlIDjel5z!Guv^}t;eF38909INGFduy<5X~T>=ZNSyBH9ID z8@~im^N%2Ua4d+90+{+cB6^L8#u3pF5Iw&JME?euZplX=T0mew6WC*bMa%)VqrGUP zUlBvj0_-Az-6OESsc-eX0JacdoBIOn_687*KS#ofMc>AEM&G*nfxS%urofp^x6SS>b`M}a<%I1eVOtKclc*?9&WRyx-w;Et5JM&c+r+NG*4-c2))CROAbRv8 zfO&rpY{qK<+dBzhHwes3VEX{}HfrCJe~kmtq#rQSy8yF21z3E)5D?u2u=N)KX8nzZ zFdf($900bo>i~1aks<+1y&qsJuM^k<;@eDs;RXyhgYQicO`i;+X9(;!fGzl&z@7ul zJpo`@M*vn#VC4j+?*_1zP0_ddb-|F@Dwv1`0Mq56Z(EB2hK*l?aT00Os=oU_mwlGXhNW z8WCLuhO9UVZ14XJYzL9$^jOiPhfWl+f;xl zdH^hN9l$P}0k&Dc0?hs~i2edFbs4~#`_Vdu4=eE@v@2Hja{Lq&g0;_#wGT)A492Ok zu!;^S*j-Wyd~jklyp7c`V>9~s2p?2Sz=wh0!>jnP%m+q$4RsP9ng;`aXfxoa4`YG$ zlO7;FioV$cm^?q2-*50CVmO-j-AN!^5bk4jz5!2Z}X{zdq({yFd;Cj2k(;W^OSfgjE{hVTe5Ru2r}PkhLm zjpp+YVF-Gxp_}Q$?HiEJ>IaauVYq;?xCQBSe~7;Ah=+8d zLLtQV%|X#uL(Txu{(KDBthY&B0ajWDFk>#j)T02lKA6B(6PJDjSk^C?Bc?wAW}gM3 zcL?lbfGK+dEN>kVJxgG}0<08Zx^e>R*9%~q0Jh*AfGM`ADDP8I9b;dHYsT}&<7#zH z8$7~L2OzUa3ei0O!HxA{ne>hY+bseWPeKg#d`7=*s{XgX={H;Tzdfbj)>i-96Z$P( z`kQ0A*O~xJE-okXT!UgjcMV;iz?UCP@f2~{S^ubjaJEYJ!DUBcZV*PZ$$qz)%vfrY z6y9!4qd_a!pxak7=;hqNSSOs72EE+#IdyO)b+GDhFX*>4>2K)#S3yyolzrPICsOA< zC7s{H(P6k`w~4tGo%dF5vf=*0ZQe?ZrJ)R$a_E(cQO9gX_qQLQ?pK48Ebkf>s4L`O zJi6??lH))i?}CTQ503yq$Q(UoCHofy>)nT{P5?ktF5+tY zfQfh{(3xWW1DPq`DbstapS5fpiI*ED1pNr8oMQxvH}?j3uXq=5rW~LXUXGULoHDSB(~Qn|sG8y->1k)v{9!YP0{*J@H}_S9v#i?R zxOR`K{muO|YFAqQZ;al3>2G++1O`0uX8d2DK0u*!zNp#JbzYJ(Ie$hUvnrHh&hd&` zqLg+vdILk+7kK1-iibv0nAR?fezUv$X3q0HSc~qmIxx8#;b|LDkIHiH`yajWu$ej5 z;)$}O5q^%OK|V5+`nBa=N~`mbR2XH{Y56z$R7!m+t+r3?8C?gXOOs66r}lR0lk7`8 z^7!9mhjJ28?DO>SxyQ|J%AZ?|zMYiwANcpb`LG$Tq;LzDp|^)8Pn>&vranxYpV$t6 z7Ef-AKZSy-{vB2lQXL_+rsJXMglffmK$comOAYWllS3uoDI7T02N!VML7R+fufBi# ze@4WwTj~r($5tNMQwChF6>-UVZ;-j%NUTW5<0SxPcH-ewZ5@2xbR>})2`2WIc?Do1 z#!;J(1Z$Hu^Ans-4LV4bU%UiR`ISbMUwBvTjCww~@pbPQzl!LNzeZX-io2)feNy*l zoiR8oLh{$6!U%os@9^6!{8l7es_=!C`&R8<5C16|MFe;k=!_l9{mRP-I1u)@gCX4RVQ&(u@(=B7?1bZOTT%qk^CleSHV0N|I_a>hE=9W z^7Z}~F{*zBkCHjY3Ou@BN<30bA|8D#<&iD1E?o_jb_C}dM3z2a;1LrEe3}#-2Y#ce zXs<$yX>~`@L64uA(p0*@=8^Yj6+~xBu?RJR1rcu%h3|-2nM}|)moY`lbaEP}lY2S! zokG2%!a6rp78d_=>=MU^M|IJar~Yq}M)ABKtm#DP?h)^8Qr^qzKVU_&fBU~J0gvXu zodljG1xKBoMM*~rS03s3H;7;GDovxykOsdvb{@oCs}q-Nx>OK#x?tgJTl@DeC863$ zsCo2&z-5hGgj%ba$G-@*&y%V`EjJT?t?|Ue3@LxL(VA+6`kaC1O(yUSQg8^BUHnub zRI`TNN>r^-waZ1Ko26I;p<42zh{A1R$P#+#D5hK`q2Bv9q1I|#r;1QJ*6&H^HW6zr z^maaBLdohsuSTc_4m_Q})1=@Ks)^meR3X$CW-2+YR;W|z_o^Ujcfm4iCmpGO<{v3h zq(lyS2hnu6K$|1H>r++ty~D+1H_3cJ!w*buNCp9gq;+MlBPq_CI6;jw>h~t%OeISh zx@0*vLa>%yy=kMhELu@ZQ;XhjfAwY>{Vn(@tL*e13H{fkmlEaZUi8~=JOU&y ze@`!=H)$HG|1AhVy$r1WH!V3>@_+fQI1~U?>3K89toq*=y^QLAW9<^F|4mE%jIRDS z_NGvS%Ww1aJ}GAKc~Gfc!lq^EKEx&ls`?*3F#*XAITXTciAIfL4&bA5{5BD6OMqKC z*0;rI@@yN2dOGU#GG!Z#wdpdU+`#(1Wa)9*`Kvr|J&3s*d_$d9Z<0893%?;Wr%7}L zkE#3QeFBB^WX3BvS7^%FNTkm+9TnbMZ7E*heASND>0|VtN|0nP{E3j{^;Z*Y`HC8GNyOU?Z{l*v5nCIi0EVoK4F4V7 z0!(3aD-78;^mF_vpo?gIkw~Qxx?)C3P{ZN{(`~isT~4v3@sUu=yULl~NjO)eTsEdG zTqAhI&V}F6SW2i*9;ERCLu3us$Z&2fT(#^Y33v$y&W7$S1vg~}#=DaU;!D#95VZws zEc3xoXU=F}Fx2c3E@7Z-@%KdZ4iOEKVsYLIY=uVNc?xPIC_wIpcIcSu8Iv4S0K^_L z>Q$U+MlHG-l2?Pz<@HjCOwg>0dFD@hHqFmylrqI9$k%Syf7-igesp8@*Tp>Xuej9Q zBsJ#c`^r~q7P^Ud@b7HCLITom01e{}37^LDeoh^(`p=!ch~&t_?#1xd7Q z_X|m)kR*|F9S@1nTeiDHzd5D9L7>MBRfQguM5b|MsR^23nWqmriBK+$W1_&1!ld@Z zkNRLmz%5sPcyx1-cv>|QH!o%b8At<3mkvax*;|do<2i6PZkrSw68BKKNPMq%N=*_^ zTYP}H7fD33U9j-+V?=uvTm_xO$P7I9NaX{oa;Tdthq@J2<SrkfS2ZH=XHsyVIFE~R zy1t-!=+}&zq5UNqP<&|=&GV*7-jWFWuOzY0diWh|!C_j?YhYoW^Tfju#KTm^!(3U3 zDtR`Ux+bA(VH7M$ifE{RSM8^+hU3!%AR5DnR;*4(*y@zikNC74j|#K9z`s_A3|ExE zP^4gvrN^b!ras5IHg`N_%W8jWzCzmE;i%&c@M)#-q`<;j&Vff0HPvTQ@CE)!v-Ctw zwQMV+R#=Ay1dfrFlci|gB&+6m54MW2KChHw5oSi@M#^fW_>&|CfmyUvJd@`H!0z30 z*N`EzIraE%fmdov4-5NpEWQL66`euU)wJI3+>Z>@Q&P!9ieoEQc&rO`?M!WM2f|K$ z?LQ-&`i$ZpiDhotC6XD{3uu`mZ7%EFPeJ#KGz(oX0gvFookXoz3XWCC65!w_RvShw zaw82093w++5A;YBSC@@l23GXhK#@R2pI^7G#(=}sniw<^tiRdo0;yCkvjy&0}F8g)F>DcPDHGXM5`fWJY#{^piYWP};IzQoX(WX0ry1%&8rd^hbi1*mv81MylvU*2B0@%zz@0wkS zt_L23{Uh$-WPh<{6fg;Kg1q@R#Kfn>L?cC8LW(nnI5S`5OfJWn7Q~ryo--Nk=Ycb& zQqEXI#}j9Cmw_tq4?HBCiSWT($PLH@E9U957w-e67Y5?7U`S4G>`E=BkP2TE)EhyD zWr+d~($ka&Wpp$<7>CdDrsH)HiLcTt4#HMK(sc2_(GS3~m);nv5K3-R#Su?_Cl$&D zQkDp^;GB4tJes|BqFh0EzKo;uhJp1@Ni=3Qi|9+4##}>7L1WY*Bkss4fzexy#P7Fi zFLs1of1+il#d#|a$m(|NkesJqII2^f+#UEUg#C4^!{Xdc_^S*3b)sc(oulRZWp!U? zf5|-S1c^xFn?&#Q;3N8=AZ9iW<8! zjYq@{N%w@2J5(&He?%j{!$vM%65%+`LioGV-=G}M=9Q;S|GDKfZFEG%Dy8BuQ;wy) z6s(TX>0zPfWkZTO9lIbxm^Q^}%V<7rkSKn+_gSEd{tyq<5j+IN$J*%~4X4|4o{eUl zyx4}O8v^p3k{K74PkLo1y()fyhoDyl-MndnJw?M{GC+O9Mqq0A>`3&W31n2_54@e% zd7P%uIf;N1RuTtg-{6r~f=7jb`ByOY4Kt$YbBnzMOvRnaGhi#|o4 zT3T738c!j8DjtJ}ikK(SC$&_cSW{Ph%IjN1JU3CZXtI0%p-<&5`Xo~x0^`c0j0rm$IWdnwwy{QV~N7`0r&hnc_XVmnA9SBy0VJ6LI!YNL!zo$sexsrRkCYnSB!c2 zUs`oKNou9^st1*|D!SEk(kdIRq*-8Mjauc%nNvlpK05yuT0~qS<`XynL#t#>T(l}Q z9m8|gsxET!lQSmap~Bu*jan6`c~nKKObxt=qZdi5n4JDYs}8wn)l)-4Wv#NGNTq>I zCBa`rXZ~HQR;?sP>S+BCrss2uQ&m<)tMWPIlL>jD1bIzbHN{1%`pLs8Yt?W0 zlu=DNKs+Ve!ED*Cth%lZuV9A1T#MKE} zfYOFIoB_^w3nr@3Ak1FZRZrH4wJRrO3gX*w-t!C5dw$8hXKzglgd*h;zOWg%Nu})$ zwiZe}0oB%nv~rpD)2QHE%Bnhudu%Nzo=MH0DlU|R+jJm>Dj^=)z$8|bQRMx!Hf`Yk z?TwlS=Em_+kk0Y2VEL#fOK%I1jtu(S>y{)I%B;T;xU6@Hu|JCY%!zGuL@4t%suChce4W zF(i3+HJjPfK|>Ww$yqU%zwF)R^qYJd`%O&hMm6#yWgbGZ%f#a)qC?v)ieO@Hy(vU6 z!BaLPg3(a~6WCx(n#jK5HeG2{b{dsgV9G>&(;ON82sWUVVBoU{ETv&>q^U^vhRP7P zsY84+Ythc^n|+uUzPtl%l(WGp+NF8*>N-?+#QwCF!fUTO_;JBd`SV(L>Uei{1g8~5 zyyL#Gyw<{}wHE3X?YtL;h7L_D=#ZA$A)uWC-5j3G{z@Y-zqi8e|Wtrs^+W^a%dT}(ULAGA{nso`NtK2z}NpY*UdYhg)#A6?c!hJX=3bPh^=02Q@jxO$PftrUC3wPiq z7R$LE7W%KjPWsP0y;l1km~66=@0#N(m#3bT9C&U?Ywe^dXlLJAS{F0Svb2%EWB+tc z3kca=wgi7{X}zCr8ZuiA?P+I>VL|90v5z!KH+v^tEPar|GFz5^>o%YZ-Yx) z>wZbwVrkpWV%z?0;<>hN9oSoosQ2a=?+$Dvzss>bSPG%+U_`&EJqN(V@L-S425a4PaeXs=USX?o^k*?vQ9F9tn+QK!Mk66ka(pl$||YD z-f?C}3P9trm(td#Jj;93x6l)^y#9V#0DozH5_Iw;IW?C$$BYkpUrxil+9*oXT%Zy`AsMG<;6G8vH=)7^d_b$at~Qxfgz|T^}8~FJ8yD=O6%|!M(AvJ zhf&D$I#pQF$LAJDhbL_P04D>qWpxe%<#S7E2PRs1?vXnrdyPlnw}cXJbXQ+v0J>l; z6I5lNJ)K%Hy>L!K{}%1{SbkWCb(X zE5c9NIDmGa0YJ7ZqJUE;Z{@5A0k=9NJMTJ`5?LO|LTHtf*-2W8&=M~}-336MWX?0 zarN6$pQbX8BtyccPfwDG&4kk&mYrY8&~E*Vh3Nq>Jeu|$#Z1Pgx~$Pgu93K8F7NS- zR*UpUXyB|bR$cY~tort;J9=}SkWprt)|H z2%<*GTq7tsd-bv0ZwWJJ>Mfl{8!bvd$s1_1IYc`M(P=@^`mi)`Y+52(v0zc=b>=aG zMe>INC@PXI?P5mYZKJKaTAhP0S9ngEE76of$XJO-${H;6RGx{zvi>Fby5XazioR}o zv(IU<9*=k~!M;#fvb{X|QdYD@=eCy>GEe0aY!-C(0s47*U_x#L0D^1AaTB6 zgqSO~r;H_*zmHJ{&~7brS$pfV2Lit4$N|5zX<=GG)u%2eaEmCFMVsSR~ zsbMzg6o-MLkHSl$0=Kp>YE&8+aF3@Z3#Ytic;^C$kJ~FqRsKrae+nIAp04aJC&N=+V!KOkY+f-Px z;OE&gJ-f&}O^Mf9W6htW4}->!vq2v!MEfF{sF}{<5%D2UOy7{lor@)U`W2)0jHqb^YMdpyAX=hm&Jy(mA!do9rIu)%)DpE! zCWcyj;i1VCEm29%J<{`z5t`cQF@@Lv`D19EN<=@#k7)A0( zBbeI4MJ}Cgkz4|I63)LW%OzZtOCKvImk*M;h}oLQ?sPeX1>lV7>+Gh=J0h6?7N9P%$F>4{q-I)3O)@f=1lMRi|9k{ z>7Pl8o=psuwcr_wq;BXEM`gz;AcO3K^D-V0sk{|;b(~D0sovz7Z99j>RtRg#>itH- zol5LZMW&crUAz*TB_iQ)u1N!|E^Q;mBE!}9!-mLMAczeZ(dD7n(9kL7pYlVD9q;A5 z+dz0VUH_n+)Cb*FJgf_aKJe~vy#d>3DCIO1QwvFm8nl@jnW*E|g$Ou1vv;h=>2{M} ztt&l;i;?|5DiwLskwcUW9e-&Nc;d}ARQDT{XvO^M@Q`eB8wLP~xp3hj7o8P;_4R_0 zqF+5|>vRCA4w2ZSC{0YM;NJSk@Nvg%g-h>Btm~@bPk_xxt7S%Ceip;8Zphq->sb<< z#@aIglyaDG>Uo@euoIHb1v`;I?^xO?UUX=TuU>`}0*qi&LOeD&nM1=ZW!JS)3>YP0RTnB;-Trp=lLnv+1eSU^~cIYjy@c)heVT4 z9M!k1JZFo6-)iE?^E9frf{CBbj8wx6ve%e}{*v8JuK30^Jq$Wyhcy zqi2g8&DwmGIBF)27783ijREtyM}_PVaccheh;T*|&Xl#n#AVan;q?of?z&DuKkc?! zB4+am60_+my8g;JV7 z5$XK=fj@r351FL{9S?~dEgQnpAV-L!sV9V4%lm|T{4YU6W{h^DkZf{iiS!PyBzkk~$rr9UxgTI7?k2ZIZyq+U zBQhza+g)Ok$g zlfU_(2?Lc;Cmk8tqm75;{p(y3^J~$A4pNWPF#lg>Rqk>61;!Do90=QSLfU8&#ineS zS*sEl=LGJXxg6Q_i5n#1`6>eCge~k|49*d4Nu8?h{+`i7gZLPY1JCYcfY* zuOGd2Cy<joaywSs7OGSj|6jLyTk=)69;!hJZGOG~b>x76iox!z8! z&=UW0236u;>Xw`6RlqOAzbxWkp~bS)M8V)W3OWk9P+BU9rKR|VT{G+1bzqVo#v`RC zZ*wdrR=*f2I#upmMx-09vr0l}5wNTh9+um>bb=7ZXdJOw(FJ zX|m)U{n;MjW=eh-C$iO%Wu1gc_oN~9Dq=1knok6q{39!*NtXn07gzw7PRTtT=Pg+% zxtA;TmX^(JaT92A4&k9P^1~D~XY^Och@~aedHGOmu0<xaZ# zCoxyHieJXY`gR*m;n{``o|hDs#1;M9E8d#abxnr*@~!g|>mPOftF>b3q^@f-+*jbY z75&>PyyCj9&v0K!ep$V!4o>87e$MyK$0c#+vfec6iUS=ScOtW zUZD=_ZsB3wT8Z2BhCE>kOx!kMJ4tM`jvAS6vPN)9^1ZMVJD+z-oDVNlaY}}i5Tax% z60xw&Dx{7bGb!0u)hY2L&7BtEg_VR0;v7rwi4*kprc1R-wg5QH@73fb=7UQt$!8u_4R6oc9-;ZA_LYdns8STc3{e z2bCV{l{G(J6Bli}GkE@)hjI98D*Y8>+j3@X=;}T0U+kOzzVcp=rI5g zZgmd`N!v2cHmV>Xq!fRLzaJ1{roYnzLUdck<#S0z#n-oJVDXeG&lpx|N?Kl{6A%Fd zVKEajSBWM~@%0UAWaJu2iuBVxnIFc+K76%!gMew zLMXyDo!m{Vc}%PsKStc|w`{#Jm}?;=+vcmXDuAz@r?1Ws4cET+p|3boJIocyqpC>G z(N_gkk>t}t;8C?F^@#z|2dVEa3|O=mU#Y6;6H;joxaGR23Uis8BL@1DdA3ih!E1C6rH`XN4w2(_}!f_J6= z3%VJCS|l+UR+md>UO7tf}& zs5qN4oX)1y+5%mmvnirvZf_|e3fUx?#1S-QNirzAXi`f!x-%R{chXx6M|VVyEz8VzSmIx$n=hu*rQ8h%_FkU&t(SUV;BC~@J(f^Qzx$Pe@DFNhy{GNtA+XUy5chon;qU7UU7KKg9D8vP+bLR z@dEvBJGgDi$&+L2L-f zx(LSh+%{%#F47=BgML;tdVM#2)st()4oq8vEf6Q?Rvu(WWn>o0S>^er8@9vynmZWf zBtmStrtSAtMDe!OSQ7R71+fER{;2(C&zpJlC@Wbte{CJXH0LJzQg;=L}cv~n{ zSQh-7m%2`T_-Nc&L$0?!+Y#q>ojj`S2Bz(7Lc<(D!^{?jDdS_xKn>R7unlTs(sLJ#|;B~Dd7&Y=Vft1X)r z#C`QV2Nh;Q$doeqCn~s0I@ot$Ivfag7jXeXEX3 zQ+B`ri~8kY`|DeF*h+>xVEULSMHeI7vRhp#f)w)YH@C5stO!U{-;mKnF^V9=+doVs z8I}-*#EGJNwCrY^pXsYhTqB(x zSNS{5O8G#p8Y0e&RGUvjiv4R$@%5keGjYj61GZk}xr7v7!Q{#*zV!F%0!BldAz?eH zj)Crw!-YQVg}p4rSHZ+t#e_49F;T#&JC&t8Sc*vW=p>f6e|6DHWs={HS@t@!nwLTN zqcELXGe>Mspb;gX9Dt}9kuXgHbD=mc`_d(seE_H_C&eij6^DC!aW(tWeV%lwya!j>#FtP| z)De~}l-Jj^2iN=G%a-)_mH=1EEIa}RVEdlmUdetsp>o+${u!FCKB%yGnKO-_W&c{X zgo#>dM>^j@C_D&-e7az@YbaYl!uY|r*ea50aBzX(fk>&l) zOP;Hnsal_5bf?@>%^T52Z&WZSdIrxRI#5>#Ubb-Ah;A~6bT{x9JXBXj_2&u%s!{(= zPO_V>8_~y{L@l04RG?PVMsz+C#|FtxXsR^TJb3iS#5x-uFKtxALRP#$)nQcBDNUVNX$EXOexLaDl=x-%~T;`ZBFIFP(~VNtc3*n69S) z6mfAW!fQ4v z0>U#6V*|h;tq8buZy5o7k4J!0uw6n$0OxVDEkbX;BA`zWP*hq2x+=)oh^Abf>hJ$p z0~~e#eGOQ%+?RdQ-cSIZ|B5L-VAvO{%6|Z>t?B++FMS)-5M$ zS_JqnBVM@Jooed!{-ZGI>>wz0LKGRk462&o3t-;!*i*SMDYPf!7X^T-mzC{KwS`GQ zQE3@CYb0uvN_{C$=Bd?Gy?XE8D=;2@#45fENVE0f6?P}7-Ch_C*V5I@os3`P=10_~ z-NYJ8S1nh20!T9*kFX^|9OY8FYOeEY*UE+5seZ3hD;u?1i~0}d5pt_?)k5y&k|2{S zCUyxj2mY}NXi^U15im?R|JGYy;@)UYr7G@j-@Qi$We6Y>Oc}iS686xmsp2l3PbggL zR)=_fPSh?CwGlkE8tYaE{Cf%aPGv-iO$HQ)>M(iBT7J3`r4+k&7b3y-kV0>6a9 z$!Z*-l@Wx(wLmYcA6YBz?0y%XT8#yIt;p`wT!}RN0BLq{86GK3QA@y=EnLbuR=E;s z{2z>8*8mwXO^}XYcdD&KIu0#&twj1?9#P96TcK*sQ>&>G$=rbKPP(K{I9j@4d3@I& zq}fi=Y=sZ6*$7%5>Bd&}g${nt_(ii<6V3ChqE49kLY9Zxv9yt#*$Ek61*?YLd8f73WoxWC0U&#)tU^fBSJiTo*FOp)B2_ z6w(pJzfe!|u%N!wj1_vqhb{fHAJc$J$v{|r-x3eG6dz;VCu5C9(J}{f6!zz!UIV_F zeFE(Pu0_R)5PBWw5K(UNrGlz7XwK{NsiwI^NhL5`P4jgpZS{4l{qOsJMRu}U4ze_f zOGO*`N)goKdO9!&=?sV0TEM6%91XZCsz*30R4erQU5s26*N+iScBz4U36GO2?3`wu z#R@y;1HI?g>iSkcY zeqQeYzTQ8Ds=)+(csPt)jyw{%W`@g5&hU>x1r=9nJIy+WYL3`UuDJkdc0>h(RK3KR zyel4-&$R$4WEvSy3U?C{m>i}W03e~r)T&!@Z zAEm?&pTiUoGF?yod!m7cL>IOMH9>r(Va_3{G@RnkDh;jvdks)0mi)mff(iQG1#7l4xr-SDMzUf)k05XKD(3m;|6>`g)Xm~{y9g`pgPZof3u*yNh+OJ4DvikveT2a~OM^s4;3Bu~v>9`L z^fz=hsz;ZVb!g;~7@5w8u0~CN)k0f_v_BB1@hS1S*fBLZB&`UYWf_6QPxG2uJ=Wfk zO|^=>8U0HnC?{CV# zhwop?zmQ0Obx-ObGZL-@;=aQ7DUa}A1k%T3TDz5eTfy&cQ(Jb2zU?Y94Py7r+JENm zn@v}A_Yl!**1S`}t&|VQuE|JNe9>7}_XM(K4$w#_l#Dp<7bAT|l6o0Uot>w*e22qH z@i}?Ehps7tnEFO5MqN*mv3dYgKgegEJ}P82rXJlEDG}FN1sx^`1f7?v4=XwlXZ&F` z={UP8G7kIAu_3~KqNMG*I@C6rwKWTE^_zdG*gv~gQSlMqeiKok$R;3{%?if>Di$zz z5%v>-_pWlyobRBXtXSY$rDB1n`<4?knAT~x2wGRYnq)`RMp;x5+rQAptE znX2eh_fc@#{LAXG(b<8udIm$ZV|{;&Y2>n3feVMUNq7-{07+>l8lo^;p&*X+8p_m}9x?+MjDkey}2P;U$1Yj&rVKhhD z$5}x-JFu`L-Tp{d2NTB^Bs8EsM7layz|BS>rL%1_c7{Q)y<61(#AK&2}`mt{|2gUqUskFddzy_kMTjNHQBGjgdNQ(-f7 zwxXm(yeAezn5XY{HIBbYSJbzX`>b!RzLNAU*hQoD-K%P}=75!G=UfpwCF|_0OPgSH zqo>H$+xJZ*xX7mxwnm0X6?sASJ3rK<$c~-nCRuDJ7m=q|Bl4#QzNAjIUmQ! znnb>JlZ36aTtx0TFsnw9pF2R@%?L+To$H!$&i{8}dvFF5`Lq9r$X`?y`M?fasBe=u zOZwK+MdXg^M1JuCbxN)tE-*~ybxwipVs<~aI42@|G2wLmLSPVljlam(U{?S`H}*%D zJ*AG*6~NF{*DHXdma)Ed_*&@OE_F;@eC`;UCef>nkK>u8P^^{^8K_YMuyJTplI7*-`S#vd)Z(~wd=Bnm$gQ#dmP;w89`H-yf*zw|8Za%~o)qc@m!~VR#AT&!c z53~oxph;wJ?y}W8&1JT*#OCB(whl%LxF~GTdhYcwza`RpVNO|8Grh5=B>0QpyywV$ zi;oe6Xftq*vTe~t0Q2?efpflwx`nOHeG8#rpBYq_oY7*yeJX%Y|KN8tZZkx=Pjo@| zw!Q=zS=-*Z$UCvqX3$1|MvGBtbNDb~XrEJbVy_-auCGJ;L^#mhXx~)P(KPSg0X!-1 zmM~ti%W@2V#7sVBi&`G^MBIFQa+1VQ=>8RnfwngbEm1wAsaUyD2C#8Qxf>70$7k}4 zKgOfIX?bvgq#n1|w=|ii%6E{sX&akuh(z^KqY2x&bYC2c;rWD8B$wsk2NrXO6LMO- zcV7&3A8aOXQClYl$zML{lE3agxYMrw&!U%a`EWR(G`EbyDg_387aKu@CAsD=4#LOh z7X8daA)}4ik-nfPLw{JlbU?+QW`|d4*1KpN_=@D*T7z9^DYhM1k z$hExktHiZ}`QPzeLxQ(-P&t^^WFcAzZs3{SJ4po7Oxwu_(2YX;;gDN>GeLx1Pf)Ah z$|Aa+pzy!}I4q`3#5SS*`LnG3$7Z2DmudH@XGIfsH-Wt6@cTHfvyK#2mqdf$j(OP& zR?QL9AM@x2*f5KA1h1(`t|T0viPXoEK4>B6^8F^4(OX66>7Rt?i9hjCujNn>`Rn3? zL_~OomTyX=_Rmq0n!fHdUTSu8-WT)exX0Q8N>5_CWYKg{ys9~?njyQMQ<9VW@+fKt zYW>w{Q&n44bzb$!$M$jFqr_%WGaWOt(h~My`#62PNp-r} z+U9MSn7r%cd5!f>;I4JOV+e4=#;^W(%Rddxbn&GDb3vKaF6dKQu&>N5=1Q(6aeg?G zwC69!dy?(q7sWILn`Ukou_(uR^CkiQ!2sJ@14<0T!MbR&2%Eaoy~ed<)1VkTFKV!0 zF4Fn3%c3rhf5ExEPHQiT+q>-fH8S`a0ebAFuwX=3{GZ>Z9|G}%Xrl^`nDJeJQ@*#A zTGoOc6d2g>Iw^?m9_F6*vtWlf_e#PJ)7<;Zu$P~EHg;m5ppP28{1YF&};z2_>*yQOn?T7Ba5B+F{t5Ifs+&#-G>?*2p2fZKNy z_o{aO;(6pRPsOW>1IpQ!dpSGzh2uA~wNsLud+*acE!Q+-W&eOId2^~GIH#IuQ7KSI zP$u_x3Uvg>J(XY60Qb606neqi<~>P@0^pn2gmIe)i_IMvT*TQFT{8Q))2rm5G9;;GEzv za%YCUa#EB!Qc2$dO!Z|pwnPQ9!frhoC7P9_zMNSxj-d8YE@mZG7fEyst)}vHxMX=! z-+U}3+@Z3p)X(S0Eb=y#)5&QOilEf#ct>8Qi;Lxwr5-zT&thRU^`=QVmo56yrqP}OQZ}Q>*L@C{=3NF z_{Uh)@*`J@_I=6%`6Q6jjLR8Wc~x9OtD<1wKk~6qOlog%L|`N1yx@#{LWo^edlTMw zixzqBXJZ>&+z^^yRkcU{yJ}z6**T8f5jfggAEbB=&bg?zIZyTj=&7>PQ7|lhf`u7tU9s+TH(MwWs-%66ej0{}wr)CHEk^wOG1nPUqp8JmcXi zwj=g>UU_R-13p6FgFd2k2({pHxl*$fja!D?+LVzX) zEdKn3B_r-g%tB$F>>%;(2N`xOE2;oWY5I?pnhz%rcZ4=aU3grLS7Ng^CXd|bHS#!w z1b&!0mu8W3aXs)~EIP8(>xgN`W$$$x;Ix^v>_Dnr;`7N{N_@VQASKTPfK zSxIw3=hp^#XmTAm(^A#j*0NK>Mu}+&cQGwP)$C}8vrZG9DYWOGs6>WfRNoB}=Do|o znU)H071v@6ONKPL-IJ(3C)n=E;LIG@GgpV(bX0qY4ll6@^|+#GuB93u@$z&(Iuk^}MHbOr=dmdB{9e(XbRfJ9k}; z1%LD3E%;#{2kDo$|7c#nu;)=&%b)Csi}QVihie|g!&TUke6k~gwRpH#{wdID_67<8 z3|HUPW&=2hJ;Zit(N+AD$sMk(0NvfL_S*wYKkt$Gdr?GVn=jRJ5n-QPl@V?IpuTv-lzo?#DCLfWXk}0ef;DXsJ z+{<;Gqh(Zl-Zmo05-tfKEit+#WrKyD2uTG?j2>OY5$Tmz+4Z5{_>_#Mahy0Vj-%cR z`63#}<7lC^qpgzsUPEi&2XednOP@RRd6b=+m}G$-N|R~5LAMy=G_e-cYu| z)CjxSKT=#XzxOF8f)}L(2d_wE1pm$u2pT(5CFt}O51Y$I=vElc;ByP*L2?h3-bp5z z@;6_%A4q7^TL3~Bn=Qsp!d1*$DWs!Np|@E61{wKvpRm>{S(3>S@S1NH6+<`zb_o-p z5e+Yz8(wQ)3@_Scc+T~PEE-<4%kbV*wx{9U>pwso-eI46qcFT8>G002NL)<(3~Yg_ z3FbC&!<)&5m&JxR(`9&%eBF-F@McPfXOCg;A-5*%6^3^?f0PZzdHqC;ZAur>Ia&Dp>Or3(e3rLU_K21hdEdk5$acz*XxUo&tm8Fp`Dcdv=L*(T(m(d?%H8y> zz0Dz&z~VbG7OEJG82C5TN5sGxdfm?xa24(Mqiv>-kbPA*9(K1ECb~y5ZFa@$e4;i# zL2AH!T-%I{s9KTy?re?z-O(pxl*sS>e!Q4ViVt>B@k3z0+(~>IqF8yhm4cSOJCp%U zALHRZjM5f~_1(kfhj}ZE9?gaoel-lQAuN68slu({jFd*>GaD%fGg9+ zZ#WL3mfm%08X60l4NK1;;EMoOH5{MPXk=!i1^y@PtrgtnBD}3+b$jn3M8!RK@A3oYqzz%H3VP*=lfje*KW?GE$DNOT-xNI_N1u;PwK@c zdF>Tls7Xo8O_$}qY97n7fD)ZCNyG;((V2E)v&ij?FAQsl+mjv%+%A*nj`;#cW^k+J z5`T20auOMtvf2g03>D&uG2s#!-y371Y6!*Jr!Mh?`|2Q&e{Qktq|3O|-ta|MO_T24eGv zWet1lOHIL;ttVeOFXn>0f7DXJifTyreU*Z_4FiL&D`fYK!R(6Nw;D?XeolRh1a5Dz zQkY@cfzDI(LdiwCg-B(N^)1&oq*{`J%0swK<5Sfj_b}6ZW6832K%VUp*dnI9lcO;O zHk^06p=2&zQ2#=R9iOejTom+XV5iuHz24u4&F9Yp8$}l10F|MXFm>FxkG|pS?BW~x zPBN;?_&lfKfI#dv3r&rkG8q%z9xgCJFcKHlMK*kkS4S$64V|G;quxE{6g8@47i$l& zCE!{9&w@scqN9t$HvfYH8)s+hT6{jLo=4iP*1F}$)J2^=W)OFW9esuv)+vLUZGTiQ z3U=>U3)6*d1%hC4x&GR*P=Fna9*G)Q{H1(72y3sEN^3_qj=WWGRY4?ro8cM`pw2x+l;NZBQc&D zulMZt+QEfw%1^Yx0llWL1#Ql1wJi(36*&%$)pIUP8yjmnG$><==WpJgibfHW*CzEy z&eb3N%zulmZicYrOj(oj74?04uF!WutBc06m#8bYmtyV1=0U_nEdTB<%YU{nJ6B+D z(+f!?7Dv~UcsJkE^6%>1IJOvUpI7#7j>jq4>CY_BIGz)rmNJQ=B^PQ3eJ$93c`186 zTA;?9jlrC=v7e|7c5ybmw;7y7ZLrkYI6hg)j?^CXq~mc^TM25X;;OhdPTy3brhk2m z3pL)gIb7AXF>W(x$?CYcHpk5~O(mqeb?q(A;HvC2e ze~|;vxXF$&Hn=%nIru&$YYe$Rwdu34P?h@HqqEw#;=5Mim42c$`|Nn{I+2pPHujI4 zzhNwj**{$uT^spViQDm|=GS*;!nGOiIfIH|Owp@uDDXm4JzW}+q_QT$k-=)=MRS|? zYlPB)w6ogFXrJ=&-~+tPdnU{Iht_)AXUjwzFuJ!Q3Sa?e+#d)wAeL-E$t$;E1GK%# z29)$sGZ%@o0p>0q13D2zpIf4Z%{r^U#Hg(nQj*VP26Z2T`|S=e3BAhZ;ieZ0y~-qK zWKoY3pwK?^to9>(uBW(xbyuPAFz958kzPp`$>#Q0E^*V!I@xGD%J9K`F;S|s{sTDA zo_|Z={^H<@KhR@Wh$Sf6u+q6f!Jts*QKtfw(GAMC5IgL$aBsC;Ho|J_0%qn8dWHI6 zRmcpw63t6Bdlz}^7th6n_(eOx-5S(egj>eLr8haxFBz6aRI!--*^(!CefW>gfc7uhYNFw?uMvzFHKOf;ml0CMwVjW(18Us#t8`IT_b)!9A z(TFOtIt$j}^OPT*SYPT4?BuAea4s<6`-nlEvhg{YJ_{a9BQHDhO9?(53#oS&sE#0& zsB^L+P*l~k9;&U-c5&~C*PWTNvIabmBY$&8PBy~T{0;*X01VD|JwRhv46VV2HE<`_OJgEG2M?z|O6T7%zCn{)zC_s_pBMU+()#72_ehfa6ix6>`v}9k zxG-N_tp;yQ+=szwUTry=U#-gI;XzM{4TaKRE1HLE^X(iSjtjPCuwYBSkz&aiF2UA6 zer_e?vd)kOTX$kD_d!l^vLcZ<*JAYimEdMRlEj=P9Gvx3`3>HQ8cNra9bFfLn5>C2 zHjO@~%84C`@?lU@t@*+$={eaD7h6-wyE_H^j+2CSp^kc2gFbr>li>>MA#a=@oThmpHGvW=z zhFlk0!@~vn|Knn7vN&54;sslif5bCHf`65 zvwGP4&?~UogWfpD>{uDIV@c7lU2jHqCOcN^g?d^pYQ78cIqiiS9&I=JY|7^{p)H3h zR?sLOopuACYRM?x7p$JqD-zn4VDHpG4I6o};XP)|a$(F6V8?>KwwE<`Xgu!O zHju4ZAg7;gJvYV5XlvlBwvy?x$uAww`^&VD*CJ9#;x_q7{GyqA zAqiXmaJsCSG<&x#$q`Ll|82@3z=V}8twY9^ZH%!5*7ozyk-#!D^h($FD1M%dv$G?-GUMDmc0wLs<_BWH1qzyhAne?+%v0jo{z{ zr;iojkaFq9QZB0=AZ0rx}NJuyEJ@|7CEE~kPjQf1s5FQksQM4X|V!A%crz_ zMy8M7Xo{Gz-?V8r(0+2>E`kl7q6|l%VPX5U@5tnRdyb59i=W=g*{SFeR zOM7&$;51(S7S4j0^O7LOFK~MJ=ks#J9TuN z)|VXvo?v~+*+J{ejzzuQKF3;Sjf6$As4+nP9lkET^uB_;_0)601$^N&eP;`W$=tHEEiHd-Ciw& zM^t67?7B9#1_r0Esls5VUlB1ltLK>N8EhN-wVW~&yS|ZdJ9&bcxNZF-o%S1u+qewZ zwp~srw${Jf3@kG&mTZVQ~V?e+?7b>L7MMBUVur*_UD9|b<1xD z*!R-h^CNlz*j$UF!J(=$yzS?tKAU^S3Vqi1luRJ+T~#MgroY?tf15yOx}?I-!r}2k zfz6me$HGTck?%Aw3TP92zUnHG?~HKr?MJ=)A}_NbTXk~^ zZC9`fRlK07x}b{;6k5EiL^k4mI<}Oo1uH;~CSy8Zre3C+Mhh!1~M~h#iHV zJC5$JqEw}R$;8d9-qQsE;dY)^#96ELQBL=(k}T+dP#KL@Vd=}733l;x{q)>aZmSi) z(kYFwmG~8*oA@p5X0kTyU1>|kJGan!&|2zK3W(2EAWoY_ewRDvciAVv?{atbyZ%th zw^A?GN&T+JeoJUvrrwWuzY9iY?Rf8PAUN2C;34H`>Q(01=E6v%TNL`;RktV(_`7A2 zg1T%`+?ik`hDSUr6jQNT+Y%1}>3o;S`kCKK>X@^4g1~UjYcAxx=EG#w3SGSB@;L9T z@tC}YQm^^k?wl(?qq(3p=>%h!Dyz%@jlVMLU?+s@o=p^5?g)P=4ijF_cdqUnP`pNt+phM87c6_COaH0rM#b>1>EyN>l4Ckz9